From c82ca61cf5e0994e080619e826b51d8a0ba96d51 Mon Sep 17 00:00:00 2001 From: Aaron Taylor Date: Sun, 23 May 2021 21:58:14 -0700 Subject: [PATCH] Populated project README and added screenshot of new ANSI display mode. --- README.md | 62 +++++++++++++++++++++++++++++++- interface/interface-example.png | Bin 0 -> 83861 bytes 2 files changed, 61 insertions(+), 1 deletion(-) create mode 100644 interface/interface-example.png diff --git a/README.md b/README.md index 3b94f91..18b84a0 100644 --- a/README.md +++ b/README.md @@ -1 +1,61 @@ -Placeholder +# Overview # + +This repository contains a fork of GNU Go v3.8. + +I'm currently reading *Surreal Numbers* by Knuth and hope to continue with *On +Games and Numbers* by Conway. Applying these numbers to analysis of Go, just as +Conway did, would provide an engaging example as I learn to exploit my Xeon Phi +coprocessors. Hence, this fork of GNU Go to fiddle with. + + +# Status # + +Successfully builds and executes on FreeBSD 12 and Debian 10. + +Additional display modes verified in `st`, `xterm` and on a Tektronix 4107 +terminal. + + +# Modifications # + +## ANSI Terminal Support ## + +I find myself less distracted when playing from a serial terminal. I also +rarely finish a game in one session. Thus, my selection of GNU Go as a base +upon which to tinker was in part driven by its `--mode ascii` CLI interface. +This allows me to run a game inside `screen`, (re-)attaching to it either from +a real serial terminal or from a terminal emulator on a modern computer. + +When playing in ASCII mode, I found all my mental effort expended simply +attempting to read the board position. Adding some color cleared the fog. In +order to maintain compatibility with `screen` and my terminal, this addition of +color uses only ANSI escape codes to set the background, printing an ASCII +space character to create a block of color. This mode may be selected via the +`--mode ansi` option. The screenshot below shows ASCII mode on the left and +ANSI mode on the right, both boards depicting the same game. + +![Screenshot: ASCII mode (left) vs ANSI mode (right)](/sgk-go/.git/blob_plain/HEAD:/interface/interface-example.png) + +In support of serial terminals like my Tektronix 4107 which only support +era-appropriate ANSI escape codes, an additional `--mode strictansi` option was +created. + + +## Future Plans ## + +The GNU Go engine is written in plain old C, all reasonably organized and +readable. Combined with how inherently parallel most Go analysis appears to +be, this forms an ideal test case as I bring all the Xeon Phi development tools +online and learn to write parallel C code which fully exploits them. + + +# Instructions # + +The original GNU `README`, including full build instructions, may be found +under the filename `GNU_README`. On most platforms, to simply build and then +execute directly from within the build directory, run the following commands. + + ./configure + make + ./interface/gnugo --mode ansi + diff --git a/interface/interface-example.png b/interface/interface-example.png new file mode 100644 index 0000000000000000000000000000000000000000..4736438d87807dd5de33702fbbfa2682526bbcb3 GIT binary patch literal 83861 zcmeFZcU;YX|37|=L?j_a3u!1y6eHX}(6BvTM&b87cQ1@kInU%h z_?-AfGc7Nqw`2d6pF!C{Ry{&?0+NH3uO!@e@BQ=P{jG=luU~#U5$wqN=b!nJ)Go(v*v2Nt9oW^BYO)gP zbo}PD>BsKtv1&=;-?|TIW^B2h$*^(9fk+#}JCU5Tv$Mo2zkj&XvePu={=LkA;k{N| z)K*;hKjp3RdCU1ic0cio!sg~|&FbvzYzgi_mi_xTsH>~7*q+7B(#pW{Bqol?pk`!TrU zZ8u^sYkp z(j~X=-*sO{LfFR#0D<;vn{A=OiU6P2imE0->PZ??#H zfAwn5lY<&16k;}Sm>x}XHj8Yd=1pHdVilA1RO5bT0RGSTSg_-g%goQ#HKY{t)-2-F zZ0Jy}_F)pHxOwyDgPliun3Gn#y}fx2%eLdOn~yK0=IZd!(D&Y6ax*itmjYJn)~wqk zR{rE*RlbYM;&?ev<+pFQ6B2kFrUsM;dWRa{k!NOR(h1of7}>i!T35<)AdRV5;1)tcNm6dhe zW%&e?h@;v-N{I9P{*=_zM~@$`RaI3jDk<5^&Q5M=Y5DZ1*{ry7H&%xkyzsNhrVjn2I> zKDDyJZgq}5`N?zVHvPCRusqvE>$Lqh7UCR8T1>D4dm+78e&^Ef*x{ zE@0L3{{4Hg@g*Kj-c3|gJT_Tpymx*0@L?5c%Z?o$v9Sl-XWqSgmvnQ+oFulgyrgGp zsyWcRp**IH>j}+4`uX{JIzelWy4Z_%F1(f7!_B>U|Ni~6OEc}%Teq&3lq@|QC~)n& zn45=3Cr;6g+0i{eTQcu_{CGB2CqGYfA|_lb+jewjrqq2S?Zbx;T^~Q*e(Ln;J-c_4 z>gwutwYF7Op2sO$yLRpSmKFw$G}Dusnhf~LmOonrZrIzOl$4b0nu?6Qwg2*$=VY0h zKKQu-gG^htknrC)7$n!+T;YO(f-Sg0uV3#wB`I0h-p-6qyelUE@Uu?k zjEh|C2J0x#oH=v$>{&5={jh=*yPquz^E(UgQc0da|8TbZX+QuOexmho6AdnEabHz< zM`!2Wi!Lfpo;)#XPK(Q+k~X+W4h6~V{t$6uFKY!fNOCB{|1 z=jG*}@>2PY>4RPT(t8*TFJIm(Bt(BuJ;n9iyCW}yf~Xl79(0!na%sIuPfsu4$m#Fz zCyrTaDt~NTT*vqCu1vxXXOnZy&CO>AViI=ye=16znx8UJyLj!^)UC4PptH>FCv<_2@Wovi!6k(t!T2bi{RR5hutt}b_Eh<93;?p)cZ!(qX>oR#d6n}PH4`puiwr1_~q zc6))CbB!M>DkM*xT6_8P(_3GIgbKfXQ{2Rdf+&F8Xg5Ah%9lY|{K-fByz{cJ?2=y#}a~*rf-X(#$rZe_S#&lxpKq zLVX@x7|Cbh<)ubh$*`YbJ8Ir?&vv*;Dy!x9B~3{Rh?5-n^OVZ`V@dC`>zJgze95qn zoqf%0d-%oHY&&ui!|`ik9qqW>Bv*F%;O}!2y{sZJ&P(%8h3vS$z7)7~`}S&lL84gj z^74{A&F?4dUtC-a4G+Jwv@nCNO;5#if<*e!)3f*R;jPS0lhotm(>wa`T1?3roM%}&GmSstWF0%{l<+OBoaQ42g>MJw7s=;%53oC z2M-=phMnH%{+;jYw|zvr9m!wGdtDx1mi$VNK1NPh@k(ZGt@##eD-*5qI7MA^!#|%9 zO?TOKyzH$;S9kc_8g5PxPfR@Dv3a$aP5wpTi{n;3=kC|@Xl9T|o#E28aoFX3wK23L z-KF^{>9_a2ybAGGR&Aep#Yxt&_NqM-)e%0v?JJ@}LK^*iz$%@z*YU#g#dCU@Z{ED| z8<{A3?45i&x6;KonR%ypNQR)G;Gx5Zqmz?s0SkCTXXodmTRgDtM%cigK7EpA7H!J5 z<8cjB@H80@XP0?$KwDmo^q0vZ%HC5%&@Si zXf5{3HEZirIJs4k3M}r<0i;m9LJ!s+ulx5uO!QXh0m+SyUL!zdZ$(IH?#-Efxf5(g&0RY~Pb*maSo=^9VGZj{}`yY2p z`|S7@@#sTFA70sHA~ZEe?Y+$iDCCQI6G zlk76mCOr04XWO=I)n{VePi!NPX}18LJt8&{i{n5iMQtj;mL%0twujo#U4k7r*^uD&4ZHM1StQ&5*Lq;GT%` zK%TCKB!g?Vwk4q_@9evHMj0KcxuL9%#U@ZgYj_>Na9wH(Cs~imU(aZ#8{?@@JAExS z*lpCzb)XTeVqxhj^_2wFFA`d>z~w1n7@vt;o;>zMI{a z^-GsF>`|Gu0PZgqS|9D;c0qO<)6T>E{O;%2Vy34!oWG^SGVgL75c$}Ya`i&z(Gr_RDlwaZgljad4Gn6Bx?J*3j}MEXH+Ztxn<)Od zO0ucL%B}xXZ3DDTPEOX<*S{^|G{5`UFuUGWG z6YG?MJqF7HxJV=?CntizHPy|bi<3wuCWD6)T7Yw8bHW<3?JOdy*Ejoxgz%UfTy=AH zQ<{pp5Tu@Fy5D27vhTIWy<-oKv9hv$sII<{Ol{@P>;&p}*V|h*z#?IkWm49xDTR8W zg)@#P%(I5&yGyIydf(=pD3sS-JoE!KIvu6Af`gd^ZHFSQpGVW3zPPi))9(89{D*$w)tAK?GqVAt_V{ElpRe-Fast9P`Sli8!sF(RE z2I`AWvVq;80KX8CO01fKe&wnUFMNlhvU7`lW5UA??$@L0ZwigH2>mAaS@kv3s+GZ! zXw+KPvP%;^UwAKl+~OTbX)|DP?%abLHtG%R#f{C)GKYE_-bNDU1Q1R(^FD~Q+1uFP|~xqZhD{i%UkL+2-nIW;v( zEqPAaC5#n)eMV?c;xaNDHPX##B<&u5x`b+UMosN$!R|{I&-t!yEhsGHbzZ!|8@uMT z@9^xxf|uwnP>_hKsjr_t-SYLNBEA3gX~WIwA?lHuE#d=@_g}a+*{9%bZeaUKbVC;>|$2nCK%VNK0;g4AHkSdM-_X#^^D z@F3-jqh@}w+EEvdMi0X~B_ zvB~{>wUO#-YWG%l%#$BJa-{GPi{$nM5$Rr zg1n?#=t0Sv_Nld%5m)eZh=|i@wY=yau%l6rA-7c~$U*10i9Y*J9_^g>_-oX3bVSK*K}jW= z5L6TJM}itdZ^4pq1?gY`fkGug#fvM-D=Jj!Xsm{tQuU3hU$aR1gkF4id30*37(JNx z#;7h}Gyx&Kck$l_3%n7beW95PqN5lJf*XW5O!PfAC`$D7~JyDdF;IwOYc6ULmQEYULjPCvTap}a3Q4%eWb`b!D@8{3wK20y=IV~jK z7}K8|tfxYS5yy^=ss{UHVPjjz#Kd%?H^{E?wbV9Hfv)s+XNmLYx8N`;^&FNxckU2G z4T9)kjrh~f{REMcl9D2N589X>-VVf!LCAK~U|k&7l;s=Hq*WlO*REZAuVInv4iEzj zu%7>JEes+Xc_dO}av~ep$3TR0g*SjffhZ+t${G%IoIkwGs4s4BxbCcC1S+*MWa| zD#1l6&6i8VWddAfV1VM-v10_w#@3NAH|O5WEV2ps_1uf2vR#7?6FssAE+((NvySq1 zKmZL&!|ipHyT0SIX55_NmV0^p7S7^T>+>6Av5d`sEaMZ6)<2hV!yijX{9EbfRYpPU z$RgH_AR#|@MqgiEUdG~-qTP*6)$7RQB}n9bJF~0bai(J zi@NwcJ94D}{rsCDziGo3fKXnX^N!x$(ja+4Cx-TU>eOpXi0=GnAj}c!6~5tF=hN+l zg==J=^L+q%9qp@P`RPc=_xk39gWzotlAsh0jwN+e-_R1fe*LCRKQ^-yFMwXRcdy^3 ze>Dm4t1!XB+S&l2Tljo<{PsCZ{`3SWlO@z6dihUE{uJB0H%!p2bu(Dl?~i|26aTZ! zALCJzpu>DUGpDGXCx1~fygnPEL}!*QPI*)P74U+T-D~m^Lf41C*4Ca0n+{tpR^YmCd)G)V*2v$2IGCF$t zE`NEElb{lLb3ODC)wq-&P+WkU`>Nkk1qcA@3wv8#*LsQdpS8hg-%J}SlkAAd2(|eXS(xeYj%qzvC653 z(~T*txQJe`RbjY9NT>Xre@bdqhNVG6W24?!XK}{0j@727rrY1xFU|9x@nP7%?C$1v ztyH=4N{G`sl*hHG282e-2mCJS;2`+G+dJvfr>zmygr0@HLfW!r%lXbCF4CocmAQlD zDcIy*-h-@J(AE|#6#hKNac(QfCckZKXIEE1+Ck#eeU5ux@|zNm{g`?p#FCaH-Fe9Y zQal9#c--B|vTO!DpwALV?+`zKF$DAsf4+|m4RjaYoP$5LN?bxhS#Ac@AI)VoNo2l{ z1*%a*5#Op+t17FiK6uj~+p>N8>+yHk19wm#A215-07C3Nx!bP+kh3c4!dt}^LYpBS zcbq*0_-g#amOlHA{7mCMf#UBQ^8`C8@8RL0YHVyIV6BSE z4(Q$v`m@V>fN^~d5%s-G#r#BY7z<(v@c2IKdTRhdsar~!dyLyFxG1o2}(vO;~ApE4_d{%Ih4MYmsr+f{{$Lq zg2AnLKX|}5o%z2>($}5jvTcXIkBsZ5^zX|op$-Mtn6>l+&N#;~%t8M(>)$g}Oj(|=V=&rrCXwM_LvdTPpO!wf@ zm*@PfJv=75p1=Yp;Vc1}bZ3#TT?@y_%h$Q7xtWlmlai7M55U@*Z*ghqRYHP}ew#|1 z`iKAK4s;(jJiL=o5D7>Gt18{1y#%WfGfbWp6T?N?&CM;B<1coBQ{(8``gkoag&mxS zubnjXeUxREEm$7+)%FhIZb+Ng?)w*j9CZA<3R&*bbTi3w-4XPynucB;p4~k(8yQ^<{-B0v$(=`?x`!zwYY|c#-5@Sllskr z^$Df;r??(R%?J3Ix8<^-;YoRUDm3ZSSPTNkSw76_w6?b1vTGLwg1S|% z{J{u`+WObCRxW2El>rLC=PzG)o#w4S+Z*ky`y&ewB&2G3shvSUg>i0f4$bgA{JhFc zt1D{i>Yh64e@WC4I4p*QG1>+3ibD{t_!cGrbb6y^YcZ4 z*AC%*KCM1L8Z$O?aL6iP+-107)2369(Vic(@WEvQrC9@s@aZuNrsQ|;%BObXtOIwE zk%0N+$CLRSXRo1>>j7>bI(AGFLULrIM@2kf`BftT;*;8+iS#Z{km!@;4TzMdB6|C)_WF(iR~t@XGfBu}PB4xsrN$BP#) zs=*{D>Hhfmh%kNZ+MVvg&`>s-!?7m0qS?r3#}Cve_yRI?q5^PmaERehqR>%q+qNdO z&vJw86G|Z=A($IKe*Dk{@}y9u0G;z(L%#X_moKti%~5jCl&;+LnwXw0fjI!_*&PK> zgx3)*;PHH1L1~iZv*nfo!tbrz}wmUVux&B`02)j^8{J+ z+HsIX%FD~+6dgp7F^n&TdOwOr)dgby^spfXXg=$lh*@3iPEw?!^((&o#qo{6Da9@p zg!CP<=RiGr;kcRX*|YBftfh0DI|`u9FdI}WHEuc6T2ob3Wu#+asPOh&^t;^Kpjr{W z%)_nOv|?2p#r$(s{zPNC@j$-eSi$b=*vFCy+PS3d-q+*K!Ok^r&pXKE-zmxfHXofR z@9E{~S%A$FV|ueW&5Wz<1Iv^vnexS>H3DY_esRU$wbH4U=zk>{3yBq~G^^LFNphGn zCY@el)u+2?BrZz{02IW(~)EJw^AoNf$oZ?zVX$MEIskhkfaTo#9(9L(RS`FEaO999KuR z_Wi2ouLs6b2&JA>8G3S!_Y?1@Pw7s$EHl8dT~9?-3O9~0WB`=qzh(2+9Q6M$()tNp zb{cMu$h~fl;X(zRBy**^je1&K4~uaV37AWzkyZ_P09cQJ@IxSS2M-=R;k>9e&iwWN zbeTqfmf#2?Yig@6vPPEoS?p>(~ME=_{c-qHSiJ` zg>04P)oxuQ6JcdN9cM$wtG||zJmIoJK|oroJ9}0nvBC<4C)qPY^;7 zsutmqdRt@*8Y%`2(>%64CJovKM`E|Lv#kHahv(z_-GsCe#3)|wl{SDUyzBytws`#2 zK!eQE`T#LWNpdh6(l6sLcB5hx9ILOqif@^svRekAP~Xc;b>*W%1WS; z=$V)hMkt|dKn}yDcMA_^flD;@ft*=)peBkx{A6@N*7*R@=bXv0oh$`UB=z<84h;=O zzdj+|afeD|%ShX!`Kq`9PWl0c@B#H-N<6;j(I5ZzJ)QDYZeMe+C@2tT38{Z~MIPas zT_iNt$*np~V*N{k8-lXajccXj&~-=Oqo*c<0PSOA1HGnecbxG4p)*|&E+eiZ(hUr= zTiZr6=LSKxiE{|$BpihqSA%Ef%7${9x^Omybkp?&KL=SWfY}V=P6FC^(IbJol=L32 zB<>np=W=PV@u%iS1h94tB(h05?I_TNDEc^$r#SqUxII5%45%ax8Wkv88yFY_Ol9w# zQeZ4_B|{BTK5T4F2=+dY1pn^2@uy3V3752#{rQwmC6~`r5f4A(O%ii_d1_}z?lB85 z)i;LaPdaJ6qMIjWvdLB;*OFYZyoDttZ@x9NIwl!{;C1^9{doCU1~ir!B(8V^TB z4G-7Fz4%t&oN|?AI#XF)=Tw1KbvGpm#c}m$eyLeo4WwKM(yvS?f42z4Cn!WWLk_G= zGLVd@Rx0KwgQ%zfcCKvQ`G>c&48x=U@x^)5jd%S8&#bs=MNZ7ApF~!OCjZpR;)Hxa zbp*l#99iQRR318wb&|P;#i*9Wn>RK!b>_~rT@Y}&bm?_Q4k-EKzVBM+AC-oOg@-3y zt!5*=hh{xir(q$LCJ)(iJqh9`r_H|e9|+P6+ygGG3mQr>0xta>g^#es8kkM5A_+hS zJVjce&rBsY!sEJprLma+@AJ_SU`-%`z)%CT8 z)IT`~+H!?9ZQ8WG%>+IZ_rC)n7|K_=rVW@$G+5?Y$5eXxIA}wQ6DXM+^m&HwOoY%IfMnd3hoPmP85a8to|T z{1^=Gs3@>P1eSt=DA%l6L!|vwRnu$UBgwJ>#n`kpOPS$=Je&Uqcxk|Coix&hK&n8l zYe?6wUoSv|DT?V7-%a#gWZTqVIVIw#ERK~j1IwI-aE?R>Yh(TW`}YaKmGsy?H3l(| zPDV-{m!;H(_Z#7_6*=+h7p;%bQ7PU~E&~-270E6w{n z^(6lX;a)no`79$Lgg`Ka;q4CNcygdtCTC+QJa0rqW~ZAiEc?H)f*b9x+4gD$HG82Q zNx0{9=i2Oh842l3I}Iy*$g=Yk={Z-(pL&LR%mu z^SUfMMvQbrd+ja@m>o`USNa+f9PGnyQbzzel$yISF)=rk$I*@m&FlCu&S4@r9!%VV zsw(PZ<}L5RVIc{x2Tt0zZ{P0Y$LT;8IRlA^B%ev$Ccq@R6E_a6qhfjx8+!l%F%-!j z#FMrL>S#leAc`Ow88{KU<5)56EaH&+8qp*FF8XV9Q+ZL+9}R`4Lx5zcVo}yvSUws8 zSAi}P3r;v@oDxuKy)qV`A734oWhn8RvQNwW$R39g5T52tF_Gt^YvPMKY^b=+W);VP zPZ&D(?DE10faEFw=`pW)7-tPR_Eu37BklRjXe&l78N66LFGBIYIel(%2%m)G95XHL zT|~tUkkz?nX?Y6*G4>JCSwzwZJC+6r@!PCO9&GlO*48AOK^2ffa?z#9ZJKv$8beQar!M9k%Oz-)!aDaE*Y2^e~51 z`nVMO^1pok99tAb6{8Zjb;MI~hAyf)E#_Uzfa$OP8~;OP|Dit(o5Sg_Y@pV?3JoQ} zJ}HXRNKZ5<-MncR-+BTSTIJz8>3+CPrZ36@wL^l)+2o~rHm91<67(3R1L16VczB$Z zlKKGY-fO1qW`ZWm^PiB|NAec937HZr76$k7H;KI=_vW!P59ky+%<`>zH|NcEZX^)| zb>Cp510+X6>4LqbWcy7RvELl%6^L1t-X`04{`~pS@d-yqNAQ2Iqh?LIZ8`SRZ;^!f z@c!1CM}dKN@%oyE@wak~vmJMt4Fxo!Xpy2t@_8eCPJ?SC6m32;Xw=veogqC#I+KSh|Zq z(u@6BI+O~C&#(KUAgB<7CK|{8Motc z+D3_s6d+=&)@bnByji=kTgC1-g<#J=8<4g-xHG5aC-DKFf%}igW@heYXA9LO==dh& zFRPDtLz|=m{3~2uT7Z`FWzBhVA~*`8ih$2aaB6;T(Qn)xE%8`bz3j6zKYzN2{q?us zLd^8PJ^IZCfY3g0{&IIvf|3EwdndTtQvoYBh_kizN+lIrmqdpbBw`sz@fzI;_l{ios*7U} zy|U8>UdwL*X?^o0@~%(yyNn1Gt~s3tujQl}(9UzbKRbH^5rAcuw7|2RNR1Np5V%

JC--_H&HkH+cP>bf zhDZxTi^zEQn+@`tAu`46$*!YJR2dk0(m_4NUbOtzTLEZ2v1`V6Z&faU$(3X~tj=3> z6x#_s5@F}N5POJZ5$NYnjx^O%kvjPzM5r0Y_DkWLJCH3D!(OdQ&=GZ_ncPr~V1MCvPI)ofPpwDhW--4OC zh?WN#RKY$#d0X>F5@C;ogfNGNg*}Aq;^wv%IY!Ep(R` z=UC^{*SJ=Qt}x)#L$2hSIzoF;eJxHePNf)@nq@E(roh%!DoEk6w(%3zj0ejf$N8^h z=F(k6o_F;O4|@aW-&>KBm%j^A(ctTG=gu03$-Y;IDzMlzoI1#y=^`tLOAYGBdG02b zKcd{*$EO6ahE-*mh^pTVg1f#+LP7#V53k@rHY6Kv#EHL)=1YZy1aY7d@4k=E_Z^VE z(-Hhjk!}O;e~|jWZ&j7$OUlJN6VZ0Mmrxl(-@d(zcuN?dFrlzQMwM4v? zGaRH)U;%KAyGcpBgqOHS!b2C<3ZfJT>yMs8ZAECu4I-rY4deQFMxtYZ{lz#v@I){h z5!&S05ww58rmIy1-dHdrowlRRy(m|!fGu!8`IRTy9H2On^MQT_u+M4J#AP$6V8h6Q z2$P$;yWV@(RYZ0hOqckkC^TWnn1C*bo14d%Gzr?&WePS+k&s0FWgl2sStaG!4K+}M zT^FPDATxa2JqFm4PgN|W!~gMrO^EaGwnH`|1`L*$pd*r8|E_08l!N1>9shEy-4SBFoUAO7C9Hzj zPpF^}JV8L1*KMM6MZUNbeT4ixpR~E4X}HK=0_-*nZyU`$>SCXE!Ax@N_FRH8lfjzTIk4 z&*x`%zy$*{DUmf+`|r_q10{dR%THgtFqoh0CrnP)FfNa>X&Xc3G^Qwxqe^4{Vqr+l zr$(FJj4&6DjyKrnrohF;^??4^W&-9_zFGtSo1E0wl6eFbGomU@F32W6-c}KL^GzTC zNa%!u(W8kLAxi!LNp}Lcqedt_6~XitR1tV<1q!edMDUtZXvmuxj-O{8zI(`Z6-la$ z_19F|-$eo|F8RDVW7Hb%u^T7HL=D4V{3D7UHt|;!o!?vo^IxM#+Y%ue_(fzS&CKg$ zD4WpS-$OiGAKFJDX9>_(fR8l>{RGo!UJ3ay!_m+SQE69^fL*Km=I7_;FwR3xgs(7H z(r{M+9CI%V%UG2pGvPNQUP_@V3-0*6qhl4RA1JZYxdJ)V-XW4 zMa(1&A{FBc6wQR3u)htyvWfm^Y*7wa0Xa!C%X$NxzAb?ZP#X_u=g?jG`cmO(Jm3GA zitmC~Zp2ut3|8}!ST+?(ko0p2)r;Yfp#NQe#vENC5ixQRvCT5t^b=RdOl`!O&XE)e+z z?e?c$urp+&DJ?1@21OusiQl+!oIqsQP+e`gHBz;0xEj&2VjhWfj)ZW`S$_3-?r(>2c%@( zZ{NPHD5Rv?gAa-nT>->&IIIWTjDsINx&<^!NN-4oxp;3(AtNJWEyzr+cay6k`+JI? z^6WKO_q>zOpFR!6lo{eLRSj7bs+lO=#Z{MOE-da?f&J)JDpkwNvAo`o4YASZ{3dM6 ziP7sjGDy)0yJ=l=XD7?nhciptKQPu8+8tO<&BWvbnV=JLWM6CcF*e!fZa9qI2PA?V zlp2%A-XO)Yn~Q5BNFbfS^?ii%iFAH_Mwfz?Su9(Vpt8+*Bb|1JVx?BR+$R33t>XD6 zI}2PMSn5Sn2h0)ABF01+7#J#{-;Bu}@2HA{tm5e#CRGg69~(g&un+Lk8&{>i&tL7| z+jUtBr*N=iZ6e;6a0yT)!RPPB#T`Vbn~0GfN4OJSzS;eA=fDzYb8siT~^0~H03diBNOVlXTWdw1!y}3zxoi8 z#)K+?O+~~iFmJca8bI&?I7C`m)bOH|iQByjP&5Y`U=}E9-j9Rn!NxEplth>&Vx(B?X!rUYoQIBL=s?P7| zh<==uWO+ZvX7#Izr_Ys+y2+Jd)=#-ZckhjN?MDm~OpNy_+4v~PvbUUulB>Wn@ay25 zIC0`yR|zE%kp!0^rnG@{!jpp+{hJz^8tS6nedT`@bYOS_v&u3l7R4KuoHp1|5)B=p z>_YGl%%gHO3KE_HbQ5CI2{8|Ml&22NRc=DM>7SrX8nQhNn8tVLsw$yqnt_73(WMc_ zemEwcl)=%Gl4TPgPo7SnA?67@1;c(~D#E?)qR~eTz(_xE<>DA@P?BsUMrcT(h*~0X zvVZ0Z-dZE4?2U1@XMX&USNvfZto&MpC9;?xDq!d~Q#PUYJZma4*-%3H+NX~n-xKHp z^%}v`#IiH%;|7kVWi@3*M@tT1nT^;Xibqe{T)1!nBKRuTGO_uPl|^zm_yF7DFf@q8 zCVguYCa^8c-Ec6I45x*oL_<4#X&VtT``7341Vs3C0kx8kNAr>uj(Po>B9KtpmP;-@jJLbw!M^;eWH-Ry!_UE&1KA>yYMaGbj?!?tZK+Ll2l?62SNA08fN9ekh> zluW&I=Y9Ctr1zdQT+-GjxNp4Ud4{nOBHX)%Mu`^%A~%iL;l9RY#kZ!=&)*~LPWt}ihZ{QDJr*Gf z9VMg}KOns=^O@^`O2b=u=2(q%ImgLYI0As=@1dQpBGDf;t?ZjtnWozxb5}g%tdEb6 z@^w}3b8L4ou>uP$G$Fwk?wrBwXa}N;iN}+BK@OF^x*(Tu>B^Nt_z^(A@KXX}nNaAZ z-vO-stHWY)Dmy&tUzeyD4Qm774mqhNMwJRZq`1I+<0_Jn?GQ(*JZA?r_p6E|G%_is zh;JA?K6`dOBExRzsvo|5p#+_ho)Evdrf|!@Z{|8JhHEnJIIEpV%o!d%1b*6?4~M)G z4K1mY*wWxW6z+DvQv4ST(Xv6}P5~BMP7htg+^SdlZOrsOUeEALNiUb|+g1#ICh5bV z@YEIxE@wiHQqlj-fA;_U29yaJ-sqbE;1R+eY-VIfrAuvbhsWe~W&7NX!C++~3Ct1VGc)lgN2 z8T^Yf5ks!f$9Ds{peAi~QKJyu3c*xkV3C$v^F4$NcTmOEBoL(CpB&PV!bPlj)%nTw z-RQr{axd`Rag2C1{~arWGWiKQ&vuX#yB+F-MefuN^%SF9*dV(h`Ve=Tz;=NaqT17Y z!eMefgpJa-A}}NNOP|pikI+VEO1-*A}MD7JEfkK44Ej^7p?mu!zhb!YIdy)ul`eUC%!0(dr`OXYMH>1iuboSKz3yU>tDlF3bRsv|hV>q&Kd5hi z6iTDOp+>f+CgtSA)0JB|Hm$uV!B)Pw{5^1v%`f9IB$1xHVBgXcO#aVaIQG1}`Sla{ zsKBwaHIq{(ud9$qVr>*I6&D*PXh@{B-f$E9-@GtaJbl_-+j-8Ab1iPY^ds&Njei1w z6-UdpLdsy8H6yt*!FlroT%2UT|LM)V)g)Kf>2ESTQK(hM$a9$jyMEmI|twp3!~DHbb(J}T3cZ0H4zCKP~Fkryx8ZQ3%(QNo+dA+u`w^bPk{D*TZ$yQ%B;X5|1^9 zh&TB4Sf~5{|KR_}OObyX)A}#v-u6!Whb$H)s^KWq>I($P1s%5(C*RCask1V#8u9uy z?v!!H<4HP%F==`EdPG+vQI!T!5}LAXVuGEfFBTUU+aLUjW*ieR(Y~^rj^_0i98U}? zg#r#eC07^bcH*OZo6LdeX4G5gEpoCO z7{qztfD-bVIa?QQku!}Jnb*ZWlP@X8tjo7;RzUXrOh*h#HdXNAn`+;02I;S8C+_}J zo2XY99fOn^!dMkTs<9y5yk zlPi8aV6g$3CJh- zu}@Vyq4)RPToH|Qc!8nH`#V2seEP?8%@+TJ_Rjp3g?v^i?m!to>zP)&h3Ov+!^Sm{ zk6^$eyU4c$FI^S7Ddl~B+aWb9lPnOy1(3oT#0&Y2D#hUP)+9JjaS{1>k0t+2a7XZp zTGSM8Mj;h2$8T_`bB^k&Y2mk1(SHpM4Wq!5jH|-j88+XAskoh)IYD%J%+~>>E{bCf zZuMdey^)iPs~VUy1xz87qn^ik%!_$4BOS1ke!QnQd#f)bMpibqX#DZ@;|#`aIhjP6 zNN;nH0STRHG{IZsA)FYB9i_(XIC=}VI(15SoN7Wa;`cGA+XKjK)FG9d%T(BL%?Kfm zGK3nT&DX?UJmT)*5si3q)?CkvsX?6;bpTNn;GvzL#oDC*@$_U4W>+9fjWJE+$(>Y8 zeO-69;>5%Q^Nfylt*C^!n^iy-I7$2Rbb8i}u`p2mH?-O}J`C~#H$`Ej<|E!4ur~|l zL~WWGNMEhAU7P*e<;l0A{a9;{$LZ+W&||8gV#gxSuphyEzsYi)WkrCIBeB6?8MXK_ z7P(II{ck;rf{$Bvrk70hP~3(P9;cP9f~}V2IG2pO25hILeGAFVrmylOmGI=lHm4aa ze#rHa^FL8$6Qb*}lb(*Nf2*$Mzy9NFKwzLrf!hWmUwk{v#}}ItkR%!^e=XAp(qj7h z`qs0fdJfwuM+Mi@@P!XvwD!M%r z#$}J>X<+x1m6a(%v;gMhO1)Zr#;(;S5Ta%bkh1;3HgHc9h6S$n7O2*Bvx{M;z0UrJ zRomtI)G_Y#sZ(z7mi7deM-bbOlbgG)!g=~gs!>%zWd`1dbN_w?DXIIIL$w)d;EmJH zRd<;!WZox*5oblLS?&%VPEKirc%JO*{_(>Iafx}PmTZu&rJE=oqBqD=1svGx_ovB%DJOS3of|*!8$;t zT14%zCWEi;GJV5Oj>Cv8hUB6mBhM0z7JA+rxCiT$S8}n|6<>SPpYa-aKxSf3Z;nI$ zCKvnoiJ39&w`Q!~3E=xOqhZ8m1;_z>qpU|JQ$0QYF9Mr|gR zxzY5f?6bqg4`pC5VqjT97*{r$sl zj^wJIK7HEnH41&bwil|PLIq`v<9q5HLb#X)v$Q5cCb!bcUf>UppXLQsDB-P|IVW&i-ykIa>D z=B1A&E_TRUQ&3T3;usc9I*6r|t;Q!EN*8 zmXOI+y4h0CDP)G-M8L0vrPea}1-SfSn1!1^-J0EM4o5=HuO`(*mEWT6<+?fMj4mIc z6ECvKHCA_zU{){^X1BB-g69E{Njh!^nKYF?8qFjLX~5qNAJRI(anxcHlr9A zO>4$G-zf&XXV3Nbo)mWx`R$y;lz@#m;ST!^t%xIwpp+X#XTa29VU&0=YIJ6y+*<1 zfT?9vK3_s_p>*>IjKJ^4zVs0p8ER;-(eQHkQ2ZneAdY>t%1>K*A1%^`V-ga42U9Up ze)Y>dvnKY?M-qsei$&*!{Zw~CG=h_dCvcxIZi}aRi2O&~KcAz5Y&s@H_R0P3-9e-s ziB`Q&ikyl`>Ey{13vy8hgggQBoMd?BQydGnwx-vvb$EFOO;aT z@C278$o&PxfK^3XBNvT*tqqSjxhUF9EDy$K`<$_->`i}T_2T(++}P@3rZm|~CTd=V zh$6sBYEheoMc&*SL}%mC(DxrcJgzarJpKk4(*zCF7zhrZM_277GNcttO>LX9TFo;x zxusM^`lpY+_=5Y290Hn)LTNB293JR|Lqn#hhssJyMxZAsdFa-qN4ObrCoiz=C+3;m zJ2G3%m-^*hzCqu}-DQElZ+_UqMI%m|G_LZ` z=i(Nd$lEdGUfDmhV${E>UqxVcm%#PckV9f1{s%mH;vnpN`SKeyKCb?<<=v2}Rbay4 z-16f_bx1wP=Fb-MB04vpw~ zE}i^W=qLoKOwV76YqCOaLHXjvXU>!1%>7R^%y}16m6Vh|_FE5lA8V_`XrGdb z${QTxKD5;FvUX9-IrioyOs`qUi+1Kzp0aUxXO0md<6Iz=y2NBS0a5_yabvcS5)z69 z5dMwpopxE7aj_|+Jl5wyZ(fO62}-U(c+bNV^ZeC*tTKEEX$p@OZ4*Qif1oZdhHT>w z4g`ITN09pzN2^pz&a{@FLbeN39d`A>&=&r5d#v!q0WPx8UPnf#u*i899 zGWdwxQ;L2uMXO~gqeFj0;5n|5V+ovtlrt?>zVZ3X4rsOgh{D$bVOm$q9_ufr)ltIW z%chumsPKakf!f~+sYGL;{R~2&$P9L#zlwd1TVpht#PI!x?PsDlK1I)&{~R9?cWhXa zA+}C4z>3n_uK~pc05IwDI$%^&JQeJx+E6)b>b9zTAX_V&rM2bu~*fKq;Zxxv6!$`8@e9g{lVP!xlo@aV(?%+K+e+uLVe zX%B}mOTV?S-`e3P%*KoogJ==$sT&siIXKP}H7FfDa}dj_AT8}#FRHMT*Bz%Rpj%X1 z!o|snm1P5_gM>QxNRp`vePgnVc|{FHC#9mP9;u0dM~_}1%q67=|0m<&=D#o9}i-Ysi+)3GR=|k^Y`4=ro@Kn6yvpqCkf{pvR9)=GYf4^l{f&CUAqg zb!{u{A*^!;EL|3c(}i{RKL@VDxD-Q*&B9lq5u@=RpPCZ&?o=2ceO-P%Q!@)UmY{6B zk}yCcZF2LSj{T!8Qma;!bECWC)Z?4V7O;S`KfHU9RfzZWq?j6M*FifRzZwLQ`s+l` z3>w&vkd6Q8qaT`6KaCbl;5#YRcm|EBZ7<3+414c;dJ^B)aekijSlCRDXa{EQWc`Pt&@Y23_n-+oci5Sq0suaJPfndfN<-~8gQxdUz~0%29?69GG9npBL8B60JE zYqipwHM0?OAXo{0Ts^|>xyv&!-iI5j;{o>hnW~}CNvW#v;U14%p@T<`9jkxGbIGhb z&aq|K)&vrlh`koXfYHT#2k7EX>g)*A2k)!9w?w&$D2RWDJL`_I>BL0ls1E_4ARv>s z%Xbc*y|7dOk193dg$?g?>Jj(JnYPn$=x4=t!;I!R0_aI=|nYmWAwhE=McSnD< zvTJt?Z=o0fiQ8E3b(@{fXWqeHNu{HJ42Lf8Br^}KymRir>&;V)WLtDswt~Pq_MF4E zkii;4-3wbckOQt?#%yu%mZW`qEV0rpOcAIhYZ+Jp*&3<#P79Ykk@y20@t1N1_wnJ~ zu>*}-#;B@4X<3!3hfmf9 z{2?MP&cxFoO{Po7izR+HZ`PZeQ69{9DUfkFCc<=7rE|3|XjjMMlw*LY~@2A(z{pjKeiU0&*xqU-1b(a#~HyBTx zaiu)NGh@k{@miQ#XoEP5d=&3)6L${HK7_A5lDi}oT*#Z)gsNz8O8FrwCXlrlXCxoB zbdM^;mgYX_r)dIYq?;mE_1KoT6E(nc1d$|EI+SY5cbre zd5~{v+)<#^6GYZFM7?RD)-J{L&8p;i+;FMN!azZ%=+$5B*o1`3>W4|BO#t za2xVXtP5&%BgMl@nS$f87Qm3NCXA{6M#uuR9>G9)Sl*m`{QYT2 zSa+Spe?6Hh(mR=;@h4j?u@-3&SpK=esn&~@-{bGdUw;7W-#U2U=4K=3%AT zls4PH1Aen6olev_s*6AYG=Tls)`8Za7(zt6G^&%}m} zF@fclY891ofy-j$1v@v`j&2kPob&!NG9 z^|s*{xzhf5{974C$OD};d(F@EF1&P$zK&Y*lqu#AwumabC8FYvK$p4`sC0zv7!Oli zK8F7CrAtW<@(8jal;LDmLOD^0()37NsfA(wHp3wwTD(I%{DRFDNtzBRMzd$nW(TVy z%o3TdfUQXKG4R0e-3-4~IU&>V9VSr4OUI;}yL8eAcPqJc?cToq0>vCUA6+F+;52F7 zWi)yyWRm2q7Y@TxPW{#xj`2KoHMK|h9_n7Sj~r$5g-q)j{a7Qa?qeDFN%mlO0z~@0 zZySQ>nBbq)=^qP1Sip*%;$NCR+tRj3{vJ{!M?KGX^A9W)JUC(S=;-^TA-1jw+vz3h z0t!{7@5L@c73fpmEdfX*LJ+Mopqbt|$Ewqf=s#gMhLUKy{7Ley+DJfV&5$x&N<|xU z9g8pvWjg6>P-CEl4_;6!Cn=?BwY3soc{R}ayvfdV9W5=VmU4^IrsRfaI*F%Oyi)-- z#Y^&pB16>P&puHjduP2fy3l~UAHF97Ld z6an>93XctnW)g^ZiKb$u964t}UF$%L`DybX&FS z3`q>X4|g;@fp)Ow6cj-Bn7S+1TF3BY#pPr$ne*jSV$MF~qZAIn z3Ky~zfk+VXh5&xh($`-e)lWY->SMH}o~LDnmAuV2OPTC4w)`Y<#l*6RP{e{MFU!!g z89g$fn#$e^;vWV()%*ZSh&ob10@fV4gf)*q*w88&$XD83gT+cwS6TrFQN&JYn+|WfF`Xxs_#*{FydxtvjMtr&K}1CZnF*|_mHZ76TEoLY1%bLfeK^EejG(R(o$#hu=brWQiF zfWR|}d?+hGp@pCx4}}!~u~A!$r4zn-qAwMiPU&CF=x4JiR8&C9u4bb>4#TMvoFD6o zcqr~_Oe|kAv9U`TWlg%Bs6*4GYa!-INzhe9XSy|$I0J;)-g?<;`p!gHc#4pcK zruB#CFF3F>m^fD0oTr#$B%XWr!=y=U8Y}H%68mw%e4C5v6+^br^pJ&|-u3RxlxTDV z>|n=ra}YgpgF+rUV3dVcqn_bu(nC=$M58?-yS2FIr*?}1vKxR6vw)r6%%nusCvTnk zt;AC|0)I`wu08+t37O1`jSrUA_lN6TerH1XW%0YrTlq36zLWy_z2-= zk1>5~z3o<1#$+Z$LCm_HUggrbQUGX7rYXL>*#XrRZ)iDV=UjAkvpn`*P_Iz+QLwI3 z#J}H$U(<)Z+VoXW)aLV(qfq^jl>qQVqMqt zn3x^FmijwGhGKwvl8*uiG5Kxc9QDpl0)>@+=7{8=5@y zhhbr1FHGZ%_(A2(Iu;hu3tY9QapY}cUo#2Djp8>N{bFujo+hYB3xQfLx=J=Yu7qts z|DPOwQ6{ct%})I#R<}QzqFz|D#*A-Yi3re}9g9hFM0l2L??B#RVuonef+z! z75`9}p3@lj`7Q{qh&_*i3GRa+z=W2uz5xNzsA5sVEQKIzK6lIq)8a{(?nyU$W~U45 zutc5oeSHn;F>Yb0zCC3RI%E?^{+HJn>&Nh-(*f~LtiO3Kne(mvfr(1r( znGK{j%|)c{fdhA-5daYr3uMDZ3C!Si2r86^KG~u#E_SIvg^%J>d-v|!NOL*4Qu=7Y z)<F*{vA z2pS)kTXibJQ?MQt(!Wu(}RQ z$Rb-E6OM-EgUgT0O=Wob*IvHh*ov5|RajXiEVJ>Mt6-{Dffb`Lcq8uX`)?BzPPdnS zj|Tf+YDVb$6#abR^MwHoGj%7-nuSpD0X5(kg$!z;H=THC^n4VHo!K7P02(S&5&+l` zF#=W*Oi@xBqCztEa&9PIhFMFcBbYM^y{eJ@j;&kI;nuKF>mK@Md}zn%?qff@KGrvs z>^3Qjvn~^HJ3q2DcN$rl_I{v222l)|Wq#0lP0&aFdA0q<8S;3v)IxCD3<7Fk>-41% z;`r=LC31M)ft|<*G9tM27;9Vs|GP`0u{hs4*W}*PzlhKUC%dV*oYLy?@Kxn zhBvn?rIHE#q(uR=5z7}W*a#XMxw&*KDBq-~-c}NO6C68(V(`8kCcu} zmisS-X9c7HHYG_a3Tvw;^5LMD$w$#2LA{DA#Nrtm`ZMg4z7!PTl4}ZGO)`$7korv}SpII+4!J$$TRM>}$z_Kan8UbtimGt>2 zpyfu;KW-li@pV-UXJ5o}r}> z;!M#t@4F4!?;wd3Qf@sZ+T3U;6}p>r*MV~eTL)aLBJQr_+uR9daZ_V{9Dcb2iESo2 zxu44_8SD1)7rMAO(4Tb#5l3hYg$lHg9*RmO5_cMWvr%;>0U%AvEf!+qEN#4tdh}fC z70iTsWOxUMz?t56TMCadD-3rekdgXavtfh$h?)(h9ofv|kH6m}z%DMtlTd*Y;^mFH zbXq8=p*q65oE-hlnDjkwk3F7OapCeR!oHf^)IeNB1E$ap!+z=JQWfs$;kl!F|AQ%> z)CRz$L{38ZS@LM`q!^%UiGmDPzIZSq7#Yh!1HWUUQlp+ z)C-EY#m*MhcU2&$#>P8G%N=}K)f$X+S@Xi5p*am$XLA>;)nc%R7$F#@|2Y_5So~oy z=ttpDBjLaT{&~`B7Q|H@71lyo+UJtfvp@_c@vbM|OxaE+*dTNv=CIBa4jgFC67ShE zizA2M|Fq%vU!K_3N-6nz%&qU!w|`-cb&jU^5x>?`!AgBiy-liVw38GnddA518(8qw z$XbErQi}DA39%F*im1BjI>|QNt<=3h!a+DTI&&+btNDuwEzhVO+1nF(YdxF9c2=7T+SxD60kbXHiDx3=mN{SOuyKZ;WCyED;{I>qzmPCkzXXE7Brtw7A< z)ETZQj7Y~%#3BICQ_E~&=qLlIiHwYQr9ING^Jv+9@%o00c(V8Dlf6$$iW}F;{qCUE z)+!)VCsp(Eu`8#Mk`%g&q|#>HP3O*@)pkwoI4D8yD)1cCgy#7=WzsxlLjtIx)0CnT zGEv;MX*DXco%Bbje=S5B*|`tO+0d#N5XABvyXe2bpmpXtZwBi^RtGAdaA z_;3w?R5dz$PWZf#lTxgkJdTA;Y%y7#F9}y?hiAP_DT$|ohO6p*+b|nOAfH+>Y3qGB zC7>m>^Hr-N$T1s8L}Gb7fZULLJua)7Amz`{KZ~MN*o_>R39spdBJgQSD(GRfQaa)j zym1+bYJhZ0SBdxgW-g%vZPO#XYWtQ7J;((J42o93LeT+G0zp8DJdscbfVtk~Hck;< z^lNg_xnC|iF!5ACAhw+s&x6<;`$HvTe{kfiy3)5P=F*OffsE~%H4e5Z!^n_kO-XnB z&N7+0j7MgkT!IA+sAANw3`{8TjVlwxZ$>`gQfxdV-Vj?`6r@(mDU_eFm#{&Sc4bZ9 zRfk%9Vy~pRE;L&UiEIG{CZSt_ccCyMVnb|$F7^u#LCXdeRvd;l9}=6A{_hNk#6??Q zKN`h>1db*+Ocw0BN(L*LegjyAo66X06d zV97v-eM1LEe-xScgJO>+xP^Vd`O1KD0?7DZWt_bsV|rv)zZWn$1O8bUMu=(>V!kwS z=xRvYfQ=#vnobKPEA(1KGYi5Nwt^=mu`0eCe;#LEjs7XU=1bjkDr{oqlnq2ip7FM<)c*!!`<2mtVy~nh_ zE|oKvm>5a36Xr^6TDghSTL&3WQQLKsfYJ_?&4NTO0RqOno^qoix*whoW))Q=8W( z4*bf_Hj`X9QpgeT@tfEhvUMQW=_30Z&T>2hfYkI*B2RXD32~DI6$loQmgsU@u`)tn z=}UpCNFAHJE<^+<{q11s0WvNf*-*}@m2LbKP|1wRj`8@}b#jZx;rRC1Uxvt@F;*S? zVAmERbS7&E$Jo{Ty6vR@03Oo@MG60i{tPfpT@o)?6KFj+Go9j0ajik(M6wAVuPv8>@C!Ml2{WB3(#oP|V= zp85VYbeT$$$)~-g^YE7V=N*p7n(`N6eD^G3co)ncc~qBw+0Mxn^)Nkf?{>oK4yBU*k}uVrvFD`!L=~r$d9CVum}+{<#Pg`G&LJI@yGq|Xsyr;~ zo%p5S88=#{4<&>rsoAEPRCdgWD5_7YM$az9jM?0@BY%e>jt4)QyrJiabN+^m!PkE? zK&Fl{?TA02S%JX^aqs3wbEG5neelqu+R>=bDiA~u3_5QHddRzW9;!4_rUocDGSdh9 zX@t6k_2`G_{%(8n6{ZP){m4yypi zxU!S&X-0|1HzJk8DV~Q|zj#FI!btEWu6qCN5EB{U8WU%v7c~@6>Vs9L(kBM{#Cogw zA5A~RVxbxLNXWzxP6Z1{aA2i}#NmDW_QmH&N{j9-5~CA*g^ujl4I#!sIcS_ARtz>k zS#w&d<-e)d6#kofjcF!BicVP>re8nntKCf2YCdoHDoduWNV;i>^`*sHd0$_4o)|W> zFq|yaso;YMpCIfVu52!7-ePn|6lyZ~h^`k)LV9CP0E)2#6kJe%_&zpMb?yqHRb~O4 z!)#&%+oI3b&|stbPMyX;5lVCukQl{)b0f_P93fgpjbGa_~XPgj_riGQ}IKOF1 zEx#74LZVJx##dWQ?H`J@YySRbY8}-NkEmyM&%#(^9O*0xIH8kK?XVvt44rAXBg=m} zOXuM~mS~#}{JBKSHvV&oR@uMV+1=Sguz)G@`v&y;YH6~{O$gZ?k9B*{!S)BDD28Hf zl1}<}jzdRkC1-_vlQ8sMe=cYL3%zDLXHswBuQugjJn>B5xzG_U0RHtK$mYLxhK*p0 zeBi9MHTp5ZD9)Ua^=S$lfdT7U_FoQ9FnD_u3@B_ zu4WABTc&QZkNMwhXD8oZVm38Z4ZK?C7m z-JgOX%7N#-7~Ph>Pal+}(NLwk#P7v_y@w_peOZA96zXV=U=G@1tTH=GR?h0bgcmP6 zv^9Espkd348(?W?OJ-AP@vn4!h<%$(@5>{U* zYIQ%ygnWRELcG!PvCMT*`4b}MQ7EyAYQS#_j?*rF=uFdC&v-BS7nNbpVC75`w>_}G z>Oi_k1CUpZHz$35*uSu#PK!fyrQzC&yZGAy( zzYS4JW4==h!6gu_2IC4uR=c6NLlI`twCbb^f04`$w$IC^3>{I{tR=Avz_NUYA)0)*%_1DwWfZ;$!MRM%Xycbt6ROlmSKIrgDpQYJFR2gCS|3vANjF{E}|+% zRUK=(H2~5_z8|~YS{#~4 z-HS>FHldZ&02NQ=>Ep*;=ssrr^x#gFHH(-cIg;5g-p$%QGFeDfTe*bT<3 zcRn>4ek-F+1qb#43IY06msIl>UTV9XxsFExIBq~-0O_X@$!C($mv60=U}eP0w&XBx z@yN+5gmvaZ86f=j<#5OVTOyu0)Sc^5MMK~wxqiJK{_e8QxXA8fGvfMxmFDU@rydOY zMdfp)Iz~ypGw%fl%?Py+J3YbjiHiw4wH7wSpTOu#86_V@8^&7~_a*-WzIJDi@a`@wSr4|K8m z=zom`CHGYdfVl>o!Iws)kOo#sTfo&390w9gCaM(s<}!BNP>}p*#ydKPFy28Vgc5vl zi#)0&Vv^`WvTtXBjM{?z<#Bp18@8oiqVd}=*-SI#4FgTXx2T&U$jt+M6ihH8Fo<=q zi-N|@(tCLLqEKQeii6)oWi3AVB|e_##NVFa&+OlBSe+#ZhbBvR;1c}XYwrCt<}vm% ztZa-#op~6(2ONgiwfV1YWgrJgv#Y1`28rhqU9z$LONg7L>T`EEAdxV2T7pFoDNL&` z=(fz=!?&Nt8RF`QOr3h?kI-Y=?W9@L+;ZlX4d2RTS}nPi!K=d^)VQvSm(oj27%d@= zC>kMe1xBpY109bGE6aYvt>6K)0nGLr(LTCL!C;^hq9Mm%K%_&oB=D8Q1EWO!4fiBK zl3(78AI%QVKd7V9al}y4NB8ozw$GG=>TRAW)B^pG7dy3Zxq>N%a1mb@05JD60iHr#!erSz}I&<;R z-b)Wpv6HF=`JYa7upyNLb3u=8metjUADA~t@;O*pm4A;rVsabS=LXLsa_vw_lY2PT z_a22f-N1|TcdUJM^v&t16FjPgH}`pFQ+qXke^n_NIwc4NSxE)U?xD?P--G*eBNZ~d zj}Uz zE4~JEfso->1ILaZR^`OVK{I9yk0SKMT@}k&8xRn$)7+lx<)`KH{_IRUkj114k<^K4 zd#S@==lYD>R!mZA*?&JBO>m13{!cw+Xb4TA(oEWwX%$P zH%mQZ*JAC`Uu1=qJN@U+GMhkB$Ld;I?f%O;)}Z+TQ5$1YbZgbX;e$(4^h-lZb|vD$ z$kJgEcKNT-M#149If;qrc;0|aCx&74dBhnU9T^$f{uXL#24vnFPJFn4z?U#=0j%o^ zUz6%wc2BBzVsinTNx^FZTgq3-)Wmj)kN|V6K1A<{;)du%0gB-lcjNgVn7%YiR;mR#+QqnA z;^&0qIM#mC#y?iNoh_q1D*sSqQ9i97?%#imOuC601Pu5|TCJBKD?RHU_SNe_Y$;)p zi=XDK{y$_IsLqWR*2XL2m{R%dM`u!khvpvHduqZ2jUQ+w+Nq?_g{ok0tzW~<;vg@L zi((>2Vr>eWudI?_0-X%)c>HMJ&=&3g50!0WD^Wn(9`uf13tb63mWGhi16AUnYU)CrWsXp!jeCq4?6^{!Q~O1i#O^h2Sf7|jd#pM_ z*TrG!1YKbdReX|d1k`YmfbNNNP^GTN*4CCFkfPQQPxUm=li#LipH z4T~2o+Dr`95RgDEz&V(Mss`$PCVnM_ zD$EN32!5rI)P}M+Gat@DIS68b+t5SFqJk*Hvd%x@kfV*r8@b7wIqtem;ibT>YVWH=An2C=P2GgDeK#vM?P;~yHRO`xHZGZFxXe%i4@WF z4#T;F1UQ5yYm#^1&6`9F46CA;l15lR!L)FOLDlF zf#-*P_Y%9;#>|pkd{k$0$(CdHXbW%b-JMdlFYfUVR+{Rf3|BdQ-|I#(Z=PG6-e0rS z;@*$@+dPJzbM!83zI*N_#Jrt0lX;hA{8Rfe8AsUmju4*d3^VT0X?dF4okvRdK^eD-0(*-HLE>OS<>b9vA%4hUxc+XkC z*DApPa6L)$pwSp`%0iJj<24&`XBI#&pZ2t(PyL><6ECsV#yZ7iVrH9B<3cH^SB1i_ zsuhfVTAz9#p`Fg-?~wcaMe4#YO2)1yXgjZ>Woa2pbiX)v4Ry8}6dxQ?RIu4$K>q~q zzE>IdwqVtfTwig|F~R=+Gq0|L%`E(i{KU-v_y)zrVrjFJ$Z{{?Dia}cZJ(y*J>sXQ zhr*QCIQk+^o?$@^NZqTg?T5&?wSW#!R!po%<&d6(a@)hlX5E~0uWu3_Kh}3ib;z?_ znwoKv&12olQ4h{^O?|&`*5^+b!KZ6q)7I5pLM4J&oSYLf)I%r%vWCgY5&cedOpGs3 zW(XpFnmYAO!~;cfpVoHhG(U+K3Hn-c3~c`5^C_=?|NK0ubmIX{tISn)m`BP2YqKLq z>LEt>l|n2Uv9Ylz6-odV$l|=i{F^cnySTDz*Y=7}5tDn_x*CmMdQK(ljM=mHvXup< z85yeUUYev_@mDn}Y%I@!Vbl99Lb8p~bbyA4hIfR9 zY$zpTMr7n`)MC)4s8sXo`k%s!LIgZQ*(mm@BCb8$il`ve={Tj2b^ZDbbsvtEvs|a3 zSqcFYSVP*}`3?fTnrZS{1!4|j($14x+s|z>WOSL{xk4`Ib)b9DN$GU_GBNL@OGk8O zUd78-3eIqdTR`m(%;*zi7Z)Q*izH_hVu=)XpfKf<5E@8o769(Sx5gqdrNEVgyCDU~^H`x|oKm~~ry67A z5XNFd9~is^ItuEhZ$D8sSF~Le8{f&9JpBH5U!eI_HQgg-is!+yZb zdYMg8@j`{wk_DzzD~mM}Es)G;Tr97sc}d;fw9@N8JQR4C778uzv*yg zX@gbhxF0tg2>3AzoJ&vj_q&jc0%8bfpm1z}_Nc=pjntQ9TfrPB-QTWjYaxfhA%glC zr}{WMAjh~9r!J_%q)+xBV`JkG!Nkv!ujuG#JBWPk9UOAG?MI>KLX%%#bas7QHiq{3 zO@{M>UR`sTB^*rpezS+?sp`5e)6vz!O3_XKx3_(wJDS`kS>u0`9n#PBIFz`;*||fg95jl1hVmIIF%Z zw@5Y3H|ffHHInW%Zq$qsQRw_p`$hoOlcV;xkEDW)Ir;3%51+(b2@eY+0va5UdJRX; zukguPg%9D5zYz+G1lC-&VyqdTJoP0)vG&$?HY$G zfTW#)A1tgER94r=g$SA=`mITm4gI*u6OR(Y)Bt86c8_4U4r)dY4)ioc$e^%9&Ucp`` z=%>j~=&hk`+jbsT{Rone{9q*mXnw0!3LJ^n2)?a4Q0k)x^a`+(AvlXl#FGe1jBLE3 zm*x_Whoz;f8v$XjdXB8u+|Hou*Wq_v2&9+<$UtN54}G(#X?Qe)fsT-Pp%9m;oFD)B zVlK|*Nvm3KCEk%bgV^f8v2N}5I4j8I-Kp;%;Te;}u7I@3dLD41t8Ev9V-;*q(tn1E9T*m=XHW0!OyVYzKukVU;}zJd>BzxMs1tt zu7y)x$xenDHn6t9^qH(zWj0OTAuwaq@Fcix9q(a1!}k^|gj|0*bm&6+JOmI^Ux#p3 zO@#I#uaR$oEJBh9Ls?WRoe^k!H!_Um4DWP_B@N)eXBf`R#VXvSQhe$@w9B7K^*rkaLIHz#HsFB#gv6#Ls2PC1I zi{+_bDSV(4#d?CBVcc8GR0q@ZeG^^>{z_aNzihLfQRu}&@Gg&!>@XA1gc~znXc-s; zw)VT@SFghm2_c2MLeSHd!q0>{qneA%%>nY6l|Bgv|MDXrda=+*K{)M)--oA>y@cbO zsE3*g+KgXXt5IW3X-qWzp+^xNPrrE2W66S56HUBvbd}x)e2biM%7mUjTp~WNTF~N1 zq5yPF+_hP0-2ux~G9g}e`SgQ17Seq=vg(Pv()Y(Jirdc}*tdasbBV5kH>c&P`{0-^ z(+_Z5je7qk@a%^g8X9|&U4UYbxHQ5)O+*Xcd422JU ze<;n+`Org4N_5B+!XPwrxG^#aRh_y_7bNxe!m2a?|YH2DCM@JZW3MlymfVDNUKMx2shxF6*pc+;ibvR;n_c5(IxJx zq1#-c+XIbEhm)dGIuxeO3N7bVKHb5BSLMX@R`w3@^9zGj_-8ti{KP+g91YsrGU@=iqCZpL0TTr`Fi^p7b$!y{6=sMi|`gV3!T@OaF{RqbpQRfY= zZ-m&8Ld8La1S1kW4MBA=!{&wUJ1!iT0BJY0`&D|cG2 za=z!2&=2s-dE5m_uR>Gs$wR<45%{$xB2RKJtX;$lJ+PviOOkMa{M(Y=}jQA=l4F|D@;Tfh4E>MTc=!NiDC$c``i6vn)uZf!c3jE9p| zP26wY+CgUzg7|53Uw>=fxyx6sw6uSU5A?fXj(RIMKi`i68M+!CUVVEqr%@X<2!(_v zK+$;pimTD;b+?Gu+8u5gI!_tis)d=W2(@8Z`fv+cd|wQGl3b#v7lny9``r8TG&6pp zX|dnj99l%8Lr_Msh)sR|X`kVuknfx^uWl5o0SKyZwB|KCsMF#2W^?<%kiRbe8K)*S zl$wQTBLod~la{e@OHU%15z$QMbs|LfY^Ypj`b?tWw|rX-4R1gyhi9Ej?~Z2?VP`7#$T=U*z_A!!vqoj}5Q&^M*%5QDNB09|~0QOegFUlPN`r6o@^W z`ESwAqC&xDx&?B>>zCDo&xG(m4glt%V)|@=reK4DLT$U#Bi9});v2(~x_^nJOih6E ziN{4>4TXJyhma?rwdD@-%x*>su{+$xHKI%1WI-w3pI?n#RInDh9)^)OWO+#jmH5Q@srQTHk8J6 z#+6$ZIt2!5TpVDQTkZ_jh?dM;Wi}`m*fn@}iNo(z)cyZU$%TO_3qJ!ebT%;(BGnCL z4E_KPa&PLXL|7Y1XXx@W`LxO2-rmB>ssv(%dqe%Kp~8$$c^OM(W&IpmHQ^rj%i+F3 z_U}*gst0cE$DznlD4ephw*rG%Wn5ErAA|>RO-6dUv4)05G>e4@xU*=iZk(KvFT?Y0 z6PLC>GG%!AJXy8EX%4`FwaWd6t*op<#rdW2M?A|tT{#u=?JkwRRh&tf^+*&W3y zMS`TFvJHH1+=!H~a@tHh^7wGD-)@zwu@!M3HvS3VmRYd#R-hwuxDqXsu=!tsD(-`w z@z9Xdmy-X+g&BEYE=H$0|4TuS)mo-jFmJc0AJN*Cj)Pe)nv)v zd=tOCuk~J17Q^NJGMmblSq9!cMhWFQ_D4#1du(mvmf5r>H%cL@W6-7KWUE_qUEOX> zB{{2#NKiM8sI^k=v?^fx0$N9`5*k74_K;*9JTHCni0~*269d=8oVUIDUTmn}g0DQ>RvO!K0VfAigD0Xq-ki5NDnH6I*!2mwd+ueX2ln9oJ7 ze51jycY-6O-RsqfbA#nNDDBw?@p6>A-(4$siqZb-Hltt7%J0a3 zh8pBwtx+(Ul(}0|^BReHA@h!ew-FO*E6xhm(wv6_4|HL6phQJStD)5nSbb>g+p38# zV%p0Vv>?OL&F9{|djfIp@pT_gBvGh9um1W8<0(ABk9P?J@*eH~ZA^6Jlo$vZh=Tdp zv0?<{VlQ8Y*NrfTr3)9HZlBh34vTU%7oMEH;d1zVe8)@YMW3?OjlN7){z_nV?23vN zRMBO&|k z+o_b z77X=tlar8h&c?Ie4!ASbm_;#1OXT4LvC35n_7LLP|2(OCul!-$p{~X0IB&UE^5)4N zf2XR1=tH`)VJxDQj;VTFfm)&Y?Ssr7b~(Q_W{x8QQwVu4Ws)8Pdn?~57I`mF#OcBw z3vl>QYL$Y98TPHTRh0Wj75 z@l`9{d9mOq(nXuynpgj6)nPk3J0BjaGIcY(C9TI83n#1&Ka+GP*ahs7hTA}FSv~1J zN&=*f_QdX0!7&`8Q_3F})oX!TYLz_dxN*YXihskZ?*^oBdo%oX7e^Iyo_!g25`#ns-nBtj4VSxZxj~W}Y!DGfzpbO9_+~wI{wChta zS+)@er1fMPiAx&6xC*&DU!R(GIT0dCLXwcmEID}tA#Iag_|ese60ED4IO3}gSOZa+ zp$EjfR(S&rC7Ss<#|aq+W)W>a1ma=5JxjADnPTq#(&H2*IS?ctQx=GX!sS<$X!mV3 zb#={hrB@R`M~Hp-CZ3yW&1f&nKOk{qntW2!3!Tn{lF+=c(5Pp=i5eP~;aT=6hmOfs zIaXR3u>JHxwsDx2qQ^j>`&$m^cq-Ah8#}xs39UdQP_#0!TFJ`FM%}x&89e_fl9)p} zPohC3f(VBx6?ch>92$NUxrGQ7aTRRQrK6fHE-j5hxCAKY)#PRTL(TcE-Fx=V(>Kjt ziM>}cZWupOy~MPRb>k7FtId4uAs%hj=Pil=WMrEnh?%3&gHCpp5<~NI?RZi-=U=h0 zud2EqsRUCy`EE@kv(~hd|8`ZAy}v`|s$;UoaV_FjGvZnb2bol^;31Xo_BPbTA*ETn zz{(LSLnLAD5WTZV4$*69$O-xwf;fvWE4g=E{Stgfz z{!mNKt8TObT<)L4-E-*BJuFU7YXtEIh7IeF^N%G6o4)+5pTVO1(>b4UVgx*Q>y7fs z;r8^A%ZrK#Zr~3Pz!wCcu}Q{>+Dm{LBC0=ql-Ihq1(d=zbb2Q?u!cNGojVXoPCh$w zOH);4l{2@N12gfa0mmW*ShWjJ`LD}A3H+&n`FT$X;>hTB}?P{4wc29DMyNO}BN z2TqN?EbWF?H=Y71%&}71SJKdPBMP2jg5(jx$U?%iR>Yk6bvZ=yfO-YdNV_a85u14m z2Ne+-HR!NqfF5VHu0(MKs}t~e!W0R!07**qyrJ}p5N`{(_*H3btIwab4gsT-7mfVA-ZQQNDivz-AU+d_ z+amLfx))tIOvK{Z1Iy+pxoANm?n@!rgz%q3xl5qb&704tsi{3Zm@0fl5y8!(w!<0kRq^b7+F5YIiv?Vx7}+Zn_e6z{Nc6sQ zVg(6sB9-9|QW-W+MP=wTplWX)k8>H`5A?vGl4(}pG6_34Lm5~z~8rm!Fn9(wio92vo5fa2mp<=bs22)Og@ZrHB!2^(&{on;IR*F9- z$mKCjh}i0E8CjyO47W?CZ{4#h>K4b&l4pWI93K*eZi3nEc z#h}I!-?F-Dm(5*0cv(ZI47oXi)T4)jmjo82-hcemqV?-bJHFguPNJ#YRtHg*_Lnyw zI318K`emYXf%FA~<<2tA@H(UqY!u7}TLE3~|Y>XU1j=lxX-A8asE{=v8ldlv}(0)o_Ra{KKwdpirT091j3dCeVasmX5+ZQ_ftF* z?+kgUt9y~dG_HR5dE2%|*wWHHBSJ&F5{n#fzm*^s80VaI4+Bj5ki&-$U>vz;xxs5wh_(z&Ye3|XGTADCr9;ifG%Kf3&UpHoqTlx6;NkG zQp(vKeuZhJ-yp%HoE=gOj`0VRD_1W*QWjKDo%QppTa^#~S6CBm6Mzk3S|d(DqT1rN zKj*eXxViLpwbw2kWQ_qA#I%RQA)UhoKk_?Hcy-+bIDBKlvZQe-$B z!^pJBck$f3fI0W$uazHJmCE1ezmgf*FD3*(SN+}zq4*ko#%>P@MOxjPE$@5v>TLr0 zquWhyxdG+8q)@&97Zk)dm$LL;B0LaYH%dh3(4a{kIW*$DxXX6Z)1eK3^vAR>`5`7n zl}Q}8?1*@&`Z+Xe9$Q zQ$vOPMJf3vDejX4Zkdg2yfYL>n+Ta20t1l@TX_90;f(3i9chWHNya%4MMxfT#6%@G zo+vaRiEQLIAdp8_S9j(QaW{}p0*xf$i;#Fz3@IV|&6_(UpA_*-k>t3v^O^4*IesFI z!;e1y5-q{`a6%s~pcP>JXndnB@uY8_J8uV3Fr>r6kq@$aFvAH0$ustaFf zW3E)^bEfd)-3E#3;Jwc?-R*Qjm;tqh^PdJvneZ|PW)aP#tE<8f4{!gO<(v|j{BScq zu6lQ*q{7QZ3I*gHb@UOh|1a`0OcqcrXtYiv`QylTBwEH)=QwLKk(ebY?t6N%|9JS+ z54!bmV~uqkF5pFrjkiB?-uW<3_=uG~$x_jlT_foRrraKf%~faMpy^$E;ZPD*L6w@M z3OWOPY^ILANQ-cGgfXAGi&sSLo)D7s=Wu>Md+argQ5kX(owRIZ5X+<`vy(dJ2?DRi9>q} zuBnE6+9spY1LCF5UtK~fE`0f*b%}UV({vNjOoBO3lSfZGOM^C*u3u1ha795rQqxw=xnMTlChq{3G+YZCh~c z^d8(65x!E)p+mtLPoM-*zhg(0paLA%#Xeh$*+JfTevBm628CB-+@0GLOcwudji1ak z!H=;JFjMVc)OX-{U0t0xMyC086L)-O&SyXhWWqXYwW~E(X3K09>PJX~9l&cPW1K_= z0Ou-+V_d4B5U6Od!U{o{6Elg3_7T!kiBb~v6jG-M%ZyIq$gFeL{(gQV3&mwx#K=Ms z*LkdVxcYgb{4`A7wy7eisJHGK$74a=1OV1WM7-Rdh{X~bRU`NAH1`D{08nvt3lt9G#uRC|^FQs08{dHgK!mzzTvRMRU5f1ZOJXhIm zAIdQN0r(={B_J7%98ZBRL1Lq#s6&G4p;!{$ic$Hl@*At&e}gZ%i!~#-VGN}RgcXxWPz{z`BuKa03w2!(D{7)<2zLHB z8^PqZ*XOcI0X4O~iZjZlL4L~QNq#+myJkqf4j}Zf0{nd0V%c1GPO}`(|HLrRbd2rk zUb-rhH-Ed8#lh5#?;LX8S_}r*r}vv4ygLk_K51z)V@pqZrs#tD`Yzg@$hxEH6 zgwk)|D<1+~f~t5soy_WRpJUrpAYL$bt`(scRu48;@AW&u8MS zRjT+3dMFG63~)#}jW{Blq$X090pk1N2lpP{xN`@Vf>MOO4Yf2IP`m^cu5V$2iaC;1 zh&ie@hh`d#(UNbw)LVWZ&rIf(n1h1Jm$vv_kLj!3J6Zs5^3O`UA;b@$TSktds|P%W z{V&awH*e851eZcW>jCXtyR1zATXZT|+1vA<_)Z`KC|n!XD|XIN<4*e6xBe|}QpzpX z2-cz3<|EE zAJ2e;-Pug69tFj=y|tyU>_uL7o~wMNJHiUA=pB)U_ltXigg}U626D%wsD=LNT@pmN z6(7%b++k=qhdtKqrDB66=lX$J>9ojiEySU|9~iX0Mu84nIVM|dxpR1MreuKwi?RPt zgRM1x(}{NW`{P}`Jtl)4GqrVe@S)m>uPs5CWH}cVdZg5Zi(oL}Iq_kYTcv2w?aD0^ zjCw@nmo@}CcTva4`VhACP`M`&x_QfMb?i_&uN#~%Y8@FAa>l;uetF*)=xA()yw}8M zKiiv}O7B*$p1w=*&XQoKr#+wI8`rvZIsK9j8AezC1agc4Bn(aB1@?A!&zl{tB?j7k zDIhzu-XF(lg=3G2BNGW?`0aGkb6dMN8h}?lN02XIKWCqPd8je%=brYhzww`gr=qW+ z-O0_T6^tigw$lgNM=?WYBL1d>Fwx(v_W5UY?BB*oKkQk3;yn)Mt6Ln$w|KbA{gMuc z($ZziqH;Jso}Ug;3t=?#tfV!$q{&vcR>_Y5X5StYN7wZaW`-reRUOD2eHo|6l^ysr zr|GZ8j4?`EY**JPU;tjVu123o4<#|%X!5mYwoUy@)QlbBsU!Bg%faz$mqX~3nd<=Z zu2eBbAE$KQI=FeCu4&L8*R9t4pgRFEgh;0YYoB5cB^31VAlga5JhhO3`6mSvM=Dzw zP&X_+lz?XW7uR5EqvTMeyi!RZVF@>2F&$L+T3E-ag;+<}aHW|&@JAE^3g-r_-TIG@ z>G^QL9N8iB7XF$7NB1qT2)raSH;}h`w)Lu;He}o_pW`wNO6`jk>XX4HxmJjjenrh{ z)dUbiljso1;T2F$n-L2Fr5@3YspO!Ut%IH$JvzVw;UY#Xa9}EHE>Ba%?=CBR4BN!s%rmg;pXMX{u87& z>}S{#O8g8O)0gc0l*Rq*Xy7|p!3o%(;5i^)UHJm^dF#wdD1_m(RfiT;{|WtTKSCjQ z^0TaF1!!-IR0KsT^*H>61ZkX}RwU&d{8xo=%&vpb5dOD_Tg6B8_W@2D6K^oX)%hJ{Riz?YHy(S2%E! zOFL0ip}p(RxAwS4ie!{B#Mux79w?{3f~TC5^1a(-(3R-G5lXcKTB0=Zgs;sEXA?s< z{Y^3b6J@dKsMDFoY0-EUTefXerz`iq z`-T)=h%wk8GPd{E|5ChXWBuj}YS%v$f!%%>tJ`|u>9m*8W;N%!oim%NVo>AXc^g+{ zVTBfbN1q<@B95uy;9pZx72B_qYI{HXTl8_lp5>!7x7oHexpGIts_y)(pNB!AX z1&KU{Xh@%+U55i1xM#$wsU5;Q7<4`}f_7D=LdUG3CX61Cph~Bnh8)^M@A?>M zP0m&7$C1w@R)$zQv_xKe0ZWTU1rKjI0LPYgeHcJ+5YGp`3m-?eFc=K4M1*?X1>b#( z2#wI3*rRg8-du-sq>JzQD3j!!-1osI$g|GBokX0&UZ zxhY%5X7f<$#u0?kN&}X<#Y>h9h-Y-ZQtyv~UfwnxiN5&a$+$OmF-Ss4F>j0u@_#bIih) zSRBF9p`<**qzato7vIn>$eJYR{BEQfN|7aI0EqK9q7|Tb-2&~N%=vzjXsEU4KzX_+ zYz}*jo-B!EGD}ccyA2sA!^BCLvXz2^ge@Z=W}Ghn(jQX1k{{tqFT|1d9H@va(iZJ)3O9 zGEAy*or5!oduHK85&!?k-h0R8*!TbA*)A)4wTu!XGilp7jS%f6QWT}qUS>uVrj`#chdOcsykt)E@ zKX&Z?oPkHGTJhT(r1@GnF%h`YEzF<$V@t|dT0oilsmuWZi-}Z@Dk^boCB{d4RgzN3 zGo37WK}8jai7?}pxag$*~vEQ4#Xuc-UHHu%=dMr6h@{m5?8KyOIGgtqE7{k+B%VBIkNz`f@YKXKvtk0-|a+t-oWE}SS0B5vm^(M0%n z##@;tm2di`jY!?1Uhb%0jEJ?v=p3oZhK*HTMI^E*d1UO>LF#PM;JXnm+W6l<&9PW5 zSFae*-Mro82;p)643f&dg47A{iBzV1Hu4Ndx%36Q&g_*s7f6Ify*<;9N>y0MG!AQB zg+Odn6n$D@^XBKG5B&OMihH!3_CLuiC?ahD=~Sb$$k=E-z)nm?!E^4HSAc$`geDZ$ z(+?0R2)=uN9atuhbyyWmd(|0-(#Hpkwv-VkE3k!h<+Gu%BDX;rC6(nnAkOaybkh5# zel$8D`ybkhQnn<9K6zll>;o?oid4IR5j=6p2@kM`W28ugsrWjUNmw8^9{Hb3wNjmi8(cVy`T7+kpsSa&q=;N ze4ErZ9RU52EI*?)02?+`c&Jmq4Y-sXd4*s9uZtsa1RNjmrWYyn0 zG9)C!HM*bWXJ~4=s$zV_H*xM6YZ)V+{owgs>_Gif9A_wcUHmdi!)xA=T!I3GWYaG@ zOl1cCjpP49%sHs4NY;p-WwiE6{_?b0p)ZV2U1v;;E?EI3hrYgUytZwkNgx=7gXh0m z0&Cm0^2&no{|`kL+>BrgegxwKchV4Hp4RU?45Mwj^>3<7zD*c9_rbpck4K9eF!NIY zaS>BbA})g43@i|V+@n1ui-JRLvOY<<3<@LDhxUe_)n|e#)k4u?SYyP*1W|y7A;<%+ z71HXsM8=YE^ny|LsY^pQyw2Vw6O2hH1vZdxcG*EkPQDP>12QO&8eTh#QeP#2==@() zquST>;&P`oiN;q?8Z7x#e9zUDvnh@?)UF$v5kd72L>7OLlaJPayW#EDU3LK z6@&t)tp{=$z!|BHJ%}MCIU^I68xfI{Wh_7u8~v<2FADxb;Nmi*6u>nfP)I5$Wcb?< z7`SDIm(*VB;3{nzJ-8b#dAGs*n;94-Oh4lHQHnWHav1VZ8G1YPT9Jm=m0#*>(y)Eg zCLVw*X~3;pvms4|`&n!X=@iHt2s#D&HrNtVQ#2wDU&9o2HW!x&><)QA!NSIFjl?;! z3$F$7j>cH=md47J1%_tH-Qydrh7TTf)3;(P0K~-4pg-S}XpcgRk%iWDi^7n(ul}oC z^oecp0Q+n^GXhcx?AR@qP^)Y7yW*pGY_0JTxq0KSPh$=}jeegi>VC`eDeik4v$s7( zMqXjvXbR1O&SW8j!jZrsq>(Ldt)t^eq*I*TT#PCe_npCh}Cx;jTn>7n9=$MoSYc> zFA~yuhR8c={Dwf2exMDL`tv*g=EEl9!(_S&GW$cDp%Tl*B#lr5csi&OO`l2$B~7c% z^yFLoWqK|K4BapwFTMP`y!6BKxebvrdRP5^la^Zl!^b&lAI=R>=uC0wmL;Vib1Dzd zQU(mW_7TfmD4%14(x2#Oiw%ENRzvzLtMmMegoTBJz8`%Wd!W~`P4bt-!hlW*Sai5+ zS~Cc2N7XZy;PlQqJ()lPS31cA6Or;gU97%1QqcsA8Lf>#)|zjE&mrf~o(6});^y<~ z)>i%Hz01p|H2@Hp2%q-Icw_fHvFOv@1A;?}%nO&fC!Y+NJNcrJq8fYp&e=Nm8CO5t zI{NgYrAA0WZ62T1Ogj_1WDQ%jfGH%4td+v|kxt`m~wKw0;bBSTNFe6x(OT*Po zIFIj!{^@%c?`_(9j$@T~wTr9D<#+sV(>zQwy391x^VgbwP3@6tv-4?$*MSOz2hO}w z)cW;+D)bHj1&tBW24WWDDv>f&Tk;d$zO78qKC0TfV2u>-Ted{d)fh<9RLkNn&j?OT z&k@PT^aIDPwj=suem?R%^ljeRlSq4E-7U=jdp%j?a(r{z!-R#mJK5qVSH#9%^;Q{1?M zrUv}1GLkN+NoUHjl`d=9xXj-@z*x}_-#;cbIUm*bC|-vuAuVt}6EiW?8&y?(0a<03 zUJcb6W;cO{a^Qr>L5?a?@Sc%2`Bq3175aOSL`eRXRLxKoYXI|!#Fq>jxg)*TCYcQq z3-%{(?-8royzqA6ub0dWEbaTk2`LXJ;>C>Dqc9t~S`-A#TvGtVTnBgN6 zN^wUO=i37c zF4GTe&Kiu!mEs$kI>^D=r@K-R{0*9ow4g`M3NsddFDHep7pfk7!z!EEr>7aLswFaEG(;dLr1{84eT%J- z=!zps`G+poqQMb;^MPqmc50s(wy7)W^d(00#(L7 zf$bO^Pu7XX`zA!R#g?YUmKx_sTCThJ;AQ&mxJAZN-g0}(A3S&^9*`i%#nQcUZ>mem zo@!AsF^^l3E~5wQzmYt@oI-~G0@)`10@>F8HmzPiwSQW@A@zvGebK-K-I7Rk>lDA} z4E<1T{+p8ltwneySJa%yv90>HT&Bn-k$Eq}=z8iQxii<93tKz)|C*5x`5%3%vT$N4 zb{>ob`8wEpeLAP=Wo^JBt!lA0gV<4kf1K_4EX)pJ4gti2tkqsdZdLbh2}~T|;s+1B zM8r2i)sH1S&UU%;Oj$R>Ws&_BPrhs7*0_~*bmB;3VD{u^q4FAt=!xELD~yfw;r-CV zy9&`*u%Fn@gk+VtLglLbA=#Y@p`iTWVG{2Rs~&9eJO~(CkQVgizPn}?(4My+M^#)f zuDsAHKe$EoO>=V!Wp!6A6M+{ztKE!9=vkTet*fBM&N{fH67wAB^4<7}#e*75i3bM7 z0U$h!h>8Y=g)yV2Ut&kt3oy`BLg=^$tAtz-K|rE<5DZp*fH$dC^5}W--90#x)*j$i z2}{W7_f0riSXX$qs@!1LE*CKEM|ES6yBpyg{Df-z>#6M4(D1@iAoo-Z5ri~T)6*4z zZrMuv;NV}vD%+**lx(KTvaWlQLKYX8)lq6W!3-7_PYLoQb{`aC2w5ZE(5&wL+$)@y z6le~fBId`IbUYb`qQIRWWP`PjRmU5w7)RjT_YPYE4j_&YV1VkJO)Mb>+?lgL)4yo& zELyHs35%Yth5rbM%}PCaL2!4#9>w&-365v9a>z;J%CE*cDZg%WuZrQf+AC!Mt_H$8V4b!*!#v3B%<>AJ6n({L^0-e+m~LX<_pf2NL;^;>Y6U7%81;2s{$U zG^L&mm9W2HP#-P#MG1hzsgz;q4AcTPl1Xb#KCcZ^vw8Gm6Dvqs64{QL^f1M@GuyM5 zN&ww^2Lj>HzS3yp`Nqel<~NX6sPI=y-;$Hy4adc)k=b*m@2HB`pDJF<)!!dHpB+5z zPuGI@6xqx7X*x#H2T~~u(9&2X`|O)Ob?U_YEynewp-4qZ5ieanZlu&q*$I5I=K4QZ zSy$?k@b=kI!u7`)+ke0AgWWRQ^ynP4Ot1xH5+Ajr#~!oZWnV;R%r!h*E`CQ#Blg6i zuWJ`9cu;l_W>?VH@I!KT<`n0vYcEo+X%ucBJmRHqADXU3>xwKPCp*L*5+sExVn4uw zgmvvaSi!ulGI<2QAn5L1Mp~*1Hp7C9%M$GS$KVNe*_Krdd39~oUw@{J%v1|8k@YM*N%jRWrl2>ti(kKk?V>E3~NGtF4X z{nz8=)Vr9R4>H{%2?;eO727v84-O-C0WxL$+WKyr!BBzehnW;Wf)S-(LGqrMf7;k9 zClpGr%BBuT3vIp@YrJTv+o(u>k`D*f&Up0s@ zm$6JDRGmjqX2n{5yNmIyRk8uPVrpL;$IY7D9>2a^RVd_oCyAZ@y$VU=dU=Rj%$EDy zcAH>j&pi}Ho1`D9@?k)TfQFap2Q+hqpQsm%Qr@9j7^a1>=1ZR8fSLBeQHTEsh@j$j zoz2riyKJSg7#U2^3`ERo_m!(I#3p-#UqNz}GaQ`ea4gb1G`5L2;} zuEpck2LiQn-2BG|SFO%OU!MPJ&)SImOOdn8NGcel=y|TEoZU|a5ER^AzUyh-9N6}d z)$zRf^C9UC!XU%m#Re2-&|K#*aJs}bl*qmuzmv`pO^}z!SVns$KcYQ$Idg@nY-p>YWj6 zp1tL~yYDVC-Yd!bS*Xy!v!fu$_{4d#{d!Y{0;6n!z@G5dN%RBhSCa3+pXOete>3+Q z`pXGy^e-o{ITz;93~$|G4>KhL=q3$k&foDiBD`Unk=wXPklljfEK~hjZ{4UK_ULnS z_0!wt+FvcX&7@Wu*u&4h>4r+v7N+#hC;1@T{U})G{Qkp#*^K?ul{~lqmW$|mAejB0 z&zLLW$)OPVLgP@;#Bbv-@)mA-_ApM# ziIz)Ilc6V|+5%D|z%5&CLf?aGCUUHBH6CmYtdU_utK`5kt3QZ)$_HmTTttvfu zM_ZlQtDE&~GL;lV(w|#Z<932=*=o2ib6N9I4-E<~D^!rE9DKq_`oGm!;DL1GU{!04*jGYoK zvMbxaoy2R{iuf6*<+knE5phD@zp5b3c#}g^+gg*^o#6@9LO*YaD!TGo^SEI?Z@$8+ zU*dr&xA=*zm~FZ72dji{Au}u(j{x~0Q-VEv*4u<`M70M@6D;S}dN@466?YpG+aGMu z`M0N-sd}gL%HrF)%=f=T#rxgClmE^Xl&n6TIsQW}xQig{PXyvsOVql4a0szeq>M;} zcWjL#m*Ha#!9^I`qHlt9kAw;2+BQHF#&8sC32oqTUOqr4Wd}Q@Wl&f5fAkA&?)N@u z*#RvaZS1&I*l+i_+w0Vf zSp@~NqS@ar&ss%s!^NhVBg{)b>|9vn35ABGE!c}c&ide)c+n=D|N9$zt8fYMg7f2M z&0}1`p-Ie-BM`;KVmvBhQn{6MctG8*W>#kdZJge&zWPs9)xF?RTOJm2K{sG2`OXkV zQaL3h0JU6P;MwM1C8qvrQcnR|_9I_1TfIYncAH<%p)%j}vP(u05SOrK{6o;<*jRIC z{Msxobi>;=XH}-;d?s^)=zER{kgk4Rk`^Z69S@nM++ayLhZ@@Aw`#ZOA#Rc3+ zR!}{Tm z)opuFM$N?i_GxcP5c1IIjb9BKDb!_Xq`*H0ri=|S8@KcZhO21W)W%=f{q$+0FV~++ zH7TK2|FQ}K)1QO=H_&4;L)ynhB*GnNmSs1{YbuGRFJX6sIRVhI@E3^l+eTt$yr|PH z54tg#2b`Ym-(mxMfWI%_mh#Z9XZrUmAgUi0>BlMgYXY@;^jQ`&yg_v4e-sxdao8vf zhA5Fpj!DX2=QB!lCT4>VP+C%gGRUe`(?TJhB9<3>hZ*G8P!Sur@<6%NVNtUsfo8GFFfp;{>irL`-I%&S$@8}Y6y0X6BHexTM&taet z`y)sZ?tiruaSYjw00X@lsVvW2zkOl!PA_qhuPE!Lxvu@M6}Ye5Za)Kg=X-I&I&NdA7*4^_`Y<(Ocwz8us*r%+u3cx zNAl;B1+Ya!4hiT{ACiC`u3HUlAHV>iVHDXPHY9c#;=8^tHTa5g1-bMIyR!rG2Vf-} z&m$9L&iz&;aJ?P4pZoG*MyiYIeoX$Y7FCmjc~^#LgjnpjXfX2+XZ^+@0^+qh4IK-4 zZs>&<8cg?Z(F^ypl!U{$6df{_}hfDrcb&j+hwt#^O0tnO|_!nR}dALyo*Gn20vW&prdI;bOln4Cu z-7pDzH{Ec>&T!MuzmDeE!X-f#{RV0B?TxlQ(H}bW8(YJ|-0ev7#+lq%RI8<=NVyVpjtPT;UlC1$ zQ~Qk{gLC$omfv#K3;$d_?!C{(Pf2;O8_mq8$|> z8NIGyEEI-&P+nk`PdyWAFv!F(IGdpF znSP1A`nFcrN`unnru3UfMNI8Ctzho)l9@P0%3~YUO;T2 z{pA~~B9w2K{ypio@AFNz`@aO9Mnxv*CY#O92u<)1F}gX;-B_nFx>=8XeB0pBG;$c9 z#KZ+yq|ku^-2$=?OB-DVlOlG>BQuMP`asBC?<59N8n_EvnKa47HX)?hSX)cgCqMP> zFq3jafp}Z%^OgN+T)M9gaTnNhXZcX4^5GiLh=T_YqB}iiw-P0uA5e|${pv@O{Y{@v zd{}(e)p}D3OOxfF(__w8aKX6;U@tcXSHj zvnIW@n$KOn(z#B`z9#ci=8>NmDX%uGz4PKO+YL{PO}2k51K^o2(_0}!%CgQlR+mJP z*=5|Kr0Or}H&<-Lr~)%h_5J?u_ruqIf~2%XgE|d%5*xoMUZ#6iJ-RA>(gfpKvaP(V z=IXE25rZY4hRb6OTPeHFh{Udv##*DkRwE+x0jr}ZE|kyU3+_gVgx%_B%ynOY{ou=u z?Y4nHc>TpG14UN|@1KjVPu=3%A7ZgxOkEq+)_z}R?*JJ4;r^PjMalU%JjufbI=kx` z-co@L4Tcn@N>f9;7L{#X)3~?-iR~4|=EuGmU%pFh?3gj9C#O`I*acOLfAJVq-9LYT z)8hk^xwyDm$lsCK3?axfMIQdY;#~_x1>iU?|Iv8Ij|v)HkT&3y-6 zb#sL)UYAz5_a5D89x{mSwOn|tABO%)fPrHe7)01kLFIq0thjTqdx?IvgxNEuz-=9g zDQYWdbMTt)+O%aYFr5CEH#jxq*8_*Ce|=B(RwM@?EJ^KlH)?1yt7ei>G$@VaG(%ey z*sG9)j1Nb1|I`!abf8j2T@MW7N8`;X(bw{3gtn|xGXpP5;Goge*FOxZyYFZmhR zN1(=}+WJ#>q0g))M~}hJVTY>?e$L2^sCr)|C-)@Fe2YUFZw8Z}ZA^I3Rr&UC!?GWl z_i7&vd^1^Gk(^iy7AkzYb<7knRX4P#1n<1 z4fWLEZ`Oi$N-cII?lIoXorr=Yn?!Kx&$$1rrh+n0-CS#Y7x1zG1BiGwG7M13RX0&u z7n6obfO#gU)`21hY6yO)KEBisdm{WGe<*FQK$YsKJ>0xcSt?{!HMC&ZuD!LwKaXEk zE%fS|T}75px<_cxFFbD$&Zx7Sf50uSA$B`Cne>&fpmE~oOdps`J;0rWt_aF5osVUh z!6+5~VZEGqY(O(95dbf$8R8JfM^{trw|Bd)Zp`X=KCs1$ijd`Pq6*GSqnfk zz6pG2&)}NM9lv)wZdA_*?a2rQAhb43>GJ%pdV%$TE$qx`4Z0JEZ$xI@Vwu)bK2=;8|#~^ zCq~yt6>$$>9#t9nl*oX}2^Qdek05PhqoTzz1PhhZloA02Jh7J7YgmrI0c=zs>8anl zdf-~}MyosMBDgs%&CPJY-3fBpnbuX%+Z;jo7xkwAd~&k0=R?0wPzA=qhsWv+oKrnF zMU2kAuuWbYrlsB)F0%H~;P!rI(UO6x%I+LHBi|r7L&@e~wNQ1wT(!Gb=p7K{-@)AC z@XeSe5pILL(T==0c<9$S>A%O~{vIwny-=S1(pfhZi=}OravqaKMRIS)ZRgv4cVKw8 zP2ImlO8r5JYcG%N{et*!1~;?!yQH{2HoAW+`_A4S`i-Y@HpxbciiqU7Ml%>W)^@+V zE&d6W`wbub?V6HIKtI7-g|Qy~!5}4NR{VtjU6Hj!?X#q7J(9#H=a(9LN!oiYH+rX8 zt?n>57Ew8@47Vbz-&mv>e_47)2a{al6@ZfpKGh|Amszlz>R(;p*ii<(Z*nF~3V_5C zG;P~{9I)Yd+m4?>6) z;CBcQdZ>Pg(gNN=dqwlD z_4^PY0AVIZ{tqJ}nlI(!TVeZ|?~~~dIznn=8>eb<3a`x$+ItKPvatZnkkD>sVZp|D zlQIqn_yN5G0Wvw$`*B1st@1*NknC5X*`LQ38Z@$h&V0r$-M%CdF}Lh~dStdw?>-`d zCg@JY#`G`HSPfL-XQrEi@QUz^Yy8yPAZkM?z7$3Y2pdR>fjMTx3Fq{qp|h&pqXE-J zd}F_~syNdh8bHyPyf$?!WFzu3^0j`#W!9))0GE;39DJ2(koxSGKm6Ih&IQlRUIv#W zoDWP=T(#JwL0%xhzyRsi>LbkiO$O#R8|?;eI~PmNOSF?HvmjTPRa_Ajznfs5_}kMT z+m)P;FKmaYSB?d%O9P~;Ti#RnfqGEK0ICV1vV38oi~B;>+-7Fu^@IKjl~u3dF64UK zv|ZgM#jLR1?0furn|h0$pEJ5UGxC7c^)~yrK#sB(^`*8=_#bg!>gvYXI+y;AiOnAW z0MweK3eZVfY<84Yckvo+{`9VNxA)+4yk3px$olO$CZH;V4IRy>FoffE=r+dtkZpQ8 z=pQSvq1BSo(xq8e^s8x5^jV|@0aarcG@Oj}yT(v)6v%>fl7_q^#MeAF?aTc=~ z`4W8r`6!udl&0Sn{Rv`z(GMRj=M+xX&jVPPY096lu#GpF%$0{%{4)^db#PS?^4Ne878#kB^i@FjfqK^G!Bjb;8=Oxs1W{Fg4O%+ zjhLA6K*d%#&DnSG8vnfs}-k z1BT%Su;BuKB^Q=rIy1NIZ*$4b44w7!5L;{Swc6fekQ)f&KeFy8^*CJ$4> zrQdmKf1V*cEIALHA*({jt%hTR6O6IxMTcH+4!CbnB7A|cbQrH1SYVh+;>>#F>%0G* zy(39Ie55rH$Rlq0`7%fmkVQqp{S8)!XxH8gTkj;){$Yc8rW#j?SCC)riU4Ky-iEo z2SzQ{dF40DoUE+7pl5?qxkCKd)#}}^%uF223)jxeY)uWn9(qQx+;6ZaA3r@GoR}+| z(jGoOM%H)9yZexi&bW4KFn=g^ow$H*jZ@(jPL}3o**irafoX+PHlg+=$R_$&W;944 z5j#=>UWFOkknbf7o+nLG>YI>+@er7D0Rfj(Ufi{sV5aWhs^@=Y{`aGqk`gWAhedSr z`?1m#V5O$n=XmO+2Dt#m#Yf*};v29Z+GDQy;N{M5mOCy=Kv2B5d`*nHbFI=WeT#h# zYf^a}*E>Xgvu#n-54iU#R7H}Qai!1~ItQzD1**I-uV&*S_i1PZpXakzCSIT+tH&I- zg*Ns)kdT1lv>*@00APt5-#D_70l$i$G$+_WS+zGI?b^8P@Z)x;hfl%nuKabo-5!^8bh`8N^AqXN zr~Rd1b6t2Qx#_z#&cvvMKv`I%;*t1|;7NcMwA zAS025v$#ZG+f%e>D+Vp6QBT%Dt)lb!v9dSdx`^Jo2;)uO_25BjjH+KSHBx15qiup4 z`MR!#^Udr!+eizCHDe{T>ZP<`xV-vyY*TJpY_7b7Rv*)%)JhgKFeX0+V{fMqdydCB zefrz#rX#TBB7ZhwY0KfzyTOm}(SMqNGDfD#)Pwbl`6I zrE!7P1!+xezdv2nYv(~^f@_=`LUbVULo+ML0Cyzfn0V5^7IIM+Jdrm98XbcS%R8mW zub1hEM0-fbE*3o7V6~>JTl~I^#4+w`dNyET=C79sGZ?HNLX<$`h*cL(e);kXCY2QS`W8W3J!K*0X;AbbsiUP6OJ zc_^>^h?9@hC?)WB>&2gg2OHYHHv=`VTC$m4TAVD*6sxjo)83i_l89>?*a#XWCF;cw zo5=+YLSK<#X&Fi{mSYoZhGdP7vRuC2ucL^NNa}=Rxhqf`0;-gQ4`_t&ZNf?zjzu@cL%_KURn%M;eT!N6n zfU;B-A{JEf&~B13I^HU^mo3%_r&6F1z?eNTvrUTklh0otwsW2O;QeAx($3YP$WrDB zY@Rj1fTA{!dj00jd(c4z7=K4M%baekrlvN`1>)S2#udO@PNmq%IB`61(3c@rSP?_s(ro(Kx$s7*0P!&84LJ8`SZhzSOXj1 zDbcfl6y02ka&q{6GU3)LX=TDn(K&R8fw(6YV35qT{dXiit?a#We7x2;zYb4ms*7Oz zY7_+jgf8X|)Z8J_72ijd;7fHD<|i(w>B+4HhC2LDg!tZ){mM~CJt&2kaucx2Da9*d zRsu$b{_CrAPi5W12^G{36J<0VBy$EYekUa7z$~X&4+~&`lw;J-TB&Ej94-DC<{1siZ=ua}#fd9s)9sEt@v<_*PR+c_bi!OEy`UER@Q%( z1iD%-VA7FYN3nh$`^`>@+L18==60|2B+m~H*-y-ELf6_W<02&jWIU@TT(?)Lis(I> z_m5|=Ni|z2H2yBBfg##Qgc0oSYa1H!;P>fIAl$hbn(v@j4n?Sks(o7$Fjx`o=flAk zU8)kMlO$@-BuT>0Vl;_q-1Tqc%-u6q-hLS*2?p2ou7Q=Ju!%md!zDO%!(@)9stbHG8Q;sugG7k(eRB)^@7XPn1+Cm(lre;~q}H>oE~ zH|i&X0`V33g1M`35HhtpoO$irwc#tJi6&`%qf%LK>r*)H`jL-LS!dqUwL>nKU45D# z#6F!}{#-Ru1$K|mpXes!61`Z+y9~x}0xVYql{?G!|kP>ne-%;um_<2O0K|r`O*{f@49CPwo z_V3lNRr-`;Tej?9uZ^J~$c~qQ5Zv(cBKafWLTogMP%u3%XnDQ-xizp>5!4(c`y&Pk zgVp)o#h#WhoOql{LN9CWEi}k8dv?UQAIBN@;GrMCfYkR3CpyA1dOwch>*&f*P)hw$ zv!}c`rp|r@2Uni1c3eBi%m>H~0I4gkY74YTXs)*bVyog`YukM* zS2H|hten$W0wni89%s|89dqD7v%glayp-TB`^v^9FR4Ha0AL{x7YeM{#c{G8#3l_} zyWWoKQMRUJamdE|%FID-f)Lb9|3x=3YUyXh01>FmAY(gmiO}MaW&Pl(I71!)GVcCT zo*8+ojY=gMS!Dm_7@^hjihYGs&smE=53^?%f82OCzfilK%$!6sc1mw)X(LJn4vyAG z>GOhay#~K(8xs@sW8x|QT7CaJjEWmbXFs%WeaVTFgY0v=94^mXvB9S!O>gb22|O&_ zr=mIeBm7^Dpz{BC!U5?HM)GAIAx11v48E=|8NjAIPE)7Z2H=dJN`YtvsrHGPE>4pCA7CkV?=K0?2*TCSkdWE$_1%6o&UxfLEwnycnJ2pHpPK&7#Y}3e<}xymirC60 z|8#=o_->!RnDV}%93Sqj2`?^ZgE0@e;WkENqq7zG0>|OO>>A<-MxFF>spYe4)PeOR~Q|C zAs|fl%?xI9hD77dtWfKU)?V)8rGNRX93Ro~?W456iCog^9(FQVe-$Dl?8~S4yhBfn z0Hg<`&cmQKO5Jt)-@&{FzhK_yXNv0G_%Y2^78efkx47)!I^yyE=D^bE?x9IB6`};U zvrk{II8D7kbjI_@r^};1_s!egv{H+MJ{QxCSKxMIqDf#X>LHLa?*T%{bmKYj&x%-+ z-3P4_N|P$!u{j98C*whvW@g3LJn-C6qm*ErsgW{aWSntf`*^~CV?S2@xXtGqGey?v z94Hs*<4Y)GSM+dld&P9EcwUBf0ostI&e_@hxW09u4da>Y?6G%iQ=kYow$o zwAdRp_Ko0pEPHG^CJ=xb07qn#<2yn|4&#>a)r9i}7ta0BYlKs;;n!x=4s+h=mh*4@ z7f=}cRFh{}_ZDWeN2cxPjWX7AX7<#E_H~8|FvxvFtbdb;%D>D$J!RlI%^b&b$7+$GuSp1m)s?tN_qGlrXFZvv48kp&*WAqy602>(Ba)0?_q41t2-eE8qH06w0q7 zU;L*eCRgkx{P_8aBFtCg-1Lb%Kdotdv4K+;fpb}1KABlZx9q8ECAs61) zqScq&VfLk6Bs@V^ea7vX`EQ!S2bck$YIm_9d0F)Rf#-=A(Z+lZyd8lo`R1ihz~nB{(OK=h}5g$D&1N zWL>SRbLr_xD9gs#oKV*1eRk-{EBfINkMGC{ep(tpJWD2R)>=IsPcQTw0rw%=pgsLS z^o2{8vdCit?KNp30U4E5XxgEoNh6c#09taeq}FQQ?`=fjMC-1+cR5 zuU$_PML+TNt@!G2p>PVvQ3cP<$5qoJkAK=gVVf8vVGi>iYV}c)Q9#|Lq@+;n?p?qB znQzZH@ELAN+r>hKZ}vHD7I*@$QF7xYgo+yfm%{EsLtI%ZtS&=wNhH{dZOK#Hm<7rK zlMEtWS2xwj%5+W=JoSw$3s}9zaaDB=j*&$%4!wFB_Ki{{mYGr}eYsk8m5olZ9lGNa z8l`7R`(*TfJ9% zbGjf0$8$t=-LKmhZk?cMddb0EO!U@T-%YYD`4T${V=Vh|c%oPAsR!I`mXyB*@v4v4)?{;6Nk@bkP`1oXw{hSaqkG28J5G^^GtDjDsB7*ZD>w{w5ORd>U znVjH4x}+f@N-k6*@HLlF&eub!(#`FjXowW{!8N)!!y@NkmYgQXy5pNM1yDrnUO{7P z&oe~;JqQ4sjQPY#1C!4-W!WB$c8&9SY&zcvn&E$p*&H8`Lh^U2rH=mWQ&=O2$EA5-dm2zf?q@Nk!{5}Im)t;`9~^dDE+VLtIEp~ zb#-*Ukl^3`>lwZ;a3uy;75@OidQ$(#o4+3myjL4C=VcRMpZl=MbmkVjd-m+vAuehO z){*!<)l_b7x&_7J!3n>nxznd*T(s_}a_7li0Z!NeS+q}M3Lm_ODMzCOf?1k4DJV>v zKlJ3&_F$g(4$9$Baa|N76u-rfvz)p#xAf5L!v4Y;TC!u)k7_40 zMM9|xSsrzT)MF*|v=&9xPX&|m)QEHc!j;%EkwbRnL2pS|L<5Q!U{I91wRNXL3ckx? zw07`(4G0PXwZJP+^Cw?*|5&zHDBvhX3k!>nW#sO2Od^?F+dzbcmgq=tcLzDOk*ryn zQ>ytYwZS-04PZb=kbCS2q!J(g7mc-12h1yeyPZ>8CA7Tu z|3-rldF=ZRE`xtkKVRZldᛝ{@_OI#sJ!2lSx%}W^ai0+^OQ3kfh>rg-)c2fWI z4}Vs(T8JoO*E1Wt~$>vuc^B_t#wf!s2(erGWh7hW0s@FaZr1t+JmlDvmS zuW8Rbl^KX6SwZ^noi_!!ek*Ygv*Uk!-0}~~w&RBl)Sfd(GKM8d9(gW_vNTOdI?LDB zH!07`Le+*A@cze7+Gmd(EE%&KS6~G513F%x#j$*sqowzbzf_sw^*blx9is0wdgOGi z7bo-C<0X*+tNeon%aJ5G3t8&_Tq9}kw=>a-6ewxkn@LmV!qOYvfD`m67vR*!iGs~AW zEWA5Mzrj^a_>kenF41Y>>E8nu9(YvpHY;T8HIEoSWnERnO^+TujlOlsELCK8Txr;9 z(P9&x6?=+z?;rlT?Yzb3QBN+2kD8>FnR1Yq^QM@dbaj)5$EwDT+osZd447@bTgK|% zaBs+d8I`SF3{Fl?;tFS7No{m!|1^pL#Tc0yFR0lv>JVUHr_E|*MlJmwu=8Wy4Yx8i zGs^`-HX3lKy<4R3Ve$2NKys0tc#<{Xs zEV9BOu{;W+_mCy}V$u&jh-#Q>aBH~p<=r=1?yJAWKM6BhuVZ+Yg_d1_)ZL0O5RXd9 z&d#33%NybViyiy;Vp&&0vCRt4Yb19$9(pKzo>W~DMjR*LCef9i znYoKOm7Du^eJ`f{Wlctxd>ndJK7IOxPt`9=On~g?&>BxNXo0zaiejJw{;y70Ky(Zr z61{9%t9@2-@@9ba`z}rvh`=(4wz&Ef?Mwg4r{1&@~!X=qHcaN$<)Ywlg+cO7BCdL*uLBK>|089A4`s#w$) znkl`NYGQ>;k5M}m-Vx%;X0vVZU+g+px7<(6Y2yuU43(3!xL>ThEmo-NR{A1~`|2_> zE?ohMZAH~j;RUFZw`A?lAg*!ieriKzp6rKy>C=~U{EXMw&mlf|o+oh#ucn=0cXUJH zlB8)urNLvG?hcC z{)Y5xq;Hc5UvF?nk&i*019h3oDl z(2ErDICjWzmKZo_yY%1RJ@?{m4X8g+*4|>KqzR?U2a1QIU+P$RO~neuLe=nScoYpW zeHx?Q0L=lnrV&gCiB{72J#|?m)b1YXx)>_&Cb|u5!4IwU7-wb2XZi7zy8E}5mdIsI zq&|&L#L71Du8%|5_IWpjtDWLvVn=Wg;#;^D996{2yYa0(u&nw+N&)*G?QV4f=-Ut?jbyWvM9 z9kCCE-<4YraseJLMC@?b?lvXr>Y#$7N%%&V`4@ROXUgZH{v^dJ zIlDqBwD*zx{e1!Z7UBQ<0&#(7Vo$5ui$wnIt_$ysnYQ>LfC1tXqF)ZhiM00Ud1F@K z?mMS(ng@bu9ss;LKs_dG$Aar`-Is;JSgMwiP*}Y!%Vxh_N1g#b(spDc`|jOtjNBh7 z=di(cjKAN-lZ-v(DxZw#SYGt#*i@JC(B6){j!8+`8&t9V-lbcswp*o z_Uf&qgg~s=rDJwdl9K!IuC>%;%a+-91tX*L*m-yDl^zj5U7;p>M3=Si5mQ}5qpH3> zc)`wFhq0T&fZl^RujaaQ2JYLh+gP4wI(g?+(IT!^^i`?T^{+I8a1o%N8xWux82}5O z2iSGkpflyd+(+S&ynx;JH-ZzEty;TQnf&J7=*VMyiI*c7Bm?{n{o3M~aOvLmgK))5 zzSap#0;$zXWDm5F9hi~v=~$Z))qFd_b)P>;lFK80p?Y8X3fk3QJWZuKM`+z{SxY+<{s+@hP1fGwo8Yo+u)oWMLB#*A{X#6$=M@ zZV)VFC|MUoca0~9E1=nW1X~-*f{*IL7$ab|h(4(?9o=N$OAN$$+BOYO5d#V+dQ(uK z0`0aU^zoI|)&6*kfVoV@Hy13O9a{1nz2?NvKBL=f`0LE1x{cfpg}eQCT8oUf_!@a7w<5I7;jaa=5|SsmoaE zLDDRcbk4O}e->P_WXH0^_ParffjEWk7$tT*_z>|VSlD6w)Tq8IL2R7g3UTJgaHfUq zt`*nMStuqUL4?cM$mGUYd>MW=?mXoTVSfSoe!UkM$xQjgT>VIgWquDH)MC>nD&5El z+zSJ97sG(yoTKA(&rVI2eTiln-fB8Lq03i9CB#p)$ONIl9Ud!NS1pG}XXw|j$Lry& zjFN_U6(CXvU??022{nc8ZhX6A`}Wi}2|>hp<)?rp@`v7E7F_9>FSh9$A;_pIFTabl zb{SGNK-;!hP|$w^>ul<0TwW^+ViES^Y916tl2THHrs7aZ)sOrftB$hdj@?O|zXmde z67cUWz5Mh|Nr}eXxpSF*p^2T_keX~I+y%17`~4$_`@m9SE0f~w0f)>8>_mrVByL`J z6C=k%GR(%xNlz5p9KBA>e`9Y>@kZl9^*mM{YKE2ib|y)g5lg^*O6Oa7GSd$$ z0_AMrJIynARX(@`iQ-jP&Tj*h2=R_5|08Uf6KqP!78no^(+_*_=b}%TBEEJW|EKqt zUgzSK;#y7n< z;(>OL$wEpYIRFlGg4IMjIy+~pD#8w;Zmu5Aofu&RXmrbFT`}h15&Ohg{~?i+Tja^k zJt3j>qvOKEEADR3W2jyB<{nLtbN%4yqiDkJxtNi!9vu_&2<}(~&jXjH(Uy0A+l|Gp z^~a5kuZ4z&Vkgk?S!#&tm0W%{dY7f9rrIz1fNPfb(>w#k_lLeUCesVb>#*-e^?faP za&Xjm`K@@$1ix=3&%+=bH`9w^LzJJ)D{}ed?`^%fsAmgS+k5mH3U@dCF=EsxE>%>t zk#!E$BD~5-)5MnYFm!#SelGtT?Xt0(BNM?FCFA?Wi@Ii+S)c&5LmP0FvR0h`FkScS z>kOQ&=U%+;xa9;-vp3nvG1fKajU6)7!ogmGP3iuD39N6q1(KT)%$( zN{=121>yeauOH$+a%q}_fr0G8*4Nh*M|(m_xH{dp@ZljIK)?1#*O;xvUb3- zK6flixkzQ$8jGgMA$SMg;8EX^6+Pa;!_OfkZ6H2vWPaG+AwfNfkxwR1GcJ*kt zsPKKqyRgw|^T`I_oN7z{U<6?I3d#ZElR{ryCDD6zKDqMJ(S%ovs)QGBzcn{U^m*mG ztj8ASlsvEI)ipy|G@(pSPtW4w;>w1G5S+-1SFhfO-U=re#ks>~#A&A;tE)kx%f1F} zjw(PT9N7YaxdC9ZC}YbpJ#3||txbHvCDWZ2D+WD;I$0kSOG+xi+JB&=WhbH*$MM&- zJZ8qNIn^0=@!}P12uw%+NawJem-w69Tm>Y0T3B|VBFe}6!Cx|y&u_!IG5SSO!Ovg3 z5J8L(4!?bb=WNtXim)q~zF9?Z_woZ0>AK-PlM{+R$W@Czr{R@hNvt~Gssp;&@eA%P z90y0T_c#YkiyJE{?tS?1;Xn*$2R1A!P^dCOH$^oz4Dr;Dwt3L9M;TbRigU*2v}oK9 zG=}@OZoQ!WsY9_%W{YiUfMW2i`k(+-!>Z@cpL4I-vuQosAW%=+&ZVyC=`Ik+U=>uo zl}>Kx{iHi8r=WJ+POlJ(c7+&|L%EOk(kIgSLn(HUmRn-4Qx^hK;g5(jL}*dRqHV8!x$?}qn5RG)@& z#i?og^6ts2Jk7p#%oDqFbv<5O$6UY|1|(n&d5TmF@u1uI+LaxJa$vtA^-=-*Cq>UH zYb=9={!6`$D0yHtCU%7o1dCl(v7w>i7A!5cxh~v;d*;yn?Yw z0I}n+^Y2@??&RDfr(!&l4bAFd# zV}VDr85@9pNo*updc)#}UaSD+CwH~$tuf`bgN-#+6|nMqD9dZL2?U6%$p@%R=P`F1 z`IkK^f%UGVb4Jwm@Y`NqKiZ?NrPvIFg+=A2Hvmn)W0;SsuwM28x7ni&dGq5S6I*x9 znkURz<$%uX#TiFsW2sC&rn{-;)ueFRan?M@E77T(H4Wy7PtUheMldr6FYEz_BkB;u zC$^W5g;Z;*ehlEvR$~|J&*K)&U$NqSuLTNG6O9Gz9u;>BS5yygi;9Zu15sdMY2AlF zMZEihHQpx))1(UpuT~)d*xOqeB?`>q_1nLGBJ7l44~(Fv7Iu+}1mc7MSZ_dfoAYqe zl$w*jnw^0P@RHh=Y6IT6ip(7g_ByK>rcN_o_#}O@r9YX=;^Vi)8h_rjC(C#Ju&%OX zv#U1u3P!G6w&(6}iPAnhZ-Hs5$NNwM)Voh5107V!OQMc-VPr!lbgC$-?zkuDyqT=B zWV%#WUAW`raCbAuta$snq6L3kJCUgs;2xQ%!oHzo*N1m^L&qmEC{kcHP`}JyCJl zks1$g!%VE(4=Lx;0u!UUf)xX)Pu@^K;cNx0P}C<%505dgUDM`#vgNYTXe!8mI{_js z?=^{xEjs!HCk6#>hNN<@J)XoHFs5nAX>^hwQrP71{=&NrYl2F0Y|zcUU5(XZqhZ{B zqpG1nbD^2tx8xc1vTDcNUwq8dNU&Cb(KwU8rLjy4CRI!GTI>)o6sFPYu7JQd1ds?Y z9LL#uyQ7A_!Yif9oH}u$FWQmsuU4NtR!5t*cy|nprFDFCDnQ!1dj7m@RLaf;*Kr;S zlDp?#dHF1<5;xjT@}HtA7i;ZOVRvD$H_IeORTBu_QU;+GLx&CH`F`8m+q?A*0^ieC zWxg)x*rNVci0jt@FrvR1->iwV@A(>DMRj%c#0vI@1*;#Q)08zq{qyTILSGRG$rkl> zb{w6VGJVC`Rg|3p5xBP>*Fh5^@8hd3RtRt{E-4{vAT+z>08taxUTNY|-WHr9fZkY!b5C$dlP^f@xPOMS@Irssz%VS|3 z`LgcgxkoTwq>>eK8ebf`kM=#1$DgpSye7L^FN2$)g!kyBc0Vja4YjzxZH2vuclDkE z357)44%@O!JU5}Jt_HY87+`O<(lk8b4-a{rNY$#w#!z$vi{{K(2Lu$`S9Z0JLkRYQ zH~Nu1>u>xT208XJ}1ybXLp$M7!o7u5w0+@*^! z^Z?0n6Bbz(VHgu9&e^qV*Nr7A@Q$tgKAB*L8k86lx?IF|}JFC8YtXXFiCxTIciZu!0~l) zu6KoYs1ssJS%^F!_eIC?D;v=&!$iL_E=|oS;l5SV=aTG3HvZ@%i^ft_*rH=s_XQR9 zFjU%NZisF5l{#D!h_=15rsfgcQVg&Q#t5&n?{1GXIopQFuJcH_3`S{dfE7>EP#)>H z!U{yve2g*_K!tq`Y5HHmG`Do2^Q5|xZO&Wkv&zUc}{vMFloyU)tljR$T zI=i8aFj=w+s(66W(>kNbKmp~lJj(wZv=PTXJpSbDov9!BRzETT-7zt(y;F(cgEn-Y z_8)&OcUETD_V~c5u5eeT@YV~F=Y-~G%zKOhUJg2*2!y@S*^0lvyw2nSg66m4Em4AH zHTm=6zAO?GQvnD_wXJq!>UtidXt0&zt-FVLZ2OseohS&~+zt)&>%>hK$W|M$H~^P9Lx5yl}|E zOoSRD)7}!&`|-g7x!YvhmI^3`&%S+b_#QZRpDZ3TmE#~F-;+ZDb6yV*5C5Ten>`#q zyi)Pwf_@Nq#2Pr^%_dB_QqlM1OmqB8|F8cUD*b-}El>OZe{laD7B5ER`+~wMVSXT4nid1Y)$-$diR~^i7~QGyYtsn;2=V8vLEv+$@}yw6eLn)KrT5@9 z3YpuFlX7tQK3sA1c`y(~)cfhZEMSlrT-le2Ja%_?CxbMMu&|p*;5=|$bPvk(K=6b0 zKRmt@pO8Q{cW_%fjFSJBj6))NXAdJ4oF`e(F41guYHcO z$5DgocLCAazPGg8z?wn5e3#P4NjJ2A|Nap66+RhFINI(;p;pCa3n?inSy@^>MAtXw zk3UG&0@ID_Mm*>bL~y2BSzBW?et8$JGTNV(IiBPe?KGuf=x`rtvFvGSBZBa|MwHGQ zCiJdEiE2yL@|e4Vw_laNR3}vjg9MgW(`xj!cU#64V=4Y>A1Eeu!Hb-;R4q zhZSydV~KoqAO;KcW`T%V1Fb66Qko7uW*$2hoHx1|7Z(?6ULOGV1dhM;IFD9(rguW! z0YT1<>Z+{{3N+BdS0c>{N=uzJo-HU4k06~QK#SG8)JO^Uj=VE)K7qAV6&JL$9gZ#K z4>IG>f&%TW?EHYW=m+$j!KA*f!nnvhNFz=WW3-}!G@1m;z|TP6U%5251wx3{b?R4* zGmo~!t9-?sdHpE~Euno6eX*PO514_6!rYLZmm6(d(H!c~vmK4=gp$XC zKDP3peeF4Z{4oH2evGwA6AciCz?;O*S|LhY?T}7^sYN8_L3ktqfD}y3%@tvv2LG&J zXiS9`EcomewFnE0ka9rT(`L^Oz}%xc<|y7oVvE3q%&5#hrJk}d8S+q9M|Gv%5YpqU z0O}eOVi3myuo@tLf7_|lC3v0~R|qoT@E=|CJ<7VXv$HgdbGWGJ)1#}#A{>~xYXP3q zvHs!$i(=}u5e>HWxK>PVNaz{};GAH3vXw)-h}W$ao79Ofj<~mUq66cFHTl-#ahpv*5$AmfrP?TNF zp(x5m)_wJ5Ae4kCn)W+A=?zS_baZrtzMlAZDjHBwx@ee=24?(Q-VgI2H-aJgDfPIX z0Uo~?-B`O?G7}JjX8Kr;vtwtbe;TzG_k<+RA_t^`3pj_BH!X=MR>x~LU}?OA3KnG0 zwZnE)G-1Ev;NalbC)>y9PuDzqvC@?y>iFg&AruCn&41K%7JIh5f)5a3f` z9d7ad4wtt&E)QH3m1mP?o^e7DKxC;4j03<@K|$kj#q<7}t-Uj#fW(gaSr0rVY<~s# z6;0)NG02g#;<0KNOc=E9R#|^H4Wmy~VS3 z_yQ5;tqkLbrG{e(L8^mTQYxAvVeSHbveMWpY_g`O!IXkH@`4EgFrLvOWxvE=H;*^e z**lx@g*k`Q*3z;cPa^738X;9=H6HMR=M36jO~gPGtdKq@_d$6KBqvvc0+|2JG<4>l z6sM-9LKka>E2g6fnuuwz)B*W1VMoU+HdW^njfb4Gruf8N@OgAq>;5LW0rVT4~Qu2d#a9TWbS;jSig|2|M?* z;H~#IO(nrn>xJ!CQHx2kKUjQkbegNgKGwJ>@$SU&c z;9}0OG&K>0Wfban+5|t?O|<<=>s$FMmC9e}2DJk?WsZmM=zaNYhd*ct;QdR{L9>;q zl|8-Q$$-)Y|N41(G<||cDG?gX!6r&*|MG)9FI?B8d<*SFDa{A~&pA43&846MoG*;n zuH5>_tL)Rs3~3OO6dct;YUTnyYE>!^K$2(mz;}tXZRtd)Y#=T!E*Rw!q9E|r>(pt9 z^rS6nipUs!XW053TuPVcBA9zstQgcbPuW_w#vPJQ^YgX=z2|SwT&R~~r+FIJzNi7S zRHqIEGd#na#HQ5LDYR8$$!l88e<9L=?x|@)zDx{?G`_q6J{eqhtclgDRz))BgX%xC zM6L#tMIW%|{j!J(1WR@FAY!3g7EMQ68rTF|jUxCp(fjQphf*NvEyn+%D8vr=ph_q}2a**X31sm3mTf_49#< zb&>O+8ps>(+B0)D+eLoDQ_ufUhfg-E5PxV+E}KW;$Qz1_7ygb`R-K2{DE;LHRlSz$ zS9dc6(2Ur8_Aq4KT~2S>UmBf|N-ZE#xwcWN1sc;9f5{h`tN^gcdw<)IXP)GK+HDlMfWxmMV4{15>?UqVU)5tbCw~-(?4qCM13;5L0(~P`!#)|gVPLc6fQeQqkeC2!0(1nrUVDra-c_wuQ$fQn_PGSBa4X~kc&Ze{f!J@)rplA% z+=5XkV5#c`IyDnl?qCmaChX-h(1*G=yU&MwEhA0DI-DYblBycgh*NftEXm% zyE!tM4B40$rZ=xw603U>-=w^Qmy!~h55L+Md@&CjXl1mZ8%VUL$ZehTzI`J|hoII2 zi^&6?r@4y|r22(d5^)bIE35G0#Z@udew+KppqDDeOv#$?!RZWhUhNg!Bp&|=3d+~X z9qY=K5;{6@SO7d{BjR5S%ELpoz#T(NHH{|MMS6secj~vtDKw(KU5~>%w)+!|uyn48 z_>P36@Hbo`+V(pn;b{xnRO4dKT6@`q_3p8DW5C)GjAT$j4v`*azaR1muwc|c3P&&3 zOvZuvs7cn$FuCvV#M0{nHge{3WNPcW$$w60wB<;3&UHvS-%Gzy^s%TMnT7zp|4>eQbJ5sViy0|;9InAh`~b&0~ew z0D7qFOw%?rCZ-<6Z>p8;_|f3`^?~+EoOH&bMg9h_L#l7^_SJ>I{3qjYbs-Qo8(T&z zzE?DI4&kS!7;cl&beG<=@O31`-+X|qf#_>sZRa1T`F