From 439d5659b816a9de7e9077a34b661e44adfa440a Mon Sep 17 00:00:00 2001 From: Aaron Taylor Date: Fri, 19 Jul 2019 15:56:00 -0700 Subject: [PATCH] Added some debugging output to `vvi` when jumping to uninitialized labels. --- vv_interpreter.c | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/vv_interpreter.c b/vv_interpreter.c index 1d5d906..6dde3e8 100644 --- a/vv_interpreter.c +++ b/vv_interpreter.c @@ -126,7 +126,10 @@ parse_label(uint8_t * code, size_t * pc) uint16_t check_label(size_t * labels, uint16_t label, size_t * pc) { - if(!labels[label]) ws_die(pc, "uninitialized label (forgot an include?)"); + if (!labels[label]) { + fprintf(stderr, "Trying to process label 0x%X.\n", label); + ws_die(pc, "uninitialized label (forgot an include?)"); + } return label; } -- 2.20.1