Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / fpga / rtl / t2.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: t2.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35`timescale 1 ns / 100 ps
36module t2(sys_interrupt_source_i , ccx_lstg_in, ccx_rstg_in,
37 cluster_arst_l,
38 cpu_rep0_in, cpu_rep1_in,
39 gclk,
40 sctag2_cpx_atom_cq,
41 sctag2_cpx_data_ca, sctag2_cpx_req_cq, sctag2_pcx_stall_pq,
42 sctag3_cpx_atom_cq, sctag3_cpx_data_ca, sctag3_cpx_req_cq,
43 sctag3_pcx_stall_pq, sctag4_cpx_atom_cq, sctag4_cpx_data_ca,
44 sctag4_cpx_req_cq, sctag4_pcx_stall_pq, sctag5_cpx_atom_cq,
45 sctag5_cpx_data_ca, sctag5_cpx_req_cq, sctag5_pcx_stall_pq,
46 sctag6_cpx_atom_cq, sctag6_cpx_data_ca, sctag6_cpx_req_cq,
47 sctag6_pcx_stall_pq, sctag7_cpx_atom_cq, sctag7_cpx_data_ca,
48 sctag7_cpx_req_cq, sctag7_pcx_stall_pq, spc1_pcx_atm_pq,
49 spc1_pcx_data_pa, spc1_pcx_req_pq, spc2_pcx_atm_pq, spc2_pcx_data_pa,
50 spc2_pcx_req_pq, spc3_pcx_atm_pq, spc3_pcx_data_pa, spc3_pcx_req_pq,
51 spc4_pcx_atm_pq, spc4_pcx_data_pa, spc4_pcx_req_pq, spc5_pcx_atm_pq,
52 spc5_pcx_data_pa, spc5_pcx_req_pq, spc6_pcx_atm_pq, spc6_pcx_data_pa,
53 spc6_pcx_req_pq, spc7_pcx_atm_pq, spc7_pcx_data_pa, spc7_pcx_req_pq,
54 tcu_aclk,
55 wbm_ack_i0, wbm_ack_i1,
56 wbm_ack_i8, wbm_data_i0, wbm_data_i1, wbm_data_i8, ccx_lstg_out,
57 ccx_rstg_out, cpu_rep0_out, cpu_rep1_out, cpx_io_grant_cx,
58 cpx_sctag0_grant_cx, cpx_sctag1_grant_cx, cpx_sctag2_grant_cx,
59 cpx_sctag3_grant_cx, cpx_sctag4_grant_cx, cpx_sctag5_grant_cx,
60 cpx_sctag6_grant_cx, cpx_sctag7_grant_cx, cpx_spc1_data_cx2,
61 cpx_spc2_data_cx2, cpx_spc3_data_cx2, cpx_spc4_data_cx2,
62 cpx_spc5_data_cx2, cpx_spc6_data_cx2, cpx_spc7_data_cx2, dmo_dout,
63 pcx_sctag2_atm_px1, pcx_sctag2_data_px2, pcx_sctag2_data_rdy_px1,
64 pcx_sctag3_atm_px1, pcx_sctag3_data_px2, pcx_sctag3_data_rdy_px1,
65 pcx_sctag4_atm_px1, pcx_sctag4_data_px2, pcx_sctag4_data_rdy_px1,
66 pcx_sctag5_atm_px1, pcx_sctag5_data_px2, pcx_sctag5_data_rdy_px1,
67 pcx_sctag6_atm_px1, pcx_sctag6_data_px2, pcx_sctag6_data_rdy_px1,
68 pcx_sctag7_atm_px1, pcx_sctag7_data_px2, pcx_sctag7_data_rdy_px1,
69 pcx_spc1_grant_px, pcx_spc2_grant_px, pcx_spc3_grant_px,
70 pcx_spc4_grant_px, pcx_spc5_grant_px, pcx_spc6_grant_px,
71 pcx_spc7_grant_px, scan_out, spc_core_running_status,
72 spc_dbg_instr_cmt_grp0, spc_dbg_instr_cmt_grp1, spc_efu_fuse_ddata,
73 spc_efu_fuse_dxfer_en, spc_efu_fuse_idata, spc_efu_fuse_ixfer_en,
74 spc_grant_o, spc_grant_o_8, spc_hardstop_request, spc_mbist_done,
75 spc_mbist_fail, spc_shscan_scan_out, spc_softstop_request,
76 spc_ss_complete, spc_tcu_lbist_done, spc_tcu_lbist_scan_out,
77 spc_tcu_mbist_scan_out, spc_trigger_pulse, wbm_addr_o0, wbm_addr_o1,
78 wbm_addr_o8, wbm_cycle_o0, wbm_cycle_o1, wbm_cycle_o8, wbm_data_o0,
79 wbm_data_o1, wbm_data_o8, wbm_sel_o0, wbm_sel_o1, wbm_sel_o8,
80 wbm_strobe_o0, wbm_strobe_o1, wbm_strobe_o8, wbm_we_o0, wbm_we_o1,
81 wbm_we_o8);
82
83 input [5:0] sys_interrupt_source_i;
84
85
86 input [159:0] ccx_lstg_in;
87 input [159:0] ccx_rstg_in;
88 input cluster_arst_l;
89 input [191:0] cpu_rep0_in;
90 input [191:0] cpu_rep1_in;
91 input gclk;
92 input sctag2_cpx_atom_cq;
93 input [145:0] sctag2_cpx_data_ca;
94 input [7:0] sctag2_cpx_req_cq;
95 input sctag2_pcx_stall_pq;
96 input sctag3_cpx_atom_cq;
97 input [145:0] sctag3_cpx_data_ca;
98 input [7:0] sctag3_cpx_req_cq;
99 input sctag3_pcx_stall_pq;
100 input sctag4_cpx_atom_cq;
101 input [145:0] sctag4_cpx_data_ca;
102 input [7:0] sctag4_cpx_req_cq;
103 input sctag4_pcx_stall_pq;
104 input sctag5_cpx_atom_cq;
105 input [145:0] sctag5_cpx_data_ca;
106 input [7:0] sctag5_cpx_req_cq;
107 input sctag5_pcx_stall_pq;
108 input sctag6_cpx_atom_cq;
109 input [145:0] sctag6_cpx_data_ca;
110 input [7:0] sctag6_cpx_req_cq;
111 input sctag6_pcx_stall_pq;
112 input sctag7_cpx_atom_cq;
113 input [145:0] sctag7_cpx_data_ca;
114 input [7:0] sctag7_cpx_req_cq;
115 input sctag7_pcx_stall_pq;
116 input [8:0] spc1_pcx_atm_pq;
117 input [129:0] spc1_pcx_data_pa;
118 input [8:0] spc1_pcx_req_pq;
119 input [8:0] spc2_pcx_atm_pq;
120 input [129:0] spc2_pcx_data_pa;
121 input [8:0] spc2_pcx_req_pq;
122 input [8:0] spc3_pcx_atm_pq;
123 input [129:0] spc3_pcx_data_pa;
124 input [8:0] spc3_pcx_req_pq;
125 input [8:0] spc4_pcx_atm_pq;
126 input [129:0] spc4_pcx_data_pa;
127 input [8:0] spc4_pcx_req_pq;
128 input [8:0] spc5_pcx_atm_pq;
129 input [129:0] spc5_pcx_data_pa;
130 input [8:0] spc5_pcx_req_pq;
131 input [8:0] spc6_pcx_atm_pq;
132 input [129:0] spc6_pcx_data_pa;
133 input [8:0] spc6_pcx_req_pq;
134 input [8:0] spc7_pcx_atm_pq;
135 input [129:0] spc7_pcx_data_pa;
136 input [8:0] spc7_pcx_req_pq;
137 input tcu_aclk;
138 input wbm_ack_i0;
139 input wbm_ack_i1;
140 input wbm_ack_i8;
141 input [(64 - 1):0] wbm_data_i0;
142 input [(64 - 1):0] wbm_data_i1;
143 input [(64 - 1):0] wbm_data_i8;
144 output [159:0] ccx_lstg_out;
145 output [159:0] ccx_rstg_out;
146 output [191:0] cpu_rep0_out;
147 output [191:0] cpu_rep1_out;
148 output [7:0] cpx_io_grant_cx;
149 output [7:0] cpx_sctag0_grant_cx;
150 output [7:0] cpx_sctag1_grant_cx;
151 output [7:0] cpx_sctag2_grant_cx;
152 output [7:0] cpx_sctag3_grant_cx;
153 output [7:0] cpx_sctag4_grant_cx;
154 output [7:0] cpx_sctag5_grant_cx;
155 output [7:0] cpx_sctag6_grant_cx;
156 output [7:0] cpx_sctag7_grant_cx;
157 output [145:0] cpx_spc1_data_cx2;
158 output [145:0] cpx_spc2_data_cx2;
159 output [145:0] cpx_spc3_data_cx2;
160 output [145:0] cpx_spc4_data_cx2;
161 output [145:0] cpx_spc5_data_cx2;
162 output [145:0] cpx_spc6_data_cx2;
163 output [145:0] cpx_spc7_data_cx2;
164 output [35:0] dmo_dout;
165 output pcx_sctag2_atm_px1;
166 output [129:0] pcx_sctag2_data_px2;
167 output pcx_sctag2_data_rdy_px1;
168 output pcx_sctag3_atm_px1;
169 output [129:0] pcx_sctag3_data_px2;
170 output pcx_sctag3_data_rdy_px1;
171 output pcx_sctag4_atm_px1;
172 output [129:0] pcx_sctag4_data_px2;
173 output pcx_sctag4_data_rdy_px1;
174 output pcx_sctag5_atm_px1;
175 output [129:0] pcx_sctag5_data_px2;
176 output pcx_sctag5_data_rdy_px1;
177 output pcx_sctag6_atm_px1;
178 output [129:0] pcx_sctag6_data_px2;
179 output pcx_sctag6_data_rdy_px1;
180 output pcx_sctag7_atm_px1;
181 output [129:0] pcx_sctag7_data_px2;
182 output pcx_sctag7_data_rdy_px1;
183 output [8:0] pcx_spc1_grant_px;
184 output [8:0] pcx_spc2_grant_px;
185 output [8:0] pcx_spc3_grant_px;
186 output [8:0] pcx_spc4_grant_px;
187 output [8:0] pcx_spc5_grant_px;
188 output [8:0] pcx_spc6_grant_px;
189 output [8:0] pcx_spc7_grant_px;
190 output [1:0] scan_out;
191 output [7:0] spc_core_running_status;
192 output [1:0] spc_dbg_instr_cmt_grp0;
193 output [1:0] spc_dbg_instr_cmt_grp1;
194 output spc_efu_fuse_ddata;
195 output spc_efu_fuse_dxfer_en;
196 output spc_efu_fuse_idata;
197 output spc_efu_fuse_ixfer_en;
198 output [4:0] spc_grant_o;
199 output spc_grant_o_8;
200 output spc_hardstop_request;
201 output spc_mbist_done;
202 output spc_mbist_fail;
203 output spc_shscan_scan_out;
204 output spc_softstop_request;
205 output spc_ss_complete;
206 output spc_tcu_lbist_done;
207 output spc_tcu_lbist_scan_out;
208 output spc_tcu_mbist_scan_out;
209 output spc_trigger_pulse;
210 output [(64 - 1):0] wbm_addr_o0;
211 output [(64 - 1):0] wbm_addr_o1;
212 output [(64 - 1):0] wbm_addr_o8;
213 output wbm_cycle_o0;
214 output wbm_cycle_o1;
215 output wbm_cycle_o8;
216 output [(64 - 1):0] wbm_data_o0;
217 output [(64 - 1):0] wbm_data_o1;
218 output [(64 - 1):0] wbm_data_o8;
219 output [((64 / 8) - 1):0]
220 wbm_sel_o0;
221 output [((64 / 8) - 1):0]
222 wbm_sel_o1;
223 output [((64 / 8) - 1):0]
224 wbm_sel_o8;
225 output wbm_strobe_o0;
226 output wbm_strobe_o1;
227 output wbm_strobe_o8;
228 output wbm_we_o0;
229 output wbm_we_o1;
230 output wbm_we_o8;
231
232 wire [145:0] cpx_spc0_data_cx2;
233 wire [145:0] io_cpx_data_ca;
234 wire [7:0] io_cpx_req_cq;
235 wire io_pcx_stall_pq;
236 wire [129:0] pcx_fpio_data_px2;
237 wire pcx_sctag0_atm_px1;
238 wire pcx_sctag0_data_rdy_px1;
239 wire pcx_sctag1_atm_px1;
240 wire pcx_sctag1_data_rdy_px1;
241 wire [8:0] pcx_spc0_grant_px;
242 wire sctag0_pcx_stall_pq;
243 wire sctag1_pcx_stall_pq;
244 wire [8:0] spc0_pcx_atm_pq;
245 wire [129:0] spc0_pcx_data_pa;
246 wire [8:0] spc0_pcx_req_pq;
247 wire [145:0] spc_packetin_o_0;
248 wire [145:0] spc_packetin_o_1;
249 wire [129:0] spc_packetout_i_0;
250 wire [129:0] spc_packetout_i_1;
251 wire spc_ready_o_0;
252 wire spc_ready_o_1;
253 wire spc_req_i_8;
254
255//.
256 //. wire [5:0] sys_interrupt_source_i = 6'h0 ;
257 wire tcu_clk_stop = 0;
258 wire tcu_dectest = 1;
259 wire tcu_muxtest = 1;
260 wire tcu_array_wr_inhibit = 0;
261 wire tcu_se_scancollar_in = 0;
262 wire tcu_se_scancollar_out = 0;
263 wire rst_wmr_protect = 0;
264 wire tcu_shscan_pce_ov = 0;
265 wire tcu_shscan_clk_stop = 0;
266 wire tcu_shscan_aclk = 0;
267 wire tcu_shscan_bclk = 0;
268 wire tcu_shscan_scan_in = 0;
269 wire tcu_shscan_scan_en = 0;
270 wire [2:0] tcu_shscanid = 0;
271 wire tcu_spc_mbist_scan_in = 0;
272 wire tcu_mbist_bisi_en = 0;
273 wire tcu_spc_mbist_start = 0;
274 wire tcu_mbist_user_mode = 0;
275 wire [2:0] const_cpuid = 0;
276 wire tcu_ss_mode = 0;
277 wire tcu_do_mode = 0;
278 wire tcu_ss_request = 0;
279 wire ncu_cmp_tick_enable = 1;
280 wire ncu_wmr_vec_mask = 1;
281 wire ncu_spc_pm = 1;
282 wire ncu_spc_ba01 = 1;
283 wire ncu_spc_ba23 = 0;
284 wire ncu_spc_ba45 = 0;
285 wire ncu_spc_ba67 = 0;
286 wire efu_spc_fuse_data = 0;
287 wire efu_spc_fuse_ixfer_en = 0;
288 wire efu_spc_fuse_dxfer_en = 0;
289 wire efu_spc_fuse_iclr = 0;
290 wire efu_spc_fuse_dclr = 0;
291 wire vnw_ary0 = 1;
292 wire vnw_ary1 = 1;
293 wire tcu_spc_lbist_start = 0;
294 wire tcu_spc_lbist_scan_in = 0;
295 wire tcu_spc_lbist_pgm = 0;
296 wire tcu_spc_test_mode = 0;
297 wire [35:0] dmo_din = 36'b0;
298 wire dmo_coresel = 0;
299 wire dmo_icmuxctl = 0;
300 wire dmo_dcmuxctl = 0;
301 wire ncu_spc_l2_idx_hash_en = 0;
302 wire [7:0] tcu_core_running = 8'b1;
303 wire [2:0] power_throttle = 0;
304 wire [3:0] hver_mask_minor_rev = 0;
305 wire ccu_slow_cmp_sync_en = 1;
306 wire ccu_cmp_slow_sync_en = 1;
307 wire cmp_gclk_c2_ccx_left = gclk;
308 wire cmp_gclk_c2_ccx_right = gclk;
309 wire [1:0] scan_in = 0;
310 wire tcu_pce_ov = 0;
311 wire gl_ccx_clk_stop_left = 0;
312 wire gl_ccx_clk_stop_right = 0;
313 wire tcu_bclk = 0;
314 wire tcu_scan_en = 0;
315 wire tcu_atpg_mode = 0;
316
317
318 spc spc(
319 .gclk (gclk),
320 .scan_in (scan_in[1:0]),
321 .tcu_pce_ov (tcu_pce_ov),
322 .tcu_clk_stop (tcu_clk_stop),
323 .tcu_aclk (tcu_aclk),
324 .tcu_bclk (tcu_bclk),
325 .tcu_dectest (tcu_dectest),
326 .tcu_muxtest (tcu_muxtest),
327 .tcu_scan_en (tcu_scan_en),
328 .tcu_array_wr_inhibit (tcu_array_wr_inhibit),
329 .tcu_se_scancollar_in (tcu_se_scancollar_in),
330 .tcu_se_scancollar_out (tcu_se_scancollar_out),
331 .tcu_atpg_mode (tcu_atpg_mode),
332 .rst_wmr_protect (rst_wmr_protect),
333 .scan_out (),
334 .tcu_shscan_pce_ov (tcu_shscan_pce_ov),
335 .tcu_shscan_clk_stop (tcu_shscan_clk_stop),
336 .tcu_shscan_aclk (tcu_shscan_aclk),
337 .tcu_shscan_bclk (tcu_shscan_bclk),
338 .tcu_shscan_scan_in (tcu_shscan_scan_in),
339 .tcu_shscan_scan_en (tcu_shscan_scan_en),
340 .tcu_shscanid (tcu_shscanid[2:0]),
341 .spc_shscan_scan_out (spc_shscan_scan_out),
342 .cluster_arst_l (cluster_arst_l),
343 .tcu_spc_mbist_scan_in (tcu_spc_mbist_scan_in),
344 .tcu_mbist_bisi_en (tcu_mbist_bisi_en),
345 .tcu_spc_mbist_start (tcu_spc_mbist_start),
346 .tcu_mbist_user_mode (tcu_mbist_user_mode),
347 .spc_mbist_fail (spc_mbist_fail),
348 .spc_mbist_done (spc_mbist_done),
349 .spc_tcu_mbist_scan_out (spc_tcu_mbist_scan_out),
350 .const_cpuid (const_cpuid[2:0]),
351 .tcu_ss_mode (tcu_ss_mode),
352 .tcu_do_mode (tcu_do_mode),
353 .tcu_ss_request (tcu_ss_request),
354 .ncu_cmp_tick_enable (ncu_cmp_tick_enable),
355 .spc_ss_complete (spc_ss_complete),
356 .ncu_wmr_vec_mask (ncu_wmr_vec_mask),
357 .spc_hardstop_request (spc_hardstop_request),
358 .spc_softstop_request (spc_softstop_request),
359 .spc_trigger_pulse (spc_trigger_pulse),
360 .ncu_spc_pm (ncu_spc_pm),
361 .ncu_spc_ba01 (ncu_spc_ba01),
362 .ncu_spc_ba23 (ncu_spc_ba23),
363 .ncu_spc_ba45 (ncu_spc_ba45),
364 .ncu_spc_ba67 (ncu_spc_ba67),
365 .efu_spc_fuse_data (efu_spc_fuse_data),
366 .efu_spc_fuse_ixfer_en (efu_spc_fuse_ixfer_en),
367 .efu_spc_fuse_dxfer_en (efu_spc_fuse_dxfer_en),
368 .efu_spc_fuse_iclr (efu_spc_fuse_iclr),
369 .efu_spc_fuse_dclr (efu_spc_fuse_dclr),
370 .spc_efu_fuse_ddata (spc_efu_fuse_ddata),
371 .spc_efu_fuse_idata (spc_efu_fuse_idata),
372 .spc_efu_fuse_ixfer_en (spc_efu_fuse_ixfer_en),
373 .spc_efu_fuse_dxfer_en (spc_efu_fuse_dxfer_en),
374 .vnw_ary0 (vnw_ary0),
375 .vnw_ary1 (vnw_ary1),
376 .tcu_spc_lbist_start (tcu_spc_lbist_start),
377 .tcu_spc_lbist_scan_in (tcu_spc_lbist_scan_in),
378 .tcu_spc_lbist_pgm (tcu_spc_lbist_pgm),
379 .tcu_spc_test_mode (tcu_spc_test_mode),
380 .spc_tcu_lbist_done (spc_tcu_lbist_done),
381 .spc_tcu_lbist_scan_out (spc_tcu_lbist_scan_out),
382 .dmo_din (dmo_din[35:0]),
383 .dmo_coresel (dmo_coresel),
384 .dmo_icmuxctl (dmo_icmuxctl),
385 .dmo_dcmuxctl (dmo_dcmuxctl),
386 .dmo_dout (dmo_dout[35:0]),
387 .ncu_spc_l2_idx_hash_en (ncu_spc_l2_idx_hash_en),
388 .cpx_spc_data_cx (cpx_spc0_data_cx2),
389 .pcx_spc_grant_px (pcx_spc0_grant_px),
390 .spc_pcx_req_pq (spc0_pcx_req_pq),
391 .spc_pcx_atm_pq (spc0_pcx_atm_pq),
392 .spc_pcx_data_pa (spc0_pcx_data_pa),
393 .tcu_core_running (tcu_core_running[7:0]),
394 .spc_core_running_status (spc_core_running_status[7:0]),
395 .power_throttle (power_throttle[2:0]),
396 .spc_dbg_instr_cmt_grp0 (spc_dbg_instr_cmt_grp0[1:0]),
397 .spc_dbg_instr_cmt_grp1 (spc_dbg_instr_cmt_grp1[1:0]),
398 .hver_mask_minor_rev (hver_mask_minor_rev[3:0]),
399 .ccu_slow_cmp_sync_en (ccu_slow_cmp_sync_en),
400 .ccu_cmp_slow_sync_en (ccu_cmp_slow_sync_en));
401 spc2wbm spc2wbm0(
402 .sys_clock_i (gclk),
403 .sys_reset_i ((~cluster_arst_l)),
404 .sys_interrupt_source_i (sys_interrupt_source_i[5:0]),
405 .spc_req_i ({4'h0,pcx_sctag0_data_rdy_px1}),
406 .spc_atom_i (pcx_sctag0_atm_px1),
407 .spc_packetout_i (spc_packetout_i_0[129:0]),
408 .wbm_ack_i (wbm_ack_i0),
409 .wbm_data_i (wbm_data_i0[(64 - 1):0]),
410 .spc_grant_o (spc_grant_o[4:0]),
411 .spc_ready_o (spc_ready_o_0),
412 .spc_packetin_o (spc_packetin_o_0[145:0]),
413 .spc_stallreq_o (sctag0_pcx_stall_pq),
414 .wbm_cycle_o (wbm_cycle_o0),
415 .wbm_strobe_o (wbm_strobe_o0),
416 .wbm_we_o (wbm_we_o0),
417 .wbm_addr_o (wbm_addr_o0[(64 - 1):0]),
418 .wbm_data_o (wbm_data_o0[(64 - 1):0]),
419 .wbm_sel_o (wbm_sel_o0[((64 / 8) - 1):0]));
420 spc2wbm spc2wbm1(
421 .sys_clock_i (gclk),
422 .sys_reset_i ((~cluster_arst_l)),
423 .sys_interrupt_source_i (sys_interrupt_source_i[5:0]),
424 .spc_req_i ({4'h0,pcx_sctag1_data_rdy_px1}),
425 .spc_atom_i (pcx_sctag1_atm_px1),
426 .spc_packetout_i (spc_packetout_i_1[129:0]),
427 .wbm_ack_i (wbm_ack_i1),
428 .wbm_data_i (wbm_data_i1[(64 - 1):0]),
429 .spc_grant_o (),
430 .spc_ready_o (spc_ready_o_1),
431 .spc_packetin_o (spc_packetin_o_1[145:0]),
432 .spc_stallreq_o (sctag1_pcx_stall_pq),
433 .wbm_cycle_o (wbm_cycle_o1),
434 .wbm_strobe_o (wbm_strobe_o1),
435 .wbm_we_o (wbm_we_o1),
436 .wbm_addr_o (wbm_addr_o1[(64 - 1):0]),
437 .wbm_data_o (wbm_data_o1[(64 - 1):0]),
438 .wbm_sel_o (wbm_sel_o1[((64 / 8) - 1):0]));
439 spc2wbm spc2wbm_ncu(
440 .sys_clock_i (gclk),
441 .sys_reset_i ((~cluster_arst_l)),
442 .sys_interrupt_source_i (sys_interrupt_source_i[5:0]),
443 .spc_req_i ({4'h0,spc_req_i_8}),
444 .spc_atom_i (1'b0),
445 .spc_packetout_i (pcx_fpio_data_px2),
446 .wbm_ack_i (wbm_ack_i8),
447 .wbm_data_i (wbm_data_i8[(64 - 1):0]),
448 .spc_grant_o (spc_grant_o_8),
449 .spc_ready_o (io_cpx_req_cq),
450 .spc_packetin_o (io_cpx_data_ca),
451 .spc_stallreq_o (io_pcx_stall_pq),
452 .wbm_cycle_o (wbm_cycle_o8),
453 .wbm_strobe_o (wbm_strobe_o8),
454 .wbm_we_o (wbm_we_o8),
455 .wbm_addr_o (wbm_addr_o8[(64 - 1):0]),
456 .wbm_data_o (wbm_data_o8[(64 - 1):0]),
457 .wbm_sel_o (wbm_sel_o8[((64 / 8) - 1):0]));
458 ccx ccx(
459 .cmp_gclk_c2_ccx_left (cmp_gclk_c2_ccx_left),
460 .cmp_gclk_c2_ccx_right (cmp_gclk_c2_ccx_right),
461 .scan_in (scan_in[1:0]),
462 .tcu_pce_ov (tcu_pce_ov),
463 .gl_ccx_clk_stop_left (gl_ccx_clk_stop_left),
464 .gl_ccx_clk_stop_right (gl_ccx_clk_stop_right),
465 .tcu_aclk (tcu_aclk),
466 .tcu_bclk (tcu_bclk),
467 .tcu_scan_en (tcu_scan_en),
468 .cluster_arst_l (cluster_arst_l),
469 .tcu_atpg_mode (tcu_atpg_mode),
470 .scan_out (),
471 .cpu_rep0_in (cpu_rep0_in[191:0]),
472 .cpu_rep0_out (cpu_rep0_out[191:0]),
473 .cpu_rep1_in (cpu_rep1_in[191:0]),
474 .cpu_rep1_out (cpu_rep1_out[191:0]),
475 .ccx_lstg_in (ccx_lstg_in[159:0]),
476 .ccx_lstg_out (ccx_lstg_out[159:0]),
477 .ccx_rstg_in (ccx_rstg_in[159:0]),
478 .ccx_rstg_out (ccx_rstg_out[159:0]),
479 .spc0_pcx_data_pa (spc0_pcx_data_pa[129:0]),
480 .spc0_pcx_req_pq (spc0_pcx_req_pq[8:0]),
481 .spc0_pcx_atm_pq (spc0_pcx_atm_pq[8:0]),
482 .spc1_pcx_data_pa (spc1_pcx_data_pa[129:0]),
483 .spc1_pcx_req_pq (spc1_pcx_req_pq[8:0]),
484 .spc1_pcx_atm_pq (spc1_pcx_atm_pq[8:0]),
485 .spc2_pcx_data_pa (spc2_pcx_data_pa[129:0]),
486 .spc2_pcx_req_pq (spc2_pcx_req_pq[8:0]),
487 .spc2_pcx_atm_pq (spc2_pcx_atm_pq[8:0]),
488 .spc3_pcx_data_pa (spc3_pcx_data_pa[129:0]),
489 .spc3_pcx_req_pq (spc3_pcx_req_pq[8:0]),
490 .spc3_pcx_atm_pq (spc3_pcx_atm_pq[8:0]),
491 .spc4_pcx_data_pa (spc4_pcx_data_pa[129:0]),
492 .spc4_pcx_req_pq (spc4_pcx_req_pq[8:0]),
493 .spc4_pcx_atm_pq (spc4_pcx_atm_pq[8:0]),
494 .spc5_pcx_data_pa (spc5_pcx_data_pa[129:0]),
495 .spc5_pcx_req_pq (spc5_pcx_req_pq[8:0]),
496 .spc5_pcx_atm_pq (spc5_pcx_atm_pq[8:0]),
497 .spc6_pcx_data_pa (spc6_pcx_data_pa[129:0]),
498 .spc6_pcx_req_pq (spc6_pcx_req_pq[8:0]),
499 .spc6_pcx_atm_pq (spc6_pcx_atm_pq[8:0]),
500 .spc7_pcx_data_pa (spc7_pcx_data_pa[129:0]),
501 .spc7_pcx_req_pq (spc7_pcx_req_pq[8:0]),
502 .spc7_pcx_atm_pq (spc7_pcx_atm_pq[8:0]),
503 .io_pcx_stall_pq (io_pcx_stall_pq),
504 .sctag0_pcx_stall_pq (sctag0_pcx_stall_pq),
505 .sctag1_pcx_stall_pq (sctag1_pcx_stall_pq),
506 .sctag2_pcx_stall_pq (sctag2_pcx_stall_pq),
507 .sctag3_pcx_stall_pq (sctag3_pcx_stall_pq),
508 .sctag4_pcx_stall_pq (sctag4_pcx_stall_pq),
509 .sctag5_pcx_stall_pq (sctag5_pcx_stall_pq),
510 .sctag6_pcx_stall_pq (sctag6_pcx_stall_pq),
511 .sctag7_pcx_stall_pq (sctag7_pcx_stall_pq),
512 .pcx_spc0_grant_px (pcx_spc0_grant_px[8:0]),
513 .pcx_spc1_grant_px (pcx_spc1_grant_px[8:0]),
514 .pcx_spc2_grant_px (pcx_spc2_grant_px[8:0]),
515 .pcx_spc3_grant_px (pcx_spc3_grant_px[8:0]),
516 .pcx_spc4_grant_px (pcx_spc4_grant_px[8:0]),
517 .pcx_spc5_grant_px (pcx_spc5_grant_px[8:0]),
518 .pcx_spc6_grant_px (pcx_spc6_grant_px[8:0]),
519 .pcx_spc7_grant_px (pcx_spc7_grant_px[8:0]),
520 .pcx_fpio_data_px2 (pcx_fpio_data_px2[129:0]),
521 .pcx_fpio_data_rdy_px1 (spc_req_i_8),
522 .pcx_sctag0_atm_px1 (pcx_sctag0_atm_px1),
523 .pcx_sctag0_data_px2 (spc_packetout_i_0[129:0]),
524 .pcx_sctag0_data_rdy_px1 (pcx_sctag0_data_rdy_px1),
525 .pcx_sctag1_atm_px1 (pcx_sctag1_atm_px1),
526 .pcx_sctag1_data_px2 (spc_packetout_i_1[129:0]),
527 .pcx_sctag1_data_rdy_px1 (pcx_sctag1_data_rdy_px1),
528 .pcx_sctag2_atm_px1 (pcx_sctag2_atm_px1),
529 .pcx_sctag2_data_px2 (pcx_sctag2_data_px2[129:0]),
530 .pcx_sctag2_data_rdy_px1 (pcx_sctag2_data_rdy_px1),
531 .pcx_sctag3_atm_px1 (pcx_sctag3_atm_px1),
532 .pcx_sctag3_data_px2 (pcx_sctag3_data_px2[129:0]),
533 .pcx_sctag3_data_rdy_px1 (pcx_sctag3_data_rdy_px1),
534 .pcx_sctag4_atm_px1 (pcx_sctag4_atm_px1),
535 .pcx_sctag4_data_px2 (pcx_sctag4_data_px2[129:0]),
536 .pcx_sctag4_data_rdy_px1 (pcx_sctag4_data_rdy_px1),
537 .pcx_sctag5_atm_px1 (pcx_sctag5_atm_px1),
538 .pcx_sctag5_data_px2 (pcx_sctag5_data_px2[129:0]),
539 .pcx_sctag5_data_rdy_px1 (pcx_sctag5_data_rdy_px1),
540 .pcx_sctag6_atm_px1 (pcx_sctag6_atm_px1),
541 .pcx_sctag6_data_px2 (pcx_sctag6_data_px2[129:0]),
542 .pcx_sctag6_data_rdy_px1 (pcx_sctag6_data_rdy_px1),
543 .pcx_sctag7_atm_px1 (pcx_sctag7_atm_px1),
544 .pcx_sctag7_data_px2 (pcx_sctag7_data_px2[129:0]),
545 .pcx_sctag7_data_rdy_px1 (pcx_sctag7_data_rdy_px1),
546 .io_cpx_data_ca (io_cpx_data_ca[145:0]),
547 .io_cpx_req_cq (io_cpx_req_cq[7:0]),
548 .sctag0_cpx_atom_cq (1'b0),
549 .sctag0_cpx_data_ca (spc_packetin_o_0[145:0]),
550 .sctag0_cpx_req_cq (spc_ready_o_0),
551 .sctag1_cpx_atom_cq (1'b0),
552 .sctag1_cpx_data_ca (spc_packetin_o_1[145:0]),
553 .sctag1_cpx_req_cq (spc_ready_o_1),
554 .sctag2_cpx_atom_cq (sctag2_cpx_atom_cq),
555 .sctag2_cpx_data_ca (sctag2_cpx_data_ca[145:0]),
556 .sctag2_cpx_req_cq (sctag2_cpx_req_cq[7:0]),
557 .sctag3_cpx_atom_cq (sctag3_cpx_atom_cq),
558 .sctag3_cpx_data_ca (sctag3_cpx_data_ca[145:0]),
559 .sctag3_cpx_req_cq (sctag3_cpx_req_cq[7:0]),
560 .sctag4_cpx_atom_cq (sctag4_cpx_atom_cq),
561 .sctag4_cpx_data_ca (sctag4_cpx_data_ca[145:0]),
562 .sctag4_cpx_req_cq (sctag4_cpx_req_cq[7:0]),
563 .sctag5_cpx_atom_cq (sctag5_cpx_atom_cq),
564 .sctag5_cpx_data_ca (sctag5_cpx_data_ca[145:0]),
565 .sctag5_cpx_req_cq (sctag5_cpx_req_cq[7:0]),
566 .sctag6_cpx_atom_cq (sctag6_cpx_atom_cq),
567 .sctag6_cpx_data_ca (sctag6_cpx_data_ca[145:0]),
568 .sctag6_cpx_req_cq (sctag6_cpx_req_cq[7:0]),
569 .sctag7_cpx_atom_cq (sctag7_cpx_atom_cq),
570 .sctag7_cpx_data_ca (sctag7_cpx_data_ca[145:0]),
571 .sctag7_cpx_req_cq (sctag7_cpx_req_cq[7:0]),
572 .cpx_io_grant_cx (cpx_io_grant_cx[7:0]),
573 .cpx_sctag0_grant_cx (cpx_sctag0_grant_cx[7:0]),
574 .cpx_sctag1_grant_cx (cpx_sctag1_grant_cx[7:0]),
575 .cpx_sctag2_grant_cx (cpx_sctag2_grant_cx[7:0]),
576 .cpx_sctag3_grant_cx (cpx_sctag3_grant_cx[7:0]),
577 .cpx_sctag4_grant_cx (cpx_sctag4_grant_cx[7:0]),
578 .cpx_sctag5_grant_cx (cpx_sctag5_grant_cx[7:0]),
579 .cpx_sctag6_grant_cx (cpx_sctag6_grant_cx[7:0]),
580 .cpx_sctag7_grant_cx (cpx_sctag7_grant_cx[7:0]),
581 .cpx_spc0_data_cx2 (cpx_spc0_data_cx2[145:0]),
582 .cpx_spc1_data_cx2 (cpx_spc1_data_cx2[145:0]),
583 .cpx_spc2_data_cx2 (cpx_spc2_data_cx2[145:0]),
584 .cpx_spc3_data_cx2 (cpx_spc3_data_cx2[145:0]),
585 .cpx_spc4_data_cx2 (cpx_spc4_data_cx2[145:0]),
586 .cpx_spc5_data_cx2 (cpx_spc5_data_cx2[145:0]),
587 .cpx_spc6_data_cx2 (cpx_spc6_data_cx2[145:0]),
588 .cpx_spc7_data_cx2 (cpx_spc7_data_cx2[145:0]));
589endmodule
590