Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / dmu / rtl / dmu_imu_eqs_default_grp.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: dmu_imu_eqs_default_grp.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module dmu_imu_eqs_default_grp
36 (
37 clk,
38 eq_base_address_address_hw_read,
39 eq_base_address_select_pulse,
40 eq_ctrl_set_ext_select_0,
41 eq_ctrl_set_ext_select_1,
42 eq_ctrl_set_ext_select_2,
43 eq_ctrl_set_ext_select_3,
44 eq_ctrl_set_ext_select_4,
45 eq_ctrl_set_ext_select_5,
46 eq_ctrl_set_ext_select_6,
47 eq_ctrl_set_ext_select_7,
48 eq_ctrl_set_ext_select_8,
49 eq_ctrl_set_ext_select_9,
50 eq_ctrl_set_ext_select_10,
51 eq_ctrl_set_ext_select_11,
52 eq_ctrl_set_ext_select_12,
53 eq_ctrl_set_ext_select_13,
54 eq_ctrl_set_ext_select_14,
55 eq_ctrl_set_ext_select_15,
56 eq_ctrl_set_ext_select_16,
57 eq_ctrl_set_ext_select_17,
58 eq_ctrl_set_ext_select_18,
59 eq_ctrl_set_ext_select_19,
60 eq_ctrl_set_ext_select_20,
61 eq_ctrl_set_ext_select_21,
62 eq_ctrl_set_ext_select_22,
63 eq_ctrl_set_ext_select_23,
64 eq_ctrl_set_ext_select_24,
65 eq_ctrl_set_ext_select_25,
66 eq_ctrl_set_ext_select_26,
67 eq_ctrl_set_ext_select_27,
68 eq_ctrl_set_ext_select_28,
69 eq_ctrl_set_ext_select_29,
70 eq_ctrl_set_ext_select_30,
71 eq_ctrl_set_ext_select_31,
72 eq_ctrl_set_ext_select_32,
73 eq_ctrl_set_ext_select_33,
74 eq_ctrl_set_ext_select_34,
75 eq_ctrl_set_ext_select_35,
76 eq_ctrl_set_select_0,
77 eq_ctrl_set_select_1,
78 eq_ctrl_set_select_2,
79 eq_ctrl_set_select_3,
80 eq_ctrl_set_select_4,
81 eq_ctrl_set_select_5,
82 eq_ctrl_set_select_6,
83 eq_ctrl_set_select_7,
84 eq_ctrl_set_select_8,
85 eq_ctrl_set_select_9,
86 eq_ctrl_set_select_10,
87 eq_ctrl_set_select_11,
88 eq_ctrl_set_select_12,
89 eq_ctrl_set_select_13,
90 eq_ctrl_set_select_14,
91 eq_ctrl_set_select_15,
92 eq_ctrl_set_select_16,
93 eq_ctrl_set_select_17,
94 eq_ctrl_set_select_18,
95 eq_ctrl_set_select_19,
96 eq_ctrl_set_select_20,
97 eq_ctrl_set_select_21,
98 eq_ctrl_set_select_22,
99 eq_ctrl_set_select_23,
100 eq_ctrl_set_select_24,
101 eq_ctrl_set_select_25,
102 eq_ctrl_set_select_26,
103 eq_ctrl_set_select_27,
104 eq_ctrl_set_select_28,
105 eq_ctrl_set_select_29,
106 eq_ctrl_set_select_30,
107 eq_ctrl_set_select_31,
108 eq_ctrl_set_select_32,
109 eq_ctrl_set_select_33,
110 eq_ctrl_set_select_34,
111 eq_ctrl_set_select_35,
112 eq_ctrl_set_enoverr_ext_wr_data,
113 eq_ctrl_set_en_ext_wr_data,
114 eq_ctrl_clr_ext_select_0,
115 eq_ctrl_clr_ext_select_1,
116 eq_ctrl_clr_ext_select_2,
117 eq_ctrl_clr_ext_select_3,
118 eq_ctrl_clr_ext_select_4,
119 eq_ctrl_clr_ext_select_5,
120 eq_ctrl_clr_ext_select_6,
121 eq_ctrl_clr_ext_select_7,
122 eq_ctrl_clr_ext_select_8,
123 eq_ctrl_clr_ext_select_9,
124 eq_ctrl_clr_ext_select_10,
125 eq_ctrl_clr_ext_select_11,
126 eq_ctrl_clr_ext_select_12,
127 eq_ctrl_clr_ext_select_13,
128 eq_ctrl_clr_ext_select_14,
129 eq_ctrl_clr_ext_select_15,
130 eq_ctrl_clr_ext_select_16,
131 eq_ctrl_clr_ext_select_17,
132 eq_ctrl_clr_ext_select_18,
133 eq_ctrl_clr_ext_select_19,
134 eq_ctrl_clr_ext_select_20,
135 eq_ctrl_clr_ext_select_21,
136 eq_ctrl_clr_ext_select_22,
137 eq_ctrl_clr_ext_select_23,
138 eq_ctrl_clr_ext_select_24,
139 eq_ctrl_clr_ext_select_25,
140 eq_ctrl_clr_ext_select_26,
141 eq_ctrl_clr_ext_select_27,
142 eq_ctrl_clr_ext_select_28,
143 eq_ctrl_clr_ext_select_29,
144 eq_ctrl_clr_ext_select_30,
145 eq_ctrl_clr_ext_select_31,
146 eq_ctrl_clr_ext_select_32,
147 eq_ctrl_clr_ext_select_33,
148 eq_ctrl_clr_ext_select_34,
149 eq_ctrl_clr_ext_select_35,
150 eq_ctrl_clr_select_0,
151 eq_ctrl_clr_select_1,
152 eq_ctrl_clr_select_2,
153 eq_ctrl_clr_select_3,
154 eq_ctrl_clr_select_4,
155 eq_ctrl_clr_select_5,
156 eq_ctrl_clr_select_6,
157 eq_ctrl_clr_select_7,
158 eq_ctrl_clr_select_8,
159 eq_ctrl_clr_select_9,
160 eq_ctrl_clr_select_10,
161 eq_ctrl_clr_select_11,
162 eq_ctrl_clr_select_12,
163 eq_ctrl_clr_select_13,
164 eq_ctrl_clr_select_14,
165 eq_ctrl_clr_select_15,
166 eq_ctrl_clr_select_16,
167 eq_ctrl_clr_select_17,
168 eq_ctrl_clr_select_18,
169 eq_ctrl_clr_select_19,
170 eq_ctrl_clr_select_20,
171 eq_ctrl_clr_select_21,
172 eq_ctrl_clr_select_22,
173 eq_ctrl_clr_select_23,
174 eq_ctrl_clr_select_24,
175 eq_ctrl_clr_select_25,
176 eq_ctrl_clr_select_26,
177 eq_ctrl_clr_select_27,
178 eq_ctrl_clr_select_28,
179 eq_ctrl_clr_select_29,
180 eq_ctrl_clr_select_30,
181 eq_ctrl_clr_select_31,
182 eq_ctrl_clr_select_32,
183 eq_ctrl_clr_select_33,
184 eq_ctrl_clr_select_34,
185 eq_ctrl_clr_select_35,
186 eq_ctrl_clr_coverr_ext_wr_data,
187 eq_ctrl_clr_e2i_ext_wr_data,
188 eq_ctrl_clr_dis_ext_wr_data,
189 eq_state_select_0,
190 eq_state_select_1,
191 eq_state_select_2,
192 eq_state_select_3,
193 eq_state_select_4,
194 eq_state_select_5,
195 eq_state_select_6,
196 eq_state_select_7,
197 eq_state_select_8,
198 eq_state_select_9,
199 eq_state_select_10,
200 eq_state_select_11,
201 eq_state_select_12,
202 eq_state_select_13,
203 eq_state_select_14,
204 eq_state_select_15,
205 eq_state_select_16,
206 eq_state_select_17,
207 eq_state_select_18,
208 eq_state_select_19,
209 eq_state_select_20,
210 eq_state_select_21,
211 eq_state_select_22,
212 eq_state_select_23,
213 eq_state_select_24,
214 eq_state_select_25,
215 eq_state_select_26,
216 eq_state_select_27,
217 eq_state_select_28,
218 eq_state_select_29,
219 eq_state_select_30,
220 eq_state_select_31,
221 eq_state_select_32,
222 eq_state_select_33,
223 eq_state_select_34,
224 eq_state_select_35,
225 eq_state_ext_read_data_0,
226 eq_state_ext_read_data_1,
227 eq_state_ext_read_data_2,
228 eq_state_ext_read_data_3,
229 eq_state_ext_read_data_4,
230 eq_state_ext_read_data_5,
231 eq_state_ext_read_data_6,
232 eq_state_ext_read_data_7,
233 eq_state_ext_read_data_8,
234 eq_state_ext_read_data_9,
235 eq_state_ext_read_data_10,
236 eq_state_ext_read_data_11,
237 eq_state_ext_read_data_12,
238 eq_state_ext_read_data_13,
239 eq_state_ext_read_data_14,
240 eq_state_ext_read_data_15,
241 eq_state_ext_read_data_16,
242 eq_state_ext_read_data_17,
243 eq_state_ext_read_data_18,
244 eq_state_ext_read_data_19,
245 eq_state_ext_read_data_20,
246 eq_state_ext_read_data_21,
247 eq_state_ext_read_data_22,
248 eq_state_ext_read_data_23,
249 eq_state_ext_read_data_24,
250 eq_state_ext_read_data_25,
251 eq_state_ext_read_data_26,
252 eq_state_ext_read_data_27,
253 eq_state_ext_read_data_28,
254 eq_state_ext_read_data_29,
255 eq_state_ext_read_data_30,
256 eq_state_ext_read_data_31,
257 eq_state_ext_read_data_32,
258 eq_state_ext_read_data_33,
259 eq_state_ext_read_data_34,
260 eq_state_ext_read_data_35,
261 eq_tail_overr_hw_ld_0,
262 eq_tail_overr_hw_write_0,
263 eq_tail_tail_hw_ld_0,
264 eq_tail_tail_hw_write_0,
265 eq_tail_tail_hw_read_0,
266 eq_tail_overr_hw_ld_1,
267 eq_tail_overr_hw_write_1,
268 eq_tail_tail_hw_ld_1,
269 eq_tail_tail_hw_write_1,
270 eq_tail_tail_hw_read_1,
271 eq_tail_overr_hw_ld_2,
272 eq_tail_overr_hw_write_2,
273 eq_tail_tail_hw_ld_2,
274 eq_tail_tail_hw_write_2,
275 eq_tail_tail_hw_read_2,
276 eq_tail_overr_hw_ld_3,
277 eq_tail_overr_hw_write_3,
278 eq_tail_tail_hw_ld_3,
279 eq_tail_tail_hw_write_3,
280 eq_tail_tail_hw_read_3,
281 eq_tail_overr_hw_ld_4,
282 eq_tail_overr_hw_write_4,
283 eq_tail_tail_hw_ld_4,
284 eq_tail_tail_hw_write_4,
285 eq_tail_tail_hw_read_4,
286 eq_tail_overr_hw_ld_5,
287 eq_tail_overr_hw_write_5,
288 eq_tail_tail_hw_ld_5,
289 eq_tail_tail_hw_write_5,
290 eq_tail_tail_hw_read_5,
291 eq_tail_overr_hw_ld_6,
292 eq_tail_overr_hw_write_6,
293 eq_tail_tail_hw_ld_6,
294 eq_tail_tail_hw_write_6,
295 eq_tail_tail_hw_read_6,
296 eq_tail_overr_hw_ld_7,
297 eq_tail_overr_hw_write_7,
298 eq_tail_tail_hw_ld_7,
299 eq_tail_tail_hw_write_7,
300 eq_tail_tail_hw_read_7,
301 eq_tail_overr_hw_ld_8,
302 eq_tail_overr_hw_write_8,
303 eq_tail_tail_hw_ld_8,
304 eq_tail_tail_hw_write_8,
305 eq_tail_tail_hw_read_8,
306 eq_tail_overr_hw_ld_9,
307 eq_tail_overr_hw_write_9,
308 eq_tail_tail_hw_ld_9,
309 eq_tail_tail_hw_write_9,
310 eq_tail_tail_hw_read_9,
311 eq_tail_overr_hw_ld_10,
312 eq_tail_overr_hw_write_10,
313 eq_tail_tail_hw_ld_10,
314 eq_tail_tail_hw_write_10,
315 eq_tail_tail_hw_read_10,
316 eq_tail_overr_hw_ld_11,
317 eq_tail_overr_hw_write_11,
318 eq_tail_tail_hw_ld_11,
319 eq_tail_tail_hw_write_11,
320 eq_tail_tail_hw_read_11,
321 eq_tail_overr_hw_ld_12,
322 eq_tail_overr_hw_write_12,
323 eq_tail_tail_hw_ld_12,
324 eq_tail_tail_hw_write_12,
325 eq_tail_tail_hw_read_12,
326 eq_tail_overr_hw_ld_13,
327 eq_tail_overr_hw_write_13,
328 eq_tail_tail_hw_ld_13,
329 eq_tail_tail_hw_write_13,
330 eq_tail_tail_hw_read_13,
331 eq_tail_overr_hw_ld_14,
332 eq_tail_overr_hw_write_14,
333 eq_tail_tail_hw_ld_14,
334 eq_tail_tail_hw_write_14,
335 eq_tail_tail_hw_read_14,
336 eq_tail_overr_hw_ld_15,
337 eq_tail_overr_hw_write_15,
338 eq_tail_tail_hw_ld_15,
339 eq_tail_tail_hw_write_15,
340 eq_tail_tail_hw_read_15,
341 eq_tail_overr_hw_ld_16,
342 eq_tail_overr_hw_write_16,
343 eq_tail_tail_hw_ld_16,
344 eq_tail_tail_hw_write_16,
345 eq_tail_tail_hw_read_16,
346 eq_tail_overr_hw_ld_17,
347 eq_tail_overr_hw_write_17,
348 eq_tail_tail_hw_ld_17,
349 eq_tail_tail_hw_write_17,
350 eq_tail_tail_hw_read_17,
351 eq_tail_overr_hw_ld_18,
352 eq_tail_overr_hw_write_18,
353 eq_tail_tail_hw_ld_18,
354 eq_tail_tail_hw_write_18,
355 eq_tail_tail_hw_read_18,
356 eq_tail_overr_hw_ld_19,
357 eq_tail_overr_hw_write_19,
358 eq_tail_tail_hw_ld_19,
359 eq_tail_tail_hw_write_19,
360 eq_tail_tail_hw_read_19,
361 eq_tail_overr_hw_ld_20,
362 eq_tail_overr_hw_write_20,
363 eq_tail_tail_hw_ld_20,
364 eq_tail_tail_hw_write_20,
365 eq_tail_tail_hw_read_20,
366 eq_tail_overr_hw_ld_21,
367 eq_tail_overr_hw_write_21,
368 eq_tail_tail_hw_ld_21,
369 eq_tail_tail_hw_write_21,
370 eq_tail_tail_hw_read_21,
371 eq_tail_overr_hw_ld_22,
372 eq_tail_overr_hw_write_22,
373 eq_tail_tail_hw_ld_22,
374 eq_tail_tail_hw_write_22,
375 eq_tail_tail_hw_read_22,
376 eq_tail_overr_hw_ld_23,
377 eq_tail_overr_hw_write_23,
378 eq_tail_tail_hw_ld_23,
379 eq_tail_tail_hw_write_23,
380 eq_tail_tail_hw_read_23,
381 eq_tail_overr_hw_ld_24,
382 eq_tail_overr_hw_write_24,
383 eq_tail_tail_hw_ld_24,
384 eq_tail_tail_hw_write_24,
385 eq_tail_tail_hw_read_24,
386 eq_tail_overr_hw_ld_25,
387 eq_tail_overr_hw_write_25,
388 eq_tail_tail_hw_ld_25,
389 eq_tail_tail_hw_write_25,
390 eq_tail_tail_hw_read_25,
391 eq_tail_overr_hw_ld_26,
392 eq_tail_overr_hw_write_26,
393 eq_tail_tail_hw_ld_26,
394 eq_tail_tail_hw_write_26,
395 eq_tail_tail_hw_read_26,
396 eq_tail_overr_hw_ld_27,
397 eq_tail_overr_hw_write_27,
398 eq_tail_tail_hw_ld_27,
399 eq_tail_tail_hw_write_27,
400 eq_tail_tail_hw_read_27,
401 eq_tail_overr_hw_ld_28,
402 eq_tail_overr_hw_write_28,
403 eq_tail_tail_hw_ld_28,
404 eq_tail_tail_hw_write_28,
405 eq_tail_tail_hw_read_28,
406 eq_tail_overr_hw_ld_29,
407 eq_tail_overr_hw_write_29,
408 eq_tail_tail_hw_ld_29,
409 eq_tail_tail_hw_write_29,
410 eq_tail_tail_hw_read_29,
411 eq_tail_overr_hw_ld_30,
412 eq_tail_overr_hw_write_30,
413 eq_tail_tail_hw_ld_30,
414 eq_tail_tail_hw_write_30,
415 eq_tail_tail_hw_read_30,
416 eq_tail_overr_hw_ld_31,
417 eq_tail_overr_hw_write_31,
418 eq_tail_tail_hw_ld_31,
419 eq_tail_tail_hw_write_31,
420 eq_tail_tail_hw_read_31,
421 eq_tail_overr_hw_ld_32,
422 eq_tail_overr_hw_write_32,
423 eq_tail_tail_hw_ld_32,
424 eq_tail_tail_hw_write_32,
425 eq_tail_tail_hw_read_32,
426 eq_tail_overr_hw_ld_33,
427 eq_tail_overr_hw_write_33,
428 eq_tail_tail_hw_ld_33,
429 eq_tail_tail_hw_write_33,
430 eq_tail_tail_hw_read_33,
431 eq_tail_overr_hw_ld_34,
432 eq_tail_overr_hw_write_34,
433 eq_tail_tail_hw_ld_34,
434 eq_tail_tail_hw_write_34,
435 eq_tail_tail_hw_read_34,
436 eq_tail_overr_hw_ld_35,
437 eq_tail_overr_hw_write_35,
438 eq_tail_tail_hw_ld_35,
439 eq_tail_tail_hw_write_35,
440 eq_tail_tail_hw_read_35,
441 eq_tail_select_pulse_0,
442 eq_tail_select_pulse_1,
443 eq_tail_select_pulse_2,
444 eq_tail_select_pulse_3,
445 eq_tail_select_pulse_4,
446 eq_tail_select_pulse_5,
447 eq_tail_select_pulse_6,
448 eq_tail_select_pulse_7,
449 eq_tail_select_pulse_8,
450 eq_tail_select_pulse_9,
451 eq_tail_select_pulse_10,
452 eq_tail_select_pulse_11,
453 eq_tail_select_pulse_12,
454 eq_tail_select_pulse_13,
455 eq_tail_select_pulse_14,
456 eq_tail_select_pulse_15,
457 eq_tail_select_pulse_16,
458 eq_tail_select_pulse_17,
459 eq_tail_select_pulse_18,
460 eq_tail_select_pulse_19,
461 eq_tail_select_pulse_20,
462 eq_tail_select_pulse_21,
463 eq_tail_select_pulse_22,
464 eq_tail_select_pulse_23,
465 eq_tail_select_pulse_24,
466 eq_tail_select_pulse_25,
467 eq_tail_select_pulse_26,
468 eq_tail_select_pulse_27,
469 eq_tail_select_pulse_28,
470 eq_tail_select_pulse_29,
471 eq_tail_select_pulse_30,
472 eq_tail_select_pulse_31,
473 eq_tail_select_pulse_32,
474 eq_tail_select_pulse_33,
475 eq_tail_select_pulse_34,
476 eq_tail_select_pulse_35,
477 eq_head_head_hw_read_0,
478 eq_head_head_hw_read_1,
479 eq_head_head_hw_read_2,
480 eq_head_head_hw_read_3,
481 eq_head_head_hw_read_4,
482 eq_head_head_hw_read_5,
483 eq_head_head_hw_read_6,
484 eq_head_head_hw_read_7,
485 eq_head_head_hw_read_8,
486 eq_head_head_hw_read_9,
487 eq_head_head_hw_read_10,
488 eq_head_head_hw_read_11,
489 eq_head_head_hw_read_12,
490 eq_head_head_hw_read_13,
491 eq_head_head_hw_read_14,
492 eq_head_head_hw_read_15,
493 eq_head_head_hw_read_16,
494 eq_head_head_hw_read_17,
495 eq_head_head_hw_read_18,
496 eq_head_head_hw_read_19,
497 eq_head_head_hw_read_20,
498 eq_head_head_hw_read_21,
499 eq_head_head_hw_read_22,
500 eq_head_head_hw_read_23,
501 eq_head_head_hw_read_24,
502 eq_head_head_hw_read_25,
503 eq_head_head_hw_read_26,
504 eq_head_head_hw_read_27,
505 eq_head_head_hw_read_28,
506 eq_head_head_hw_read_29,
507 eq_head_head_hw_read_30,
508 eq_head_head_hw_read_31,
509 eq_head_head_hw_read_32,
510 eq_head_head_hw_read_33,
511 eq_head_head_hw_read_34,
512 eq_head_head_hw_read_35,
513 eq_head_select_pulse_0,
514 eq_head_select_pulse_1,
515 eq_head_select_pulse_2,
516 eq_head_select_pulse_3,
517 eq_head_select_pulse_4,
518 eq_head_select_pulse_5,
519 eq_head_select_pulse_6,
520 eq_head_select_pulse_7,
521 eq_head_select_pulse_8,
522 eq_head_select_pulse_9,
523 eq_head_select_pulse_10,
524 eq_head_select_pulse_11,
525 eq_head_select_pulse_12,
526 eq_head_select_pulse_13,
527 eq_head_select_pulse_14,
528 eq_head_select_pulse_15,
529 eq_head_select_pulse_16,
530 eq_head_select_pulse_17,
531 eq_head_select_pulse_18,
532 eq_head_select_pulse_19,
533 eq_head_select_pulse_20,
534 eq_head_select_pulse_21,
535 eq_head_select_pulse_22,
536 eq_head_select_pulse_23,
537 eq_head_select_pulse_24,
538 eq_head_select_pulse_25,
539 eq_head_select_pulse_26,
540 eq_head_select_pulse_27,
541 eq_head_select_pulse_28,
542 eq_head_select_pulse_29,
543 eq_head_select_pulse_30,
544 eq_head_select_pulse_31,
545 eq_head_select_pulse_32,
546 eq_head_select_pulse_33,
547 eq_head_select_pulse_34,
548 eq_head_select_pulse_35,
549 rst_l,
550 daemon_csrbus_wr_in,
551 daemon_csrbus_wr_out,
552 daemon_csrbus_wr_data_in,
553 read_data_0_out
554 );
555
556//====================================================
557// Polarity declarations
558//====================================================
559input clk; // Clock signal
560output [`FIRE_DLC_IMU_EQS_CSR_EQ_BASE_ADDRESS_ADDRESS_INT_SLC] eq_base_address_address_hw_read;
561 // This signal provides the current value of eq_base_address_address.
562input eq_base_address_select_pulse; // select
563output eq_ctrl_set_ext_select_0; // When set, register eq_ctrl_set is selected.
564 // This signal is a pulse.
565output eq_ctrl_set_ext_select_1; // When set, register eq_ctrl_set is selected.
566 // This signal is a pulse.
567output eq_ctrl_set_ext_select_2; // When set, register eq_ctrl_set is selected.
568 // This signal is a pulse.
569output eq_ctrl_set_ext_select_3; // When set, register eq_ctrl_set is selected.
570 // This signal is a pulse.
571output eq_ctrl_set_ext_select_4; // When set, register eq_ctrl_set is selected.
572 // This signal is a pulse.
573output eq_ctrl_set_ext_select_5; // When set, register eq_ctrl_set is selected.
574 // This signal is a pulse.
575output eq_ctrl_set_ext_select_6; // When set, register eq_ctrl_set is selected.
576 // This signal is a pulse.
577output eq_ctrl_set_ext_select_7; // When set, register eq_ctrl_set is selected.
578 // This signal is a pulse.
579output eq_ctrl_set_ext_select_8; // When set, register eq_ctrl_set is selected.
580 // This signal is a pulse.
581output eq_ctrl_set_ext_select_9; // When set, register eq_ctrl_set is selected.
582 // This signal is a pulse.
583output eq_ctrl_set_ext_select_10; // When set, register eq_ctrl_set is
584 // selected. This signal is a pulse.
585output eq_ctrl_set_ext_select_11; // When set, register eq_ctrl_set is
586 // selected. This signal is a pulse.
587output eq_ctrl_set_ext_select_12; // When set, register eq_ctrl_set is
588 // selected. This signal is a pulse.
589output eq_ctrl_set_ext_select_13; // When set, register eq_ctrl_set is
590 // selected. This signal is a pulse.
591output eq_ctrl_set_ext_select_14; // When set, register eq_ctrl_set is
592 // selected. This signal is a pulse.
593output eq_ctrl_set_ext_select_15; // When set, register eq_ctrl_set is
594 // selected. This signal is a pulse.
595output eq_ctrl_set_ext_select_16; // When set, register eq_ctrl_set is
596 // selected. This signal is a pulse.
597output eq_ctrl_set_ext_select_17; // When set, register eq_ctrl_set is
598 // selected. This signal is a pulse.
599output eq_ctrl_set_ext_select_18; // When set, register eq_ctrl_set is
600 // selected. This signal is a pulse.
601output eq_ctrl_set_ext_select_19; // When set, register eq_ctrl_set is
602 // selected. This signal is a pulse.
603output eq_ctrl_set_ext_select_20; // When set, register eq_ctrl_set is
604 // selected. This signal is a pulse.
605output eq_ctrl_set_ext_select_21; // When set, register eq_ctrl_set is
606 // selected. This signal is a pulse.
607output eq_ctrl_set_ext_select_22; // When set, register eq_ctrl_set is
608 // selected. This signal is a pulse.
609output eq_ctrl_set_ext_select_23; // When set, register eq_ctrl_set is
610 // selected. This signal is a pulse.
611output eq_ctrl_set_ext_select_24; // When set, register eq_ctrl_set is
612 // selected. This signal is a pulse.
613output eq_ctrl_set_ext_select_25; // When set, register eq_ctrl_set is
614 // selected. This signal is a pulse.
615output eq_ctrl_set_ext_select_26; // When set, register eq_ctrl_set is
616 // selected. This signal is a pulse.
617output eq_ctrl_set_ext_select_27; // When set, register eq_ctrl_set is
618 // selected. This signal is a pulse.
619output eq_ctrl_set_ext_select_28; // When set, register eq_ctrl_set is
620 // selected. This signal is a pulse.
621output eq_ctrl_set_ext_select_29; // When set, register eq_ctrl_set is
622 // selected. This signal is a pulse.
623output eq_ctrl_set_ext_select_30; // When set, register eq_ctrl_set is
624 // selected. This signal is a pulse.
625output eq_ctrl_set_ext_select_31; // When set, register eq_ctrl_set is
626 // selected. This signal is a pulse.
627output eq_ctrl_set_ext_select_32; // When set, register eq_ctrl_set is
628 // selected. This signal is a pulse.
629output eq_ctrl_set_ext_select_33; // When set, register eq_ctrl_set is
630 // selected. This signal is a pulse.
631output eq_ctrl_set_ext_select_34; // When set, register eq_ctrl_set is
632 // selected. This signal is a pulse.
633output eq_ctrl_set_ext_select_35; // When set, register eq_ctrl_set is
634 // selected. This signal is a pulse.
635input eq_ctrl_set_select_0; // select
636input eq_ctrl_set_select_1; // select
637input eq_ctrl_set_select_2; // select
638input eq_ctrl_set_select_3; // select
639input eq_ctrl_set_select_4; // select
640input eq_ctrl_set_select_5; // select
641input eq_ctrl_set_select_6; // select
642input eq_ctrl_set_select_7; // select
643input eq_ctrl_set_select_8; // select
644input eq_ctrl_set_select_9; // select
645input eq_ctrl_set_select_10; // select
646input eq_ctrl_set_select_11; // select
647input eq_ctrl_set_select_12; // select
648input eq_ctrl_set_select_13; // select
649input eq_ctrl_set_select_14; // select
650input eq_ctrl_set_select_15; // select
651input eq_ctrl_set_select_16; // select
652input eq_ctrl_set_select_17; // select
653input eq_ctrl_set_select_18; // select
654input eq_ctrl_set_select_19; // select
655input eq_ctrl_set_select_20; // select
656input eq_ctrl_set_select_21; // select
657input eq_ctrl_set_select_22; // select
658input eq_ctrl_set_select_23; // select
659input eq_ctrl_set_select_24; // select
660input eq_ctrl_set_select_25; // select
661input eq_ctrl_set_select_26; // select
662input eq_ctrl_set_select_27; // select
663input eq_ctrl_set_select_28; // select
664input eq_ctrl_set_select_29; // select
665input eq_ctrl_set_select_30; // select
666input eq_ctrl_set_select_31; // select
667input eq_ctrl_set_select_32; // select
668input eq_ctrl_set_select_33; // select
669input eq_ctrl_set_select_34; // select
670input eq_ctrl_set_select_35; // select
671output eq_ctrl_set_enoverr_ext_wr_data; // Provides SW write data for external
672 // register "eq_ctrl_set", field
673 // "enoverr"
674output eq_ctrl_set_en_ext_wr_data; // Provides SW write data for external
675 // register "eq_ctrl_set", field "en"
676output eq_ctrl_clr_ext_select_0; // When set, register eq_ctrl_clr is selected.
677 // This signal is a pulse.
678output eq_ctrl_clr_ext_select_1; // When set, register eq_ctrl_clr is selected.
679 // This signal is a pulse.
680output eq_ctrl_clr_ext_select_2; // When set, register eq_ctrl_clr is selected.
681 // This signal is a pulse.
682output eq_ctrl_clr_ext_select_3; // When set, register eq_ctrl_clr is selected.
683 // This signal is a pulse.
684output eq_ctrl_clr_ext_select_4; // When set, register eq_ctrl_clr is selected.
685 // This signal is a pulse.
686output eq_ctrl_clr_ext_select_5; // When set, register eq_ctrl_clr is selected.
687 // This signal is a pulse.
688output eq_ctrl_clr_ext_select_6; // When set, register eq_ctrl_clr is selected.
689 // This signal is a pulse.
690output eq_ctrl_clr_ext_select_7; // When set, register eq_ctrl_clr is selected.
691 // This signal is a pulse.
692output eq_ctrl_clr_ext_select_8; // When set, register eq_ctrl_clr is selected.
693 // This signal is a pulse.
694output eq_ctrl_clr_ext_select_9; // When set, register eq_ctrl_clr is selected.
695 // This signal is a pulse.
696output eq_ctrl_clr_ext_select_10; // When set, register eq_ctrl_clr is
697 // selected. This signal is a pulse.
698output eq_ctrl_clr_ext_select_11; // When set, register eq_ctrl_clr is
699 // selected. This signal is a pulse.
700output eq_ctrl_clr_ext_select_12; // When set, register eq_ctrl_clr is
701 // selected. This signal is a pulse.
702output eq_ctrl_clr_ext_select_13; // When set, register eq_ctrl_clr is
703 // selected. This signal is a pulse.
704output eq_ctrl_clr_ext_select_14; // When set, register eq_ctrl_clr is
705 // selected. This signal is a pulse.
706output eq_ctrl_clr_ext_select_15; // When set, register eq_ctrl_clr is
707 // selected. This signal is a pulse.
708output eq_ctrl_clr_ext_select_16; // When set, register eq_ctrl_clr is
709 // selected. This signal is a pulse.
710output eq_ctrl_clr_ext_select_17; // When set, register eq_ctrl_clr is
711 // selected. This signal is a pulse.
712output eq_ctrl_clr_ext_select_18; // When set, register eq_ctrl_clr is
713 // selected. This signal is a pulse.
714output eq_ctrl_clr_ext_select_19; // When set, register eq_ctrl_clr is
715 // selected. This signal is a pulse.
716output eq_ctrl_clr_ext_select_20; // When set, register eq_ctrl_clr is
717 // selected. This signal is a pulse.
718output eq_ctrl_clr_ext_select_21; // When set, register eq_ctrl_clr is
719 // selected. This signal is a pulse.
720output eq_ctrl_clr_ext_select_22; // When set, register eq_ctrl_clr is
721 // selected. This signal is a pulse.
722output eq_ctrl_clr_ext_select_23; // When set, register eq_ctrl_clr is
723 // selected. This signal is a pulse.
724output eq_ctrl_clr_ext_select_24; // When set, register eq_ctrl_clr is
725 // selected. This signal is a pulse.
726output eq_ctrl_clr_ext_select_25; // When set, register eq_ctrl_clr is
727 // selected. This signal is a pulse.
728output eq_ctrl_clr_ext_select_26; // When set, register eq_ctrl_clr is
729 // selected. This signal is a pulse.
730output eq_ctrl_clr_ext_select_27; // When set, register eq_ctrl_clr is
731 // selected. This signal is a pulse.
732output eq_ctrl_clr_ext_select_28; // When set, register eq_ctrl_clr is
733 // selected. This signal is a pulse.
734output eq_ctrl_clr_ext_select_29; // When set, register eq_ctrl_clr is
735 // selected. This signal is a pulse.
736output eq_ctrl_clr_ext_select_30; // When set, register eq_ctrl_clr is
737 // selected. This signal is a pulse.
738output eq_ctrl_clr_ext_select_31; // When set, register eq_ctrl_clr is
739 // selected. This signal is a pulse.
740output eq_ctrl_clr_ext_select_32; // When set, register eq_ctrl_clr is
741 // selected. This signal is a pulse.
742output eq_ctrl_clr_ext_select_33; // When set, register eq_ctrl_clr is
743 // selected. This signal is a pulse.
744output eq_ctrl_clr_ext_select_34; // When set, register eq_ctrl_clr is
745 // selected. This signal is a pulse.
746output eq_ctrl_clr_ext_select_35; // When set, register eq_ctrl_clr is
747 // selected. This signal is a pulse.
748input eq_ctrl_clr_select_0; // select
749input eq_ctrl_clr_select_1; // select
750input eq_ctrl_clr_select_2; // select
751input eq_ctrl_clr_select_3; // select
752input eq_ctrl_clr_select_4; // select
753input eq_ctrl_clr_select_5; // select
754input eq_ctrl_clr_select_6; // select
755input eq_ctrl_clr_select_7; // select
756input eq_ctrl_clr_select_8; // select
757input eq_ctrl_clr_select_9; // select
758input eq_ctrl_clr_select_10; // select
759input eq_ctrl_clr_select_11; // select
760input eq_ctrl_clr_select_12; // select
761input eq_ctrl_clr_select_13; // select
762input eq_ctrl_clr_select_14; // select
763input eq_ctrl_clr_select_15; // select
764input eq_ctrl_clr_select_16; // select
765input eq_ctrl_clr_select_17; // select
766input eq_ctrl_clr_select_18; // select
767input eq_ctrl_clr_select_19; // select
768input eq_ctrl_clr_select_20; // select
769input eq_ctrl_clr_select_21; // select
770input eq_ctrl_clr_select_22; // select
771input eq_ctrl_clr_select_23; // select
772input eq_ctrl_clr_select_24; // select
773input eq_ctrl_clr_select_25; // select
774input eq_ctrl_clr_select_26; // select
775input eq_ctrl_clr_select_27; // select
776input eq_ctrl_clr_select_28; // select
777input eq_ctrl_clr_select_29; // select
778input eq_ctrl_clr_select_30; // select
779input eq_ctrl_clr_select_31; // select
780input eq_ctrl_clr_select_32; // select
781input eq_ctrl_clr_select_33; // select
782input eq_ctrl_clr_select_34; // select
783input eq_ctrl_clr_select_35; // select
784output eq_ctrl_clr_coverr_ext_wr_data; // Provides SW write data for external
785 // register "eq_ctrl_clr", field
786 // "coverr"
787output eq_ctrl_clr_e2i_ext_wr_data; // Provides SW write data for external
788 // register "eq_ctrl_clr", field "e2i"
789output eq_ctrl_clr_dis_ext_wr_data; // Provides SW write data for external
790 // register "eq_ctrl_clr", field "dis"
791input eq_state_select_0; // select
792input eq_state_select_1; // select
793input eq_state_select_2; // select
794input eq_state_select_3; // select
795input eq_state_select_4; // select
796input eq_state_select_5; // select
797input eq_state_select_6; // select
798input eq_state_select_7; // select
799input eq_state_select_8; // select
800input eq_state_select_9; // select
801input eq_state_select_10; // select
802input eq_state_select_11; // select
803input eq_state_select_12; // select
804input eq_state_select_13; // select
805input eq_state_select_14; // select
806input eq_state_select_15; // select
807input eq_state_select_16; // select
808input eq_state_select_17; // select
809input eq_state_select_18; // select
810input eq_state_select_19; // select
811input eq_state_select_20; // select
812input eq_state_select_21; // select
813input eq_state_select_22; // select
814input eq_state_select_23; // select
815input eq_state_select_24; // select
816input eq_state_select_25; // select
817input eq_state_select_26; // select
818input eq_state_select_27; // select
819input eq_state_select_28; // select
820input eq_state_select_29; // select
821input eq_state_select_30; // select
822input eq_state_select_31; // select
823input eq_state_select_32; // select
824input eq_state_select_33; // select
825input eq_state_select_34; // select
826input eq_state_select_35; // select
827input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_0; // Read Data
828input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_1; // Read Data
829input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_2; // Read Data
830input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_3; // Read Data
831input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_4; // Read Data
832input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_5; // Read Data
833input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_6; // Read Data
834input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_7; // Read Data
835input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_8; // Read Data
836input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_9; // Read Data
837input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_10;
838 // Read Data
839input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_11;
840 // Read Data
841input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_12;
842 // Read Data
843input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_13;
844 // Read Data
845input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_14;
846 // Read Data
847input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_15;
848 // Read Data
849input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_16;
850 // Read Data
851input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_17;
852 // Read Data
853input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_18;
854 // Read Data
855input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_19;
856 // Read Data
857input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_20;
858 // Read Data
859input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_21;
860 // Read Data
861input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_22;
862 // Read Data
863input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_23;
864 // Read Data
865input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_24;
866 // Read Data
867input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_25;
868 // Read Data
869input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_26;
870 // Read Data
871input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_27;
872 // Read Data
873input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_28;
874 // Read Data
875input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_29;
876 // Read Data
877input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_30;
878 // Read Data
879input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_31;
880 // Read Data
881input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_32;
882 // Read Data
883input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_33;
884 // Read Data
885input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_34;
886 // Read Data
887input [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_35;
888 // Read Data
889input eq_tail_overr_hw_ld_0; // Hardware load enable for eq_tail_overr. When
890 // set, <hw write signal> will be loaded into
891 // eq_tail.
892input eq_tail_overr_hw_write_0; // data bus for hw loading of eq_tail_overr.
893input eq_tail_tail_hw_ld_0; // Hardware load enable for eq_tail_tail. When
894 // set, <hw write signal> will be loaded into
895 // eq_tail.
896input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_0;
897 // data bus for hw loading of eq_tail_tail.
898output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_0;
899 // This signal provides the current value of eq_tail_tail.
900input eq_tail_overr_hw_ld_1; // Hardware load enable for eq_tail_overr. When
901 // set, <hw write signal> will be loaded into
902 // eq_tail.
903input eq_tail_overr_hw_write_1; // data bus for hw loading of eq_tail_overr.
904input eq_tail_tail_hw_ld_1; // Hardware load enable for eq_tail_tail. When
905 // set, <hw write signal> will be loaded into
906 // eq_tail.
907input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_1;
908 // data bus for hw loading of eq_tail_tail.
909output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_1;
910 // This signal provides the current value of eq_tail_tail.
911input eq_tail_overr_hw_ld_2; // Hardware load enable for eq_tail_overr. When
912 // set, <hw write signal> will be loaded into
913 // eq_tail.
914input eq_tail_overr_hw_write_2; // data bus for hw loading of eq_tail_overr.
915input eq_tail_tail_hw_ld_2; // Hardware load enable for eq_tail_tail. When
916 // set, <hw write signal> will be loaded into
917 // eq_tail.
918input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_2;
919 // data bus for hw loading of eq_tail_tail.
920output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_2;
921 // This signal provides the current value of eq_tail_tail.
922input eq_tail_overr_hw_ld_3; // Hardware load enable for eq_tail_overr. When
923 // set, <hw write signal> will be loaded into
924 // eq_tail.
925input eq_tail_overr_hw_write_3; // data bus for hw loading of eq_tail_overr.
926input eq_tail_tail_hw_ld_3; // Hardware load enable for eq_tail_tail. When
927 // set, <hw write signal> will be loaded into
928 // eq_tail.
929input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_3;
930 // data bus for hw loading of eq_tail_tail.
931output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_3;
932 // This signal provides the current value of eq_tail_tail.
933input eq_tail_overr_hw_ld_4; // Hardware load enable for eq_tail_overr. When
934 // set, <hw write signal> will be loaded into
935 // eq_tail.
936input eq_tail_overr_hw_write_4; // data bus for hw loading of eq_tail_overr.
937input eq_tail_tail_hw_ld_4; // Hardware load enable for eq_tail_tail. When
938 // set, <hw write signal> will be loaded into
939 // eq_tail.
940input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_4;
941 // data bus for hw loading of eq_tail_tail.
942output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_4;
943 // This signal provides the current value of eq_tail_tail.
944input eq_tail_overr_hw_ld_5; // Hardware load enable for eq_tail_overr. When
945 // set, <hw write signal> will be loaded into
946 // eq_tail.
947input eq_tail_overr_hw_write_5; // data bus for hw loading of eq_tail_overr.
948input eq_tail_tail_hw_ld_5; // Hardware load enable for eq_tail_tail. When
949 // set, <hw write signal> will be loaded into
950 // eq_tail.
951input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_5;
952 // data bus for hw loading of eq_tail_tail.
953output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_5;
954 // This signal provides the current value of eq_tail_tail.
955input eq_tail_overr_hw_ld_6; // Hardware load enable for eq_tail_overr. When
956 // set, <hw write signal> will be loaded into
957 // eq_tail.
958input eq_tail_overr_hw_write_6; // data bus for hw loading of eq_tail_overr.
959input eq_tail_tail_hw_ld_6; // Hardware load enable for eq_tail_tail. When
960 // set, <hw write signal> will be loaded into
961 // eq_tail.
962input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_6;
963 // data bus for hw loading of eq_tail_tail.
964output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_6;
965 // This signal provides the current value of eq_tail_tail.
966input eq_tail_overr_hw_ld_7; // Hardware load enable for eq_tail_overr. When
967 // set, <hw write signal> will be loaded into
968 // eq_tail.
969input eq_tail_overr_hw_write_7; // data bus for hw loading of eq_tail_overr.
970input eq_tail_tail_hw_ld_7; // Hardware load enable for eq_tail_tail. When
971 // set, <hw write signal> will be loaded into
972 // eq_tail.
973input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_7;
974 // data bus for hw loading of eq_tail_tail.
975output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_7;
976 // This signal provides the current value of eq_tail_tail.
977input eq_tail_overr_hw_ld_8; // Hardware load enable for eq_tail_overr. When
978 // set, <hw write signal> will be loaded into
979 // eq_tail.
980input eq_tail_overr_hw_write_8; // data bus for hw loading of eq_tail_overr.
981input eq_tail_tail_hw_ld_8; // Hardware load enable for eq_tail_tail. When
982 // set, <hw write signal> will be loaded into
983 // eq_tail.
984input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_8;
985 // data bus for hw loading of eq_tail_tail.
986output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_8;
987 // This signal provides the current value of eq_tail_tail.
988input eq_tail_overr_hw_ld_9; // Hardware load enable for eq_tail_overr. When
989 // set, <hw write signal> will be loaded into
990 // eq_tail.
991input eq_tail_overr_hw_write_9; // data bus for hw loading of eq_tail_overr.
992input eq_tail_tail_hw_ld_9; // Hardware load enable for eq_tail_tail. When
993 // set, <hw write signal> will be loaded into
994 // eq_tail.
995input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_9;
996 // data bus for hw loading of eq_tail_tail.
997output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_9;
998 // This signal provides the current value of eq_tail_tail.
999input eq_tail_overr_hw_ld_10; // Hardware load enable for eq_tail_overr. When
1000 // set, <hw write signal> will be loaded into
1001 // eq_tail.
1002input eq_tail_overr_hw_write_10; // data bus for hw loading of eq_tail_overr.
1003input eq_tail_tail_hw_ld_10; // Hardware load enable for eq_tail_tail. When
1004 // set, <hw write signal> will be loaded into
1005 // eq_tail.
1006input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_10;
1007 // data bus for hw loading of eq_tail_tail.
1008output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_10;
1009 // This signal provides the current value of eq_tail_tail.
1010input eq_tail_overr_hw_ld_11; // Hardware load enable for eq_tail_overr. When
1011 // set, <hw write signal> will be loaded into
1012 // eq_tail.
1013input eq_tail_overr_hw_write_11; // data bus for hw loading of eq_tail_overr.
1014input eq_tail_tail_hw_ld_11; // Hardware load enable for eq_tail_tail. When
1015 // set, <hw write signal> will be loaded into
1016 // eq_tail.
1017input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_11;
1018 // data bus for hw loading of eq_tail_tail.
1019output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_11;
1020 // This signal provides the current value of eq_tail_tail.
1021input eq_tail_overr_hw_ld_12; // Hardware load enable for eq_tail_overr. When
1022 // set, <hw write signal> will be loaded into
1023 // eq_tail.
1024input eq_tail_overr_hw_write_12; // data bus for hw loading of eq_tail_overr.
1025input eq_tail_tail_hw_ld_12; // Hardware load enable for eq_tail_tail. When
1026 // set, <hw write signal> will be loaded into
1027 // eq_tail.
1028input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_12;
1029 // data bus for hw loading of eq_tail_tail.
1030output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_12;
1031 // This signal provides the current value of eq_tail_tail.
1032input eq_tail_overr_hw_ld_13; // Hardware load enable for eq_tail_overr. When
1033 // set, <hw write signal> will be loaded into
1034 // eq_tail.
1035input eq_tail_overr_hw_write_13; // data bus for hw loading of eq_tail_overr.
1036input eq_tail_tail_hw_ld_13; // Hardware load enable for eq_tail_tail. When
1037 // set, <hw write signal> will be loaded into
1038 // eq_tail.
1039input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_13;
1040 // data bus for hw loading of eq_tail_tail.
1041output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_13;
1042 // This signal provides the current value of eq_tail_tail.
1043input eq_tail_overr_hw_ld_14; // Hardware load enable for eq_tail_overr. When
1044 // set, <hw write signal> will be loaded into
1045 // eq_tail.
1046input eq_tail_overr_hw_write_14; // data bus for hw loading of eq_tail_overr.
1047input eq_tail_tail_hw_ld_14; // Hardware load enable for eq_tail_tail. When
1048 // set, <hw write signal> will be loaded into
1049 // eq_tail.
1050input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_14;
1051 // data bus for hw loading of eq_tail_tail.
1052output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_14;
1053 // This signal provides the current value of eq_tail_tail.
1054input eq_tail_overr_hw_ld_15; // Hardware load enable for eq_tail_overr. When
1055 // set, <hw write signal> will be loaded into
1056 // eq_tail.
1057input eq_tail_overr_hw_write_15; // data bus for hw loading of eq_tail_overr.
1058input eq_tail_tail_hw_ld_15; // Hardware load enable for eq_tail_tail. When
1059 // set, <hw write signal> will be loaded into
1060 // eq_tail.
1061input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_15;
1062 // data bus for hw loading of eq_tail_tail.
1063output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_15;
1064 // This signal provides the current value of eq_tail_tail.
1065input eq_tail_overr_hw_ld_16; // Hardware load enable for eq_tail_overr. When
1066 // set, <hw write signal> will be loaded into
1067 // eq_tail.
1068input eq_tail_overr_hw_write_16; // data bus for hw loading of eq_tail_overr.
1069input eq_tail_tail_hw_ld_16; // Hardware load enable for eq_tail_tail. When
1070 // set, <hw write signal> will be loaded into
1071 // eq_tail.
1072input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_16;
1073 // data bus for hw loading of eq_tail_tail.
1074output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_16;
1075 // This signal provides the current value of eq_tail_tail.
1076input eq_tail_overr_hw_ld_17; // Hardware load enable for eq_tail_overr. When
1077 // set, <hw write signal> will be loaded into
1078 // eq_tail.
1079input eq_tail_overr_hw_write_17; // data bus for hw loading of eq_tail_overr.
1080input eq_tail_tail_hw_ld_17; // Hardware load enable for eq_tail_tail. When
1081 // set, <hw write signal> will be loaded into
1082 // eq_tail.
1083input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_17;
1084 // data bus for hw loading of eq_tail_tail.
1085output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_17;
1086 // This signal provides the current value of eq_tail_tail.
1087input eq_tail_overr_hw_ld_18; // Hardware load enable for eq_tail_overr. When
1088 // set, <hw write signal> will be loaded into
1089 // eq_tail.
1090input eq_tail_overr_hw_write_18; // data bus for hw loading of eq_tail_overr.
1091input eq_tail_tail_hw_ld_18; // Hardware load enable for eq_tail_tail. When
1092 // set, <hw write signal> will be loaded into
1093 // eq_tail.
1094input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_18;
1095 // data bus for hw loading of eq_tail_tail.
1096output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_18;
1097 // This signal provides the current value of eq_tail_tail.
1098input eq_tail_overr_hw_ld_19; // Hardware load enable for eq_tail_overr. When
1099 // set, <hw write signal> will be loaded into
1100 // eq_tail.
1101input eq_tail_overr_hw_write_19; // data bus for hw loading of eq_tail_overr.
1102input eq_tail_tail_hw_ld_19; // Hardware load enable for eq_tail_tail. When
1103 // set, <hw write signal> will be loaded into
1104 // eq_tail.
1105input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_19;
1106 // data bus for hw loading of eq_tail_tail.
1107output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_19;
1108 // This signal provides the current value of eq_tail_tail.
1109input eq_tail_overr_hw_ld_20; // Hardware load enable for eq_tail_overr. When
1110 // set, <hw write signal> will be loaded into
1111 // eq_tail.
1112input eq_tail_overr_hw_write_20; // data bus for hw loading of eq_tail_overr.
1113input eq_tail_tail_hw_ld_20; // Hardware load enable for eq_tail_tail. When
1114 // set, <hw write signal> will be loaded into
1115 // eq_tail.
1116input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_20;
1117 // data bus for hw loading of eq_tail_tail.
1118output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_20;
1119 // This signal provides the current value of eq_tail_tail.
1120input eq_tail_overr_hw_ld_21; // Hardware load enable for eq_tail_overr. When
1121 // set, <hw write signal> will be loaded into
1122 // eq_tail.
1123input eq_tail_overr_hw_write_21; // data bus for hw loading of eq_tail_overr.
1124input eq_tail_tail_hw_ld_21; // Hardware load enable for eq_tail_tail. When
1125 // set, <hw write signal> will be loaded into
1126 // eq_tail.
1127input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_21;
1128 // data bus for hw loading of eq_tail_tail.
1129output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_21;
1130 // This signal provides the current value of eq_tail_tail.
1131input eq_tail_overr_hw_ld_22; // Hardware load enable for eq_tail_overr. When
1132 // set, <hw write signal> will be loaded into
1133 // eq_tail.
1134input eq_tail_overr_hw_write_22; // data bus for hw loading of eq_tail_overr.
1135input eq_tail_tail_hw_ld_22; // Hardware load enable for eq_tail_tail. When
1136 // set, <hw write signal> will be loaded into
1137 // eq_tail.
1138input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_22;
1139 // data bus for hw loading of eq_tail_tail.
1140output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_22;
1141 // This signal provides the current value of eq_tail_tail.
1142input eq_tail_overr_hw_ld_23; // Hardware load enable for eq_tail_overr. When
1143 // set, <hw write signal> will be loaded into
1144 // eq_tail.
1145input eq_tail_overr_hw_write_23; // data bus for hw loading of eq_tail_overr.
1146input eq_tail_tail_hw_ld_23; // Hardware load enable for eq_tail_tail. When
1147 // set, <hw write signal> will be loaded into
1148 // eq_tail.
1149input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_23;
1150 // data bus for hw loading of eq_tail_tail.
1151output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_23;
1152 // This signal provides the current value of eq_tail_tail.
1153input eq_tail_overr_hw_ld_24; // Hardware load enable for eq_tail_overr. When
1154 // set, <hw write signal> will be loaded into
1155 // eq_tail.
1156input eq_tail_overr_hw_write_24; // data bus for hw loading of eq_tail_overr.
1157input eq_tail_tail_hw_ld_24; // Hardware load enable for eq_tail_tail. When
1158 // set, <hw write signal> will be loaded into
1159 // eq_tail.
1160input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_24;
1161 // data bus for hw loading of eq_tail_tail.
1162output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_24;
1163 // This signal provides the current value of eq_tail_tail.
1164input eq_tail_overr_hw_ld_25; // Hardware load enable for eq_tail_overr. When
1165 // set, <hw write signal> will be loaded into
1166 // eq_tail.
1167input eq_tail_overr_hw_write_25; // data bus for hw loading of eq_tail_overr.
1168input eq_tail_tail_hw_ld_25; // Hardware load enable for eq_tail_tail. When
1169 // set, <hw write signal> will be loaded into
1170 // eq_tail.
1171input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_25;
1172 // data bus for hw loading of eq_tail_tail.
1173output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_25;
1174 // This signal provides the current value of eq_tail_tail.
1175input eq_tail_overr_hw_ld_26; // Hardware load enable for eq_tail_overr. When
1176 // set, <hw write signal> will be loaded into
1177 // eq_tail.
1178input eq_tail_overr_hw_write_26; // data bus for hw loading of eq_tail_overr.
1179input eq_tail_tail_hw_ld_26; // Hardware load enable for eq_tail_tail. When
1180 // set, <hw write signal> will be loaded into
1181 // eq_tail.
1182input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_26;
1183 // data bus for hw loading of eq_tail_tail.
1184output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_26;
1185 // This signal provides the current value of eq_tail_tail.
1186input eq_tail_overr_hw_ld_27; // Hardware load enable for eq_tail_overr. When
1187 // set, <hw write signal> will be loaded into
1188 // eq_tail.
1189input eq_tail_overr_hw_write_27; // data bus for hw loading of eq_tail_overr.
1190input eq_tail_tail_hw_ld_27; // Hardware load enable for eq_tail_tail. When
1191 // set, <hw write signal> will be loaded into
1192 // eq_tail.
1193input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_27;
1194 // data bus for hw loading of eq_tail_tail.
1195output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_27;
1196 // This signal provides the current value of eq_tail_tail.
1197input eq_tail_overr_hw_ld_28; // Hardware load enable for eq_tail_overr. When
1198 // set, <hw write signal> will be loaded into
1199 // eq_tail.
1200input eq_tail_overr_hw_write_28; // data bus for hw loading of eq_tail_overr.
1201input eq_tail_tail_hw_ld_28; // Hardware load enable for eq_tail_tail. When
1202 // set, <hw write signal> will be loaded into
1203 // eq_tail.
1204input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_28;
1205 // data bus for hw loading of eq_tail_tail.
1206output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_28;
1207 // This signal provides the current value of eq_tail_tail.
1208input eq_tail_overr_hw_ld_29; // Hardware load enable for eq_tail_overr. When
1209 // set, <hw write signal> will be loaded into
1210 // eq_tail.
1211input eq_tail_overr_hw_write_29; // data bus for hw loading of eq_tail_overr.
1212input eq_tail_tail_hw_ld_29; // Hardware load enable for eq_tail_tail. When
1213 // set, <hw write signal> will be loaded into
1214 // eq_tail.
1215input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_29;
1216 // data bus for hw loading of eq_tail_tail.
1217output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_29;
1218 // This signal provides the current value of eq_tail_tail.
1219input eq_tail_overr_hw_ld_30; // Hardware load enable for eq_tail_overr. When
1220 // set, <hw write signal> will be loaded into
1221 // eq_tail.
1222input eq_tail_overr_hw_write_30; // data bus for hw loading of eq_tail_overr.
1223input eq_tail_tail_hw_ld_30; // Hardware load enable for eq_tail_tail. When
1224 // set, <hw write signal> will be loaded into
1225 // eq_tail.
1226input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_30;
1227 // data bus for hw loading of eq_tail_tail.
1228output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_30;
1229 // This signal provides the current value of eq_tail_tail.
1230input eq_tail_overr_hw_ld_31; // Hardware load enable for eq_tail_overr. When
1231 // set, <hw write signal> will be loaded into
1232 // eq_tail.
1233input eq_tail_overr_hw_write_31; // data bus for hw loading of eq_tail_overr.
1234input eq_tail_tail_hw_ld_31; // Hardware load enable for eq_tail_tail. When
1235 // set, <hw write signal> will be loaded into
1236 // eq_tail.
1237input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_31;
1238 // data bus for hw loading of eq_tail_tail.
1239output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_31;
1240 // This signal provides the current value of eq_tail_tail.
1241input eq_tail_overr_hw_ld_32; // Hardware load enable for eq_tail_overr. When
1242 // set, <hw write signal> will be loaded into
1243 // eq_tail.
1244input eq_tail_overr_hw_write_32; // data bus for hw loading of eq_tail_overr.
1245input eq_tail_tail_hw_ld_32; // Hardware load enable for eq_tail_tail. When
1246 // set, <hw write signal> will be loaded into
1247 // eq_tail.
1248input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_32;
1249 // data bus for hw loading of eq_tail_tail.
1250output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_32;
1251 // This signal provides the current value of eq_tail_tail.
1252input eq_tail_overr_hw_ld_33; // Hardware load enable for eq_tail_overr. When
1253 // set, <hw write signal> will be loaded into
1254 // eq_tail.
1255input eq_tail_overr_hw_write_33; // data bus for hw loading of eq_tail_overr.
1256input eq_tail_tail_hw_ld_33; // Hardware load enable for eq_tail_tail. When
1257 // set, <hw write signal> will be loaded into
1258 // eq_tail.
1259input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_33;
1260 // data bus for hw loading of eq_tail_tail.
1261output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_33;
1262 // This signal provides the current value of eq_tail_tail.
1263input eq_tail_overr_hw_ld_34; // Hardware load enable for eq_tail_overr. When
1264 // set, <hw write signal> will be loaded into
1265 // eq_tail.
1266input eq_tail_overr_hw_write_34; // data bus for hw loading of eq_tail_overr.
1267input eq_tail_tail_hw_ld_34; // Hardware load enable for eq_tail_tail. When
1268 // set, <hw write signal> will be loaded into
1269 // eq_tail.
1270input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_34;
1271 // data bus for hw loading of eq_tail_tail.
1272output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_34;
1273 // This signal provides the current value of eq_tail_tail.
1274input eq_tail_overr_hw_ld_35; // Hardware load enable for eq_tail_overr. When
1275 // set, <hw write signal> will be loaded into
1276 // eq_tail.
1277input eq_tail_overr_hw_write_35; // data bus for hw loading of eq_tail_overr.
1278input eq_tail_tail_hw_ld_35; // Hardware load enable for eq_tail_tail. When
1279 // set, <hw write signal> will be loaded into
1280 // eq_tail.
1281input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_35;
1282 // data bus for hw loading of eq_tail_tail.
1283output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_35;
1284 // This signal provides the current value of eq_tail_tail.
1285input eq_tail_select_pulse_0; // select
1286input eq_tail_select_pulse_1; // select
1287input eq_tail_select_pulse_2; // select
1288input eq_tail_select_pulse_3; // select
1289input eq_tail_select_pulse_4; // select
1290input eq_tail_select_pulse_5; // select
1291input eq_tail_select_pulse_6; // select
1292input eq_tail_select_pulse_7; // select
1293input eq_tail_select_pulse_8; // select
1294input eq_tail_select_pulse_9; // select
1295input eq_tail_select_pulse_10; // select
1296input eq_tail_select_pulse_11; // select
1297input eq_tail_select_pulse_12; // select
1298input eq_tail_select_pulse_13; // select
1299input eq_tail_select_pulse_14; // select
1300input eq_tail_select_pulse_15; // select
1301input eq_tail_select_pulse_16; // select
1302input eq_tail_select_pulse_17; // select
1303input eq_tail_select_pulse_18; // select
1304input eq_tail_select_pulse_19; // select
1305input eq_tail_select_pulse_20; // select
1306input eq_tail_select_pulse_21; // select
1307input eq_tail_select_pulse_22; // select
1308input eq_tail_select_pulse_23; // select
1309input eq_tail_select_pulse_24; // select
1310input eq_tail_select_pulse_25; // select
1311input eq_tail_select_pulse_26; // select
1312input eq_tail_select_pulse_27; // select
1313input eq_tail_select_pulse_28; // select
1314input eq_tail_select_pulse_29; // select
1315input eq_tail_select_pulse_30; // select
1316input eq_tail_select_pulse_31; // select
1317input eq_tail_select_pulse_32; // select
1318input eq_tail_select_pulse_33; // select
1319input eq_tail_select_pulse_34; // select
1320input eq_tail_select_pulse_35; // select
1321output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_0;
1322 // This signal provides the current value of eq_head_head.
1323output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_1;
1324 // This signal provides the current value of eq_head_head.
1325output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_2;
1326 // This signal provides the current value of eq_head_head.
1327output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_3;
1328 // This signal provides the current value of eq_head_head.
1329output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_4;
1330 // This signal provides the current value of eq_head_head.
1331output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_5;
1332 // This signal provides the current value of eq_head_head.
1333output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_6;
1334 // This signal provides the current value of eq_head_head.
1335output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_7;
1336 // This signal provides the current value of eq_head_head.
1337output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_8;
1338 // This signal provides the current value of eq_head_head.
1339output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_9;
1340 // This signal provides the current value of eq_head_head.
1341output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_10;
1342 // This signal provides the current value of eq_head_head.
1343output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_11;
1344 // This signal provides the current value of eq_head_head.
1345output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_12;
1346 // This signal provides the current value of eq_head_head.
1347output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_13;
1348 // This signal provides the current value of eq_head_head.
1349output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_14;
1350 // This signal provides the current value of eq_head_head.
1351output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_15;
1352 // This signal provides the current value of eq_head_head.
1353output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_16;
1354 // This signal provides the current value of eq_head_head.
1355output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_17;
1356 // This signal provides the current value of eq_head_head.
1357output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_18;
1358 // This signal provides the current value of eq_head_head.
1359output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_19;
1360 // This signal provides the current value of eq_head_head.
1361output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_20;
1362 // This signal provides the current value of eq_head_head.
1363output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_21;
1364 // This signal provides the current value of eq_head_head.
1365output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_22;
1366 // This signal provides the current value of eq_head_head.
1367output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_23;
1368 // This signal provides the current value of eq_head_head.
1369output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_24;
1370 // This signal provides the current value of eq_head_head.
1371output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_25;
1372 // This signal provides the current value of eq_head_head.
1373output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_26;
1374 // This signal provides the current value of eq_head_head.
1375output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_27;
1376 // This signal provides the current value of eq_head_head.
1377output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_28;
1378 // This signal provides the current value of eq_head_head.
1379output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_29;
1380 // This signal provides the current value of eq_head_head.
1381output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_30;
1382 // This signal provides the current value of eq_head_head.
1383output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_31;
1384 // This signal provides the current value of eq_head_head.
1385output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_32;
1386 // This signal provides the current value of eq_head_head.
1387output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_33;
1388 // This signal provides the current value of eq_head_head.
1389output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_34;
1390 // This signal provides the current value of eq_head_head.
1391output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_35;
1392 // This signal provides the current value of eq_head_head.
1393input eq_head_select_pulse_0; // select
1394input eq_head_select_pulse_1; // select
1395input eq_head_select_pulse_2; // select
1396input eq_head_select_pulse_3; // select
1397input eq_head_select_pulse_4; // select
1398input eq_head_select_pulse_5; // select
1399input eq_head_select_pulse_6; // select
1400input eq_head_select_pulse_7; // select
1401input eq_head_select_pulse_8; // select
1402input eq_head_select_pulse_9; // select
1403input eq_head_select_pulse_10; // select
1404input eq_head_select_pulse_11; // select
1405input eq_head_select_pulse_12; // select
1406input eq_head_select_pulse_13; // select
1407input eq_head_select_pulse_14; // select
1408input eq_head_select_pulse_15; // select
1409input eq_head_select_pulse_16; // select
1410input eq_head_select_pulse_17; // select
1411input eq_head_select_pulse_18; // select
1412input eq_head_select_pulse_19; // select
1413input eq_head_select_pulse_20; // select
1414input eq_head_select_pulse_21; // select
1415input eq_head_select_pulse_22; // select
1416input eq_head_select_pulse_23; // select
1417input eq_head_select_pulse_24; // select
1418input eq_head_select_pulse_25; // select
1419input eq_head_select_pulse_26; // select
1420input eq_head_select_pulse_27; // select
1421input eq_head_select_pulse_28; // select
1422input eq_head_select_pulse_29; // select
1423input eq_head_select_pulse_30; // select
1424input eq_head_select_pulse_31; // select
1425input eq_head_select_pulse_32; // select
1426input eq_head_select_pulse_33; // select
1427input eq_head_select_pulse_34; // select
1428input eq_head_select_pulse_35; // select
1429input rst_l; // HW reset
1430input daemon_csrbus_wr_in; // csrbus_wr
1431output daemon_csrbus_wr_out; // csrbus_wr
1432input [`FIRE_CSRBUS_DATA_WIDTH-1:0] daemon_csrbus_wr_data_in; // SW write data
1433output [`FIRE_CSRBUS_DATA_WIDTH - 1:0] read_data_0_out; // Read Data
1434
1435//====================================================
1436// Type declarations
1437//====================================================
1438wire clk; // Clock signal
1439wire [`FIRE_DLC_IMU_EQS_CSR_EQ_BASE_ADDRESS_ADDRESS_INT_SLC] eq_base_address_address_hw_read;
1440 // This signal provides the current value of eq_base_address_address.
1441wire eq_base_address_select_pulse; // select
1442wire eq_ctrl_set_ext_select_0; // When set, register eq_ctrl_set is selected.
1443 // This signal is a pulse.
1444wire eq_ctrl_set_ext_select_1; // When set, register eq_ctrl_set is selected.
1445 // This signal is a pulse.
1446wire eq_ctrl_set_ext_select_2; // When set, register eq_ctrl_set is selected.
1447 // This signal is a pulse.
1448wire eq_ctrl_set_ext_select_3; // When set, register eq_ctrl_set is selected.
1449 // This signal is a pulse.
1450wire eq_ctrl_set_ext_select_4; // When set, register eq_ctrl_set is selected.
1451 // This signal is a pulse.
1452wire eq_ctrl_set_ext_select_5; // When set, register eq_ctrl_set is selected.
1453 // This signal is a pulse.
1454wire eq_ctrl_set_ext_select_6; // When set, register eq_ctrl_set is selected.
1455 // This signal is a pulse.
1456wire eq_ctrl_set_ext_select_7; // When set, register eq_ctrl_set is selected.
1457 // This signal is a pulse.
1458wire eq_ctrl_set_ext_select_8; // When set, register eq_ctrl_set is selected.
1459 // This signal is a pulse.
1460wire eq_ctrl_set_ext_select_9; // When set, register eq_ctrl_set is selected.
1461 // This signal is a pulse.
1462wire eq_ctrl_set_ext_select_10; // When set, register eq_ctrl_set is selected.
1463 // This signal is a pulse.
1464wire eq_ctrl_set_ext_select_11; // When set, register eq_ctrl_set is selected.
1465 // This signal is a pulse.
1466wire eq_ctrl_set_ext_select_12; // When set, register eq_ctrl_set is selected.
1467 // This signal is a pulse.
1468wire eq_ctrl_set_ext_select_13; // When set, register eq_ctrl_set is selected.
1469 // This signal is a pulse.
1470wire eq_ctrl_set_ext_select_14; // When set, register eq_ctrl_set is selected.
1471 // This signal is a pulse.
1472wire eq_ctrl_set_ext_select_15; // When set, register eq_ctrl_set is selected.
1473 // This signal is a pulse.
1474wire eq_ctrl_set_ext_select_16; // When set, register eq_ctrl_set is selected.
1475 // This signal is a pulse.
1476wire eq_ctrl_set_ext_select_17; // When set, register eq_ctrl_set is selected.
1477 // This signal is a pulse.
1478wire eq_ctrl_set_ext_select_18; // When set, register eq_ctrl_set is selected.
1479 // This signal is a pulse.
1480wire eq_ctrl_set_ext_select_19; // When set, register eq_ctrl_set is selected.
1481 // This signal is a pulse.
1482wire eq_ctrl_set_ext_select_20; // When set, register eq_ctrl_set is selected.
1483 // This signal is a pulse.
1484wire eq_ctrl_set_ext_select_21; // When set, register eq_ctrl_set is selected.
1485 // This signal is a pulse.
1486wire eq_ctrl_set_ext_select_22; // When set, register eq_ctrl_set is selected.
1487 // This signal is a pulse.
1488wire eq_ctrl_set_ext_select_23; // When set, register eq_ctrl_set is selected.
1489 // This signal is a pulse.
1490wire eq_ctrl_set_ext_select_24; // When set, register eq_ctrl_set is selected.
1491 // This signal is a pulse.
1492wire eq_ctrl_set_ext_select_25; // When set, register eq_ctrl_set is selected.
1493 // This signal is a pulse.
1494wire eq_ctrl_set_ext_select_26; // When set, register eq_ctrl_set is selected.
1495 // This signal is a pulse.
1496wire eq_ctrl_set_ext_select_27; // When set, register eq_ctrl_set is selected.
1497 // This signal is a pulse.
1498wire eq_ctrl_set_ext_select_28; // When set, register eq_ctrl_set is selected.
1499 // This signal is a pulse.
1500wire eq_ctrl_set_ext_select_29; // When set, register eq_ctrl_set is selected.
1501 // This signal is a pulse.
1502wire eq_ctrl_set_ext_select_30; // When set, register eq_ctrl_set is selected.
1503 // This signal is a pulse.
1504wire eq_ctrl_set_ext_select_31; // When set, register eq_ctrl_set is selected.
1505 // This signal is a pulse.
1506wire eq_ctrl_set_ext_select_32; // When set, register eq_ctrl_set is selected.
1507 // This signal is a pulse.
1508wire eq_ctrl_set_ext_select_33; // When set, register eq_ctrl_set is selected.
1509 // This signal is a pulse.
1510wire eq_ctrl_set_ext_select_34; // When set, register eq_ctrl_set is selected.
1511 // This signal is a pulse.
1512wire eq_ctrl_set_ext_select_35; // When set, register eq_ctrl_set is selected.
1513 // This signal is a pulse.
1514wire eq_ctrl_set_select_0; // select
1515wire eq_ctrl_set_select_1; // select
1516wire eq_ctrl_set_select_2; // select
1517wire eq_ctrl_set_select_3; // select
1518wire eq_ctrl_set_select_4; // select
1519wire eq_ctrl_set_select_5; // select
1520wire eq_ctrl_set_select_6; // select
1521wire eq_ctrl_set_select_7; // select
1522wire eq_ctrl_set_select_8; // select
1523wire eq_ctrl_set_select_9; // select
1524wire eq_ctrl_set_select_10; // select
1525wire eq_ctrl_set_select_11; // select
1526wire eq_ctrl_set_select_12; // select
1527wire eq_ctrl_set_select_13; // select
1528wire eq_ctrl_set_select_14; // select
1529wire eq_ctrl_set_select_15; // select
1530wire eq_ctrl_set_select_16; // select
1531wire eq_ctrl_set_select_17; // select
1532wire eq_ctrl_set_select_18; // select
1533wire eq_ctrl_set_select_19; // select
1534wire eq_ctrl_set_select_20; // select
1535wire eq_ctrl_set_select_21; // select
1536wire eq_ctrl_set_select_22; // select
1537wire eq_ctrl_set_select_23; // select
1538wire eq_ctrl_set_select_24; // select
1539wire eq_ctrl_set_select_25; // select
1540wire eq_ctrl_set_select_26; // select
1541wire eq_ctrl_set_select_27; // select
1542wire eq_ctrl_set_select_28; // select
1543wire eq_ctrl_set_select_29; // select
1544wire eq_ctrl_set_select_30; // select
1545wire eq_ctrl_set_select_31; // select
1546wire eq_ctrl_set_select_32; // select
1547wire eq_ctrl_set_select_33; // select
1548wire eq_ctrl_set_select_34; // select
1549wire eq_ctrl_set_select_35; // select
1550wire eq_ctrl_set_enoverr_ext_wr_data; // Provides SW write data for external
1551 // register "eq_ctrl_set", field
1552 // "enoverr"
1553wire eq_ctrl_set_en_ext_wr_data; // Provides SW write data for external
1554 // register "eq_ctrl_set", field "en"
1555wire eq_ctrl_clr_ext_select_0; // When set, register eq_ctrl_clr is selected.
1556 // This signal is a pulse.
1557wire eq_ctrl_clr_ext_select_1; // When set, register eq_ctrl_clr is selected.
1558 // This signal is a pulse.
1559wire eq_ctrl_clr_ext_select_2; // When set, register eq_ctrl_clr is selected.
1560 // This signal is a pulse.
1561wire eq_ctrl_clr_ext_select_3; // When set, register eq_ctrl_clr is selected.
1562 // This signal is a pulse.
1563wire eq_ctrl_clr_ext_select_4; // When set, register eq_ctrl_clr is selected.
1564 // This signal is a pulse.
1565wire eq_ctrl_clr_ext_select_5; // When set, register eq_ctrl_clr is selected.
1566 // This signal is a pulse.
1567wire eq_ctrl_clr_ext_select_6; // When set, register eq_ctrl_clr is selected.
1568 // This signal is a pulse.
1569wire eq_ctrl_clr_ext_select_7; // When set, register eq_ctrl_clr is selected.
1570 // This signal is a pulse.
1571wire eq_ctrl_clr_ext_select_8; // When set, register eq_ctrl_clr is selected.
1572 // This signal is a pulse.
1573wire eq_ctrl_clr_ext_select_9; // When set, register eq_ctrl_clr is selected.
1574 // This signal is a pulse.
1575wire eq_ctrl_clr_ext_select_10; // When set, register eq_ctrl_clr is selected.
1576 // This signal is a pulse.
1577wire eq_ctrl_clr_ext_select_11; // When set, register eq_ctrl_clr is selected.
1578 // This signal is a pulse.
1579wire eq_ctrl_clr_ext_select_12; // When set, register eq_ctrl_clr is selected.
1580 // This signal is a pulse.
1581wire eq_ctrl_clr_ext_select_13; // When set, register eq_ctrl_clr is selected.
1582 // This signal is a pulse.
1583wire eq_ctrl_clr_ext_select_14; // When set, register eq_ctrl_clr is selected.
1584 // This signal is a pulse.
1585wire eq_ctrl_clr_ext_select_15; // When set, register eq_ctrl_clr is selected.
1586 // This signal is a pulse.
1587wire eq_ctrl_clr_ext_select_16; // When set, register eq_ctrl_clr is selected.
1588 // This signal is a pulse.
1589wire eq_ctrl_clr_ext_select_17; // When set, register eq_ctrl_clr is selected.
1590 // This signal is a pulse.
1591wire eq_ctrl_clr_ext_select_18; // When set, register eq_ctrl_clr is selected.
1592 // This signal is a pulse.
1593wire eq_ctrl_clr_ext_select_19; // When set, register eq_ctrl_clr is selected.
1594 // This signal is a pulse.
1595wire eq_ctrl_clr_ext_select_20; // When set, register eq_ctrl_clr is selected.
1596 // This signal is a pulse.
1597wire eq_ctrl_clr_ext_select_21; // When set, register eq_ctrl_clr is selected.
1598 // This signal is a pulse.
1599wire eq_ctrl_clr_ext_select_22; // When set, register eq_ctrl_clr is selected.
1600 // This signal is a pulse.
1601wire eq_ctrl_clr_ext_select_23; // When set, register eq_ctrl_clr is selected.
1602 // This signal is a pulse.
1603wire eq_ctrl_clr_ext_select_24; // When set, register eq_ctrl_clr is selected.
1604 // This signal is a pulse.
1605wire eq_ctrl_clr_ext_select_25; // When set, register eq_ctrl_clr is selected.
1606 // This signal is a pulse.
1607wire eq_ctrl_clr_ext_select_26; // When set, register eq_ctrl_clr is selected.
1608 // This signal is a pulse.
1609wire eq_ctrl_clr_ext_select_27; // When set, register eq_ctrl_clr is selected.
1610 // This signal is a pulse.
1611wire eq_ctrl_clr_ext_select_28; // When set, register eq_ctrl_clr is selected.
1612 // This signal is a pulse.
1613wire eq_ctrl_clr_ext_select_29; // When set, register eq_ctrl_clr is selected.
1614 // This signal is a pulse.
1615wire eq_ctrl_clr_ext_select_30; // When set, register eq_ctrl_clr is selected.
1616 // This signal is a pulse.
1617wire eq_ctrl_clr_ext_select_31; // When set, register eq_ctrl_clr is selected.
1618 // This signal is a pulse.
1619wire eq_ctrl_clr_ext_select_32; // When set, register eq_ctrl_clr is selected.
1620 // This signal is a pulse.
1621wire eq_ctrl_clr_ext_select_33; // When set, register eq_ctrl_clr is selected.
1622 // This signal is a pulse.
1623wire eq_ctrl_clr_ext_select_34; // When set, register eq_ctrl_clr is selected.
1624 // This signal is a pulse.
1625wire eq_ctrl_clr_ext_select_35; // When set, register eq_ctrl_clr is selected.
1626 // This signal is a pulse.
1627wire eq_ctrl_clr_select_0; // select
1628wire eq_ctrl_clr_select_1; // select
1629wire eq_ctrl_clr_select_2; // select
1630wire eq_ctrl_clr_select_3; // select
1631wire eq_ctrl_clr_select_4; // select
1632wire eq_ctrl_clr_select_5; // select
1633wire eq_ctrl_clr_select_6; // select
1634wire eq_ctrl_clr_select_7; // select
1635wire eq_ctrl_clr_select_8; // select
1636wire eq_ctrl_clr_select_9; // select
1637wire eq_ctrl_clr_select_10; // select
1638wire eq_ctrl_clr_select_11; // select
1639wire eq_ctrl_clr_select_12; // select
1640wire eq_ctrl_clr_select_13; // select
1641wire eq_ctrl_clr_select_14; // select
1642wire eq_ctrl_clr_select_15; // select
1643wire eq_ctrl_clr_select_16; // select
1644wire eq_ctrl_clr_select_17; // select
1645wire eq_ctrl_clr_select_18; // select
1646wire eq_ctrl_clr_select_19; // select
1647wire eq_ctrl_clr_select_20; // select
1648wire eq_ctrl_clr_select_21; // select
1649wire eq_ctrl_clr_select_22; // select
1650wire eq_ctrl_clr_select_23; // select
1651wire eq_ctrl_clr_select_24; // select
1652wire eq_ctrl_clr_select_25; // select
1653wire eq_ctrl_clr_select_26; // select
1654wire eq_ctrl_clr_select_27; // select
1655wire eq_ctrl_clr_select_28; // select
1656wire eq_ctrl_clr_select_29; // select
1657wire eq_ctrl_clr_select_30; // select
1658wire eq_ctrl_clr_select_31; // select
1659wire eq_ctrl_clr_select_32; // select
1660wire eq_ctrl_clr_select_33; // select
1661wire eq_ctrl_clr_select_34; // select
1662wire eq_ctrl_clr_select_35; // select
1663wire eq_ctrl_clr_coverr_ext_wr_data; // Provides SW write data for external
1664 // register "eq_ctrl_clr", field "coverr"
1665wire eq_ctrl_clr_e2i_ext_wr_data; // Provides SW write data for external
1666 // register "eq_ctrl_clr", field "e2i"
1667wire eq_ctrl_clr_dis_ext_wr_data; // Provides SW write data for external
1668 // register "eq_ctrl_clr", field "dis"
1669wire eq_state_select_0; // select
1670wire eq_state_select_1; // select
1671wire eq_state_select_2; // select
1672wire eq_state_select_3; // select
1673wire eq_state_select_4; // select
1674wire eq_state_select_5; // select
1675wire eq_state_select_6; // select
1676wire eq_state_select_7; // select
1677wire eq_state_select_8; // select
1678wire eq_state_select_9; // select
1679wire eq_state_select_10; // select
1680wire eq_state_select_11; // select
1681wire eq_state_select_12; // select
1682wire eq_state_select_13; // select
1683wire eq_state_select_14; // select
1684wire eq_state_select_15; // select
1685wire eq_state_select_16; // select
1686wire eq_state_select_17; // select
1687wire eq_state_select_18; // select
1688wire eq_state_select_19; // select
1689wire eq_state_select_20; // select
1690wire eq_state_select_21; // select
1691wire eq_state_select_22; // select
1692wire eq_state_select_23; // select
1693wire eq_state_select_24; // select
1694wire eq_state_select_25; // select
1695wire eq_state_select_26; // select
1696wire eq_state_select_27; // select
1697wire eq_state_select_28; // select
1698wire eq_state_select_29; // select
1699wire eq_state_select_30; // select
1700wire eq_state_select_31; // select
1701wire eq_state_select_32; // select
1702wire eq_state_select_33; // select
1703wire eq_state_select_34; // select
1704wire eq_state_select_35; // select
1705wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_0; // Read Data
1706wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_1; // Read Data
1707wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_2; // Read Data
1708wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_3; // Read Data
1709wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_4; // Read Data
1710wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_5; // Read Data
1711wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_6; // Read Data
1712wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_7; // Read Data
1713wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_8; // Read Data
1714wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_9; // Read Data
1715wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_10; // Read Data
1716wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_11; // Read Data
1717wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_12; // Read Data
1718wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_13; // Read Data
1719wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_14; // Read Data
1720wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_15; // Read Data
1721wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_16; // Read Data
1722wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_17; // Read Data
1723wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_18; // Read Data
1724wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_19; // Read Data
1725wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_20; // Read Data
1726wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_21; // Read Data
1727wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_22; // Read Data
1728wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_23; // Read Data
1729wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_24; // Read Data
1730wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_25; // Read Data
1731wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_26; // Read Data
1732wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_27; // Read Data
1733wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_28; // Read Data
1734wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_29; // Read Data
1735wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_30; // Read Data
1736wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_31; // Read Data
1737wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_32; // Read Data
1738wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_33; // Read Data
1739wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_34; // Read Data
1740wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] eq_state_ext_read_data_35; // Read Data
1741wire eq_tail_overr_hw_ld_0; // Hardware load enable for eq_tail_overr. When
1742 // set, <hw write signal> will be loaded into
1743 // eq_tail.
1744wire eq_tail_overr_hw_write_0; // data bus for hw loading of eq_tail_overr.
1745wire eq_tail_tail_hw_ld_0; // Hardware load enable for eq_tail_tail. When set,
1746 // <hw write signal> will be loaded into eq_tail.
1747wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_0;
1748 // data bus for hw loading of eq_tail_tail.
1749wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_0;
1750 // This signal provides the current value of eq_tail_tail.
1751wire eq_tail_overr_hw_ld_1; // Hardware load enable for eq_tail_overr. When
1752 // set, <hw write signal> will be loaded into
1753 // eq_tail.
1754wire eq_tail_overr_hw_write_1; // data bus for hw loading of eq_tail_overr.
1755wire eq_tail_tail_hw_ld_1; // Hardware load enable for eq_tail_tail. When set,
1756 // <hw write signal> will be loaded into eq_tail.
1757wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_1;
1758 // data bus for hw loading of eq_tail_tail.
1759wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_1;
1760 // This signal provides the current value of eq_tail_tail.
1761wire eq_tail_overr_hw_ld_2; // Hardware load enable for eq_tail_overr. When
1762 // set, <hw write signal> will be loaded into
1763 // eq_tail.
1764wire eq_tail_overr_hw_write_2; // data bus for hw loading of eq_tail_overr.
1765wire eq_tail_tail_hw_ld_2; // Hardware load enable for eq_tail_tail. When set,
1766 // <hw write signal> will be loaded into eq_tail.
1767wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_2;
1768 // data bus for hw loading of eq_tail_tail.
1769wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_2;
1770 // This signal provides the current value of eq_tail_tail.
1771wire eq_tail_overr_hw_ld_3; // Hardware load enable for eq_tail_overr. When
1772 // set, <hw write signal> will be loaded into
1773 // eq_tail.
1774wire eq_tail_overr_hw_write_3; // data bus for hw loading of eq_tail_overr.
1775wire eq_tail_tail_hw_ld_3; // Hardware load enable for eq_tail_tail. When set,
1776 // <hw write signal> will be loaded into eq_tail.
1777wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_3;
1778 // data bus for hw loading of eq_tail_tail.
1779wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_3;
1780 // This signal provides the current value of eq_tail_tail.
1781wire eq_tail_overr_hw_ld_4; // Hardware load enable for eq_tail_overr. When
1782 // set, <hw write signal> will be loaded into
1783 // eq_tail.
1784wire eq_tail_overr_hw_write_4; // data bus for hw loading of eq_tail_overr.
1785wire eq_tail_tail_hw_ld_4; // Hardware load enable for eq_tail_tail. When set,
1786 // <hw write signal> will be loaded into eq_tail.
1787wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_4;
1788 // data bus for hw loading of eq_tail_tail.
1789wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_4;
1790 // This signal provides the current value of eq_tail_tail.
1791wire eq_tail_overr_hw_ld_5; // Hardware load enable for eq_tail_overr. When
1792 // set, <hw write signal> will be loaded into
1793 // eq_tail.
1794wire eq_tail_overr_hw_write_5; // data bus for hw loading of eq_tail_overr.
1795wire eq_tail_tail_hw_ld_5; // Hardware load enable for eq_tail_tail. When set,
1796 // <hw write signal> will be loaded into eq_tail.
1797wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_5;
1798 // data bus for hw loading of eq_tail_tail.
1799wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_5;
1800 // This signal provides the current value of eq_tail_tail.
1801wire eq_tail_overr_hw_ld_6; // Hardware load enable for eq_tail_overr. When
1802 // set, <hw write signal> will be loaded into
1803 // eq_tail.
1804wire eq_tail_overr_hw_write_6; // data bus for hw loading of eq_tail_overr.
1805wire eq_tail_tail_hw_ld_6; // Hardware load enable for eq_tail_tail. When set,
1806 // <hw write signal> will be loaded into eq_tail.
1807wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_6;
1808 // data bus for hw loading of eq_tail_tail.
1809wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_6;
1810 // This signal provides the current value of eq_tail_tail.
1811wire eq_tail_overr_hw_ld_7; // Hardware load enable for eq_tail_overr. When
1812 // set, <hw write signal> will be loaded into
1813 // eq_tail.
1814wire eq_tail_overr_hw_write_7; // data bus for hw loading of eq_tail_overr.
1815wire eq_tail_tail_hw_ld_7; // Hardware load enable for eq_tail_tail. When set,
1816 // <hw write signal> will be loaded into eq_tail.
1817wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_7;
1818 // data bus for hw loading of eq_tail_tail.
1819wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_7;
1820 // This signal provides the current value of eq_tail_tail.
1821wire eq_tail_overr_hw_ld_8; // Hardware load enable for eq_tail_overr. When
1822 // set, <hw write signal> will be loaded into
1823 // eq_tail.
1824wire eq_tail_overr_hw_write_8; // data bus for hw loading of eq_tail_overr.
1825wire eq_tail_tail_hw_ld_8; // Hardware load enable for eq_tail_tail. When set,
1826 // <hw write signal> will be loaded into eq_tail.
1827wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_8;
1828 // data bus for hw loading of eq_tail_tail.
1829wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_8;
1830 // This signal provides the current value of eq_tail_tail.
1831wire eq_tail_overr_hw_ld_9; // Hardware load enable for eq_tail_overr. When
1832 // set, <hw write signal> will be loaded into
1833 // eq_tail.
1834wire eq_tail_overr_hw_write_9; // data bus for hw loading of eq_tail_overr.
1835wire eq_tail_tail_hw_ld_9; // Hardware load enable for eq_tail_tail. When set,
1836 // <hw write signal> will be loaded into eq_tail.
1837wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_9;
1838 // data bus for hw loading of eq_tail_tail.
1839wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_9;
1840 // This signal provides the current value of eq_tail_tail.
1841wire eq_tail_overr_hw_ld_10; // Hardware load enable for eq_tail_overr. When
1842 // set, <hw write signal> will be loaded into
1843 // eq_tail.
1844wire eq_tail_overr_hw_write_10; // data bus for hw loading of eq_tail_overr.
1845wire eq_tail_tail_hw_ld_10; // Hardware load enable for eq_tail_tail. When set,
1846 // <hw write signal> will be loaded into eq_tail.
1847wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_10;
1848 // data bus for hw loading of eq_tail_tail.
1849wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_10;
1850 // This signal provides the current value of eq_tail_tail.
1851wire eq_tail_overr_hw_ld_11; // Hardware load enable for eq_tail_overr. When
1852 // set, <hw write signal> will be loaded into
1853 // eq_tail.
1854wire eq_tail_overr_hw_write_11; // data bus for hw loading of eq_tail_overr.
1855wire eq_tail_tail_hw_ld_11; // Hardware load enable for eq_tail_tail. When set,
1856 // <hw write signal> will be loaded into eq_tail.
1857wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_11;
1858 // data bus for hw loading of eq_tail_tail.
1859wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_11;
1860 // This signal provides the current value of eq_tail_tail.
1861wire eq_tail_overr_hw_ld_12; // Hardware load enable for eq_tail_overr. When
1862 // set, <hw write signal> will be loaded into
1863 // eq_tail.
1864wire eq_tail_overr_hw_write_12; // data bus for hw loading of eq_tail_overr.
1865wire eq_tail_tail_hw_ld_12; // Hardware load enable for eq_tail_tail. When set,
1866 // <hw write signal> will be loaded into eq_tail.
1867wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_12;
1868 // data bus for hw loading of eq_tail_tail.
1869wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_12;
1870 // This signal provides the current value of eq_tail_tail.
1871wire eq_tail_overr_hw_ld_13; // Hardware load enable for eq_tail_overr. When
1872 // set, <hw write signal> will be loaded into
1873 // eq_tail.
1874wire eq_tail_overr_hw_write_13; // data bus for hw loading of eq_tail_overr.
1875wire eq_tail_tail_hw_ld_13; // Hardware load enable for eq_tail_tail. When set,
1876 // <hw write signal> will be loaded into eq_tail.
1877wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_13;
1878 // data bus for hw loading of eq_tail_tail.
1879wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_13;
1880 // This signal provides the current value of eq_tail_tail.
1881wire eq_tail_overr_hw_ld_14; // Hardware load enable for eq_tail_overr. When
1882 // set, <hw write signal> will be loaded into
1883 // eq_tail.
1884wire eq_tail_overr_hw_write_14; // data bus for hw loading of eq_tail_overr.
1885wire eq_tail_tail_hw_ld_14; // Hardware load enable for eq_tail_tail. When set,
1886 // <hw write signal> will be loaded into eq_tail.
1887wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_14;
1888 // data bus for hw loading of eq_tail_tail.
1889wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_14;
1890 // This signal provides the current value of eq_tail_tail.
1891wire eq_tail_overr_hw_ld_15; // Hardware load enable for eq_tail_overr. When
1892 // set, <hw write signal> will be loaded into
1893 // eq_tail.
1894wire eq_tail_overr_hw_write_15; // data bus for hw loading of eq_tail_overr.
1895wire eq_tail_tail_hw_ld_15; // Hardware load enable for eq_tail_tail. When set,
1896 // <hw write signal> will be loaded into eq_tail.
1897wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_15;
1898 // data bus for hw loading of eq_tail_tail.
1899wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_15;
1900 // This signal provides the current value of eq_tail_tail.
1901wire eq_tail_overr_hw_ld_16; // Hardware load enable for eq_tail_overr. When
1902 // set, <hw write signal> will be loaded into
1903 // eq_tail.
1904wire eq_tail_overr_hw_write_16; // data bus for hw loading of eq_tail_overr.
1905wire eq_tail_tail_hw_ld_16; // Hardware load enable for eq_tail_tail. When set,
1906 // <hw write signal> will be loaded into eq_tail.
1907wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_16;
1908 // data bus for hw loading of eq_tail_tail.
1909wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_16;
1910 // This signal provides the current value of eq_tail_tail.
1911wire eq_tail_overr_hw_ld_17; // Hardware load enable for eq_tail_overr. When
1912 // set, <hw write signal> will be loaded into
1913 // eq_tail.
1914wire eq_tail_overr_hw_write_17; // data bus for hw loading of eq_tail_overr.
1915wire eq_tail_tail_hw_ld_17; // Hardware load enable for eq_tail_tail. When set,
1916 // <hw write signal> will be loaded into eq_tail.
1917wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_17;
1918 // data bus for hw loading of eq_tail_tail.
1919wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_17;
1920 // This signal provides the current value of eq_tail_tail.
1921wire eq_tail_overr_hw_ld_18; // Hardware load enable for eq_tail_overr. When
1922 // set, <hw write signal> will be loaded into
1923 // eq_tail.
1924wire eq_tail_overr_hw_write_18; // data bus for hw loading of eq_tail_overr.
1925wire eq_tail_tail_hw_ld_18; // Hardware load enable for eq_tail_tail. When set,
1926 // <hw write signal> will be loaded into eq_tail.
1927wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_18;
1928 // data bus for hw loading of eq_tail_tail.
1929wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_18;
1930 // This signal provides the current value of eq_tail_tail.
1931wire eq_tail_overr_hw_ld_19; // Hardware load enable for eq_tail_overr. When
1932 // set, <hw write signal> will be loaded into
1933 // eq_tail.
1934wire eq_tail_overr_hw_write_19; // data bus for hw loading of eq_tail_overr.
1935wire eq_tail_tail_hw_ld_19; // Hardware load enable for eq_tail_tail. When set,
1936 // <hw write signal> will be loaded into eq_tail.
1937wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_19;
1938 // data bus for hw loading of eq_tail_tail.
1939wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_19;
1940 // This signal provides the current value of eq_tail_tail.
1941wire eq_tail_overr_hw_ld_20; // Hardware load enable for eq_tail_overr. When
1942 // set, <hw write signal> will be loaded into
1943 // eq_tail.
1944wire eq_tail_overr_hw_write_20; // data bus for hw loading of eq_tail_overr.
1945wire eq_tail_tail_hw_ld_20; // Hardware load enable for eq_tail_tail. When set,
1946 // <hw write signal> will be loaded into eq_tail.
1947wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_20;
1948 // data bus for hw loading of eq_tail_tail.
1949wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_20;
1950 // This signal provides the current value of eq_tail_tail.
1951wire eq_tail_overr_hw_ld_21; // Hardware load enable for eq_tail_overr. When
1952 // set, <hw write signal> will be loaded into
1953 // eq_tail.
1954wire eq_tail_overr_hw_write_21; // data bus for hw loading of eq_tail_overr.
1955wire eq_tail_tail_hw_ld_21; // Hardware load enable for eq_tail_tail. When set,
1956 // <hw write signal> will be loaded into eq_tail.
1957wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_21;
1958 // data bus for hw loading of eq_tail_tail.
1959wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_21;
1960 // This signal provides the current value of eq_tail_tail.
1961wire eq_tail_overr_hw_ld_22; // Hardware load enable for eq_tail_overr. When
1962 // set, <hw write signal> will be loaded into
1963 // eq_tail.
1964wire eq_tail_overr_hw_write_22; // data bus for hw loading of eq_tail_overr.
1965wire eq_tail_tail_hw_ld_22; // Hardware load enable for eq_tail_tail. When set,
1966 // <hw write signal> will be loaded into eq_tail.
1967wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_22;
1968 // data bus for hw loading of eq_tail_tail.
1969wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_22;
1970 // This signal provides the current value of eq_tail_tail.
1971wire eq_tail_overr_hw_ld_23; // Hardware load enable for eq_tail_overr. When
1972 // set, <hw write signal> will be loaded into
1973 // eq_tail.
1974wire eq_tail_overr_hw_write_23; // data bus for hw loading of eq_tail_overr.
1975wire eq_tail_tail_hw_ld_23; // Hardware load enable for eq_tail_tail. When set,
1976 // <hw write signal> will be loaded into eq_tail.
1977wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_23;
1978 // data bus for hw loading of eq_tail_tail.
1979wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_23;
1980 // This signal provides the current value of eq_tail_tail.
1981wire eq_tail_overr_hw_ld_24; // Hardware load enable for eq_tail_overr. When
1982 // set, <hw write signal> will be loaded into
1983 // eq_tail.
1984wire eq_tail_overr_hw_write_24; // data bus for hw loading of eq_tail_overr.
1985wire eq_tail_tail_hw_ld_24; // Hardware load enable for eq_tail_tail. When set,
1986 // <hw write signal> will be loaded into eq_tail.
1987wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_24;
1988 // data bus for hw loading of eq_tail_tail.
1989wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_24;
1990 // This signal provides the current value of eq_tail_tail.
1991wire eq_tail_overr_hw_ld_25; // Hardware load enable for eq_tail_overr. When
1992 // set, <hw write signal> will be loaded into
1993 // eq_tail.
1994wire eq_tail_overr_hw_write_25; // data bus for hw loading of eq_tail_overr.
1995wire eq_tail_tail_hw_ld_25; // Hardware load enable for eq_tail_tail. When set,
1996 // <hw write signal> will be loaded into eq_tail.
1997wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_25;
1998 // data bus for hw loading of eq_tail_tail.
1999wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_25;
2000 // This signal provides the current value of eq_tail_tail.
2001wire eq_tail_overr_hw_ld_26; // Hardware load enable for eq_tail_overr. When
2002 // set, <hw write signal> will be loaded into
2003 // eq_tail.
2004wire eq_tail_overr_hw_write_26; // data bus for hw loading of eq_tail_overr.
2005wire eq_tail_tail_hw_ld_26; // Hardware load enable for eq_tail_tail. When set,
2006 // <hw write signal> will be loaded into eq_tail.
2007wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_26;
2008 // data bus for hw loading of eq_tail_tail.
2009wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_26;
2010 // This signal provides the current value of eq_tail_tail.
2011wire eq_tail_overr_hw_ld_27; // Hardware load enable for eq_tail_overr. When
2012 // set, <hw write signal> will be loaded into
2013 // eq_tail.
2014wire eq_tail_overr_hw_write_27; // data bus for hw loading of eq_tail_overr.
2015wire eq_tail_tail_hw_ld_27; // Hardware load enable for eq_tail_tail. When set,
2016 // <hw write signal> will be loaded into eq_tail.
2017wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_27;
2018 // data bus for hw loading of eq_tail_tail.
2019wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_27;
2020 // This signal provides the current value of eq_tail_tail.
2021wire eq_tail_overr_hw_ld_28; // Hardware load enable for eq_tail_overr. When
2022 // set, <hw write signal> will be loaded into
2023 // eq_tail.
2024wire eq_tail_overr_hw_write_28; // data bus for hw loading of eq_tail_overr.
2025wire eq_tail_tail_hw_ld_28; // Hardware load enable for eq_tail_tail. When set,
2026 // <hw write signal> will be loaded into eq_tail.
2027wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_28;
2028 // data bus for hw loading of eq_tail_tail.
2029wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_28;
2030 // This signal provides the current value of eq_tail_tail.
2031wire eq_tail_overr_hw_ld_29; // Hardware load enable for eq_tail_overr. When
2032 // set, <hw write signal> will be loaded into
2033 // eq_tail.
2034wire eq_tail_overr_hw_write_29; // data bus for hw loading of eq_tail_overr.
2035wire eq_tail_tail_hw_ld_29; // Hardware load enable for eq_tail_tail. When set,
2036 // <hw write signal> will be loaded into eq_tail.
2037wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_29;
2038 // data bus for hw loading of eq_tail_tail.
2039wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_29;
2040 // This signal provides the current value of eq_tail_tail.
2041wire eq_tail_overr_hw_ld_30; // Hardware load enable for eq_tail_overr. When
2042 // set, <hw write signal> will be loaded into
2043 // eq_tail.
2044wire eq_tail_overr_hw_write_30; // data bus for hw loading of eq_tail_overr.
2045wire eq_tail_tail_hw_ld_30; // Hardware load enable for eq_tail_tail. When set,
2046 // <hw write signal> will be loaded into eq_tail.
2047wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_30;
2048 // data bus for hw loading of eq_tail_tail.
2049wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_30;
2050 // This signal provides the current value of eq_tail_tail.
2051wire eq_tail_overr_hw_ld_31; // Hardware load enable for eq_tail_overr. When
2052 // set, <hw write signal> will be loaded into
2053 // eq_tail.
2054wire eq_tail_overr_hw_write_31; // data bus for hw loading of eq_tail_overr.
2055wire eq_tail_tail_hw_ld_31; // Hardware load enable for eq_tail_tail. When set,
2056 // <hw write signal> will be loaded into eq_tail.
2057wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_31;
2058 // data bus for hw loading of eq_tail_tail.
2059wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_31;
2060 // This signal provides the current value of eq_tail_tail.
2061wire eq_tail_overr_hw_ld_32; // Hardware load enable for eq_tail_overr. When
2062 // set, <hw write signal> will be loaded into
2063 // eq_tail.
2064wire eq_tail_overr_hw_write_32; // data bus for hw loading of eq_tail_overr.
2065wire eq_tail_tail_hw_ld_32; // Hardware load enable for eq_tail_tail. When set,
2066 // <hw write signal> will be loaded into eq_tail.
2067wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_32;
2068 // data bus for hw loading of eq_tail_tail.
2069wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_32;
2070 // This signal provides the current value of eq_tail_tail.
2071wire eq_tail_overr_hw_ld_33; // Hardware load enable for eq_tail_overr. When
2072 // set, <hw write signal> will be loaded into
2073 // eq_tail.
2074wire eq_tail_overr_hw_write_33; // data bus for hw loading of eq_tail_overr.
2075wire eq_tail_tail_hw_ld_33; // Hardware load enable for eq_tail_tail. When set,
2076 // <hw write signal> will be loaded into eq_tail.
2077wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_33;
2078 // data bus for hw loading of eq_tail_tail.
2079wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_33;
2080 // This signal provides the current value of eq_tail_tail.
2081wire eq_tail_overr_hw_ld_34; // Hardware load enable for eq_tail_overr. When
2082 // set, <hw write signal> will be loaded into
2083 // eq_tail.
2084wire eq_tail_overr_hw_write_34; // data bus for hw loading of eq_tail_overr.
2085wire eq_tail_tail_hw_ld_34; // Hardware load enable for eq_tail_tail. When set,
2086 // <hw write signal> will be loaded into eq_tail.
2087wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_34;
2088 // data bus for hw loading of eq_tail_tail.
2089wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_34;
2090 // This signal provides the current value of eq_tail_tail.
2091wire eq_tail_overr_hw_ld_35; // Hardware load enable for eq_tail_overr. When
2092 // set, <hw write signal> will be loaded into
2093 // eq_tail.
2094wire eq_tail_overr_hw_write_35; // data bus for hw loading of eq_tail_overr.
2095wire eq_tail_tail_hw_ld_35; // Hardware load enable for eq_tail_tail. When set,
2096 // <hw write signal> will be loaded into eq_tail.
2097wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_35;
2098 // data bus for hw loading of eq_tail_tail.
2099wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_35;
2100 // This signal provides the current value of eq_tail_tail.
2101wire eq_tail_select_pulse_0; // select
2102wire eq_tail_select_pulse_1; // select
2103wire eq_tail_select_pulse_2; // select
2104wire eq_tail_select_pulse_3; // select
2105wire eq_tail_select_pulse_4; // select
2106wire eq_tail_select_pulse_5; // select
2107wire eq_tail_select_pulse_6; // select
2108wire eq_tail_select_pulse_7; // select
2109wire eq_tail_select_pulse_8; // select
2110wire eq_tail_select_pulse_9; // select
2111wire eq_tail_select_pulse_10; // select
2112wire eq_tail_select_pulse_11; // select
2113wire eq_tail_select_pulse_12; // select
2114wire eq_tail_select_pulse_13; // select
2115wire eq_tail_select_pulse_14; // select
2116wire eq_tail_select_pulse_15; // select
2117wire eq_tail_select_pulse_16; // select
2118wire eq_tail_select_pulse_17; // select
2119wire eq_tail_select_pulse_18; // select
2120wire eq_tail_select_pulse_19; // select
2121wire eq_tail_select_pulse_20; // select
2122wire eq_tail_select_pulse_21; // select
2123wire eq_tail_select_pulse_22; // select
2124wire eq_tail_select_pulse_23; // select
2125wire eq_tail_select_pulse_24; // select
2126wire eq_tail_select_pulse_25; // select
2127wire eq_tail_select_pulse_26; // select
2128wire eq_tail_select_pulse_27; // select
2129wire eq_tail_select_pulse_28; // select
2130wire eq_tail_select_pulse_29; // select
2131wire eq_tail_select_pulse_30; // select
2132wire eq_tail_select_pulse_31; // select
2133wire eq_tail_select_pulse_32; // select
2134wire eq_tail_select_pulse_33; // select
2135wire eq_tail_select_pulse_34; // select
2136wire eq_tail_select_pulse_35; // select
2137wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_0;
2138 // This signal provides the current value of eq_head_head.
2139wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_1;
2140 // This signal provides the current value of eq_head_head.
2141wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_2;
2142 // This signal provides the current value of eq_head_head.
2143wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_3;
2144 // This signal provides the current value of eq_head_head.
2145wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_4;
2146 // This signal provides the current value of eq_head_head.
2147wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_5;
2148 // This signal provides the current value of eq_head_head.
2149wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_6;
2150 // This signal provides the current value of eq_head_head.
2151wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_7;
2152 // This signal provides the current value of eq_head_head.
2153wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_8;
2154 // This signal provides the current value of eq_head_head.
2155wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_9;
2156 // This signal provides the current value of eq_head_head.
2157wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_10;
2158 // This signal provides the current value of eq_head_head.
2159wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_11;
2160 // This signal provides the current value of eq_head_head.
2161wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_12;
2162 // This signal provides the current value of eq_head_head.
2163wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_13;
2164 // This signal provides the current value of eq_head_head.
2165wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_14;
2166 // This signal provides the current value of eq_head_head.
2167wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_15;
2168 // This signal provides the current value of eq_head_head.
2169wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_16;
2170 // This signal provides the current value of eq_head_head.
2171wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_17;
2172 // This signal provides the current value of eq_head_head.
2173wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_18;
2174 // This signal provides the current value of eq_head_head.
2175wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_19;
2176 // This signal provides the current value of eq_head_head.
2177wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_20;
2178 // This signal provides the current value of eq_head_head.
2179wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_21;
2180 // This signal provides the current value of eq_head_head.
2181wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_22;
2182 // This signal provides the current value of eq_head_head.
2183wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_23;
2184 // This signal provides the current value of eq_head_head.
2185wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_24;
2186 // This signal provides the current value of eq_head_head.
2187wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_25;
2188 // This signal provides the current value of eq_head_head.
2189wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_26;
2190 // This signal provides the current value of eq_head_head.
2191wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_27;
2192 // This signal provides the current value of eq_head_head.
2193wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_28;
2194 // This signal provides the current value of eq_head_head.
2195wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_29;
2196 // This signal provides the current value of eq_head_head.
2197wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_30;
2198 // This signal provides the current value of eq_head_head.
2199wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_31;
2200 // This signal provides the current value of eq_head_head.
2201wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_32;
2202 // This signal provides the current value of eq_head_head.
2203wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_33;
2204 // This signal provides the current value of eq_head_head.
2205wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_34;
2206 // This signal provides the current value of eq_head_head.
2207wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_35;
2208 // This signal provides the current value of eq_head_head.
2209wire eq_head_select_pulse_0; // select
2210wire eq_head_select_pulse_1; // select
2211wire eq_head_select_pulse_2; // select
2212wire eq_head_select_pulse_3; // select
2213wire eq_head_select_pulse_4; // select
2214wire eq_head_select_pulse_5; // select
2215wire eq_head_select_pulse_6; // select
2216wire eq_head_select_pulse_7; // select
2217wire eq_head_select_pulse_8; // select
2218wire eq_head_select_pulse_9; // select
2219wire eq_head_select_pulse_10; // select
2220wire eq_head_select_pulse_11; // select
2221wire eq_head_select_pulse_12; // select
2222wire eq_head_select_pulse_13; // select
2223wire eq_head_select_pulse_14; // select
2224wire eq_head_select_pulse_15; // select
2225wire eq_head_select_pulse_16; // select
2226wire eq_head_select_pulse_17; // select
2227wire eq_head_select_pulse_18; // select
2228wire eq_head_select_pulse_19; // select
2229wire eq_head_select_pulse_20; // select
2230wire eq_head_select_pulse_21; // select
2231wire eq_head_select_pulse_22; // select
2232wire eq_head_select_pulse_23; // select
2233wire eq_head_select_pulse_24; // select
2234wire eq_head_select_pulse_25; // select
2235wire eq_head_select_pulse_26; // select
2236wire eq_head_select_pulse_27; // select
2237wire eq_head_select_pulse_28; // select
2238wire eq_head_select_pulse_29; // select
2239wire eq_head_select_pulse_30; // select
2240wire eq_head_select_pulse_31; // select
2241wire eq_head_select_pulse_32; // select
2242wire eq_head_select_pulse_33; // select
2243wire eq_head_select_pulse_34; // select
2244wire eq_head_select_pulse_35; // select
2245wire rst_l; // HW reset
2246wire daemon_csrbus_wr_in; // csrbus_wr
2247wire daemon_csrbus_wr_out; // csrbus_wr
2248wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] daemon_csrbus_wr_data_in; // SW write data
2249wire [`FIRE_CSRBUS_DATA_WIDTH - 1:0] read_data_0_out; // Read Data
2250
2251
2252//====================================================
2253// Local signals
2254//====================================================
2255//----- For CSR register: eq_base_address
2256wire [`FIRE_DLC_IMU_EQS_CSR_EQ_BASE_ADDRESS_WIDTH-1:0] eq_base_address_csrbus_read_data;
2257 // Entry Based Read Data
2258
2259//----- For CSR register: eq_tail
2260wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_0;
2261 // Entry Based Read Data
2262wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_1;
2263 // Entry Based Read Data
2264wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_2;
2265 // Entry Based Read Data
2266wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_3;
2267 // Entry Based Read Data
2268wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_4;
2269 // Entry Based Read Data
2270wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_5;
2271 // Entry Based Read Data
2272wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_6;
2273 // Entry Based Read Data
2274wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_7;
2275 // Entry Based Read Data
2276wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_8;
2277 // Entry Based Read Data
2278wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_9;
2279 // Entry Based Read Data
2280wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_10;
2281 // Entry Based Read Data
2282wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_11;
2283 // Entry Based Read Data
2284wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_12;
2285 // Entry Based Read Data
2286wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_13;
2287 // Entry Based Read Data
2288wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_14;
2289 // Entry Based Read Data
2290wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_15;
2291 // Entry Based Read Data
2292wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_16;
2293 // Entry Based Read Data
2294wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_17;
2295 // Entry Based Read Data
2296wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_18;
2297 // Entry Based Read Data
2298wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_19;
2299 // Entry Based Read Data
2300wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_20;
2301 // Entry Based Read Data
2302wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_21;
2303 // Entry Based Read Data
2304wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_22;
2305 // Entry Based Read Data
2306wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_23;
2307 // Entry Based Read Data
2308wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_24;
2309 // Entry Based Read Data
2310wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_25;
2311 // Entry Based Read Data
2312wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_26;
2313 // Entry Based Read Data
2314wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_27;
2315 // Entry Based Read Data
2316wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_28;
2317 // Entry Based Read Data
2318wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_29;
2319 // Entry Based Read Data
2320wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_30;
2321 // Entry Based Read Data
2322wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_31;
2323 // Entry Based Read Data
2324wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_32;
2325 // Entry Based Read Data
2326wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_33;
2327 // Entry Based Read Data
2328wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_34;
2329 // Entry Based Read Data
2330wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_WIDTH-1:0] eq_tail_csrbus_read_data_35;
2331 // Entry Based Read Data
2332
2333//----- For CSR register: eq_head
2334wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_0;
2335 // Entry Based Read Data
2336wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_1;
2337 // Entry Based Read Data
2338wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_2;
2339 // Entry Based Read Data
2340wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_3;
2341 // Entry Based Read Data
2342wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_4;
2343 // Entry Based Read Data
2344wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_5;
2345 // Entry Based Read Data
2346wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_6;
2347 // Entry Based Read Data
2348wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_7;
2349 // Entry Based Read Data
2350wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_8;
2351 // Entry Based Read Data
2352wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_9;
2353 // Entry Based Read Data
2354wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_10;
2355 // Entry Based Read Data
2356wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_11;
2357 // Entry Based Read Data
2358wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_12;
2359 // Entry Based Read Data
2360wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_13;
2361 // Entry Based Read Data
2362wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_14;
2363 // Entry Based Read Data
2364wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_15;
2365 // Entry Based Read Data
2366wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_16;
2367 // Entry Based Read Data
2368wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_17;
2369 // Entry Based Read Data
2370wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_18;
2371 // Entry Based Read Data
2372wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_19;
2373 // Entry Based Read Data
2374wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_20;
2375 // Entry Based Read Data
2376wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_21;
2377 // Entry Based Read Data
2378wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_22;
2379 // Entry Based Read Data
2380wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_23;
2381 // Entry Based Read Data
2382wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_24;
2383 // Entry Based Read Data
2384wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_25;
2385 // Entry Based Read Data
2386wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_26;
2387 // Entry Based Read Data
2388wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_27;
2389 // Entry Based Read Data
2390wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_28;
2391 // Entry Based Read Data
2392wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_29;
2393 // Entry Based Read Data
2394wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_30;
2395 // Entry Based Read Data
2396wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_31;
2397 // Entry Based Read Data
2398wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_32;
2399 // Entry Based Read Data
2400wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_33;
2401 // Entry Based Read Data
2402wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_34;
2403 // Entry Based Read Data
2404wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_WIDTH-1:0] eq_head_csrbus_read_data_35;
2405 // Entry Based Read Data
2406
2407
2408//====================================================
2409// Pipelining (First stage)
2410//====================================================
2411//----- delayed select for ext_select
2412reg eq_ctrl_set_select_0_piped;
2413reg eq_ctrl_set_select_0_piped_delayed;
2414reg eq_ctrl_set_select_1_piped;
2415reg eq_ctrl_set_select_1_piped_delayed;
2416reg eq_ctrl_set_select_2_piped;
2417reg eq_ctrl_set_select_2_piped_delayed;
2418reg eq_ctrl_set_select_3_piped;
2419reg eq_ctrl_set_select_3_piped_delayed;
2420reg eq_ctrl_set_select_4_piped;
2421reg eq_ctrl_set_select_4_piped_delayed;
2422reg eq_ctrl_set_select_5_piped;
2423reg eq_ctrl_set_select_5_piped_delayed;
2424reg eq_ctrl_set_select_6_piped;
2425reg eq_ctrl_set_select_6_piped_delayed;
2426reg eq_ctrl_set_select_7_piped;
2427reg eq_ctrl_set_select_7_piped_delayed;
2428reg eq_ctrl_set_select_8_piped;
2429reg eq_ctrl_set_select_8_piped_delayed;
2430reg eq_ctrl_set_select_9_piped;
2431reg eq_ctrl_set_select_9_piped_delayed;
2432reg eq_ctrl_set_select_10_piped;
2433reg eq_ctrl_set_select_10_piped_delayed;
2434reg eq_ctrl_set_select_11_piped;
2435reg eq_ctrl_set_select_11_piped_delayed;
2436reg eq_ctrl_set_select_12_piped;
2437reg eq_ctrl_set_select_12_piped_delayed;
2438reg eq_ctrl_set_select_13_piped;
2439reg eq_ctrl_set_select_13_piped_delayed;
2440reg eq_ctrl_set_select_14_piped;
2441reg eq_ctrl_set_select_14_piped_delayed;
2442reg eq_ctrl_set_select_15_piped;
2443reg eq_ctrl_set_select_15_piped_delayed;
2444reg eq_ctrl_set_select_16_piped;
2445reg eq_ctrl_set_select_16_piped_delayed;
2446reg eq_ctrl_set_select_17_piped;
2447reg eq_ctrl_set_select_17_piped_delayed;
2448reg eq_ctrl_set_select_18_piped;
2449reg eq_ctrl_set_select_18_piped_delayed;
2450reg eq_ctrl_set_select_19_piped;
2451reg eq_ctrl_set_select_19_piped_delayed;
2452reg eq_ctrl_set_select_20_piped;
2453reg eq_ctrl_set_select_20_piped_delayed;
2454reg eq_ctrl_set_select_21_piped;
2455reg eq_ctrl_set_select_21_piped_delayed;
2456reg eq_ctrl_set_select_22_piped;
2457reg eq_ctrl_set_select_22_piped_delayed;
2458reg eq_ctrl_set_select_23_piped;
2459reg eq_ctrl_set_select_23_piped_delayed;
2460reg eq_ctrl_set_select_24_piped;
2461reg eq_ctrl_set_select_24_piped_delayed;
2462reg eq_ctrl_set_select_25_piped;
2463reg eq_ctrl_set_select_25_piped_delayed;
2464reg eq_ctrl_set_select_26_piped;
2465reg eq_ctrl_set_select_26_piped_delayed;
2466reg eq_ctrl_set_select_27_piped;
2467reg eq_ctrl_set_select_27_piped_delayed;
2468reg eq_ctrl_set_select_28_piped;
2469reg eq_ctrl_set_select_28_piped_delayed;
2470reg eq_ctrl_set_select_29_piped;
2471reg eq_ctrl_set_select_29_piped_delayed;
2472reg eq_ctrl_set_select_30_piped;
2473reg eq_ctrl_set_select_30_piped_delayed;
2474reg eq_ctrl_set_select_31_piped;
2475reg eq_ctrl_set_select_31_piped_delayed;
2476reg eq_ctrl_set_select_32_piped;
2477reg eq_ctrl_set_select_32_piped_delayed;
2478reg eq_ctrl_set_select_33_piped;
2479reg eq_ctrl_set_select_33_piped_delayed;
2480reg eq_ctrl_set_select_34_piped;
2481reg eq_ctrl_set_select_34_piped_delayed;
2482reg eq_ctrl_set_select_35_piped;
2483reg eq_ctrl_set_select_35_piped_delayed;
2484reg eq_ctrl_clr_select_0_piped;
2485reg eq_ctrl_clr_select_0_piped_delayed;
2486reg eq_ctrl_clr_select_1_piped;
2487reg eq_ctrl_clr_select_1_piped_delayed;
2488reg eq_ctrl_clr_select_2_piped;
2489reg eq_ctrl_clr_select_2_piped_delayed;
2490reg eq_ctrl_clr_select_3_piped;
2491reg eq_ctrl_clr_select_3_piped_delayed;
2492reg eq_ctrl_clr_select_4_piped;
2493reg eq_ctrl_clr_select_4_piped_delayed;
2494reg eq_ctrl_clr_select_5_piped;
2495reg eq_ctrl_clr_select_5_piped_delayed;
2496reg eq_ctrl_clr_select_6_piped;
2497reg eq_ctrl_clr_select_6_piped_delayed;
2498reg eq_ctrl_clr_select_7_piped;
2499reg eq_ctrl_clr_select_7_piped_delayed;
2500reg eq_ctrl_clr_select_8_piped;
2501reg eq_ctrl_clr_select_8_piped_delayed;
2502reg eq_ctrl_clr_select_9_piped;
2503reg eq_ctrl_clr_select_9_piped_delayed;
2504reg eq_ctrl_clr_select_10_piped;
2505reg eq_ctrl_clr_select_10_piped_delayed;
2506reg eq_ctrl_clr_select_11_piped;
2507reg eq_ctrl_clr_select_11_piped_delayed;
2508reg eq_ctrl_clr_select_12_piped;
2509reg eq_ctrl_clr_select_12_piped_delayed;
2510reg eq_ctrl_clr_select_13_piped;
2511reg eq_ctrl_clr_select_13_piped_delayed;
2512reg eq_ctrl_clr_select_14_piped;
2513reg eq_ctrl_clr_select_14_piped_delayed;
2514reg eq_ctrl_clr_select_15_piped;
2515reg eq_ctrl_clr_select_15_piped_delayed;
2516reg eq_ctrl_clr_select_16_piped;
2517reg eq_ctrl_clr_select_16_piped_delayed;
2518reg eq_ctrl_clr_select_17_piped;
2519reg eq_ctrl_clr_select_17_piped_delayed;
2520reg eq_ctrl_clr_select_18_piped;
2521reg eq_ctrl_clr_select_18_piped_delayed;
2522reg eq_ctrl_clr_select_19_piped;
2523reg eq_ctrl_clr_select_19_piped_delayed;
2524reg eq_ctrl_clr_select_20_piped;
2525reg eq_ctrl_clr_select_20_piped_delayed;
2526reg eq_ctrl_clr_select_21_piped;
2527reg eq_ctrl_clr_select_21_piped_delayed;
2528reg eq_ctrl_clr_select_22_piped;
2529reg eq_ctrl_clr_select_22_piped_delayed;
2530reg eq_ctrl_clr_select_23_piped;
2531reg eq_ctrl_clr_select_23_piped_delayed;
2532reg eq_ctrl_clr_select_24_piped;
2533reg eq_ctrl_clr_select_24_piped_delayed;
2534reg eq_ctrl_clr_select_25_piped;
2535reg eq_ctrl_clr_select_25_piped_delayed;
2536reg eq_ctrl_clr_select_26_piped;
2537reg eq_ctrl_clr_select_26_piped_delayed;
2538reg eq_ctrl_clr_select_27_piped;
2539reg eq_ctrl_clr_select_27_piped_delayed;
2540reg eq_ctrl_clr_select_28_piped;
2541reg eq_ctrl_clr_select_28_piped_delayed;
2542reg eq_ctrl_clr_select_29_piped;
2543reg eq_ctrl_clr_select_29_piped_delayed;
2544reg eq_ctrl_clr_select_30_piped;
2545reg eq_ctrl_clr_select_30_piped_delayed;
2546reg eq_ctrl_clr_select_31_piped;
2547reg eq_ctrl_clr_select_31_piped_delayed;
2548reg eq_ctrl_clr_select_32_piped;
2549reg eq_ctrl_clr_select_32_piped_delayed;
2550reg eq_ctrl_clr_select_33_piped;
2551reg eq_ctrl_clr_select_33_piped_delayed;
2552reg eq_ctrl_clr_select_34_piped;
2553reg eq_ctrl_clr_select_34_piped_delayed;
2554reg eq_ctrl_clr_select_35_piped;
2555reg eq_ctrl_clr_select_35_piped_delayed;
2556
2557always @(posedge clk)
2558 begin
2559 if(~rst_l)
2560 begin
2561 eq_ctrl_set_select_0_piped <= 1'b0;
2562 eq_ctrl_set_select_0_piped_delayed <= 1'b0;
2563 eq_ctrl_set_select_1_piped <= 1'b0;
2564 eq_ctrl_set_select_1_piped_delayed <= 1'b0;
2565 eq_ctrl_set_select_2_piped <= 1'b0;
2566 eq_ctrl_set_select_2_piped_delayed <= 1'b0;
2567 eq_ctrl_set_select_3_piped <= 1'b0;
2568 eq_ctrl_set_select_3_piped_delayed <= 1'b0;
2569 eq_ctrl_set_select_4_piped <= 1'b0;
2570 eq_ctrl_set_select_4_piped_delayed <= 1'b0;
2571 eq_ctrl_set_select_5_piped <= 1'b0;
2572 eq_ctrl_set_select_5_piped_delayed <= 1'b0;
2573 eq_ctrl_set_select_6_piped <= 1'b0;
2574 eq_ctrl_set_select_6_piped_delayed <= 1'b0;
2575 eq_ctrl_set_select_7_piped <= 1'b0;
2576 eq_ctrl_set_select_7_piped_delayed <= 1'b0;
2577 eq_ctrl_set_select_8_piped <= 1'b0;
2578 eq_ctrl_set_select_8_piped_delayed <= 1'b0;
2579 eq_ctrl_set_select_9_piped <= 1'b0;
2580 eq_ctrl_set_select_9_piped_delayed <= 1'b0;
2581 eq_ctrl_set_select_10_piped <= 1'b0;
2582 eq_ctrl_set_select_10_piped_delayed <= 1'b0;
2583 eq_ctrl_set_select_11_piped <= 1'b0;
2584 eq_ctrl_set_select_11_piped_delayed <= 1'b0;
2585 eq_ctrl_set_select_12_piped <= 1'b0;
2586 eq_ctrl_set_select_12_piped_delayed <= 1'b0;
2587 eq_ctrl_set_select_13_piped <= 1'b0;
2588 eq_ctrl_set_select_13_piped_delayed <= 1'b0;
2589 eq_ctrl_set_select_14_piped <= 1'b0;
2590 eq_ctrl_set_select_14_piped_delayed <= 1'b0;
2591 eq_ctrl_set_select_15_piped <= 1'b0;
2592 eq_ctrl_set_select_15_piped_delayed <= 1'b0;
2593 eq_ctrl_set_select_16_piped <= 1'b0;
2594 eq_ctrl_set_select_16_piped_delayed <= 1'b0;
2595 eq_ctrl_set_select_17_piped <= 1'b0;
2596 eq_ctrl_set_select_17_piped_delayed <= 1'b0;
2597 eq_ctrl_set_select_18_piped <= 1'b0;
2598 eq_ctrl_set_select_18_piped_delayed <= 1'b0;
2599 eq_ctrl_set_select_19_piped <= 1'b0;
2600 eq_ctrl_set_select_19_piped_delayed <= 1'b0;
2601 eq_ctrl_set_select_20_piped <= 1'b0;
2602 eq_ctrl_set_select_20_piped_delayed <= 1'b0;
2603 eq_ctrl_set_select_21_piped <= 1'b0;
2604 eq_ctrl_set_select_21_piped_delayed <= 1'b0;
2605 eq_ctrl_set_select_22_piped <= 1'b0;
2606 eq_ctrl_set_select_22_piped_delayed <= 1'b0;
2607 eq_ctrl_set_select_23_piped <= 1'b0;
2608 eq_ctrl_set_select_23_piped_delayed <= 1'b0;
2609 eq_ctrl_set_select_24_piped <= 1'b0;
2610 eq_ctrl_set_select_24_piped_delayed <= 1'b0;
2611 eq_ctrl_set_select_25_piped <= 1'b0;
2612 eq_ctrl_set_select_25_piped_delayed <= 1'b0;
2613 eq_ctrl_set_select_26_piped <= 1'b0;
2614 eq_ctrl_set_select_26_piped_delayed <= 1'b0;
2615 eq_ctrl_set_select_27_piped <= 1'b0;
2616 eq_ctrl_set_select_27_piped_delayed <= 1'b0;
2617 eq_ctrl_set_select_28_piped <= 1'b0;
2618 eq_ctrl_set_select_28_piped_delayed <= 1'b0;
2619 eq_ctrl_set_select_29_piped <= 1'b0;
2620 eq_ctrl_set_select_29_piped_delayed <= 1'b0;
2621 eq_ctrl_set_select_30_piped <= 1'b0;
2622 eq_ctrl_set_select_30_piped_delayed <= 1'b0;
2623 eq_ctrl_set_select_31_piped <= 1'b0;
2624 eq_ctrl_set_select_31_piped_delayed <= 1'b0;
2625 eq_ctrl_set_select_32_piped <= 1'b0;
2626 eq_ctrl_set_select_32_piped_delayed <= 1'b0;
2627 eq_ctrl_set_select_33_piped <= 1'b0;
2628 eq_ctrl_set_select_33_piped_delayed <= 1'b0;
2629 eq_ctrl_set_select_34_piped <= 1'b0;
2630 eq_ctrl_set_select_34_piped_delayed <= 1'b0;
2631 eq_ctrl_set_select_35_piped <= 1'b0;
2632 eq_ctrl_set_select_35_piped_delayed <= 1'b0;
2633 eq_ctrl_clr_select_0_piped <= 1'b0;
2634 eq_ctrl_clr_select_0_piped_delayed <= 1'b0;
2635 eq_ctrl_clr_select_1_piped <= 1'b0;
2636 eq_ctrl_clr_select_1_piped_delayed <= 1'b0;
2637 eq_ctrl_clr_select_2_piped <= 1'b0;
2638 eq_ctrl_clr_select_2_piped_delayed <= 1'b0;
2639 eq_ctrl_clr_select_3_piped <= 1'b0;
2640 eq_ctrl_clr_select_3_piped_delayed <= 1'b0;
2641 eq_ctrl_clr_select_4_piped <= 1'b0;
2642 eq_ctrl_clr_select_4_piped_delayed <= 1'b0;
2643 eq_ctrl_clr_select_5_piped <= 1'b0;
2644 eq_ctrl_clr_select_5_piped_delayed <= 1'b0;
2645 eq_ctrl_clr_select_6_piped <= 1'b0;
2646 eq_ctrl_clr_select_6_piped_delayed <= 1'b0;
2647 eq_ctrl_clr_select_7_piped <= 1'b0;
2648 eq_ctrl_clr_select_7_piped_delayed <= 1'b0;
2649 eq_ctrl_clr_select_8_piped <= 1'b0;
2650 eq_ctrl_clr_select_8_piped_delayed <= 1'b0;
2651 eq_ctrl_clr_select_9_piped <= 1'b0;
2652 eq_ctrl_clr_select_9_piped_delayed <= 1'b0;
2653 eq_ctrl_clr_select_10_piped <= 1'b0;
2654 eq_ctrl_clr_select_10_piped_delayed <= 1'b0;
2655 eq_ctrl_clr_select_11_piped <= 1'b0;
2656 eq_ctrl_clr_select_11_piped_delayed <= 1'b0;
2657 eq_ctrl_clr_select_12_piped <= 1'b0;
2658 eq_ctrl_clr_select_12_piped_delayed <= 1'b0;
2659 eq_ctrl_clr_select_13_piped <= 1'b0;
2660 eq_ctrl_clr_select_13_piped_delayed <= 1'b0;
2661 eq_ctrl_clr_select_14_piped <= 1'b0;
2662 eq_ctrl_clr_select_14_piped_delayed <= 1'b0;
2663 eq_ctrl_clr_select_15_piped <= 1'b0;
2664 eq_ctrl_clr_select_15_piped_delayed <= 1'b0;
2665 eq_ctrl_clr_select_16_piped <= 1'b0;
2666 eq_ctrl_clr_select_16_piped_delayed <= 1'b0;
2667 eq_ctrl_clr_select_17_piped <= 1'b0;
2668 eq_ctrl_clr_select_17_piped_delayed <= 1'b0;
2669 eq_ctrl_clr_select_18_piped <= 1'b0;
2670 eq_ctrl_clr_select_18_piped_delayed <= 1'b0;
2671 eq_ctrl_clr_select_19_piped <= 1'b0;
2672 eq_ctrl_clr_select_19_piped_delayed <= 1'b0;
2673 eq_ctrl_clr_select_20_piped <= 1'b0;
2674 eq_ctrl_clr_select_20_piped_delayed <= 1'b0;
2675 eq_ctrl_clr_select_21_piped <= 1'b0;
2676 eq_ctrl_clr_select_21_piped_delayed <= 1'b0;
2677 eq_ctrl_clr_select_22_piped <= 1'b0;
2678 eq_ctrl_clr_select_22_piped_delayed <= 1'b0;
2679 eq_ctrl_clr_select_23_piped <= 1'b0;
2680 eq_ctrl_clr_select_23_piped_delayed <= 1'b0;
2681 eq_ctrl_clr_select_24_piped <= 1'b0;
2682 eq_ctrl_clr_select_24_piped_delayed <= 1'b0;
2683 eq_ctrl_clr_select_25_piped <= 1'b0;
2684 eq_ctrl_clr_select_25_piped_delayed <= 1'b0;
2685 eq_ctrl_clr_select_26_piped <= 1'b0;
2686 eq_ctrl_clr_select_26_piped_delayed <= 1'b0;
2687 eq_ctrl_clr_select_27_piped <= 1'b0;
2688 eq_ctrl_clr_select_27_piped_delayed <= 1'b0;
2689 eq_ctrl_clr_select_28_piped <= 1'b0;
2690 eq_ctrl_clr_select_28_piped_delayed <= 1'b0;
2691 eq_ctrl_clr_select_29_piped <= 1'b0;
2692 eq_ctrl_clr_select_29_piped_delayed <= 1'b0;
2693 eq_ctrl_clr_select_30_piped <= 1'b0;
2694 eq_ctrl_clr_select_30_piped_delayed <= 1'b0;
2695 eq_ctrl_clr_select_31_piped <= 1'b0;
2696 eq_ctrl_clr_select_31_piped_delayed <= 1'b0;
2697 eq_ctrl_clr_select_32_piped <= 1'b0;
2698 eq_ctrl_clr_select_32_piped_delayed <= 1'b0;
2699 eq_ctrl_clr_select_33_piped <= 1'b0;
2700 eq_ctrl_clr_select_33_piped_delayed <= 1'b0;
2701 eq_ctrl_clr_select_34_piped <= 1'b0;
2702 eq_ctrl_clr_select_34_piped_delayed <= 1'b0;
2703 eq_ctrl_clr_select_35_piped <= 1'b0;
2704 eq_ctrl_clr_select_35_piped_delayed <= 1'b0;
2705 end
2706 else
2707 begin
2708 eq_ctrl_set_select_0_piped <= eq_ctrl_set_select_0;
2709 eq_ctrl_set_select_0_piped_delayed <= eq_ctrl_set_select_0_piped;
2710 eq_ctrl_set_select_1_piped <= eq_ctrl_set_select_1;
2711 eq_ctrl_set_select_1_piped_delayed <= eq_ctrl_set_select_1_piped;
2712 eq_ctrl_set_select_2_piped <= eq_ctrl_set_select_2;
2713 eq_ctrl_set_select_2_piped_delayed <= eq_ctrl_set_select_2_piped;
2714 eq_ctrl_set_select_3_piped <= eq_ctrl_set_select_3;
2715 eq_ctrl_set_select_3_piped_delayed <= eq_ctrl_set_select_3_piped;
2716 eq_ctrl_set_select_4_piped <= eq_ctrl_set_select_4;
2717 eq_ctrl_set_select_4_piped_delayed <= eq_ctrl_set_select_4_piped;
2718 eq_ctrl_set_select_5_piped <= eq_ctrl_set_select_5;
2719 eq_ctrl_set_select_5_piped_delayed <= eq_ctrl_set_select_5_piped;
2720 eq_ctrl_set_select_6_piped <= eq_ctrl_set_select_6;
2721 eq_ctrl_set_select_6_piped_delayed <= eq_ctrl_set_select_6_piped;
2722 eq_ctrl_set_select_7_piped <= eq_ctrl_set_select_7;
2723 eq_ctrl_set_select_7_piped_delayed <= eq_ctrl_set_select_7_piped;
2724 eq_ctrl_set_select_8_piped <= eq_ctrl_set_select_8;
2725 eq_ctrl_set_select_8_piped_delayed <= eq_ctrl_set_select_8_piped;
2726 eq_ctrl_set_select_9_piped <= eq_ctrl_set_select_9;
2727 eq_ctrl_set_select_9_piped_delayed <= eq_ctrl_set_select_9_piped;
2728 eq_ctrl_set_select_10_piped <= eq_ctrl_set_select_10;
2729 eq_ctrl_set_select_10_piped_delayed <= eq_ctrl_set_select_10_piped;
2730 eq_ctrl_set_select_11_piped <= eq_ctrl_set_select_11;
2731 eq_ctrl_set_select_11_piped_delayed <= eq_ctrl_set_select_11_piped;
2732 eq_ctrl_set_select_12_piped <= eq_ctrl_set_select_12;
2733 eq_ctrl_set_select_12_piped_delayed <= eq_ctrl_set_select_12_piped;
2734 eq_ctrl_set_select_13_piped <= eq_ctrl_set_select_13;
2735 eq_ctrl_set_select_13_piped_delayed <= eq_ctrl_set_select_13_piped;
2736 eq_ctrl_set_select_14_piped <= eq_ctrl_set_select_14;
2737 eq_ctrl_set_select_14_piped_delayed <= eq_ctrl_set_select_14_piped;
2738 eq_ctrl_set_select_15_piped <= eq_ctrl_set_select_15;
2739 eq_ctrl_set_select_15_piped_delayed <= eq_ctrl_set_select_15_piped;
2740 eq_ctrl_set_select_16_piped <= eq_ctrl_set_select_16;
2741 eq_ctrl_set_select_16_piped_delayed <= eq_ctrl_set_select_16_piped;
2742 eq_ctrl_set_select_17_piped <= eq_ctrl_set_select_17;
2743 eq_ctrl_set_select_17_piped_delayed <= eq_ctrl_set_select_17_piped;
2744 eq_ctrl_set_select_18_piped <= eq_ctrl_set_select_18;
2745 eq_ctrl_set_select_18_piped_delayed <= eq_ctrl_set_select_18_piped;
2746 eq_ctrl_set_select_19_piped <= eq_ctrl_set_select_19;
2747 eq_ctrl_set_select_19_piped_delayed <= eq_ctrl_set_select_19_piped;
2748 eq_ctrl_set_select_20_piped <= eq_ctrl_set_select_20;
2749 eq_ctrl_set_select_20_piped_delayed <= eq_ctrl_set_select_20_piped;
2750 eq_ctrl_set_select_21_piped <= eq_ctrl_set_select_21;
2751 eq_ctrl_set_select_21_piped_delayed <= eq_ctrl_set_select_21_piped;
2752 eq_ctrl_set_select_22_piped <= eq_ctrl_set_select_22;
2753 eq_ctrl_set_select_22_piped_delayed <= eq_ctrl_set_select_22_piped;
2754 eq_ctrl_set_select_23_piped <= eq_ctrl_set_select_23;
2755 eq_ctrl_set_select_23_piped_delayed <= eq_ctrl_set_select_23_piped;
2756 eq_ctrl_set_select_24_piped <= eq_ctrl_set_select_24;
2757 eq_ctrl_set_select_24_piped_delayed <= eq_ctrl_set_select_24_piped;
2758 eq_ctrl_set_select_25_piped <= eq_ctrl_set_select_25;
2759 eq_ctrl_set_select_25_piped_delayed <= eq_ctrl_set_select_25_piped;
2760 eq_ctrl_set_select_26_piped <= eq_ctrl_set_select_26;
2761 eq_ctrl_set_select_26_piped_delayed <= eq_ctrl_set_select_26_piped;
2762 eq_ctrl_set_select_27_piped <= eq_ctrl_set_select_27;
2763 eq_ctrl_set_select_27_piped_delayed <= eq_ctrl_set_select_27_piped;
2764 eq_ctrl_set_select_28_piped <= eq_ctrl_set_select_28;
2765 eq_ctrl_set_select_28_piped_delayed <= eq_ctrl_set_select_28_piped;
2766 eq_ctrl_set_select_29_piped <= eq_ctrl_set_select_29;
2767 eq_ctrl_set_select_29_piped_delayed <= eq_ctrl_set_select_29_piped;
2768 eq_ctrl_set_select_30_piped <= eq_ctrl_set_select_30;
2769 eq_ctrl_set_select_30_piped_delayed <= eq_ctrl_set_select_30_piped;
2770 eq_ctrl_set_select_31_piped <= eq_ctrl_set_select_31;
2771 eq_ctrl_set_select_31_piped_delayed <= eq_ctrl_set_select_31_piped;
2772 eq_ctrl_set_select_32_piped <= eq_ctrl_set_select_32;
2773 eq_ctrl_set_select_32_piped_delayed <= eq_ctrl_set_select_32_piped;
2774 eq_ctrl_set_select_33_piped <= eq_ctrl_set_select_33;
2775 eq_ctrl_set_select_33_piped_delayed <= eq_ctrl_set_select_33_piped;
2776 eq_ctrl_set_select_34_piped <= eq_ctrl_set_select_34;
2777 eq_ctrl_set_select_34_piped_delayed <= eq_ctrl_set_select_34_piped;
2778 eq_ctrl_set_select_35_piped <= eq_ctrl_set_select_35;
2779 eq_ctrl_set_select_35_piped_delayed <= eq_ctrl_set_select_35_piped;
2780 eq_ctrl_clr_select_0_piped <= eq_ctrl_clr_select_0;
2781 eq_ctrl_clr_select_0_piped_delayed <= eq_ctrl_clr_select_0_piped;
2782 eq_ctrl_clr_select_1_piped <= eq_ctrl_clr_select_1;
2783 eq_ctrl_clr_select_1_piped_delayed <= eq_ctrl_clr_select_1_piped;
2784 eq_ctrl_clr_select_2_piped <= eq_ctrl_clr_select_2;
2785 eq_ctrl_clr_select_2_piped_delayed <= eq_ctrl_clr_select_2_piped;
2786 eq_ctrl_clr_select_3_piped <= eq_ctrl_clr_select_3;
2787 eq_ctrl_clr_select_3_piped_delayed <= eq_ctrl_clr_select_3_piped;
2788 eq_ctrl_clr_select_4_piped <= eq_ctrl_clr_select_4;
2789 eq_ctrl_clr_select_4_piped_delayed <= eq_ctrl_clr_select_4_piped;
2790 eq_ctrl_clr_select_5_piped <= eq_ctrl_clr_select_5;
2791 eq_ctrl_clr_select_5_piped_delayed <= eq_ctrl_clr_select_5_piped;
2792 eq_ctrl_clr_select_6_piped <= eq_ctrl_clr_select_6;
2793 eq_ctrl_clr_select_6_piped_delayed <= eq_ctrl_clr_select_6_piped;
2794 eq_ctrl_clr_select_7_piped <= eq_ctrl_clr_select_7;
2795 eq_ctrl_clr_select_7_piped_delayed <= eq_ctrl_clr_select_7_piped;
2796 eq_ctrl_clr_select_8_piped <= eq_ctrl_clr_select_8;
2797 eq_ctrl_clr_select_8_piped_delayed <= eq_ctrl_clr_select_8_piped;
2798 eq_ctrl_clr_select_9_piped <= eq_ctrl_clr_select_9;
2799 eq_ctrl_clr_select_9_piped_delayed <= eq_ctrl_clr_select_9_piped;
2800 eq_ctrl_clr_select_10_piped <= eq_ctrl_clr_select_10;
2801 eq_ctrl_clr_select_10_piped_delayed <= eq_ctrl_clr_select_10_piped;
2802 eq_ctrl_clr_select_11_piped <= eq_ctrl_clr_select_11;
2803 eq_ctrl_clr_select_11_piped_delayed <= eq_ctrl_clr_select_11_piped;
2804 eq_ctrl_clr_select_12_piped <= eq_ctrl_clr_select_12;
2805 eq_ctrl_clr_select_12_piped_delayed <= eq_ctrl_clr_select_12_piped;
2806 eq_ctrl_clr_select_13_piped <= eq_ctrl_clr_select_13;
2807 eq_ctrl_clr_select_13_piped_delayed <= eq_ctrl_clr_select_13_piped;
2808 eq_ctrl_clr_select_14_piped <= eq_ctrl_clr_select_14;
2809 eq_ctrl_clr_select_14_piped_delayed <= eq_ctrl_clr_select_14_piped;
2810 eq_ctrl_clr_select_15_piped <= eq_ctrl_clr_select_15;
2811 eq_ctrl_clr_select_15_piped_delayed <= eq_ctrl_clr_select_15_piped;
2812 eq_ctrl_clr_select_16_piped <= eq_ctrl_clr_select_16;
2813 eq_ctrl_clr_select_16_piped_delayed <= eq_ctrl_clr_select_16_piped;
2814 eq_ctrl_clr_select_17_piped <= eq_ctrl_clr_select_17;
2815 eq_ctrl_clr_select_17_piped_delayed <= eq_ctrl_clr_select_17_piped;
2816 eq_ctrl_clr_select_18_piped <= eq_ctrl_clr_select_18;
2817 eq_ctrl_clr_select_18_piped_delayed <= eq_ctrl_clr_select_18_piped;
2818 eq_ctrl_clr_select_19_piped <= eq_ctrl_clr_select_19;
2819 eq_ctrl_clr_select_19_piped_delayed <= eq_ctrl_clr_select_19_piped;
2820 eq_ctrl_clr_select_20_piped <= eq_ctrl_clr_select_20;
2821 eq_ctrl_clr_select_20_piped_delayed <= eq_ctrl_clr_select_20_piped;
2822 eq_ctrl_clr_select_21_piped <= eq_ctrl_clr_select_21;
2823 eq_ctrl_clr_select_21_piped_delayed <= eq_ctrl_clr_select_21_piped;
2824 eq_ctrl_clr_select_22_piped <= eq_ctrl_clr_select_22;
2825 eq_ctrl_clr_select_22_piped_delayed <= eq_ctrl_clr_select_22_piped;
2826 eq_ctrl_clr_select_23_piped <= eq_ctrl_clr_select_23;
2827 eq_ctrl_clr_select_23_piped_delayed <= eq_ctrl_clr_select_23_piped;
2828 eq_ctrl_clr_select_24_piped <= eq_ctrl_clr_select_24;
2829 eq_ctrl_clr_select_24_piped_delayed <= eq_ctrl_clr_select_24_piped;
2830 eq_ctrl_clr_select_25_piped <= eq_ctrl_clr_select_25;
2831 eq_ctrl_clr_select_25_piped_delayed <= eq_ctrl_clr_select_25_piped;
2832 eq_ctrl_clr_select_26_piped <= eq_ctrl_clr_select_26;
2833 eq_ctrl_clr_select_26_piped_delayed <= eq_ctrl_clr_select_26_piped;
2834 eq_ctrl_clr_select_27_piped <= eq_ctrl_clr_select_27;
2835 eq_ctrl_clr_select_27_piped_delayed <= eq_ctrl_clr_select_27_piped;
2836 eq_ctrl_clr_select_28_piped <= eq_ctrl_clr_select_28;
2837 eq_ctrl_clr_select_28_piped_delayed <= eq_ctrl_clr_select_28_piped;
2838 eq_ctrl_clr_select_29_piped <= eq_ctrl_clr_select_29;
2839 eq_ctrl_clr_select_29_piped_delayed <= eq_ctrl_clr_select_29_piped;
2840 eq_ctrl_clr_select_30_piped <= eq_ctrl_clr_select_30;
2841 eq_ctrl_clr_select_30_piped_delayed <= eq_ctrl_clr_select_30_piped;
2842 eq_ctrl_clr_select_31_piped <= eq_ctrl_clr_select_31;
2843 eq_ctrl_clr_select_31_piped_delayed <= eq_ctrl_clr_select_31_piped;
2844 eq_ctrl_clr_select_32_piped <= eq_ctrl_clr_select_32;
2845 eq_ctrl_clr_select_32_piped_delayed <= eq_ctrl_clr_select_32_piped;
2846 eq_ctrl_clr_select_33_piped <= eq_ctrl_clr_select_33;
2847 eq_ctrl_clr_select_33_piped_delayed <= eq_ctrl_clr_select_33_piped;
2848 eq_ctrl_clr_select_34_piped <= eq_ctrl_clr_select_34;
2849 eq_ctrl_clr_select_34_piped_delayed <= eq_ctrl_clr_select_34_piped;
2850 eq_ctrl_clr_select_35_piped <= eq_ctrl_clr_select_35;
2851 eq_ctrl_clr_select_35_piped_delayed <= eq_ctrl_clr_select_35_piped;
2852 end
2853 end
2854
2855//====================================================
2856// Assignments only (first stage)
2857//====================================================
2858wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] daemon_csrbus_wr_data = daemon_csrbus_wr_data_in;
2859wire daemon_csrbus_wr = daemon_csrbus_wr_in;
2860assign daemon_csrbus_wr_out = daemon_csrbus_wr_in;
2861assign eq_ctrl_set_enoverr_ext_wr_data = daemon_csrbus_wr_data_in[57];
2862assign eq_ctrl_set_en_ext_wr_data = daemon_csrbus_wr_data_in[44];
2863assign eq_ctrl_clr_coverr_ext_wr_data = daemon_csrbus_wr_data_in[57];
2864assign eq_ctrl_clr_e2i_ext_wr_data = daemon_csrbus_wr_data_in[47];
2865assign eq_ctrl_clr_dis_ext_wr_data = daemon_csrbus_wr_data_in[44];
2866
2867//====================================================
2868// Automatic hw_ld / hw_write
2869//====================================================
2870
2871//====================================================
2872// Extern select
2873//====================================================
2874assign eq_ctrl_set_ext_select_0 =
2875 eq_ctrl_set_select_0_piped&
2876 ~eq_ctrl_set_select_0_piped_delayed;
2877
2878// eq_ctrl_set_ext_select_0 is a pulse
2879/* 0in assert_timer -name eq_ctrl_set_ext_select_0_pulse
2880 -var eq_ctrl_set_ext_select_0 -max 1
2881 -message "eq_ctrl_set_ext_select_0 pulse length is not 1"
2882 -clock clk
2883*/
2884
2885assign eq_ctrl_set_ext_select_1 =
2886 eq_ctrl_set_select_1_piped&
2887 ~eq_ctrl_set_select_1_piped_delayed;
2888
2889// eq_ctrl_set_ext_select_1 is a pulse
2890/* 0in assert_timer -name eq_ctrl_set_ext_select_1_pulse
2891 -var eq_ctrl_set_ext_select_1 -max 1
2892 -message "eq_ctrl_set_ext_select_1 pulse length is not 1"
2893 -clock clk
2894*/
2895
2896assign eq_ctrl_set_ext_select_2 =
2897 eq_ctrl_set_select_2_piped&
2898 ~eq_ctrl_set_select_2_piped_delayed;
2899
2900// eq_ctrl_set_ext_select_2 is a pulse
2901/* 0in assert_timer -name eq_ctrl_set_ext_select_2_pulse
2902 -var eq_ctrl_set_ext_select_2 -max 1
2903 -message "eq_ctrl_set_ext_select_2 pulse length is not 1"
2904 -clock clk
2905*/
2906
2907assign eq_ctrl_set_ext_select_3 =
2908 eq_ctrl_set_select_3_piped&
2909 ~eq_ctrl_set_select_3_piped_delayed;
2910
2911// eq_ctrl_set_ext_select_3 is a pulse
2912/* 0in assert_timer -name eq_ctrl_set_ext_select_3_pulse
2913 -var eq_ctrl_set_ext_select_3 -max 1
2914 -message "eq_ctrl_set_ext_select_3 pulse length is not 1"
2915 -clock clk
2916*/
2917
2918assign eq_ctrl_set_ext_select_4 =
2919 eq_ctrl_set_select_4_piped&
2920 ~eq_ctrl_set_select_4_piped_delayed;
2921
2922// eq_ctrl_set_ext_select_4 is a pulse
2923/* 0in assert_timer -name eq_ctrl_set_ext_select_4_pulse
2924 -var eq_ctrl_set_ext_select_4 -max 1
2925 -message "eq_ctrl_set_ext_select_4 pulse length is not 1"
2926 -clock clk
2927*/
2928
2929assign eq_ctrl_set_ext_select_5 =
2930 eq_ctrl_set_select_5_piped&
2931 ~eq_ctrl_set_select_5_piped_delayed;
2932
2933// eq_ctrl_set_ext_select_5 is a pulse
2934/* 0in assert_timer -name eq_ctrl_set_ext_select_5_pulse
2935 -var eq_ctrl_set_ext_select_5 -max 1
2936 -message "eq_ctrl_set_ext_select_5 pulse length is not 1"
2937 -clock clk
2938*/
2939
2940assign eq_ctrl_set_ext_select_6 =
2941 eq_ctrl_set_select_6_piped&
2942 ~eq_ctrl_set_select_6_piped_delayed;
2943
2944// eq_ctrl_set_ext_select_6 is a pulse
2945/* 0in assert_timer -name eq_ctrl_set_ext_select_6_pulse
2946 -var eq_ctrl_set_ext_select_6 -max 1
2947 -message "eq_ctrl_set_ext_select_6 pulse length is not 1"
2948 -clock clk
2949*/
2950
2951assign eq_ctrl_set_ext_select_7 =
2952 eq_ctrl_set_select_7_piped&
2953 ~eq_ctrl_set_select_7_piped_delayed;
2954
2955// eq_ctrl_set_ext_select_7 is a pulse
2956/* 0in assert_timer -name eq_ctrl_set_ext_select_7_pulse
2957 -var eq_ctrl_set_ext_select_7 -max 1
2958 -message "eq_ctrl_set_ext_select_7 pulse length is not 1"
2959 -clock clk
2960*/
2961
2962assign eq_ctrl_set_ext_select_8 =
2963 eq_ctrl_set_select_8_piped&
2964 ~eq_ctrl_set_select_8_piped_delayed;
2965
2966// eq_ctrl_set_ext_select_8 is a pulse
2967/* 0in assert_timer -name eq_ctrl_set_ext_select_8_pulse
2968 -var eq_ctrl_set_ext_select_8 -max 1
2969 -message "eq_ctrl_set_ext_select_8 pulse length is not 1"
2970 -clock clk
2971*/
2972
2973assign eq_ctrl_set_ext_select_9 =
2974 eq_ctrl_set_select_9_piped&
2975 ~eq_ctrl_set_select_9_piped_delayed;
2976
2977// eq_ctrl_set_ext_select_9 is a pulse
2978/* 0in assert_timer -name eq_ctrl_set_ext_select_9_pulse
2979 -var eq_ctrl_set_ext_select_9 -max 1
2980 -message "eq_ctrl_set_ext_select_9 pulse length is not 1"
2981 -clock clk
2982*/
2983
2984assign eq_ctrl_set_ext_select_10 =
2985 eq_ctrl_set_select_10_piped&
2986 ~eq_ctrl_set_select_10_piped_delayed;
2987
2988// eq_ctrl_set_ext_select_10 is a pulse
2989/* 0in assert_timer -name eq_ctrl_set_ext_select_10_pulse
2990 -var eq_ctrl_set_ext_select_10 -max 1
2991 -message "eq_ctrl_set_ext_select_10 pulse length is not 1"
2992 -clock clk
2993*/
2994
2995assign eq_ctrl_set_ext_select_11 =
2996 eq_ctrl_set_select_11_piped&
2997 ~eq_ctrl_set_select_11_piped_delayed;
2998
2999// eq_ctrl_set_ext_select_11 is a pulse
3000/* 0in assert_timer -name eq_ctrl_set_ext_select_11_pulse
3001 -var eq_ctrl_set_ext_select_11 -max 1
3002 -message "eq_ctrl_set_ext_select_11 pulse length is not 1"
3003 -clock clk
3004*/
3005
3006assign eq_ctrl_set_ext_select_12 =
3007 eq_ctrl_set_select_12_piped&
3008 ~eq_ctrl_set_select_12_piped_delayed;
3009
3010// eq_ctrl_set_ext_select_12 is a pulse
3011/* 0in assert_timer -name eq_ctrl_set_ext_select_12_pulse
3012 -var eq_ctrl_set_ext_select_12 -max 1
3013 -message "eq_ctrl_set_ext_select_12 pulse length is not 1"
3014 -clock clk
3015*/
3016
3017assign eq_ctrl_set_ext_select_13 =
3018 eq_ctrl_set_select_13_piped&
3019 ~eq_ctrl_set_select_13_piped_delayed;
3020
3021// eq_ctrl_set_ext_select_13 is a pulse
3022/* 0in assert_timer -name eq_ctrl_set_ext_select_13_pulse
3023 -var eq_ctrl_set_ext_select_13 -max 1
3024 -message "eq_ctrl_set_ext_select_13 pulse length is not 1"
3025 -clock clk
3026*/
3027
3028assign eq_ctrl_set_ext_select_14 =
3029 eq_ctrl_set_select_14_piped&
3030 ~eq_ctrl_set_select_14_piped_delayed;
3031
3032// eq_ctrl_set_ext_select_14 is a pulse
3033/* 0in assert_timer -name eq_ctrl_set_ext_select_14_pulse
3034 -var eq_ctrl_set_ext_select_14 -max 1
3035 -message "eq_ctrl_set_ext_select_14 pulse length is not 1"
3036 -clock clk
3037*/
3038
3039assign eq_ctrl_set_ext_select_15 =
3040 eq_ctrl_set_select_15_piped&
3041 ~eq_ctrl_set_select_15_piped_delayed;
3042
3043// eq_ctrl_set_ext_select_15 is a pulse
3044/* 0in assert_timer -name eq_ctrl_set_ext_select_15_pulse
3045 -var eq_ctrl_set_ext_select_15 -max 1
3046 -message "eq_ctrl_set_ext_select_15 pulse length is not 1"
3047 -clock clk
3048*/
3049
3050assign eq_ctrl_set_ext_select_16 =
3051 eq_ctrl_set_select_16_piped&
3052 ~eq_ctrl_set_select_16_piped_delayed;
3053
3054// eq_ctrl_set_ext_select_16 is a pulse
3055/* 0in assert_timer -name eq_ctrl_set_ext_select_16_pulse
3056 -var eq_ctrl_set_ext_select_16 -max 1
3057 -message "eq_ctrl_set_ext_select_16 pulse length is not 1"
3058 -clock clk
3059*/
3060
3061assign eq_ctrl_set_ext_select_17 =
3062 eq_ctrl_set_select_17_piped&
3063 ~eq_ctrl_set_select_17_piped_delayed;
3064
3065// eq_ctrl_set_ext_select_17 is a pulse
3066/* 0in assert_timer -name eq_ctrl_set_ext_select_17_pulse
3067 -var eq_ctrl_set_ext_select_17 -max 1
3068 -message "eq_ctrl_set_ext_select_17 pulse length is not 1"
3069 -clock clk
3070*/
3071
3072assign eq_ctrl_set_ext_select_18 =
3073 eq_ctrl_set_select_18_piped&
3074 ~eq_ctrl_set_select_18_piped_delayed;
3075
3076// eq_ctrl_set_ext_select_18 is a pulse
3077/* 0in assert_timer -name eq_ctrl_set_ext_select_18_pulse
3078 -var eq_ctrl_set_ext_select_18 -max 1
3079 -message "eq_ctrl_set_ext_select_18 pulse length is not 1"
3080 -clock clk
3081*/
3082
3083assign eq_ctrl_set_ext_select_19 =
3084 eq_ctrl_set_select_19_piped&
3085 ~eq_ctrl_set_select_19_piped_delayed;
3086
3087// eq_ctrl_set_ext_select_19 is a pulse
3088/* 0in assert_timer -name eq_ctrl_set_ext_select_19_pulse
3089 -var eq_ctrl_set_ext_select_19 -max 1
3090 -message "eq_ctrl_set_ext_select_19 pulse length is not 1"
3091 -clock clk
3092*/
3093
3094assign eq_ctrl_set_ext_select_20 =
3095 eq_ctrl_set_select_20_piped&
3096 ~eq_ctrl_set_select_20_piped_delayed;
3097
3098// eq_ctrl_set_ext_select_20 is a pulse
3099/* 0in assert_timer -name eq_ctrl_set_ext_select_20_pulse
3100 -var eq_ctrl_set_ext_select_20 -max 1
3101 -message "eq_ctrl_set_ext_select_20 pulse length is not 1"
3102 -clock clk
3103*/
3104
3105assign eq_ctrl_set_ext_select_21 =
3106 eq_ctrl_set_select_21_piped&
3107 ~eq_ctrl_set_select_21_piped_delayed;
3108
3109// eq_ctrl_set_ext_select_21 is a pulse
3110/* 0in assert_timer -name eq_ctrl_set_ext_select_21_pulse
3111 -var eq_ctrl_set_ext_select_21 -max 1
3112 -message "eq_ctrl_set_ext_select_21 pulse length is not 1"
3113 -clock clk
3114*/
3115
3116assign eq_ctrl_set_ext_select_22 =
3117 eq_ctrl_set_select_22_piped&
3118 ~eq_ctrl_set_select_22_piped_delayed;
3119
3120// eq_ctrl_set_ext_select_22 is a pulse
3121/* 0in assert_timer -name eq_ctrl_set_ext_select_22_pulse
3122 -var eq_ctrl_set_ext_select_22 -max 1
3123 -message "eq_ctrl_set_ext_select_22 pulse length is not 1"
3124 -clock clk
3125*/
3126
3127assign eq_ctrl_set_ext_select_23 =
3128 eq_ctrl_set_select_23_piped&
3129 ~eq_ctrl_set_select_23_piped_delayed;
3130
3131// eq_ctrl_set_ext_select_23 is a pulse
3132/* 0in assert_timer -name eq_ctrl_set_ext_select_23_pulse
3133 -var eq_ctrl_set_ext_select_23 -max 1
3134 -message "eq_ctrl_set_ext_select_23 pulse length is not 1"
3135 -clock clk
3136*/
3137
3138assign eq_ctrl_set_ext_select_24 =
3139 eq_ctrl_set_select_24_piped&
3140 ~eq_ctrl_set_select_24_piped_delayed;
3141
3142// eq_ctrl_set_ext_select_24 is a pulse
3143/* 0in assert_timer -name eq_ctrl_set_ext_select_24_pulse
3144 -var eq_ctrl_set_ext_select_24 -max 1
3145 -message "eq_ctrl_set_ext_select_24 pulse length is not 1"
3146 -clock clk
3147*/
3148
3149assign eq_ctrl_set_ext_select_25 =
3150 eq_ctrl_set_select_25_piped&
3151 ~eq_ctrl_set_select_25_piped_delayed;
3152
3153// eq_ctrl_set_ext_select_25 is a pulse
3154/* 0in assert_timer -name eq_ctrl_set_ext_select_25_pulse
3155 -var eq_ctrl_set_ext_select_25 -max 1
3156 -message "eq_ctrl_set_ext_select_25 pulse length is not 1"
3157 -clock clk
3158*/
3159
3160assign eq_ctrl_set_ext_select_26 =
3161 eq_ctrl_set_select_26_piped&
3162 ~eq_ctrl_set_select_26_piped_delayed;
3163
3164// eq_ctrl_set_ext_select_26 is a pulse
3165/* 0in assert_timer -name eq_ctrl_set_ext_select_26_pulse
3166 -var eq_ctrl_set_ext_select_26 -max 1
3167 -message "eq_ctrl_set_ext_select_26 pulse length is not 1"
3168 -clock clk
3169*/
3170
3171assign eq_ctrl_set_ext_select_27 =
3172 eq_ctrl_set_select_27_piped&
3173 ~eq_ctrl_set_select_27_piped_delayed;
3174
3175// eq_ctrl_set_ext_select_27 is a pulse
3176/* 0in assert_timer -name eq_ctrl_set_ext_select_27_pulse
3177 -var eq_ctrl_set_ext_select_27 -max 1
3178 -message "eq_ctrl_set_ext_select_27 pulse length is not 1"
3179 -clock clk
3180*/
3181
3182assign eq_ctrl_set_ext_select_28 =
3183 eq_ctrl_set_select_28_piped&
3184 ~eq_ctrl_set_select_28_piped_delayed;
3185
3186// eq_ctrl_set_ext_select_28 is a pulse
3187/* 0in assert_timer -name eq_ctrl_set_ext_select_28_pulse
3188 -var eq_ctrl_set_ext_select_28 -max 1
3189 -message "eq_ctrl_set_ext_select_28 pulse length is not 1"
3190 -clock clk
3191*/
3192
3193assign eq_ctrl_set_ext_select_29 =
3194 eq_ctrl_set_select_29_piped&
3195 ~eq_ctrl_set_select_29_piped_delayed;
3196
3197// eq_ctrl_set_ext_select_29 is a pulse
3198/* 0in assert_timer -name eq_ctrl_set_ext_select_29_pulse
3199 -var eq_ctrl_set_ext_select_29 -max 1
3200 -message "eq_ctrl_set_ext_select_29 pulse length is not 1"
3201 -clock clk
3202*/
3203
3204assign eq_ctrl_set_ext_select_30 =
3205 eq_ctrl_set_select_30_piped&
3206 ~eq_ctrl_set_select_30_piped_delayed;
3207
3208// eq_ctrl_set_ext_select_30 is a pulse
3209/* 0in assert_timer -name eq_ctrl_set_ext_select_30_pulse
3210 -var eq_ctrl_set_ext_select_30 -max 1
3211 -message "eq_ctrl_set_ext_select_30 pulse length is not 1"
3212 -clock clk
3213*/
3214
3215assign eq_ctrl_set_ext_select_31 =
3216 eq_ctrl_set_select_31_piped&
3217 ~eq_ctrl_set_select_31_piped_delayed;
3218
3219// eq_ctrl_set_ext_select_31 is a pulse
3220/* 0in assert_timer -name eq_ctrl_set_ext_select_31_pulse
3221 -var eq_ctrl_set_ext_select_31 -max 1
3222 -message "eq_ctrl_set_ext_select_31 pulse length is not 1"
3223 -clock clk
3224*/
3225
3226assign eq_ctrl_set_ext_select_32 =
3227 eq_ctrl_set_select_32_piped&
3228 ~eq_ctrl_set_select_32_piped_delayed;
3229
3230// eq_ctrl_set_ext_select_32 is a pulse
3231/* 0in assert_timer -name eq_ctrl_set_ext_select_32_pulse
3232 -var eq_ctrl_set_ext_select_32 -max 1
3233 -message "eq_ctrl_set_ext_select_32 pulse length is not 1"
3234 -clock clk
3235*/
3236
3237assign eq_ctrl_set_ext_select_33 =
3238 eq_ctrl_set_select_33_piped&
3239 ~eq_ctrl_set_select_33_piped_delayed;
3240
3241// eq_ctrl_set_ext_select_33 is a pulse
3242/* 0in assert_timer -name eq_ctrl_set_ext_select_33_pulse
3243 -var eq_ctrl_set_ext_select_33 -max 1
3244 -message "eq_ctrl_set_ext_select_33 pulse length is not 1"
3245 -clock clk
3246*/
3247
3248assign eq_ctrl_set_ext_select_34 =
3249 eq_ctrl_set_select_34_piped&
3250 ~eq_ctrl_set_select_34_piped_delayed;
3251
3252// eq_ctrl_set_ext_select_34 is a pulse
3253/* 0in assert_timer -name eq_ctrl_set_ext_select_34_pulse
3254 -var eq_ctrl_set_ext_select_34 -max 1
3255 -message "eq_ctrl_set_ext_select_34 pulse length is not 1"
3256 -clock clk
3257*/
3258
3259assign eq_ctrl_set_ext_select_35 =
3260 eq_ctrl_set_select_35_piped&
3261 ~eq_ctrl_set_select_35_piped_delayed;
3262
3263// eq_ctrl_set_ext_select_35 is a pulse
3264/* 0in assert_timer -name eq_ctrl_set_ext_select_35_pulse
3265 -var eq_ctrl_set_ext_select_35 -max 1
3266 -message "eq_ctrl_set_ext_select_35 pulse length is not 1"
3267 -clock clk
3268*/
3269
3270assign eq_ctrl_clr_ext_select_0 =
3271 eq_ctrl_clr_select_0_piped&
3272 ~eq_ctrl_clr_select_0_piped_delayed;
3273
3274// eq_ctrl_clr_ext_select_0 is a pulse
3275/* 0in assert_timer -name eq_ctrl_clr_ext_select_0_pulse
3276 -var eq_ctrl_clr_ext_select_0 -max 1
3277 -message "eq_ctrl_clr_ext_select_0 pulse length is not 1"
3278 -clock clk
3279*/
3280
3281assign eq_ctrl_clr_ext_select_1 =
3282 eq_ctrl_clr_select_1_piped&
3283 ~eq_ctrl_clr_select_1_piped_delayed;
3284
3285// eq_ctrl_clr_ext_select_1 is a pulse
3286/* 0in assert_timer -name eq_ctrl_clr_ext_select_1_pulse
3287 -var eq_ctrl_clr_ext_select_1 -max 1
3288 -message "eq_ctrl_clr_ext_select_1 pulse length is not 1"
3289 -clock clk
3290*/
3291
3292assign eq_ctrl_clr_ext_select_2 =
3293 eq_ctrl_clr_select_2_piped&
3294 ~eq_ctrl_clr_select_2_piped_delayed;
3295
3296// eq_ctrl_clr_ext_select_2 is a pulse
3297/* 0in assert_timer -name eq_ctrl_clr_ext_select_2_pulse
3298 -var eq_ctrl_clr_ext_select_2 -max 1
3299 -message "eq_ctrl_clr_ext_select_2 pulse length is not 1"
3300 -clock clk
3301*/
3302
3303assign eq_ctrl_clr_ext_select_3 =
3304 eq_ctrl_clr_select_3_piped&
3305 ~eq_ctrl_clr_select_3_piped_delayed;
3306
3307// eq_ctrl_clr_ext_select_3 is a pulse
3308/* 0in assert_timer -name eq_ctrl_clr_ext_select_3_pulse
3309 -var eq_ctrl_clr_ext_select_3 -max 1
3310 -message "eq_ctrl_clr_ext_select_3 pulse length is not 1"
3311 -clock clk
3312*/
3313
3314assign eq_ctrl_clr_ext_select_4 =
3315 eq_ctrl_clr_select_4_piped&
3316 ~eq_ctrl_clr_select_4_piped_delayed;
3317
3318// eq_ctrl_clr_ext_select_4 is a pulse
3319/* 0in assert_timer -name eq_ctrl_clr_ext_select_4_pulse
3320 -var eq_ctrl_clr_ext_select_4 -max 1
3321 -message "eq_ctrl_clr_ext_select_4 pulse length is not 1"
3322 -clock clk
3323*/
3324
3325assign eq_ctrl_clr_ext_select_5 =
3326 eq_ctrl_clr_select_5_piped&
3327 ~eq_ctrl_clr_select_5_piped_delayed;
3328
3329// eq_ctrl_clr_ext_select_5 is a pulse
3330/* 0in assert_timer -name eq_ctrl_clr_ext_select_5_pulse
3331 -var eq_ctrl_clr_ext_select_5 -max 1
3332 -message "eq_ctrl_clr_ext_select_5 pulse length is not 1"
3333 -clock clk
3334*/
3335
3336assign eq_ctrl_clr_ext_select_6 =
3337 eq_ctrl_clr_select_6_piped&
3338 ~eq_ctrl_clr_select_6_piped_delayed;
3339
3340// eq_ctrl_clr_ext_select_6 is a pulse
3341/* 0in assert_timer -name eq_ctrl_clr_ext_select_6_pulse
3342 -var eq_ctrl_clr_ext_select_6 -max 1
3343 -message "eq_ctrl_clr_ext_select_6 pulse length is not 1"
3344 -clock clk
3345*/
3346
3347assign eq_ctrl_clr_ext_select_7 =
3348 eq_ctrl_clr_select_7_piped&
3349 ~eq_ctrl_clr_select_7_piped_delayed;
3350
3351// eq_ctrl_clr_ext_select_7 is a pulse
3352/* 0in assert_timer -name eq_ctrl_clr_ext_select_7_pulse
3353 -var eq_ctrl_clr_ext_select_7 -max 1
3354 -message "eq_ctrl_clr_ext_select_7 pulse length is not 1"
3355 -clock clk
3356*/
3357
3358assign eq_ctrl_clr_ext_select_8 =
3359 eq_ctrl_clr_select_8_piped&
3360 ~eq_ctrl_clr_select_8_piped_delayed;
3361
3362// eq_ctrl_clr_ext_select_8 is a pulse
3363/* 0in assert_timer -name eq_ctrl_clr_ext_select_8_pulse
3364 -var eq_ctrl_clr_ext_select_8 -max 1
3365 -message "eq_ctrl_clr_ext_select_8 pulse length is not 1"
3366 -clock clk
3367*/
3368
3369assign eq_ctrl_clr_ext_select_9 =
3370 eq_ctrl_clr_select_9_piped&
3371 ~eq_ctrl_clr_select_9_piped_delayed;
3372
3373// eq_ctrl_clr_ext_select_9 is a pulse
3374/* 0in assert_timer -name eq_ctrl_clr_ext_select_9_pulse
3375 -var eq_ctrl_clr_ext_select_9 -max 1
3376 -message "eq_ctrl_clr_ext_select_9 pulse length is not 1"
3377 -clock clk
3378*/
3379
3380assign eq_ctrl_clr_ext_select_10 =
3381 eq_ctrl_clr_select_10_piped&
3382 ~eq_ctrl_clr_select_10_piped_delayed;
3383
3384// eq_ctrl_clr_ext_select_10 is a pulse
3385/* 0in assert_timer -name eq_ctrl_clr_ext_select_10_pulse
3386 -var eq_ctrl_clr_ext_select_10 -max 1
3387 -message "eq_ctrl_clr_ext_select_10 pulse length is not 1"
3388 -clock clk
3389*/
3390
3391assign eq_ctrl_clr_ext_select_11 =
3392 eq_ctrl_clr_select_11_piped&
3393 ~eq_ctrl_clr_select_11_piped_delayed;
3394
3395// eq_ctrl_clr_ext_select_11 is a pulse
3396/* 0in assert_timer -name eq_ctrl_clr_ext_select_11_pulse
3397 -var eq_ctrl_clr_ext_select_11 -max 1
3398 -message "eq_ctrl_clr_ext_select_11 pulse length is not 1"
3399 -clock clk
3400*/
3401
3402assign eq_ctrl_clr_ext_select_12 =
3403 eq_ctrl_clr_select_12_piped&
3404 ~eq_ctrl_clr_select_12_piped_delayed;
3405
3406// eq_ctrl_clr_ext_select_12 is a pulse
3407/* 0in assert_timer -name eq_ctrl_clr_ext_select_12_pulse
3408 -var eq_ctrl_clr_ext_select_12 -max 1
3409 -message "eq_ctrl_clr_ext_select_12 pulse length is not 1"
3410 -clock clk
3411*/
3412
3413assign eq_ctrl_clr_ext_select_13 =
3414 eq_ctrl_clr_select_13_piped&
3415 ~eq_ctrl_clr_select_13_piped_delayed;
3416
3417// eq_ctrl_clr_ext_select_13 is a pulse
3418/* 0in assert_timer -name eq_ctrl_clr_ext_select_13_pulse
3419 -var eq_ctrl_clr_ext_select_13 -max 1
3420 -message "eq_ctrl_clr_ext_select_13 pulse length is not 1"
3421 -clock clk
3422*/
3423
3424assign eq_ctrl_clr_ext_select_14 =
3425 eq_ctrl_clr_select_14_piped&
3426 ~eq_ctrl_clr_select_14_piped_delayed;
3427
3428// eq_ctrl_clr_ext_select_14 is a pulse
3429/* 0in assert_timer -name eq_ctrl_clr_ext_select_14_pulse
3430 -var eq_ctrl_clr_ext_select_14 -max 1
3431 -message "eq_ctrl_clr_ext_select_14 pulse length is not 1"
3432 -clock clk
3433*/
3434
3435assign eq_ctrl_clr_ext_select_15 =
3436 eq_ctrl_clr_select_15_piped&
3437 ~eq_ctrl_clr_select_15_piped_delayed;
3438
3439// eq_ctrl_clr_ext_select_15 is a pulse
3440/* 0in assert_timer -name eq_ctrl_clr_ext_select_15_pulse
3441 -var eq_ctrl_clr_ext_select_15 -max 1
3442 -message "eq_ctrl_clr_ext_select_15 pulse length is not 1"
3443 -clock clk
3444*/
3445
3446assign eq_ctrl_clr_ext_select_16 =
3447 eq_ctrl_clr_select_16_piped&
3448 ~eq_ctrl_clr_select_16_piped_delayed;
3449
3450// eq_ctrl_clr_ext_select_16 is a pulse
3451/* 0in assert_timer -name eq_ctrl_clr_ext_select_16_pulse
3452 -var eq_ctrl_clr_ext_select_16 -max 1
3453 -message "eq_ctrl_clr_ext_select_16 pulse length is not 1"
3454 -clock clk
3455*/
3456
3457assign eq_ctrl_clr_ext_select_17 =
3458 eq_ctrl_clr_select_17_piped&
3459 ~eq_ctrl_clr_select_17_piped_delayed;
3460
3461// eq_ctrl_clr_ext_select_17 is a pulse
3462/* 0in assert_timer -name eq_ctrl_clr_ext_select_17_pulse
3463 -var eq_ctrl_clr_ext_select_17 -max 1
3464 -message "eq_ctrl_clr_ext_select_17 pulse length is not 1"
3465 -clock clk
3466*/
3467
3468assign eq_ctrl_clr_ext_select_18 =
3469 eq_ctrl_clr_select_18_piped&
3470 ~eq_ctrl_clr_select_18_piped_delayed;
3471
3472// eq_ctrl_clr_ext_select_18 is a pulse
3473/* 0in assert_timer -name eq_ctrl_clr_ext_select_18_pulse
3474 -var eq_ctrl_clr_ext_select_18 -max 1
3475 -message "eq_ctrl_clr_ext_select_18 pulse length is not 1"
3476 -clock clk
3477*/
3478
3479assign eq_ctrl_clr_ext_select_19 =
3480 eq_ctrl_clr_select_19_piped&
3481 ~eq_ctrl_clr_select_19_piped_delayed;
3482
3483// eq_ctrl_clr_ext_select_19 is a pulse
3484/* 0in assert_timer -name eq_ctrl_clr_ext_select_19_pulse
3485 -var eq_ctrl_clr_ext_select_19 -max 1
3486 -message "eq_ctrl_clr_ext_select_19 pulse length is not 1"
3487 -clock clk
3488*/
3489
3490assign eq_ctrl_clr_ext_select_20 =
3491 eq_ctrl_clr_select_20_piped&
3492 ~eq_ctrl_clr_select_20_piped_delayed;
3493
3494// eq_ctrl_clr_ext_select_20 is a pulse
3495/* 0in assert_timer -name eq_ctrl_clr_ext_select_20_pulse
3496 -var eq_ctrl_clr_ext_select_20 -max 1
3497 -message "eq_ctrl_clr_ext_select_20 pulse length is not 1"
3498 -clock clk
3499*/
3500
3501assign eq_ctrl_clr_ext_select_21 =
3502 eq_ctrl_clr_select_21_piped&
3503 ~eq_ctrl_clr_select_21_piped_delayed;
3504
3505// eq_ctrl_clr_ext_select_21 is a pulse
3506/* 0in assert_timer -name eq_ctrl_clr_ext_select_21_pulse
3507 -var eq_ctrl_clr_ext_select_21 -max 1
3508 -message "eq_ctrl_clr_ext_select_21 pulse length is not 1"
3509 -clock clk
3510*/
3511
3512assign eq_ctrl_clr_ext_select_22 =
3513 eq_ctrl_clr_select_22_piped&
3514 ~eq_ctrl_clr_select_22_piped_delayed;
3515
3516// eq_ctrl_clr_ext_select_22 is a pulse
3517/* 0in assert_timer -name eq_ctrl_clr_ext_select_22_pulse
3518 -var eq_ctrl_clr_ext_select_22 -max 1
3519 -message "eq_ctrl_clr_ext_select_22 pulse length is not 1"
3520 -clock clk
3521*/
3522
3523assign eq_ctrl_clr_ext_select_23 =
3524 eq_ctrl_clr_select_23_piped&
3525 ~eq_ctrl_clr_select_23_piped_delayed;
3526
3527// eq_ctrl_clr_ext_select_23 is a pulse
3528/* 0in assert_timer -name eq_ctrl_clr_ext_select_23_pulse
3529 -var eq_ctrl_clr_ext_select_23 -max 1
3530 -message "eq_ctrl_clr_ext_select_23 pulse length is not 1"
3531 -clock clk
3532*/
3533
3534assign eq_ctrl_clr_ext_select_24 =
3535 eq_ctrl_clr_select_24_piped&
3536 ~eq_ctrl_clr_select_24_piped_delayed;
3537
3538// eq_ctrl_clr_ext_select_24 is a pulse
3539/* 0in assert_timer -name eq_ctrl_clr_ext_select_24_pulse
3540 -var eq_ctrl_clr_ext_select_24 -max 1
3541 -message "eq_ctrl_clr_ext_select_24 pulse length is not 1"
3542 -clock clk
3543*/
3544
3545assign eq_ctrl_clr_ext_select_25 =
3546 eq_ctrl_clr_select_25_piped&
3547 ~eq_ctrl_clr_select_25_piped_delayed;
3548
3549// eq_ctrl_clr_ext_select_25 is a pulse
3550/* 0in assert_timer -name eq_ctrl_clr_ext_select_25_pulse
3551 -var eq_ctrl_clr_ext_select_25 -max 1
3552 -message "eq_ctrl_clr_ext_select_25 pulse length is not 1"
3553 -clock clk
3554*/
3555
3556assign eq_ctrl_clr_ext_select_26 =
3557 eq_ctrl_clr_select_26_piped&
3558 ~eq_ctrl_clr_select_26_piped_delayed;
3559
3560// eq_ctrl_clr_ext_select_26 is a pulse
3561/* 0in assert_timer -name eq_ctrl_clr_ext_select_26_pulse
3562 -var eq_ctrl_clr_ext_select_26 -max 1
3563 -message "eq_ctrl_clr_ext_select_26 pulse length is not 1"
3564 -clock clk
3565*/
3566
3567assign eq_ctrl_clr_ext_select_27 =
3568 eq_ctrl_clr_select_27_piped&
3569 ~eq_ctrl_clr_select_27_piped_delayed;
3570
3571// eq_ctrl_clr_ext_select_27 is a pulse
3572/* 0in assert_timer -name eq_ctrl_clr_ext_select_27_pulse
3573 -var eq_ctrl_clr_ext_select_27 -max 1
3574 -message "eq_ctrl_clr_ext_select_27 pulse length is not 1"
3575 -clock clk
3576*/
3577
3578assign eq_ctrl_clr_ext_select_28 =
3579 eq_ctrl_clr_select_28_piped&
3580 ~eq_ctrl_clr_select_28_piped_delayed;
3581
3582// eq_ctrl_clr_ext_select_28 is a pulse
3583/* 0in assert_timer -name eq_ctrl_clr_ext_select_28_pulse
3584 -var eq_ctrl_clr_ext_select_28 -max 1
3585 -message "eq_ctrl_clr_ext_select_28 pulse length is not 1"
3586 -clock clk
3587*/
3588
3589assign eq_ctrl_clr_ext_select_29 =
3590 eq_ctrl_clr_select_29_piped&
3591 ~eq_ctrl_clr_select_29_piped_delayed;
3592
3593// eq_ctrl_clr_ext_select_29 is a pulse
3594/* 0in assert_timer -name eq_ctrl_clr_ext_select_29_pulse
3595 -var eq_ctrl_clr_ext_select_29 -max 1
3596 -message "eq_ctrl_clr_ext_select_29 pulse length is not 1"
3597 -clock clk
3598*/
3599
3600assign eq_ctrl_clr_ext_select_30 =
3601 eq_ctrl_clr_select_30_piped&
3602 ~eq_ctrl_clr_select_30_piped_delayed;
3603
3604// eq_ctrl_clr_ext_select_30 is a pulse
3605/* 0in assert_timer -name eq_ctrl_clr_ext_select_30_pulse
3606 -var eq_ctrl_clr_ext_select_30 -max 1
3607 -message "eq_ctrl_clr_ext_select_30 pulse length is not 1"
3608 -clock clk
3609*/
3610
3611assign eq_ctrl_clr_ext_select_31 =
3612 eq_ctrl_clr_select_31_piped&
3613 ~eq_ctrl_clr_select_31_piped_delayed;
3614
3615// eq_ctrl_clr_ext_select_31 is a pulse
3616/* 0in assert_timer -name eq_ctrl_clr_ext_select_31_pulse
3617 -var eq_ctrl_clr_ext_select_31 -max 1
3618 -message "eq_ctrl_clr_ext_select_31 pulse length is not 1"
3619 -clock clk
3620*/
3621
3622assign eq_ctrl_clr_ext_select_32 =
3623 eq_ctrl_clr_select_32_piped&
3624 ~eq_ctrl_clr_select_32_piped_delayed;
3625
3626// eq_ctrl_clr_ext_select_32 is a pulse
3627/* 0in assert_timer -name eq_ctrl_clr_ext_select_32_pulse
3628 -var eq_ctrl_clr_ext_select_32 -max 1
3629 -message "eq_ctrl_clr_ext_select_32 pulse length is not 1"
3630 -clock clk
3631*/
3632
3633assign eq_ctrl_clr_ext_select_33 =
3634 eq_ctrl_clr_select_33_piped&
3635 ~eq_ctrl_clr_select_33_piped_delayed;
3636
3637// eq_ctrl_clr_ext_select_33 is a pulse
3638/* 0in assert_timer -name eq_ctrl_clr_ext_select_33_pulse
3639 -var eq_ctrl_clr_ext_select_33 -max 1
3640 -message "eq_ctrl_clr_ext_select_33 pulse length is not 1"
3641 -clock clk
3642*/
3643
3644assign eq_ctrl_clr_ext_select_34 =
3645 eq_ctrl_clr_select_34_piped&
3646 ~eq_ctrl_clr_select_34_piped_delayed;
3647
3648// eq_ctrl_clr_ext_select_34 is a pulse
3649/* 0in assert_timer -name eq_ctrl_clr_ext_select_34_pulse
3650 -var eq_ctrl_clr_ext_select_34 -max 1
3651 -message "eq_ctrl_clr_ext_select_34 pulse length is not 1"
3652 -clock clk
3653*/
3654
3655assign eq_ctrl_clr_ext_select_35 =
3656 eq_ctrl_clr_select_35_piped&
3657 ~eq_ctrl_clr_select_35_piped_delayed;
3658
3659// eq_ctrl_clr_ext_select_35 is a pulse
3660/* 0in assert_timer -name eq_ctrl_clr_ext_select_35_pulse
3661 -var eq_ctrl_clr_ext_select_35 -max 1
3662 -message "eq_ctrl_clr_ext_select_35 pulse length is not 1"
3663 -clock clk
3664*/
3665
3666
3667//=====================================================
3668// OUTPUT: read_data_out
3669//=====================================================
3670dmu_imu_eqs_csrpipe_109 dmu_imu_eqs_csrpipe_109_inst_1
3671 (
3672 .clk (clk),
3673 .rst_l (rst_l),
3674 .reg_in (1'b1),
3675 .reg_out (1'b1),
3676 .data0 (eq_base_address_csrbus_read_data),
3677 .sel0 (eq_base_address_select_pulse),
3678 .data1 (eq_state_ext_read_data_0),
3679 .sel1 (eq_state_select_0),
3680 .data2 (eq_state_ext_read_data_1),
3681 .sel2 (eq_state_select_1),
3682 .data3 (eq_state_ext_read_data_2),
3683 .sel3 (eq_state_select_2),
3684 .data4 (eq_state_ext_read_data_3),
3685 .sel4 (eq_state_select_3),
3686 .data5 (eq_state_ext_read_data_4),
3687 .sel5 (eq_state_select_4),
3688 .data6 (eq_state_ext_read_data_5),
3689 .sel6 (eq_state_select_5),
3690 .data7 (eq_state_ext_read_data_6),
3691 .sel7 (eq_state_select_6),
3692 .data8 (eq_state_ext_read_data_7),
3693 .sel8 (eq_state_select_7),
3694 .data9 (eq_state_ext_read_data_8),
3695 .sel9 (eq_state_select_8),
3696 .data10 (eq_state_ext_read_data_9),
3697 .sel10 (eq_state_select_9),
3698 .data11 (eq_state_ext_read_data_10),
3699 .sel11 (eq_state_select_10),
3700 .data12 (eq_state_ext_read_data_11),
3701 .sel12 (eq_state_select_11),
3702 .data13 (eq_state_ext_read_data_12),
3703 .sel13 (eq_state_select_12),
3704 .data14 (eq_state_ext_read_data_13),
3705 .sel14 (eq_state_select_13),
3706 .data15 (eq_state_ext_read_data_14),
3707 .sel15 (eq_state_select_14),
3708 .data16 (eq_state_ext_read_data_15),
3709 .sel16 (eq_state_select_15),
3710 .data17 (eq_state_ext_read_data_16),
3711 .sel17 (eq_state_select_16),
3712 .data18 (eq_state_ext_read_data_17),
3713 .sel18 (eq_state_select_17),
3714 .data19 (eq_state_ext_read_data_18),
3715 .sel19 (eq_state_select_18),
3716 .data20 (eq_state_ext_read_data_19),
3717 .sel20 (eq_state_select_19),
3718 .data21 (eq_state_ext_read_data_20),
3719 .sel21 (eq_state_select_20),
3720 .data22 (eq_state_ext_read_data_21),
3721 .sel22 (eq_state_select_21),
3722 .data23 (eq_state_ext_read_data_22),
3723 .sel23 (eq_state_select_22),
3724 .data24 (eq_state_ext_read_data_23),
3725 .sel24 (eq_state_select_23),
3726 .data25 (eq_state_ext_read_data_24),
3727 .sel25 (eq_state_select_24),
3728 .data26 (eq_state_ext_read_data_25),
3729 .sel26 (eq_state_select_25),
3730 .data27 (eq_state_ext_read_data_26),
3731 .sel27 (eq_state_select_26),
3732 .data28 (eq_state_ext_read_data_27),
3733 .sel28 (eq_state_select_27),
3734 .data29 (eq_state_ext_read_data_28),
3735 .sel29 (eq_state_select_28),
3736 .data30 (eq_state_ext_read_data_29),
3737 .sel30 (eq_state_select_29),
3738 .data31 (eq_state_ext_read_data_30),
3739 .sel31 (eq_state_select_30),
3740 .data32 (eq_state_ext_read_data_31),
3741 .sel32 (eq_state_select_31),
3742 .data33 (eq_state_ext_read_data_32),
3743 .sel33 (eq_state_select_32),
3744 .data34 (eq_state_ext_read_data_33),
3745 .sel34 (eq_state_select_33),
3746 .data35 (eq_state_ext_read_data_34),
3747 .sel35 (eq_state_select_34),
3748 .data36 (eq_state_ext_read_data_35),
3749 .sel36 (eq_state_select_35),
3750 .data37 (eq_tail_csrbus_read_data_0),
3751 .sel37 (eq_tail_select_pulse_0),
3752 .data38 (eq_tail_csrbus_read_data_1),
3753 .sel38 (eq_tail_select_pulse_1),
3754 .data39 (eq_tail_csrbus_read_data_2),
3755 .sel39 (eq_tail_select_pulse_2),
3756 .data40 (eq_tail_csrbus_read_data_3),
3757 .sel40 (eq_tail_select_pulse_3),
3758 .data41 (eq_tail_csrbus_read_data_4),
3759 .sel41 (eq_tail_select_pulse_4),
3760 .data42 (eq_tail_csrbus_read_data_5),
3761 .sel42 (eq_tail_select_pulse_5),
3762 .data43 (eq_tail_csrbus_read_data_6),
3763 .sel43 (eq_tail_select_pulse_6),
3764 .data44 (eq_tail_csrbus_read_data_7),
3765 .sel44 (eq_tail_select_pulse_7),
3766 .data45 (eq_tail_csrbus_read_data_8),
3767 .sel45 (eq_tail_select_pulse_8),
3768 .data46 (eq_tail_csrbus_read_data_9),
3769 .sel46 (eq_tail_select_pulse_9),
3770 .data47 (eq_tail_csrbus_read_data_10),
3771 .sel47 (eq_tail_select_pulse_10),
3772 .data48 (eq_tail_csrbus_read_data_11),
3773 .sel48 (eq_tail_select_pulse_11),
3774 .data49 (eq_tail_csrbus_read_data_12),
3775 .sel49 (eq_tail_select_pulse_12),
3776 .data50 (eq_tail_csrbus_read_data_13),
3777 .sel50 (eq_tail_select_pulse_13),
3778 .data51 (eq_tail_csrbus_read_data_14),
3779 .sel51 (eq_tail_select_pulse_14),
3780 .data52 (eq_tail_csrbus_read_data_15),
3781 .sel52 (eq_tail_select_pulse_15),
3782 .data53 (eq_tail_csrbus_read_data_16),
3783 .sel53 (eq_tail_select_pulse_16),
3784 .data54 (eq_tail_csrbus_read_data_17),
3785 .sel54 (eq_tail_select_pulse_17),
3786 .data55 (eq_tail_csrbus_read_data_18),
3787 .sel55 (eq_tail_select_pulse_18),
3788 .data56 (eq_tail_csrbus_read_data_19),
3789 .sel56 (eq_tail_select_pulse_19),
3790 .data57 (eq_tail_csrbus_read_data_20),
3791 .sel57 (eq_tail_select_pulse_20),
3792 .data58 (eq_tail_csrbus_read_data_21),
3793 .sel58 (eq_tail_select_pulse_21),
3794 .data59 (eq_tail_csrbus_read_data_22),
3795 .sel59 (eq_tail_select_pulse_22),
3796 .data60 (eq_tail_csrbus_read_data_23),
3797 .sel60 (eq_tail_select_pulse_23),
3798 .data61 (eq_tail_csrbus_read_data_24),
3799 .sel61 (eq_tail_select_pulse_24),
3800 .data62 (eq_tail_csrbus_read_data_25),
3801 .sel62 (eq_tail_select_pulse_25),
3802 .data63 (eq_tail_csrbus_read_data_26),
3803 .sel63 (eq_tail_select_pulse_26),
3804 .data64 (eq_tail_csrbus_read_data_27),
3805 .sel64 (eq_tail_select_pulse_27),
3806 .data65 (eq_tail_csrbus_read_data_28),
3807 .sel65 (eq_tail_select_pulse_28),
3808 .data66 (eq_tail_csrbus_read_data_29),
3809 .sel66 (eq_tail_select_pulse_29),
3810 .data67 (eq_tail_csrbus_read_data_30),
3811 .sel67 (eq_tail_select_pulse_30),
3812 .data68 (eq_tail_csrbus_read_data_31),
3813 .sel68 (eq_tail_select_pulse_31),
3814 .data69 (eq_tail_csrbus_read_data_32),
3815 .sel69 (eq_tail_select_pulse_32),
3816 .data70 (eq_tail_csrbus_read_data_33),
3817 .sel70 (eq_tail_select_pulse_33),
3818 .data71 (eq_tail_csrbus_read_data_34),
3819 .sel71 (eq_tail_select_pulse_34),
3820 .data72 (eq_tail_csrbus_read_data_35),
3821 .sel72 (eq_tail_select_pulse_35),
3822 .data73 (eq_head_csrbus_read_data_0),
3823 .sel73 (eq_head_select_pulse_0),
3824 .data74 (eq_head_csrbus_read_data_1),
3825 .sel74 (eq_head_select_pulse_1),
3826 .data75 (eq_head_csrbus_read_data_2),
3827 .sel75 (eq_head_select_pulse_2),
3828 .data76 (eq_head_csrbus_read_data_3),
3829 .sel76 (eq_head_select_pulse_3),
3830 .data77 (eq_head_csrbus_read_data_4),
3831 .sel77 (eq_head_select_pulse_4),
3832 .data78 (eq_head_csrbus_read_data_5),
3833 .sel78 (eq_head_select_pulse_5),
3834 .data79 (eq_head_csrbus_read_data_6),
3835 .sel79 (eq_head_select_pulse_6),
3836 .data80 (eq_head_csrbus_read_data_7),
3837 .sel80 (eq_head_select_pulse_7),
3838 .data81 (eq_head_csrbus_read_data_8),
3839 .sel81 (eq_head_select_pulse_8),
3840 .data82 (eq_head_csrbus_read_data_9),
3841 .sel82 (eq_head_select_pulse_9),
3842 .data83 (eq_head_csrbus_read_data_10),
3843 .sel83 (eq_head_select_pulse_10),
3844 .data84 (eq_head_csrbus_read_data_11),
3845 .sel84 (eq_head_select_pulse_11),
3846 .data85 (eq_head_csrbus_read_data_12),
3847 .sel85 (eq_head_select_pulse_12),
3848 .data86 (eq_head_csrbus_read_data_13),
3849 .sel86 (eq_head_select_pulse_13),
3850 .data87 (eq_head_csrbus_read_data_14),
3851 .sel87 (eq_head_select_pulse_14),
3852 .data88 (eq_head_csrbus_read_data_15),
3853 .sel88 (eq_head_select_pulse_15),
3854 .data89 (eq_head_csrbus_read_data_16),
3855 .sel89 (eq_head_select_pulse_16),
3856 .data90 (eq_head_csrbus_read_data_17),
3857 .sel90 (eq_head_select_pulse_17),
3858 .data91 (eq_head_csrbus_read_data_18),
3859 .sel91 (eq_head_select_pulse_18),
3860 .data92 (eq_head_csrbus_read_data_19),
3861 .sel92 (eq_head_select_pulse_19),
3862 .data93 (eq_head_csrbus_read_data_20),
3863 .sel93 (eq_head_select_pulse_20),
3864 .data94 (eq_head_csrbus_read_data_21),
3865 .sel94 (eq_head_select_pulse_21),
3866 .data95 (eq_head_csrbus_read_data_22),
3867 .sel95 (eq_head_select_pulse_22),
3868 .data96 (eq_head_csrbus_read_data_23),
3869 .sel96 (eq_head_select_pulse_23),
3870 .data97 (eq_head_csrbus_read_data_24),
3871 .sel97 (eq_head_select_pulse_24),
3872 .data98 (eq_head_csrbus_read_data_25),
3873 .sel98 (eq_head_select_pulse_25),
3874 .data99 (eq_head_csrbus_read_data_26),
3875 .sel99 (eq_head_select_pulse_26),
3876 .data100 (eq_head_csrbus_read_data_27),
3877 .sel100 (eq_head_select_pulse_27),
3878 .data101 (eq_head_csrbus_read_data_28),
3879 .sel101 (eq_head_select_pulse_28),
3880 .data102 (eq_head_csrbus_read_data_29),
3881 .sel102 (eq_head_select_pulse_29),
3882 .data103 (eq_head_csrbus_read_data_30),
3883 .sel103 (eq_head_select_pulse_30),
3884 .data104 (eq_head_csrbus_read_data_31),
3885 .sel104 (eq_head_select_pulse_31),
3886 .data105 (eq_head_csrbus_read_data_32),
3887 .sel105 (eq_head_select_pulse_32),
3888 .data106 (eq_head_csrbus_read_data_33),
3889 .sel106 (eq_head_select_pulse_33),
3890 .data107 (eq_head_csrbus_read_data_34),
3891 .sel107 (eq_head_select_pulse_34),
3892 .data108 (eq_head_csrbus_read_data_35),
3893 .sel108 (eq_head_select_pulse_35),
3894 .out (read_data_0_out)
3895 );
3896
3897
3898//====================================================
3899// Instantiation of registers
3900//====================================================
3901
3902wire eq_base_address_w_ld =eq_base_address_select_pulse & daemon_csrbus_wr;
3903
3904dmu_imu_eqs_csr_eq_base_address eq_base_address
3905 (
3906 .clk (clk),
3907 .rst_l (rst_l),
3908 .eq_base_address_w_ld (eq_base_address_w_ld),
3909 .csrbus_wr_data (daemon_csrbus_wr_data),
3910 .eq_base_address_csrbus_read_data (eq_base_address_csrbus_read_data),
3911 .eq_base_address_address_hw_read (eq_base_address_address_hw_read)
3912 );
3913
3914wire eq_tail_w_ld_0 =eq_tail_select_pulse_0 & daemon_csrbus_wr;
3915wire eq_tail_w_ld_1 =eq_tail_select_pulse_1 & daemon_csrbus_wr;
3916wire eq_tail_w_ld_2 =eq_tail_select_pulse_2 & daemon_csrbus_wr;
3917wire eq_tail_w_ld_3 =eq_tail_select_pulse_3 & daemon_csrbus_wr;
3918wire eq_tail_w_ld_4 =eq_tail_select_pulse_4 & daemon_csrbus_wr;
3919wire eq_tail_w_ld_5 =eq_tail_select_pulse_5 & daemon_csrbus_wr;
3920wire eq_tail_w_ld_6 =eq_tail_select_pulse_6 & daemon_csrbus_wr;
3921wire eq_tail_w_ld_7 =eq_tail_select_pulse_7 & daemon_csrbus_wr;
3922wire eq_tail_w_ld_8 =eq_tail_select_pulse_8 & daemon_csrbus_wr;
3923wire eq_tail_w_ld_9 =eq_tail_select_pulse_9 & daemon_csrbus_wr;
3924wire eq_tail_w_ld_10 =eq_tail_select_pulse_10 & daemon_csrbus_wr;
3925wire eq_tail_w_ld_11 =eq_tail_select_pulse_11 & daemon_csrbus_wr;
3926wire eq_tail_w_ld_12 =eq_tail_select_pulse_12 & daemon_csrbus_wr;
3927wire eq_tail_w_ld_13 =eq_tail_select_pulse_13 & daemon_csrbus_wr;
3928wire eq_tail_w_ld_14 =eq_tail_select_pulse_14 & daemon_csrbus_wr;
3929wire eq_tail_w_ld_15 =eq_tail_select_pulse_15 & daemon_csrbus_wr;
3930wire eq_tail_w_ld_16 =eq_tail_select_pulse_16 & daemon_csrbus_wr;
3931wire eq_tail_w_ld_17 =eq_tail_select_pulse_17 & daemon_csrbus_wr;
3932wire eq_tail_w_ld_18 =eq_tail_select_pulse_18 & daemon_csrbus_wr;
3933wire eq_tail_w_ld_19 =eq_tail_select_pulse_19 & daemon_csrbus_wr;
3934wire eq_tail_w_ld_20 =eq_tail_select_pulse_20 & daemon_csrbus_wr;
3935wire eq_tail_w_ld_21 =eq_tail_select_pulse_21 & daemon_csrbus_wr;
3936wire eq_tail_w_ld_22 =eq_tail_select_pulse_22 & daemon_csrbus_wr;
3937wire eq_tail_w_ld_23 =eq_tail_select_pulse_23 & daemon_csrbus_wr;
3938wire eq_tail_w_ld_24 =eq_tail_select_pulse_24 & daemon_csrbus_wr;
3939wire eq_tail_w_ld_25 =eq_tail_select_pulse_25 & daemon_csrbus_wr;
3940wire eq_tail_w_ld_26 =eq_tail_select_pulse_26 & daemon_csrbus_wr;
3941wire eq_tail_w_ld_27 =eq_tail_select_pulse_27 & daemon_csrbus_wr;
3942wire eq_tail_w_ld_28 =eq_tail_select_pulse_28 & daemon_csrbus_wr;
3943wire eq_tail_w_ld_29 =eq_tail_select_pulse_29 & daemon_csrbus_wr;
3944wire eq_tail_w_ld_30 =eq_tail_select_pulse_30 & daemon_csrbus_wr;
3945wire eq_tail_w_ld_31 =eq_tail_select_pulse_31 & daemon_csrbus_wr;
3946wire eq_tail_w_ld_32 =eq_tail_select_pulse_32 & daemon_csrbus_wr;
3947wire eq_tail_w_ld_33 =eq_tail_select_pulse_33 & daemon_csrbus_wr;
3948wire eq_tail_w_ld_34 =eq_tail_select_pulse_34 & daemon_csrbus_wr;
3949wire eq_tail_w_ld_35 =eq_tail_select_pulse_35 & daemon_csrbus_wr;
3950
3951dmu_imu_eqs_csr_eq_tail eq_tail
3952 (
3953 .clk (clk),
3954 .rst_l (rst_l),
3955 .eq_tail_w_ld_0 (eq_tail_w_ld_0),
3956 .eq_tail_w_ld_1 (eq_tail_w_ld_1),
3957 .eq_tail_w_ld_2 (eq_tail_w_ld_2),
3958 .eq_tail_w_ld_3 (eq_tail_w_ld_3),
3959 .eq_tail_w_ld_4 (eq_tail_w_ld_4),
3960 .eq_tail_w_ld_5 (eq_tail_w_ld_5),
3961 .eq_tail_w_ld_6 (eq_tail_w_ld_6),
3962 .eq_tail_w_ld_7 (eq_tail_w_ld_7),
3963 .eq_tail_w_ld_8 (eq_tail_w_ld_8),
3964 .eq_tail_w_ld_9 (eq_tail_w_ld_9),
3965 .eq_tail_w_ld_10 (eq_tail_w_ld_10),
3966 .eq_tail_w_ld_11 (eq_tail_w_ld_11),
3967 .eq_tail_w_ld_12 (eq_tail_w_ld_12),
3968 .eq_tail_w_ld_13 (eq_tail_w_ld_13),
3969 .eq_tail_w_ld_14 (eq_tail_w_ld_14),
3970 .eq_tail_w_ld_15 (eq_tail_w_ld_15),
3971 .eq_tail_w_ld_16 (eq_tail_w_ld_16),
3972 .eq_tail_w_ld_17 (eq_tail_w_ld_17),
3973 .eq_tail_w_ld_18 (eq_tail_w_ld_18),
3974 .eq_tail_w_ld_19 (eq_tail_w_ld_19),
3975 .eq_tail_w_ld_20 (eq_tail_w_ld_20),
3976 .eq_tail_w_ld_21 (eq_tail_w_ld_21),
3977 .eq_tail_w_ld_22 (eq_tail_w_ld_22),
3978 .eq_tail_w_ld_23 (eq_tail_w_ld_23),
3979 .eq_tail_w_ld_24 (eq_tail_w_ld_24),
3980 .eq_tail_w_ld_25 (eq_tail_w_ld_25),
3981 .eq_tail_w_ld_26 (eq_tail_w_ld_26),
3982 .eq_tail_w_ld_27 (eq_tail_w_ld_27),
3983 .eq_tail_w_ld_28 (eq_tail_w_ld_28),
3984 .eq_tail_w_ld_29 (eq_tail_w_ld_29),
3985 .eq_tail_w_ld_30 (eq_tail_w_ld_30),
3986 .eq_tail_w_ld_31 (eq_tail_w_ld_31),
3987 .eq_tail_w_ld_32 (eq_tail_w_ld_32),
3988 .eq_tail_w_ld_33 (eq_tail_w_ld_33),
3989 .eq_tail_w_ld_34 (eq_tail_w_ld_34),
3990 .eq_tail_w_ld_35 (eq_tail_w_ld_35),
3991 .csrbus_wr_data (daemon_csrbus_wr_data),
3992 .eq_tail_csrbus_read_data_0 (eq_tail_csrbus_read_data_0),
3993 .eq_tail_csrbus_read_data_1 (eq_tail_csrbus_read_data_1),
3994 .eq_tail_csrbus_read_data_2 (eq_tail_csrbus_read_data_2),
3995 .eq_tail_csrbus_read_data_3 (eq_tail_csrbus_read_data_3),
3996 .eq_tail_csrbus_read_data_4 (eq_tail_csrbus_read_data_4),
3997 .eq_tail_csrbus_read_data_5 (eq_tail_csrbus_read_data_5),
3998 .eq_tail_csrbus_read_data_6 (eq_tail_csrbus_read_data_6),
3999 .eq_tail_csrbus_read_data_7 (eq_tail_csrbus_read_data_7),
4000 .eq_tail_csrbus_read_data_8 (eq_tail_csrbus_read_data_8),
4001 .eq_tail_csrbus_read_data_9 (eq_tail_csrbus_read_data_9),
4002 .eq_tail_csrbus_read_data_10 (eq_tail_csrbus_read_data_10),
4003 .eq_tail_csrbus_read_data_11 (eq_tail_csrbus_read_data_11),
4004 .eq_tail_csrbus_read_data_12 (eq_tail_csrbus_read_data_12),
4005 .eq_tail_csrbus_read_data_13 (eq_tail_csrbus_read_data_13),
4006 .eq_tail_csrbus_read_data_14 (eq_tail_csrbus_read_data_14),
4007 .eq_tail_csrbus_read_data_15 (eq_tail_csrbus_read_data_15),
4008 .eq_tail_csrbus_read_data_16 (eq_tail_csrbus_read_data_16),
4009 .eq_tail_csrbus_read_data_17 (eq_tail_csrbus_read_data_17),
4010 .eq_tail_csrbus_read_data_18 (eq_tail_csrbus_read_data_18),
4011 .eq_tail_csrbus_read_data_19 (eq_tail_csrbus_read_data_19),
4012 .eq_tail_csrbus_read_data_20 (eq_tail_csrbus_read_data_20),
4013 .eq_tail_csrbus_read_data_21 (eq_tail_csrbus_read_data_21),
4014 .eq_tail_csrbus_read_data_22 (eq_tail_csrbus_read_data_22),
4015 .eq_tail_csrbus_read_data_23 (eq_tail_csrbus_read_data_23),
4016 .eq_tail_csrbus_read_data_24 (eq_tail_csrbus_read_data_24),
4017 .eq_tail_csrbus_read_data_25 (eq_tail_csrbus_read_data_25),
4018 .eq_tail_csrbus_read_data_26 (eq_tail_csrbus_read_data_26),
4019 .eq_tail_csrbus_read_data_27 (eq_tail_csrbus_read_data_27),
4020 .eq_tail_csrbus_read_data_28 (eq_tail_csrbus_read_data_28),
4021 .eq_tail_csrbus_read_data_29 (eq_tail_csrbus_read_data_29),
4022 .eq_tail_csrbus_read_data_30 (eq_tail_csrbus_read_data_30),
4023 .eq_tail_csrbus_read_data_31 (eq_tail_csrbus_read_data_31),
4024 .eq_tail_csrbus_read_data_32 (eq_tail_csrbus_read_data_32),
4025 .eq_tail_csrbus_read_data_33 (eq_tail_csrbus_read_data_33),
4026 .eq_tail_csrbus_read_data_34 (eq_tail_csrbus_read_data_34),
4027 .eq_tail_csrbus_read_data_35 (eq_tail_csrbus_read_data_35),
4028 .eq_tail_overr_hw_ld_0 (eq_tail_overr_hw_ld_0),
4029 .eq_tail_overr_hw_ld_1 (eq_tail_overr_hw_ld_1),
4030 .eq_tail_overr_hw_ld_2 (eq_tail_overr_hw_ld_2),
4031 .eq_tail_overr_hw_ld_3 (eq_tail_overr_hw_ld_3),
4032 .eq_tail_overr_hw_ld_4 (eq_tail_overr_hw_ld_4),
4033 .eq_tail_overr_hw_ld_5 (eq_tail_overr_hw_ld_5),
4034 .eq_tail_overr_hw_ld_6 (eq_tail_overr_hw_ld_6),
4035 .eq_tail_overr_hw_ld_7 (eq_tail_overr_hw_ld_7),
4036 .eq_tail_overr_hw_ld_8 (eq_tail_overr_hw_ld_8),
4037 .eq_tail_overr_hw_ld_9 (eq_tail_overr_hw_ld_9),
4038 .eq_tail_overr_hw_ld_10 (eq_tail_overr_hw_ld_10),
4039 .eq_tail_overr_hw_ld_11 (eq_tail_overr_hw_ld_11),
4040 .eq_tail_overr_hw_ld_12 (eq_tail_overr_hw_ld_12),
4041 .eq_tail_overr_hw_ld_13 (eq_tail_overr_hw_ld_13),
4042 .eq_tail_overr_hw_ld_14 (eq_tail_overr_hw_ld_14),
4043 .eq_tail_overr_hw_ld_15 (eq_tail_overr_hw_ld_15),
4044 .eq_tail_overr_hw_ld_16 (eq_tail_overr_hw_ld_16),
4045 .eq_tail_overr_hw_ld_17 (eq_tail_overr_hw_ld_17),
4046 .eq_tail_overr_hw_ld_18 (eq_tail_overr_hw_ld_18),
4047 .eq_tail_overr_hw_ld_19 (eq_tail_overr_hw_ld_19),
4048 .eq_tail_overr_hw_ld_20 (eq_tail_overr_hw_ld_20),
4049 .eq_tail_overr_hw_ld_21 (eq_tail_overr_hw_ld_21),
4050 .eq_tail_overr_hw_ld_22 (eq_tail_overr_hw_ld_22),
4051 .eq_tail_overr_hw_ld_23 (eq_tail_overr_hw_ld_23),
4052 .eq_tail_overr_hw_ld_24 (eq_tail_overr_hw_ld_24),
4053 .eq_tail_overr_hw_ld_25 (eq_tail_overr_hw_ld_25),
4054 .eq_tail_overr_hw_ld_26 (eq_tail_overr_hw_ld_26),
4055 .eq_tail_overr_hw_ld_27 (eq_tail_overr_hw_ld_27),
4056 .eq_tail_overr_hw_ld_28 (eq_tail_overr_hw_ld_28),
4057 .eq_tail_overr_hw_ld_29 (eq_tail_overr_hw_ld_29),
4058 .eq_tail_overr_hw_ld_30 (eq_tail_overr_hw_ld_30),
4059 .eq_tail_overr_hw_ld_31 (eq_tail_overr_hw_ld_31),
4060 .eq_tail_overr_hw_ld_32 (eq_tail_overr_hw_ld_32),
4061 .eq_tail_overr_hw_ld_33 (eq_tail_overr_hw_ld_33),
4062 .eq_tail_overr_hw_ld_34 (eq_tail_overr_hw_ld_34),
4063 .eq_tail_overr_hw_ld_35 (eq_tail_overr_hw_ld_35),
4064 .eq_tail_overr_hw_write_0 (eq_tail_overr_hw_write_0),
4065 .eq_tail_overr_hw_write_1 (eq_tail_overr_hw_write_1),
4066 .eq_tail_overr_hw_write_2 (eq_tail_overr_hw_write_2),
4067 .eq_tail_overr_hw_write_3 (eq_tail_overr_hw_write_3),
4068 .eq_tail_overr_hw_write_4 (eq_tail_overr_hw_write_4),
4069 .eq_tail_overr_hw_write_5 (eq_tail_overr_hw_write_5),
4070 .eq_tail_overr_hw_write_6 (eq_tail_overr_hw_write_6),
4071 .eq_tail_overr_hw_write_7 (eq_tail_overr_hw_write_7),
4072 .eq_tail_overr_hw_write_8 (eq_tail_overr_hw_write_8),
4073 .eq_tail_overr_hw_write_9 (eq_tail_overr_hw_write_9),
4074 .eq_tail_overr_hw_write_10 (eq_tail_overr_hw_write_10),
4075 .eq_tail_overr_hw_write_11 (eq_tail_overr_hw_write_11),
4076 .eq_tail_overr_hw_write_12 (eq_tail_overr_hw_write_12),
4077 .eq_tail_overr_hw_write_13 (eq_tail_overr_hw_write_13),
4078 .eq_tail_overr_hw_write_14 (eq_tail_overr_hw_write_14),
4079 .eq_tail_overr_hw_write_15 (eq_tail_overr_hw_write_15),
4080 .eq_tail_overr_hw_write_16 (eq_tail_overr_hw_write_16),
4081 .eq_tail_overr_hw_write_17 (eq_tail_overr_hw_write_17),
4082 .eq_tail_overr_hw_write_18 (eq_tail_overr_hw_write_18),
4083 .eq_tail_overr_hw_write_19 (eq_tail_overr_hw_write_19),
4084 .eq_tail_overr_hw_write_20 (eq_tail_overr_hw_write_20),
4085 .eq_tail_overr_hw_write_21 (eq_tail_overr_hw_write_21),
4086 .eq_tail_overr_hw_write_22 (eq_tail_overr_hw_write_22),
4087 .eq_tail_overr_hw_write_23 (eq_tail_overr_hw_write_23),
4088 .eq_tail_overr_hw_write_24 (eq_tail_overr_hw_write_24),
4089 .eq_tail_overr_hw_write_25 (eq_tail_overr_hw_write_25),
4090 .eq_tail_overr_hw_write_26 (eq_tail_overr_hw_write_26),
4091 .eq_tail_overr_hw_write_27 (eq_tail_overr_hw_write_27),
4092 .eq_tail_overr_hw_write_28 (eq_tail_overr_hw_write_28),
4093 .eq_tail_overr_hw_write_29 (eq_tail_overr_hw_write_29),
4094 .eq_tail_overr_hw_write_30 (eq_tail_overr_hw_write_30),
4095 .eq_tail_overr_hw_write_31 (eq_tail_overr_hw_write_31),
4096 .eq_tail_overr_hw_write_32 (eq_tail_overr_hw_write_32),
4097 .eq_tail_overr_hw_write_33 (eq_tail_overr_hw_write_33),
4098 .eq_tail_overr_hw_write_34 (eq_tail_overr_hw_write_34),
4099 .eq_tail_overr_hw_write_35 (eq_tail_overr_hw_write_35),
4100 .eq_tail_tail_hw_ld_0 (eq_tail_tail_hw_ld_0),
4101 .eq_tail_tail_hw_ld_1 (eq_tail_tail_hw_ld_1),
4102 .eq_tail_tail_hw_ld_2 (eq_tail_tail_hw_ld_2),
4103 .eq_tail_tail_hw_ld_3 (eq_tail_tail_hw_ld_3),
4104 .eq_tail_tail_hw_ld_4 (eq_tail_tail_hw_ld_4),
4105 .eq_tail_tail_hw_ld_5 (eq_tail_tail_hw_ld_5),
4106 .eq_tail_tail_hw_ld_6 (eq_tail_tail_hw_ld_6),
4107 .eq_tail_tail_hw_ld_7 (eq_tail_tail_hw_ld_7),
4108 .eq_tail_tail_hw_ld_8 (eq_tail_tail_hw_ld_8),
4109 .eq_tail_tail_hw_ld_9 (eq_tail_tail_hw_ld_9),
4110 .eq_tail_tail_hw_ld_10 (eq_tail_tail_hw_ld_10),
4111 .eq_tail_tail_hw_ld_11 (eq_tail_tail_hw_ld_11),
4112 .eq_tail_tail_hw_ld_12 (eq_tail_tail_hw_ld_12),
4113 .eq_tail_tail_hw_ld_13 (eq_tail_tail_hw_ld_13),
4114 .eq_tail_tail_hw_ld_14 (eq_tail_tail_hw_ld_14),
4115 .eq_tail_tail_hw_ld_15 (eq_tail_tail_hw_ld_15),
4116 .eq_tail_tail_hw_ld_16 (eq_tail_tail_hw_ld_16),
4117 .eq_tail_tail_hw_ld_17 (eq_tail_tail_hw_ld_17),
4118 .eq_tail_tail_hw_ld_18 (eq_tail_tail_hw_ld_18),
4119 .eq_tail_tail_hw_ld_19 (eq_tail_tail_hw_ld_19),
4120 .eq_tail_tail_hw_ld_20 (eq_tail_tail_hw_ld_20),
4121 .eq_tail_tail_hw_ld_21 (eq_tail_tail_hw_ld_21),
4122 .eq_tail_tail_hw_ld_22 (eq_tail_tail_hw_ld_22),
4123 .eq_tail_tail_hw_ld_23 (eq_tail_tail_hw_ld_23),
4124 .eq_tail_tail_hw_ld_24 (eq_tail_tail_hw_ld_24),
4125 .eq_tail_tail_hw_ld_25 (eq_tail_tail_hw_ld_25),
4126 .eq_tail_tail_hw_ld_26 (eq_tail_tail_hw_ld_26),
4127 .eq_tail_tail_hw_ld_27 (eq_tail_tail_hw_ld_27),
4128 .eq_tail_tail_hw_ld_28 (eq_tail_tail_hw_ld_28),
4129 .eq_tail_tail_hw_ld_29 (eq_tail_tail_hw_ld_29),
4130 .eq_tail_tail_hw_ld_30 (eq_tail_tail_hw_ld_30),
4131 .eq_tail_tail_hw_ld_31 (eq_tail_tail_hw_ld_31),
4132 .eq_tail_tail_hw_ld_32 (eq_tail_tail_hw_ld_32),
4133 .eq_tail_tail_hw_ld_33 (eq_tail_tail_hw_ld_33),
4134 .eq_tail_tail_hw_ld_34 (eq_tail_tail_hw_ld_34),
4135 .eq_tail_tail_hw_ld_35 (eq_tail_tail_hw_ld_35),
4136 .eq_tail_tail_hw_write_0 (eq_tail_tail_hw_write_0),
4137 .eq_tail_tail_hw_write_1 (eq_tail_tail_hw_write_1),
4138 .eq_tail_tail_hw_write_2 (eq_tail_tail_hw_write_2),
4139 .eq_tail_tail_hw_write_3 (eq_tail_tail_hw_write_3),
4140 .eq_tail_tail_hw_write_4 (eq_tail_tail_hw_write_4),
4141 .eq_tail_tail_hw_write_5 (eq_tail_tail_hw_write_5),
4142 .eq_tail_tail_hw_write_6 (eq_tail_tail_hw_write_6),
4143 .eq_tail_tail_hw_write_7 (eq_tail_tail_hw_write_7),
4144 .eq_tail_tail_hw_write_8 (eq_tail_tail_hw_write_8),
4145 .eq_tail_tail_hw_write_9 (eq_tail_tail_hw_write_9),
4146 .eq_tail_tail_hw_write_10 (eq_tail_tail_hw_write_10),
4147 .eq_tail_tail_hw_write_11 (eq_tail_tail_hw_write_11),
4148 .eq_tail_tail_hw_write_12 (eq_tail_tail_hw_write_12),
4149 .eq_tail_tail_hw_write_13 (eq_tail_tail_hw_write_13),
4150 .eq_tail_tail_hw_write_14 (eq_tail_tail_hw_write_14),
4151 .eq_tail_tail_hw_write_15 (eq_tail_tail_hw_write_15),
4152 .eq_tail_tail_hw_write_16 (eq_tail_tail_hw_write_16),
4153 .eq_tail_tail_hw_write_17 (eq_tail_tail_hw_write_17),
4154 .eq_tail_tail_hw_write_18 (eq_tail_tail_hw_write_18),
4155 .eq_tail_tail_hw_write_19 (eq_tail_tail_hw_write_19),
4156 .eq_tail_tail_hw_write_20 (eq_tail_tail_hw_write_20),
4157 .eq_tail_tail_hw_write_21 (eq_tail_tail_hw_write_21),
4158 .eq_tail_tail_hw_write_22 (eq_tail_tail_hw_write_22),
4159 .eq_tail_tail_hw_write_23 (eq_tail_tail_hw_write_23),
4160 .eq_tail_tail_hw_write_24 (eq_tail_tail_hw_write_24),
4161 .eq_tail_tail_hw_write_25 (eq_tail_tail_hw_write_25),
4162 .eq_tail_tail_hw_write_26 (eq_tail_tail_hw_write_26),
4163 .eq_tail_tail_hw_write_27 (eq_tail_tail_hw_write_27),
4164 .eq_tail_tail_hw_write_28 (eq_tail_tail_hw_write_28),
4165 .eq_tail_tail_hw_write_29 (eq_tail_tail_hw_write_29),
4166 .eq_tail_tail_hw_write_30 (eq_tail_tail_hw_write_30),
4167 .eq_tail_tail_hw_write_31 (eq_tail_tail_hw_write_31),
4168 .eq_tail_tail_hw_write_32 (eq_tail_tail_hw_write_32),
4169 .eq_tail_tail_hw_write_33 (eq_tail_tail_hw_write_33),
4170 .eq_tail_tail_hw_write_34 (eq_tail_tail_hw_write_34),
4171 .eq_tail_tail_hw_write_35 (eq_tail_tail_hw_write_35),
4172 .eq_tail_tail_hw_read_0 (eq_tail_tail_hw_read_0),
4173 .eq_tail_tail_hw_read_1 (eq_tail_tail_hw_read_1),
4174 .eq_tail_tail_hw_read_2 (eq_tail_tail_hw_read_2),
4175 .eq_tail_tail_hw_read_3 (eq_tail_tail_hw_read_3),
4176 .eq_tail_tail_hw_read_4 (eq_tail_tail_hw_read_4),
4177 .eq_tail_tail_hw_read_5 (eq_tail_tail_hw_read_5),
4178 .eq_tail_tail_hw_read_6 (eq_tail_tail_hw_read_6),
4179 .eq_tail_tail_hw_read_7 (eq_tail_tail_hw_read_7),
4180 .eq_tail_tail_hw_read_8 (eq_tail_tail_hw_read_8),
4181 .eq_tail_tail_hw_read_9 (eq_tail_tail_hw_read_9),
4182 .eq_tail_tail_hw_read_10 (eq_tail_tail_hw_read_10),
4183 .eq_tail_tail_hw_read_11 (eq_tail_tail_hw_read_11),
4184 .eq_tail_tail_hw_read_12 (eq_tail_tail_hw_read_12),
4185 .eq_tail_tail_hw_read_13 (eq_tail_tail_hw_read_13),
4186 .eq_tail_tail_hw_read_14 (eq_tail_tail_hw_read_14),
4187 .eq_tail_tail_hw_read_15 (eq_tail_tail_hw_read_15),
4188 .eq_tail_tail_hw_read_16 (eq_tail_tail_hw_read_16),
4189 .eq_tail_tail_hw_read_17 (eq_tail_tail_hw_read_17),
4190 .eq_tail_tail_hw_read_18 (eq_tail_tail_hw_read_18),
4191 .eq_tail_tail_hw_read_19 (eq_tail_tail_hw_read_19),
4192 .eq_tail_tail_hw_read_20 (eq_tail_tail_hw_read_20),
4193 .eq_tail_tail_hw_read_21 (eq_tail_tail_hw_read_21),
4194 .eq_tail_tail_hw_read_22 (eq_tail_tail_hw_read_22),
4195 .eq_tail_tail_hw_read_23 (eq_tail_tail_hw_read_23),
4196 .eq_tail_tail_hw_read_24 (eq_tail_tail_hw_read_24),
4197 .eq_tail_tail_hw_read_25 (eq_tail_tail_hw_read_25),
4198 .eq_tail_tail_hw_read_26 (eq_tail_tail_hw_read_26),
4199 .eq_tail_tail_hw_read_27 (eq_tail_tail_hw_read_27),
4200 .eq_tail_tail_hw_read_28 (eq_tail_tail_hw_read_28),
4201 .eq_tail_tail_hw_read_29 (eq_tail_tail_hw_read_29),
4202 .eq_tail_tail_hw_read_30 (eq_tail_tail_hw_read_30),
4203 .eq_tail_tail_hw_read_31 (eq_tail_tail_hw_read_31),
4204 .eq_tail_tail_hw_read_32 (eq_tail_tail_hw_read_32),
4205 .eq_tail_tail_hw_read_33 (eq_tail_tail_hw_read_33),
4206 .eq_tail_tail_hw_read_34 (eq_tail_tail_hw_read_34),
4207 .eq_tail_tail_hw_read_35 (eq_tail_tail_hw_read_35)
4208 );
4209
4210wire eq_head_w_ld_0 =eq_head_select_pulse_0 & daemon_csrbus_wr;
4211wire eq_head_w_ld_1 =eq_head_select_pulse_1 & daemon_csrbus_wr;
4212wire eq_head_w_ld_2 =eq_head_select_pulse_2 & daemon_csrbus_wr;
4213wire eq_head_w_ld_3 =eq_head_select_pulse_3 & daemon_csrbus_wr;
4214wire eq_head_w_ld_4 =eq_head_select_pulse_4 & daemon_csrbus_wr;
4215wire eq_head_w_ld_5 =eq_head_select_pulse_5 & daemon_csrbus_wr;
4216wire eq_head_w_ld_6 =eq_head_select_pulse_6 & daemon_csrbus_wr;
4217wire eq_head_w_ld_7 =eq_head_select_pulse_7 & daemon_csrbus_wr;
4218wire eq_head_w_ld_8 =eq_head_select_pulse_8 & daemon_csrbus_wr;
4219wire eq_head_w_ld_9 =eq_head_select_pulse_9 & daemon_csrbus_wr;
4220wire eq_head_w_ld_10 =eq_head_select_pulse_10 & daemon_csrbus_wr;
4221wire eq_head_w_ld_11 =eq_head_select_pulse_11 & daemon_csrbus_wr;
4222wire eq_head_w_ld_12 =eq_head_select_pulse_12 & daemon_csrbus_wr;
4223wire eq_head_w_ld_13 =eq_head_select_pulse_13 & daemon_csrbus_wr;
4224wire eq_head_w_ld_14 =eq_head_select_pulse_14 & daemon_csrbus_wr;
4225wire eq_head_w_ld_15 =eq_head_select_pulse_15 & daemon_csrbus_wr;
4226wire eq_head_w_ld_16 =eq_head_select_pulse_16 & daemon_csrbus_wr;
4227wire eq_head_w_ld_17 =eq_head_select_pulse_17 & daemon_csrbus_wr;
4228wire eq_head_w_ld_18 =eq_head_select_pulse_18 & daemon_csrbus_wr;
4229wire eq_head_w_ld_19 =eq_head_select_pulse_19 & daemon_csrbus_wr;
4230wire eq_head_w_ld_20 =eq_head_select_pulse_20 & daemon_csrbus_wr;
4231wire eq_head_w_ld_21 =eq_head_select_pulse_21 & daemon_csrbus_wr;
4232wire eq_head_w_ld_22 =eq_head_select_pulse_22 & daemon_csrbus_wr;
4233wire eq_head_w_ld_23 =eq_head_select_pulse_23 & daemon_csrbus_wr;
4234wire eq_head_w_ld_24 =eq_head_select_pulse_24 & daemon_csrbus_wr;
4235wire eq_head_w_ld_25 =eq_head_select_pulse_25 & daemon_csrbus_wr;
4236wire eq_head_w_ld_26 =eq_head_select_pulse_26 & daemon_csrbus_wr;
4237wire eq_head_w_ld_27 =eq_head_select_pulse_27 & daemon_csrbus_wr;
4238wire eq_head_w_ld_28 =eq_head_select_pulse_28 & daemon_csrbus_wr;
4239wire eq_head_w_ld_29 =eq_head_select_pulse_29 & daemon_csrbus_wr;
4240wire eq_head_w_ld_30 =eq_head_select_pulse_30 & daemon_csrbus_wr;
4241wire eq_head_w_ld_31 =eq_head_select_pulse_31 & daemon_csrbus_wr;
4242wire eq_head_w_ld_32 =eq_head_select_pulse_32 & daemon_csrbus_wr;
4243wire eq_head_w_ld_33 =eq_head_select_pulse_33 & daemon_csrbus_wr;
4244wire eq_head_w_ld_34 =eq_head_select_pulse_34 & daemon_csrbus_wr;
4245wire eq_head_w_ld_35 =eq_head_select_pulse_35 & daemon_csrbus_wr;
4246
4247dmu_imu_eqs_csr_eq_head eq_head
4248 (
4249 .clk (clk),
4250 .rst_l (rst_l),
4251 .eq_head_w_ld_0 (eq_head_w_ld_0),
4252 .eq_head_w_ld_1 (eq_head_w_ld_1),
4253 .eq_head_w_ld_2 (eq_head_w_ld_2),
4254 .eq_head_w_ld_3 (eq_head_w_ld_3),
4255 .eq_head_w_ld_4 (eq_head_w_ld_4),
4256 .eq_head_w_ld_5 (eq_head_w_ld_5),
4257 .eq_head_w_ld_6 (eq_head_w_ld_6),
4258 .eq_head_w_ld_7 (eq_head_w_ld_7),
4259 .eq_head_w_ld_8 (eq_head_w_ld_8),
4260 .eq_head_w_ld_9 (eq_head_w_ld_9),
4261 .eq_head_w_ld_10 (eq_head_w_ld_10),
4262 .eq_head_w_ld_11 (eq_head_w_ld_11),
4263 .eq_head_w_ld_12 (eq_head_w_ld_12),
4264 .eq_head_w_ld_13 (eq_head_w_ld_13),
4265 .eq_head_w_ld_14 (eq_head_w_ld_14),
4266 .eq_head_w_ld_15 (eq_head_w_ld_15),
4267 .eq_head_w_ld_16 (eq_head_w_ld_16),
4268 .eq_head_w_ld_17 (eq_head_w_ld_17),
4269 .eq_head_w_ld_18 (eq_head_w_ld_18),
4270 .eq_head_w_ld_19 (eq_head_w_ld_19),
4271 .eq_head_w_ld_20 (eq_head_w_ld_20),
4272 .eq_head_w_ld_21 (eq_head_w_ld_21),
4273 .eq_head_w_ld_22 (eq_head_w_ld_22),
4274 .eq_head_w_ld_23 (eq_head_w_ld_23),
4275 .eq_head_w_ld_24 (eq_head_w_ld_24),
4276 .eq_head_w_ld_25 (eq_head_w_ld_25),
4277 .eq_head_w_ld_26 (eq_head_w_ld_26),
4278 .eq_head_w_ld_27 (eq_head_w_ld_27),
4279 .eq_head_w_ld_28 (eq_head_w_ld_28),
4280 .eq_head_w_ld_29 (eq_head_w_ld_29),
4281 .eq_head_w_ld_30 (eq_head_w_ld_30),
4282 .eq_head_w_ld_31 (eq_head_w_ld_31),
4283 .eq_head_w_ld_32 (eq_head_w_ld_32),
4284 .eq_head_w_ld_33 (eq_head_w_ld_33),
4285 .eq_head_w_ld_34 (eq_head_w_ld_34),
4286 .eq_head_w_ld_35 (eq_head_w_ld_35),
4287 .csrbus_wr_data (daemon_csrbus_wr_data),
4288 .eq_head_csrbus_read_data_0 (eq_head_csrbus_read_data_0),
4289 .eq_head_csrbus_read_data_1 (eq_head_csrbus_read_data_1),
4290 .eq_head_csrbus_read_data_2 (eq_head_csrbus_read_data_2),
4291 .eq_head_csrbus_read_data_3 (eq_head_csrbus_read_data_3),
4292 .eq_head_csrbus_read_data_4 (eq_head_csrbus_read_data_4),
4293 .eq_head_csrbus_read_data_5 (eq_head_csrbus_read_data_5),
4294 .eq_head_csrbus_read_data_6 (eq_head_csrbus_read_data_6),
4295 .eq_head_csrbus_read_data_7 (eq_head_csrbus_read_data_7),
4296 .eq_head_csrbus_read_data_8 (eq_head_csrbus_read_data_8),
4297 .eq_head_csrbus_read_data_9 (eq_head_csrbus_read_data_9),
4298 .eq_head_csrbus_read_data_10 (eq_head_csrbus_read_data_10),
4299 .eq_head_csrbus_read_data_11 (eq_head_csrbus_read_data_11),
4300 .eq_head_csrbus_read_data_12 (eq_head_csrbus_read_data_12),
4301 .eq_head_csrbus_read_data_13 (eq_head_csrbus_read_data_13),
4302 .eq_head_csrbus_read_data_14 (eq_head_csrbus_read_data_14),
4303 .eq_head_csrbus_read_data_15 (eq_head_csrbus_read_data_15),
4304 .eq_head_csrbus_read_data_16 (eq_head_csrbus_read_data_16),
4305 .eq_head_csrbus_read_data_17 (eq_head_csrbus_read_data_17),
4306 .eq_head_csrbus_read_data_18 (eq_head_csrbus_read_data_18),
4307 .eq_head_csrbus_read_data_19 (eq_head_csrbus_read_data_19),
4308 .eq_head_csrbus_read_data_20 (eq_head_csrbus_read_data_20),
4309 .eq_head_csrbus_read_data_21 (eq_head_csrbus_read_data_21),
4310 .eq_head_csrbus_read_data_22 (eq_head_csrbus_read_data_22),
4311 .eq_head_csrbus_read_data_23 (eq_head_csrbus_read_data_23),
4312 .eq_head_csrbus_read_data_24 (eq_head_csrbus_read_data_24),
4313 .eq_head_csrbus_read_data_25 (eq_head_csrbus_read_data_25),
4314 .eq_head_csrbus_read_data_26 (eq_head_csrbus_read_data_26),
4315 .eq_head_csrbus_read_data_27 (eq_head_csrbus_read_data_27),
4316 .eq_head_csrbus_read_data_28 (eq_head_csrbus_read_data_28),
4317 .eq_head_csrbus_read_data_29 (eq_head_csrbus_read_data_29),
4318 .eq_head_csrbus_read_data_30 (eq_head_csrbus_read_data_30),
4319 .eq_head_csrbus_read_data_31 (eq_head_csrbus_read_data_31),
4320 .eq_head_csrbus_read_data_32 (eq_head_csrbus_read_data_32),
4321 .eq_head_csrbus_read_data_33 (eq_head_csrbus_read_data_33),
4322 .eq_head_csrbus_read_data_34 (eq_head_csrbus_read_data_34),
4323 .eq_head_csrbus_read_data_35 (eq_head_csrbus_read_data_35),
4324 .eq_head_head_hw_read_0 (eq_head_head_hw_read_0),
4325 .eq_head_head_hw_read_1 (eq_head_head_hw_read_1),
4326 .eq_head_head_hw_read_2 (eq_head_head_hw_read_2),
4327 .eq_head_head_hw_read_3 (eq_head_head_hw_read_3),
4328 .eq_head_head_hw_read_4 (eq_head_head_hw_read_4),
4329 .eq_head_head_hw_read_5 (eq_head_head_hw_read_5),
4330 .eq_head_head_hw_read_6 (eq_head_head_hw_read_6),
4331 .eq_head_head_hw_read_7 (eq_head_head_hw_read_7),
4332 .eq_head_head_hw_read_8 (eq_head_head_hw_read_8),
4333 .eq_head_head_hw_read_9 (eq_head_head_hw_read_9),
4334 .eq_head_head_hw_read_10 (eq_head_head_hw_read_10),
4335 .eq_head_head_hw_read_11 (eq_head_head_hw_read_11),
4336 .eq_head_head_hw_read_12 (eq_head_head_hw_read_12),
4337 .eq_head_head_hw_read_13 (eq_head_head_hw_read_13),
4338 .eq_head_head_hw_read_14 (eq_head_head_hw_read_14),
4339 .eq_head_head_hw_read_15 (eq_head_head_hw_read_15),
4340 .eq_head_head_hw_read_16 (eq_head_head_hw_read_16),
4341 .eq_head_head_hw_read_17 (eq_head_head_hw_read_17),
4342 .eq_head_head_hw_read_18 (eq_head_head_hw_read_18),
4343 .eq_head_head_hw_read_19 (eq_head_head_hw_read_19),
4344 .eq_head_head_hw_read_20 (eq_head_head_hw_read_20),
4345 .eq_head_head_hw_read_21 (eq_head_head_hw_read_21),
4346 .eq_head_head_hw_read_22 (eq_head_head_hw_read_22),
4347 .eq_head_head_hw_read_23 (eq_head_head_hw_read_23),
4348 .eq_head_head_hw_read_24 (eq_head_head_hw_read_24),
4349 .eq_head_head_hw_read_25 (eq_head_head_hw_read_25),
4350 .eq_head_head_hw_read_26 (eq_head_head_hw_read_26),
4351 .eq_head_head_hw_read_27 (eq_head_head_hw_read_27),
4352 .eq_head_head_hw_read_28 (eq_head_head_hw_read_28),
4353 .eq_head_head_hw_read_29 (eq_head_head_hw_read_29),
4354 .eq_head_head_hw_read_30 (eq_head_head_hw_read_30),
4355 .eq_head_head_hw_read_31 (eq_head_head_hw_read_31),
4356 .eq_head_head_hw_read_32 (eq_head_head_hw_read_32),
4357 .eq_head_head_hw_read_33 (eq_head_head_hw_read_33),
4358 .eq_head_head_hw_read_34 (eq_head_head_hw_read_34),
4359 .eq_head_head_hw_read_35 (eq_head_head_hw_read_35)
4360 );
4361
4362endmodule // dmu_imu_eqs_default_grp