Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / dmu / rtl / dmu_imu_ics_csr_imu_logged_error_status_reg.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: dmu_imu_ics_csr_imu_logged_error_status_reg.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module dmu_imu_ics_csr_imu_logged_error_status_reg
36 (
37 clk,
38 por_l,
39 imu_logged_error_status_reg_w_ld,
40 csrbus_wr_data,
41 rw1c_alias,
42 rw1s_alias,
43 imu_logged_error_status_reg_csrbus_read_data,
44 imu_logged_error_status_reg_spare_s_hw_set,
45 imu_logged_error_status_reg_spare_s_hw_read,
46 imu_logged_error_status_reg_eq_over_s_hw_set,
47 imu_logged_error_status_reg_eq_over_s_hw_read,
48 imu_logged_error_status_reg_eq_not_en_s_hw_set,
49 imu_logged_error_status_reg_eq_not_en_s_hw_read,
50 imu_logged_error_status_reg_msi_mal_err_s_hw_set,
51 imu_logged_error_status_reg_msi_mal_err_s_hw_read,
52 imu_logged_error_status_reg_msi_par_err_s_hw_set,
53 imu_logged_error_status_reg_msi_par_err_s_hw_read,
54 imu_logged_error_status_reg_pmeack_mes_not_en_s_hw_set,
55 imu_logged_error_status_reg_pmeack_mes_not_en_s_hw_read,
56 imu_logged_error_status_reg_pmpme_mes_not_en_s_hw_set,
57 imu_logged_error_status_reg_pmpme_mes_not_en_s_hw_read,
58 imu_logged_error_status_reg_fatal_mes_not_en_s_hw_set,
59 imu_logged_error_status_reg_fatal_mes_not_en_s_hw_read,
60 imu_logged_error_status_reg_nonfatal_mes_not_en_s_hw_set,
61 imu_logged_error_status_reg_nonfatal_mes_not_en_s_hw_read,
62 imu_logged_error_status_reg_cor_mes_not_en_s_hw_set,
63 imu_logged_error_status_reg_cor_mes_not_en_s_hw_read,
64 imu_logged_error_status_reg_msi_not_en_s_hw_set,
65 imu_logged_error_status_reg_msi_not_en_s_hw_read,
66 imu_logged_error_status_reg_spare_p_hw_set,
67 imu_logged_error_status_reg_spare_p_hw_read,
68 imu_logged_error_status_reg_eq_over_p_hw_set,
69 imu_logged_error_status_reg_eq_over_p_hw_read,
70 imu_logged_error_status_reg_eq_not_en_p_hw_set,
71 imu_logged_error_status_reg_eq_not_en_p_hw_read,
72 imu_logged_error_status_reg_msi_mal_err_p_hw_set,
73 imu_logged_error_status_reg_msi_mal_err_p_hw_read,
74 imu_logged_error_status_reg_msi_par_err_p_hw_set,
75 imu_logged_error_status_reg_msi_par_err_p_hw_read,
76 imu_logged_error_status_reg_pmeack_mes_not_en_p_hw_set,
77 imu_logged_error_status_reg_pmeack_mes_not_en_p_hw_read,
78 imu_logged_error_status_reg_pmpme_mes_not_en_p_hw_set,
79 imu_logged_error_status_reg_pmpme_mes_not_en_p_hw_read,
80 imu_logged_error_status_reg_fatal_mes_not_en_p_hw_set,
81 imu_logged_error_status_reg_fatal_mes_not_en_p_hw_read,
82 imu_logged_error_status_reg_nonfatal_mes_not_en_p_hw_set,
83 imu_logged_error_status_reg_nonfatal_mes_not_en_p_hw_read,
84 imu_logged_error_status_reg_cor_mes_not_en_p_hw_set,
85 imu_logged_error_status_reg_cor_mes_not_en_p_hw_read,
86 imu_logged_error_status_reg_msi_not_en_p_hw_set,
87 imu_logged_error_status_reg_msi_not_en_p_hw_read
88 );
89
90//====================================================================
91// Polarity declarations
92//====================================================================
93input clk; // Clock
94input por_l; // Reset signal
95input imu_logged_error_status_reg_w_ld; // SW load bus
96input [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
97input rw1c_alias; // SW load type: write-one-to-clear
98input rw1s_alias; // SW load type: write-one-to-set
99output [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_WIDTH-1:0]
100 imu_logged_error_status_reg_csrbus_read_data; // SW read data
101input [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_SPARE_S_INT_SLC]
102 imu_logged_error_status_reg_spare_s_hw_set; // Hardware set signal for
103 // imu_logged_error_status_reg_spare_s.
104 // When set
105 // imu_logged_error_status_reg
106 // will be set to one.
107output [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_SPARE_S_INT_SLC]
108 imu_logged_error_status_reg_spare_s_hw_read; // This signal provides the
109 // current value of
110 // imu_logged_error_status_reg_spare_s.
111input imu_logged_error_status_reg_eq_over_s_hw_set; // Hardware set signal for
112 // imu_logged_error_status_reg_eq_over_s.
113 // When set
114 // imu_logged_error_status_reg
115 // will be set to one.
116output imu_logged_error_status_reg_eq_over_s_hw_read; // This signal provides
117 // the current value of
118 // imu_logged_error_status_reg_eq_over_s.
119input imu_logged_error_status_reg_eq_not_en_s_hw_set; // Hardware set signal
120 // for
121 // imu_logged_error_status_reg_eq_not_en_s.
122 // When set
123 // imu_logged_error_status_reg
124 // will be set to one.
125output imu_logged_error_status_reg_eq_not_en_s_hw_read; // This signal provides
126 // the current value of
127 // imu_logged_error_status_reg_eq_not_en_s.
128input imu_logged_error_status_reg_msi_mal_err_s_hw_set; // Hardware set signal
129 // for
130 // imu_logged_error_status_reg_msi_mal_err_s.
131 // When set
132 // imu_logged_error_status_reg
133 // will be set to one.
134output imu_logged_error_status_reg_msi_mal_err_s_hw_read; // This signal
135 // provides the
136 // current value of
137 // imu_logged_error_status_reg_msi_mal_err_s.
138input imu_logged_error_status_reg_msi_par_err_s_hw_set; // Hardware set signal
139 // for
140 // imu_logged_error_status_reg_msi_par_err_s.
141 // When set
142 // imu_logged_error_status_reg
143 // will be set to one.
144output imu_logged_error_status_reg_msi_par_err_s_hw_read; // This signal
145 // provides the
146 // current value of
147 // imu_logged_error_status_reg_msi_par_err_s.
148input imu_logged_error_status_reg_pmeack_mes_not_en_s_hw_set; // Hardware set
149 // signal for
150 // imu_logged_error_status_reg_pmeack_mes_not_en_s.
151 // When set
152 // imu_logged_error_status_reg
153 // will be set
154 // to one.
155output imu_logged_error_status_reg_pmeack_mes_not_en_s_hw_read; // This signal
156 // provides the
157 // current
158 // value of
159 // imu_logged_error_status_reg_pmeack_mes_not_en_s.
160input imu_logged_error_status_reg_pmpme_mes_not_en_s_hw_set; // Hardware set
161 // signal for
162 // imu_logged_error_status_reg_pmpme_mes_not_en_s.
163 // When set
164 // imu_logged_error_status_reg
165 // will be set to
166 // one.
167output imu_logged_error_status_reg_pmpme_mes_not_en_s_hw_read; // This signal
168 // provides the
169 // current value
170 // of
171 // imu_logged_error_status_reg_pmpme_mes_not_en_s.
172input imu_logged_error_status_reg_fatal_mes_not_en_s_hw_set; // Hardware set
173 // signal for
174 // imu_logged_error_status_reg_fatal_mes_not_en_s.
175 // When set
176 // imu_logged_error_status_reg
177 // will be set to
178 // one.
179output imu_logged_error_status_reg_fatal_mes_not_en_s_hw_read; // This signal
180 // provides the
181 // current value
182 // of
183 // imu_logged_error_status_reg_fatal_mes_not_en_s.
184input imu_logged_error_status_reg_nonfatal_mes_not_en_s_hw_set; // Hardware
185 // set signal
186 // for
187 // imu_logged_error_status_reg_nonfatal_mes_not_en_s.
188 // When set
189 // imu_logged_error_status_reg
190 // will be set
191 // to one.
192output imu_logged_error_status_reg_nonfatal_mes_not_en_s_hw_read;
193 // This signal provides the current value of
194 // imu_logged_error_status_reg_nonfatal_mes_not_en_s.
195input imu_logged_error_status_reg_cor_mes_not_en_s_hw_set; // Hardware set
196 // signal for
197 // imu_logged_error_status_reg_cor_mes_not_en_s.
198 // When set
199 // imu_logged_error_status_reg
200 // will be set to
201 // one.
202output imu_logged_error_status_reg_cor_mes_not_en_s_hw_read; // This signal
203 // provides the
204 // current value
205 // of
206 // imu_logged_error_status_reg_cor_mes_not_en_s.
207input imu_logged_error_status_reg_msi_not_en_s_hw_set; // Hardware set signal
208 // for
209 // imu_logged_error_status_reg_msi_not_en_s.
210 // When set
211 // imu_logged_error_status_reg
212 // will be set to one.
213output imu_logged_error_status_reg_msi_not_en_s_hw_read; // This signal
214 // provides the
215 // current value of
216 // imu_logged_error_status_reg_msi_not_en_s.
217input [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_SPARE_P_INT_SLC]
218 imu_logged_error_status_reg_spare_p_hw_set; // Hardware set signal for
219 // imu_logged_error_status_reg_spare_p.
220 // When set
221 // imu_logged_error_status_reg
222 // will be set to one.
223output [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_SPARE_P_INT_SLC]
224 imu_logged_error_status_reg_spare_p_hw_read; // This signal provides the
225 // current value of
226 // imu_logged_error_status_reg_spare_p.
227input imu_logged_error_status_reg_eq_over_p_hw_set; // Hardware set signal for
228 // imu_logged_error_status_reg_eq_over_p.
229 // When set
230 // imu_logged_error_status_reg
231 // will be set to one.
232output imu_logged_error_status_reg_eq_over_p_hw_read; // This signal provides
233 // the current value of
234 // imu_logged_error_status_reg_eq_over_p.
235input imu_logged_error_status_reg_eq_not_en_p_hw_set; // Hardware set signal
236 // for
237 // imu_logged_error_status_reg_eq_not_en_p.
238 // When set
239 // imu_logged_error_status_reg
240 // will be set to one.
241output imu_logged_error_status_reg_eq_not_en_p_hw_read; // This signal provides
242 // the current value of
243 // imu_logged_error_status_reg_eq_not_en_p.
244input imu_logged_error_status_reg_msi_mal_err_p_hw_set; // Hardware set signal
245 // for
246 // imu_logged_error_status_reg_msi_mal_err_p.
247 // When set
248 // imu_logged_error_status_reg
249 // will be set to one.
250output imu_logged_error_status_reg_msi_mal_err_p_hw_read; // This signal
251 // provides the
252 // current value of
253 // imu_logged_error_status_reg_msi_mal_err_p.
254input imu_logged_error_status_reg_msi_par_err_p_hw_set; // Hardware set signal
255 // for
256 // imu_logged_error_status_reg_msi_par_err_p.
257 // When set
258 // imu_logged_error_status_reg
259 // will be set to one.
260output imu_logged_error_status_reg_msi_par_err_p_hw_read; // This signal
261 // provides the
262 // current value of
263 // imu_logged_error_status_reg_msi_par_err_p.
264input imu_logged_error_status_reg_pmeack_mes_not_en_p_hw_set; // Hardware set
265 // signal for
266 // imu_logged_error_status_reg_pmeack_mes_not_en_p.
267 // When set
268 // imu_logged_error_status_reg
269 // will be set
270 // to one.
271output imu_logged_error_status_reg_pmeack_mes_not_en_p_hw_read; // This signal
272 // provides the
273 // current
274 // value of
275 // imu_logged_error_status_reg_pmeack_mes_not_en_p.
276input imu_logged_error_status_reg_pmpme_mes_not_en_p_hw_set; // Hardware set
277 // signal for
278 // imu_logged_error_status_reg_pmpme_mes_not_en_p.
279 // When set
280 // imu_logged_error_status_reg
281 // will be set to
282 // one.
283output imu_logged_error_status_reg_pmpme_mes_not_en_p_hw_read; // This signal
284 // provides the
285 // current value
286 // of
287 // imu_logged_error_status_reg_pmpme_mes_not_en_p.
288input imu_logged_error_status_reg_fatal_mes_not_en_p_hw_set; // Hardware set
289 // signal for
290 // imu_logged_error_status_reg_fatal_mes_not_en_p.
291 // When set
292 // imu_logged_error_status_reg
293 // will be set to
294 // one.
295output imu_logged_error_status_reg_fatal_mes_not_en_p_hw_read; // This signal
296 // provides the
297 // current value
298 // of
299 // imu_logged_error_status_reg_fatal_mes_not_en_p.
300input imu_logged_error_status_reg_nonfatal_mes_not_en_p_hw_set; // Hardware
301 // set signal
302 // for
303 // imu_logged_error_status_reg_nonfatal_mes_not_en_p.
304 // When set
305 // imu_logged_error_status_reg
306 // will be set
307 // to one.
308output imu_logged_error_status_reg_nonfatal_mes_not_en_p_hw_read;
309 // This signal provides the current value of
310 // imu_logged_error_status_reg_nonfatal_mes_not_en_p.
311input imu_logged_error_status_reg_cor_mes_not_en_p_hw_set; // Hardware set
312 // signal for
313 // imu_logged_error_status_reg_cor_mes_not_en_p.
314 // When set
315 // imu_logged_error_status_reg
316 // will be set to
317 // one.
318output imu_logged_error_status_reg_cor_mes_not_en_p_hw_read; // This signal
319 // provides the
320 // current value
321 // of
322 // imu_logged_error_status_reg_cor_mes_not_en_p.
323input imu_logged_error_status_reg_msi_not_en_p_hw_set; // Hardware set signal
324 // for
325 // imu_logged_error_status_reg_msi_not_en_p.
326 // When set
327 // imu_logged_error_status_reg
328 // will be set to one.
329output imu_logged_error_status_reg_msi_not_en_p_hw_read; // This signal
330 // provides the
331 // current value of
332 // imu_logged_error_status_reg_msi_not_en_p.
333
334//====================================================================
335// Type declarations
336//====================================================================
337wire clk; // Clock
338wire por_l; // Reset signal
339wire imu_logged_error_status_reg_w_ld; // SW load bus
340wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
341wire rw1c_alias; // SW load type: write-one-to-clear
342wire rw1s_alias; // SW load type: write-one-to-set
343wire [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_WIDTH-1:0]
344 imu_logged_error_status_reg_csrbus_read_data; // SW read data
345wire [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_SPARE_S_INT_SLC]
346 imu_logged_error_status_reg_spare_s_hw_set; // Hardware set signal for
347 // imu_logged_error_status_reg_spare_s.
348 // When set
349 // imu_logged_error_status_reg
350 // will be set to one.
351wire [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_SPARE_S_INT_SLC]
352 imu_logged_error_status_reg_spare_s_hw_read; // This signal provides the
353 // current value of
354 // imu_logged_error_status_reg_spare_s.
355wire imu_logged_error_status_reg_eq_over_s_hw_set; // Hardware set signal for
356 // imu_logged_error_status_reg_eq_over_s.
357 // When set
358 // imu_logged_error_status_reg
359 // will be set to one.
360wire imu_logged_error_status_reg_eq_over_s_hw_read; // This signal provides the
361 // current value of
362 // imu_logged_error_status_reg_eq_over_s.
363wire imu_logged_error_status_reg_eq_not_en_s_hw_set; // Hardware set signal for
364 // imu_logged_error_status_reg_eq_not_en_s.
365 // When set
366 // imu_logged_error_status_reg
367 // will be set to one.
368wire imu_logged_error_status_reg_eq_not_en_s_hw_read; // This signal provides
369 // the current value of
370 // imu_logged_error_status_reg_eq_not_en_s.
371wire imu_logged_error_status_reg_msi_mal_err_s_hw_set; // Hardware set signal
372 // for
373 // imu_logged_error_status_reg_msi_mal_err_s.
374 // When set
375 // imu_logged_error_status_reg
376 // will be set to one.
377wire imu_logged_error_status_reg_msi_mal_err_s_hw_read; // This signal provides
378 // the current value of
379 // imu_logged_error_status_reg_msi_mal_err_s.
380wire imu_logged_error_status_reg_msi_par_err_s_hw_set; // Hardware set signal
381 // for
382 // imu_logged_error_status_reg_msi_par_err_s.
383 // When set
384 // imu_logged_error_status_reg
385 // will be set to one.
386wire imu_logged_error_status_reg_msi_par_err_s_hw_read; // This signal provides
387 // the current value of
388 // imu_logged_error_status_reg_msi_par_err_s.
389wire imu_logged_error_status_reg_pmeack_mes_not_en_s_hw_set; // Hardware set
390 // signal for
391 // imu_logged_error_status_reg_pmeack_mes_not_en_s.
392 // When set
393 // imu_logged_error_status_reg
394 // will be set to
395 // one.
396wire imu_logged_error_status_reg_pmeack_mes_not_en_s_hw_read; // This signal
397 // provides the
398 // current value
399 // of
400 // imu_logged_error_status_reg_pmeack_mes_not_en_s.
401wire imu_logged_error_status_reg_pmpme_mes_not_en_s_hw_set; // Hardware set
402 // signal for
403 // imu_logged_error_status_reg_pmpme_mes_not_en_s.
404 // When set
405 // imu_logged_error_status_reg
406 // will be set to
407 // one.
408wire imu_logged_error_status_reg_pmpme_mes_not_en_s_hw_read; // This signal
409 // provides the
410 // current value
411 // of
412 // imu_logged_error_status_reg_pmpme_mes_not_en_s.
413wire imu_logged_error_status_reg_fatal_mes_not_en_s_hw_set; // Hardware set
414 // signal for
415 // imu_logged_error_status_reg_fatal_mes_not_en_s.
416 // When set
417 // imu_logged_error_status_reg
418 // will be set to
419 // one.
420wire imu_logged_error_status_reg_fatal_mes_not_en_s_hw_read; // This signal
421 // provides the
422 // current value
423 // of
424 // imu_logged_error_status_reg_fatal_mes_not_en_s.
425wire imu_logged_error_status_reg_nonfatal_mes_not_en_s_hw_set; // Hardware set
426 // signal for
427 // imu_logged_error_status_reg_nonfatal_mes_not_en_s.
428 // When set
429 // imu_logged_error_status_reg
430 // will be set
431 // to one.
432wire imu_logged_error_status_reg_nonfatal_mes_not_en_s_hw_read; // This signal
433 // provides the
434 // current
435 // value of
436 // imu_logged_error_status_reg_nonfatal_mes_not_en_s.
437wire imu_logged_error_status_reg_cor_mes_not_en_s_hw_set; // Hardware set
438 // signal for
439 // imu_logged_error_status_reg_cor_mes_not_en_s.
440 // When set
441 // imu_logged_error_status_reg
442 // will be set to
443 // one.
444wire imu_logged_error_status_reg_cor_mes_not_en_s_hw_read; // This signal
445 // provides the
446 // current value of
447 // imu_logged_error_status_reg_cor_mes_not_en_s.
448wire imu_logged_error_status_reg_msi_not_en_s_hw_set; // Hardware set signal
449 // for
450 // imu_logged_error_status_reg_msi_not_en_s.
451 // When set
452 // imu_logged_error_status_reg
453 // will be set to one.
454wire imu_logged_error_status_reg_msi_not_en_s_hw_read; // This signal provides
455 // the current value of
456 // imu_logged_error_status_reg_msi_not_en_s.
457wire [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_SPARE_P_INT_SLC]
458 imu_logged_error_status_reg_spare_p_hw_set; // Hardware set signal for
459 // imu_logged_error_status_reg_spare_p.
460 // When set
461 // imu_logged_error_status_reg
462 // will be set to one.
463wire [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_SPARE_P_INT_SLC]
464 imu_logged_error_status_reg_spare_p_hw_read; // This signal provides the
465 // current value of
466 // imu_logged_error_status_reg_spare_p.
467wire imu_logged_error_status_reg_eq_over_p_hw_set; // Hardware set signal for
468 // imu_logged_error_status_reg_eq_over_p.
469 // When set
470 // imu_logged_error_status_reg
471 // will be set to one.
472wire imu_logged_error_status_reg_eq_over_p_hw_read; // This signal provides the
473 // current value of
474 // imu_logged_error_status_reg_eq_over_p.
475wire imu_logged_error_status_reg_eq_not_en_p_hw_set; // Hardware set signal for
476 // imu_logged_error_status_reg_eq_not_en_p.
477 // When set
478 // imu_logged_error_status_reg
479 // will be set to one.
480wire imu_logged_error_status_reg_eq_not_en_p_hw_read; // This signal provides
481 // the current value of
482 // imu_logged_error_status_reg_eq_not_en_p.
483wire imu_logged_error_status_reg_msi_mal_err_p_hw_set; // Hardware set signal
484 // for
485 // imu_logged_error_status_reg_msi_mal_err_p.
486 // When set
487 // imu_logged_error_status_reg
488 // will be set to one.
489wire imu_logged_error_status_reg_msi_mal_err_p_hw_read; // This signal provides
490 // the current value of
491 // imu_logged_error_status_reg_msi_mal_err_p.
492wire imu_logged_error_status_reg_msi_par_err_p_hw_set; // Hardware set signal
493 // for
494 // imu_logged_error_status_reg_msi_par_err_p.
495 // When set
496 // imu_logged_error_status_reg
497 // will be set to one.
498wire imu_logged_error_status_reg_msi_par_err_p_hw_read; // This signal provides
499 // the current value of
500 // imu_logged_error_status_reg_msi_par_err_p.
501wire imu_logged_error_status_reg_pmeack_mes_not_en_p_hw_set; // Hardware set
502 // signal for
503 // imu_logged_error_status_reg_pmeack_mes_not_en_p.
504 // When set
505 // imu_logged_error_status_reg
506 // will be set to
507 // one.
508wire imu_logged_error_status_reg_pmeack_mes_not_en_p_hw_read; // This signal
509 // provides the
510 // current value
511 // of
512 // imu_logged_error_status_reg_pmeack_mes_not_en_p.
513wire imu_logged_error_status_reg_pmpme_mes_not_en_p_hw_set; // Hardware set
514 // signal for
515 // imu_logged_error_status_reg_pmpme_mes_not_en_p.
516 // When set
517 // imu_logged_error_status_reg
518 // will be set to
519 // one.
520wire imu_logged_error_status_reg_pmpme_mes_not_en_p_hw_read; // This signal
521 // provides the
522 // current value
523 // of
524 // imu_logged_error_status_reg_pmpme_mes_not_en_p.
525wire imu_logged_error_status_reg_fatal_mes_not_en_p_hw_set; // Hardware set
526 // signal for
527 // imu_logged_error_status_reg_fatal_mes_not_en_p.
528 // When set
529 // imu_logged_error_status_reg
530 // will be set to
531 // one.
532wire imu_logged_error_status_reg_fatal_mes_not_en_p_hw_read; // This signal
533 // provides the
534 // current value
535 // of
536 // imu_logged_error_status_reg_fatal_mes_not_en_p.
537wire imu_logged_error_status_reg_nonfatal_mes_not_en_p_hw_set; // Hardware set
538 // signal for
539 // imu_logged_error_status_reg_nonfatal_mes_not_en_p.
540 // When set
541 // imu_logged_error_status_reg
542 // will be set
543 // to one.
544wire imu_logged_error_status_reg_nonfatal_mes_not_en_p_hw_read; // This signal
545 // provides the
546 // current
547 // value of
548 // imu_logged_error_status_reg_nonfatal_mes_not_en_p.
549wire imu_logged_error_status_reg_cor_mes_not_en_p_hw_set; // Hardware set
550 // signal for
551 // imu_logged_error_status_reg_cor_mes_not_en_p.
552 // When set
553 // imu_logged_error_status_reg
554 // will be set to
555 // one.
556wire imu_logged_error_status_reg_cor_mes_not_en_p_hw_read; // This signal
557 // provides the
558 // current value of
559 // imu_logged_error_status_reg_cor_mes_not_en_p.
560wire imu_logged_error_status_reg_msi_not_en_p_hw_set; // Hardware set signal
561 // for
562 // imu_logged_error_status_reg_msi_not_en_p.
563 // When set
564 // imu_logged_error_status_reg
565 // will be set to one.
566wire imu_logged_error_status_reg_msi_not_en_p_hw_read; // This signal provides
567 // the current value of
568 // imu_logged_error_status_reg_msi_not_en_p.
569
570//====================================================================
571// Logic
572//====================================================================
573
574// synopsys translate_off
575// verilint 123 off
576// verilint 498 off
577reg omni_ld;
578reg [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_WIDTH-1:0] omni_data;
579reg omni_rw1c_alias;
580reg omni_rw1s_alias;
581
582// vlint flag_unsynthesizable_initial off
583initial
584 begin
585 omni_ld = 1'b0;
586 omni_data = `FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_WIDTH'b0;
587 omni_rw1c_alias = 1'b0;
588 omni_rw1s_alias = 1'b0;
589 end// vlint flag_unsynthesizable_initial on
590
591// verilint 123 on
592// verilint 498 on
593// synopsys translate_on
594
595//----- Hardware Data Out Mux Assignments
596assign imu_logged_error_status_reg_spare_s_hw_read=
597 imu_logged_error_status_reg_csrbus_read_data
598 [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_SPARE_S_SLC];
599assign imu_logged_error_status_reg_eq_over_s_hw_read=
600 imu_logged_error_status_reg_csrbus_read_data [41];
601assign imu_logged_error_status_reg_eq_not_en_s_hw_read=
602 imu_logged_error_status_reg_csrbus_read_data [40];
603assign imu_logged_error_status_reg_msi_mal_err_s_hw_read=
604 imu_logged_error_status_reg_csrbus_read_data [39];
605assign imu_logged_error_status_reg_msi_par_err_s_hw_read=
606 imu_logged_error_status_reg_csrbus_read_data [38];
607assign imu_logged_error_status_reg_pmeack_mes_not_en_s_hw_read=
608 imu_logged_error_status_reg_csrbus_read_data [37];
609assign imu_logged_error_status_reg_pmpme_mes_not_en_s_hw_read=
610 imu_logged_error_status_reg_csrbus_read_data [36];
611assign imu_logged_error_status_reg_fatal_mes_not_en_s_hw_read=
612 imu_logged_error_status_reg_csrbus_read_data [35];
613assign imu_logged_error_status_reg_nonfatal_mes_not_en_s_hw_read=
614 imu_logged_error_status_reg_csrbus_read_data [34];
615assign imu_logged_error_status_reg_cor_mes_not_en_s_hw_read=
616 imu_logged_error_status_reg_csrbus_read_data [33];
617assign imu_logged_error_status_reg_msi_not_en_s_hw_read=
618 imu_logged_error_status_reg_csrbus_read_data [32];
619assign imu_logged_error_status_reg_spare_p_hw_read=
620 imu_logged_error_status_reg_csrbus_read_data
621 [`FIRE_DLC_IMU_ICS_CSR_IMU_LOGGED_ERROR_STATUS_REG_RW1C_ALIAS_SPARE_P_SLC];
622assign imu_logged_error_status_reg_eq_over_p_hw_read=
623 imu_logged_error_status_reg_csrbus_read_data [9];
624assign imu_logged_error_status_reg_eq_not_en_p_hw_read=
625 imu_logged_error_status_reg_csrbus_read_data [8];
626assign imu_logged_error_status_reg_msi_mal_err_p_hw_read=
627 imu_logged_error_status_reg_csrbus_read_data [7];
628assign imu_logged_error_status_reg_msi_par_err_p_hw_read=
629 imu_logged_error_status_reg_csrbus_read_data [6];
630assign imu_logged_error_status_reg_pmeack_mes_not_en_p_hw_read=
631 imu_logged_error_status_reg_csrbus_read_data [5];
632assign imu_logged_error_status_reg_pmpme_mes_not_en_p_hw_read=
633 imu_logged_error_status_reg_csrbus_read_data [4];
634assign imu_logged_error_status_reg_fatal_mes_not_en_p_hw_read=
635 imu_logged_error_status_reg_csrbus_read_data [3];
636assign imu_logged_error_status_reg_nonfatal_mes_not_en_p_hw_read=
637 imu_logged_error_status_reg_csrbus_read_data [2];
638assign imu_logged_error_status_reg_cor_mes_not_en_p_hw_read=
639 imu_logged_error_status_reg_csrbus_read_data [1];
640assign imu_logged_error_status_reg_msi_not_en_p_hw_read=
641 imu_logged_error_status_reg_csrbus_read_data [0];
642
643//====================================================================
644// Instantiation of entries
645//====================================================================
646
647//----- Entry 0
648dmu_imu_ics_csr_imu_logged_error_status_reg_entry imu_logged_error_status_reg_0
649 (
650 // synopsys translate_off
651 .omni_ld (omni_ld),
652 .omni_data (omni_data),
653 .omni_rw1c_alias (omni_rw1c_alias),
654 .omni_rw1s_alias (omni_rw1s_alias),
655 // synopsys translate_on
656 .clk (clk),
657 .por_l (por_l),
658 .w_ld (imu_logged_error_status_reg_w_ld),
659 .csrbus_wr_data (csrbus_wr_data),
660 .rw1c_alias (rw1c_alias),
661 .rw1s_alias (rw1s_alias),
662 .imu_logged_error_status_reg_csrbus_read_data (imu_logged_error_status_reg_csrbus_read_data),
663 .imu_logged_error_status_reg_spare_s_hw_set (imu_logged_error_status_reg_spare_s_hw_set),
664 .imu_logged_error_status_reg_eq_over_s_hw_set (imu_logged_error_status_reg_eq_over_s_hw_set),
665 .imu_logged_error_status_reg_eq_not_en_s_hw_set (imu_logged_error_status_reg_eq_not_en_s_hw_set),
666 .imu_logged_error_status_reg_msi_mal_err_s_hw_set (imu_logged_error_status_reg_msi_mal_err_s_hw_set),
667 .imu_logged_error_status_reg_msi_par_err_s_hw_set (imu_logged_error_status_reg_msi_par_err_s_hw_set),
668 .imu_logged_error_status_reg_pmeack_mes_not_en_s_hw_set (imu_logged_error_status_reg_pmeack_mes_not_en_s_hw_set),
669 .imu_logged_error_status_reg_pmpme_mes_not_en_s_hw_set (imu_logged_error_status_reg_pmpme_mes_not_en_s_hw_set),
670 .imu_logged_error_status_reg_fatal_mes_not_en_s_hw_set (imu_logged_error_status_reg_fatal_mes_not_en_s_hw_set),
671 .imu_logged_error_status_reg_nonfatal_mes_not_en_s_hw_set (imu_logged_error_status_reg_nonfatal_mes_not_en_s_hw_set),
672 .imu_logged_error_status_reg_cor_mes_not_en_s_hw_set (imu_logged_error_status_reg_cor_mes_not_en_s_hw_set),
673 .imu_logged_error_status_reg_msi_not_en_s_hw_set (imu_logged_error_status_reg_msi_not_en_s_hw_set),
674 .imu_logged_error_status_reg_spare_p_hw_set (imu_logged_error_status_reg_spare_p_hw_set),
675 .imu_logged_error_status_reg_eq_over_p_hw_set (imu_logged_error_status_reg_eq_over_p_hw_set),
676 .imu_logged_error_status_reg_eq_not_en_p_hw_set (imu_logged_error_status_reg_eq_not_en_p_hw_set),
677 .imu_logged_error_status_reg_msi_mal_err_p_hw_set (imu_logged_error_status_reg_msi_mal_err_p_hw_set),
678 .imu_logged_error_status_reg_msi_par_err_p_hw_set (imu_logged_error_status_reg_msi_par_err_p_hw_set),
679 .imu_logged_error_status_reg_pmeack_mes_not_en_p_hw_set (imu_logged_error_status_reg_pmeack_mes_not_en_p_hw_set),
680 .imu_logged_error_status_reg_pmpme_mes_not_en_p_hw_set (imu_logged_error_status_reg_pmpme_mes_not_en_p_hw_set),
681 .imu_logged_error_status_reg_fatal_mes_not_en_p_hw_set (imu_logged_error_status_reg_fatal_mes_not_en_p_hw_set),
682 .imu_logged_error_status_reg_nonfatal_mes_not_en_p_hw_set (imu_logged_error_status_reg_nonfatal_mes_not_en_p_hw_set),
683 .imu_logged_error_status_reg_cor_mes_not_en_p_hw_set (imu_logged_error_status_reg_cor_mes_not_en_p_hw_set),
684 .imu_logged_error_status_reg_msi_not_en_p_hw_set (imu_logged_error_status_reg_msi_not_en_p_hw_set)
685 );
686
687endmodule // dmu_imu_ics_csr_imu_logged_error_status_reg