Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / dmu / rtl / dmu_mmu_csr_prf0.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: dmu_mmu_csr_prf0.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module dmu_mmu_csr_prf0
36 (
37 clk,
38 rst_l,
39 prf0_w_ld,
40 csrbus_wr_data,
41 prf0_csrbus_read_data,
42 prf0_cnt_hw_write,
43 prf0_cnt_hw_read
44 );
45
46//====================================================================
47// Polarity declarations
48//====================================================================
49input clk; // Clock
50input rst_l; // Reset signal
51input prf0_w_ld; // SW load bus
52input [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
53output [`FIRE_DLC_MMU_CSR_PRF0_WIDTH-1:0] prf0_csrbus_read_data; // SW read
54 // data
55input [`FIRE_DLC_MMU_CSR_PRF0_CNT_INT_SLC] prf0_cnt_hw_write; // data bus for
56 // hw loading of
57 // prf0_cnt.
58output [`FIRE_DLC_MMU_CSR_PRF0_CNT_INT_SLC] prf0_cnt_hw_read; // This signal
59 // provides the
60 // current value
61 // of prf0_cnt.
62
63//====================================================================
64// Type declarations
65//====================================================================
66wire clk; // Clock
67wire rst_l; // Reset signal
68wire prf0_w_ld; // SW load bus
69wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
70wire [`FIRE_DLC_MMU_CSR_PRF0_WIDTH-1:0] prf0_csrbus_read_data; // SW read data
71wire [`FIRE_DLC_MMU_CSR_PRF0_CNT_INT_SLC] prf0_cnt_hw_write; // data bus for hw
72 // loading of
73 // prf0_cnt.
74wire [`FIRE_DLC_MMU_CSR_PRF0_CNT_INT_SLC] prf0_cnt_hw_read; // This signal
75 // provides the
76 // current value of
77 // prf0_cnt.
78
79//====================================================================
80// Logic
81//====================================================================
82
83// synopsys translate_off
84// verilint 123 off
85// verilint 498 off
86reg omni_ld;
87reg [`FIRE_DLC_MMU_CSR_PRF0_WIDTH-1:0] omni_data;
88
89// vlint flag_unsynthesizable_initial off
90initial
91 begin
92 omni_ld = 1'b0;
93 omni_data = `FIRE_DLC_MMU_CSR_PRF0_WIDTH'b0;
94 end// vlint flag_unsynthesizable_initial on
95
96// verilint 123 on
97// verilint 498 on
98// synopsys translate_on
99
100//----- Hardware Data Out Mux Assignments
101assign prf0_cnt_hw_read=
102 prf0_csrbus_read_data
103 [`FIRE_DLC_MMU_CSR_PRF0_CNT_SLC];
104
105//====================================================================
106// Instantiation of entries
107//====================================================================
108
109//----- Entry 0
110dmu_mmu_csr_prf0_entry prf0_0
111 (
112 // synopsys translate_off
113 .omni_ld (omni_ld),
114 .omni_data (omni_data),
115 // synopsys translate_on
116 .clk (clk),
117 .rst_l (rst_l),
118 .w_ld (prf0_w_ld),
119 .csrbus_wr_data (csrbus_wr_data),
120 .prf0_csrbus_read_data (prf0_csrbus_read_data),
121 .prf0_cnt_hw_write (prf0_cnt_hw_write)
122 );
123
124endmodule // dmu_mmu_csr_prf0