Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / dmu / rtl / dmu_mmu_csr_prfc.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: dmu_mmu_csr_prfc.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module dmu_mmu_csr_prfc
36 (
37 clk,
38 rst_l,
39 prfc_w_ld,
40 csrbus_wr_data,
41 prfc_csrbus_read_data,
42 prfc_sel1_hw_read,
43 prfc_sel0_hw_read
44 );
45
46//====================================================================
47// Polarity declarations
48//====================================================================
49input clk; // Clock
50input rst_l; // Reset signal
51input prfc_w_ld; // SW load bus
52input [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
53output [`FIRE_DLC_MMU_CSR_PRFC_WIDTH-1:0] prfc_csrbus_read_data; // SW read
54 // data
55output [`FIRE_DLC_MMU_CSR_PRFC_SEL1_INT_SLC] prfc_sel1_hw_read; // This signal
56 // provides the
57 // current
58 // value of
59 // prfc_sel1.
60output [`FIRE_DLC_MMU_CSR_PRFC_SEL0_INT_SLC] prfc_sel0_hw_read; // This signal
61 // provides the
62 // current
63 // value of
64 // prfc_sel0.
65
66//====================================================================
67// Type declarations
68//====================================================================
69wire clk; // Clock
70wire rst_l; // Reset signal
71wire prfc_w_ld; // SW load bus
72wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
73wire [`FIRE_DLC_MMU_CSR_PRFC_WIDTH-1:0] prfc_csrbus_read_data; // SW read data
74wire [`FIRE_DLC_MMU_CSR_PRFC_SEL1_INT_SLC] prfc_sel1_hw_read; // This signal
75 // provides the
76 // current value
77 // of prfc_sel1.
78wire [`FIRE_DLC_MMU_CSR_PRFC_SEL0_INT_SLC] prfc_sel0_hw_read; // This signal
79 // provides the
80 // current value
81 // of prfc_sel0.
82
83//====================================================================
84// Logic
85//====================================================================
86
87// synopsys translate_off
88// verilint 123 off
89// verilint 498 off
90reg omni_ld;
91reg [`FIRE_DLC_MMU_CSR_PRFC_WIDTH-1:0] omni_data;
92
93// vlint flag_unsynthesizable_initial off
94initial
95 begin
96 omni_ld = 1'b0;
97 omni_data = `FIRE_DLC_MMU_CSR_PRFC_WIDTH'b0;
98 end// vlint flag_unsynthesizable_initial on
99
100// verilint 123 on
101// verilint 498 on
102// synopsys translate_on
103
104//----- Hardware Data Out Mux Assignments
105assign prfc_sel1_hw_read=
106 prfc_csrbus_read_data
107 [`FIRE_DLC_MMU_CSR_PRFC_SEL1_SLC];
108assign prfc_sel0_hw_read=
109 prfc_csrbus_read_data
110 [`FIRE_DLC_MMU_CSR_PRFC_SEL0_SLC];
111
112//====================================================================
113// Instantiation of entries
114//====================================================================
115
116//----- Entry 0
117dmu_mmu_csr_prfc_entry prfc_0
118 (
119 // synopsys translate_off
120 .omni_ld (omni_ld),
121 .omni_data (omni_data),
122 // synopsys translate_on
123 .clk (clk),
124 .rst_l (rst_l),
125 .w_ld (prfc_w_ld),
126 .csrbus_wr_data (csrbus_wr_data),
127 .prfc_csrbus_read_data (prfc_csrbus_read_data)
128 );
129
130endmodule // dmu_mmu_csr_prfc