Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / l2b / rtl / l2b.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: l2b.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module l2b (
36 l2t_l2b_fbrd_en_c3,
37 l2t_l2b_fbrd_wl_c3,
38 l2t_l2b_fbwr_wen_r2,
39 l2t_l2b_fbwr_wl_r2,
40 l2t_l2b_fbd_stdatasel_c3,
41 l2t_l2b_stdecc_c2,
42 l2t_l2b_evict_en_r0,
43 l2t_l2b_wbwr_wen_c6,
44 l2t_l2b_wbwr_wl_c6,
45 l2t_l2b_wbrd_en_r0,
46 l2t_l2b_wbrd_wl_r0,
47 l2t_l2b_ev_dword_r0,
48 l2t_l2b_rdma_wren_s2,
49 l2t_l2b_rdma_wrwl_s2,
50 l2t_l2b_rdma_rden_r0,
51 l2t_l2b_rdma_rdwl_r0,
52 l2t_l2b_ctag_en_c7,
53 l2t_l2b_ctag_c7,
54 l2t_l2b_req_en_c7,
55 l2t_l2b_word_c7,
56 l2t_l2b_word_vld_c7,
57 sii_l2t_req,
58 sii_l2b_ecc,
59 l2b_sio_ctag_vld,
60 l2b_sio_data,
61 l2b_sio_ue_err,
62 l2b_l2t_rdma_uerr_c10,
63 l2b_l2t_rdma_cerr_c10,
64 l2b_l2t_rdma_notdata_c10,
65 l2b_l2t_ev_uerr_r5,
66 l2b_l2t_ev_cerr_r5,
67 l2b_sio_parity,
68 l2d_l2b_decc_out_c7,
69 l2b_l2d_fbdecc_c4,
70 mcu_l2b_data_r2,
71 mcu_l2b_ecc_r2,
72 select_delay_mcu,
73 l2b_evict_l2b_mcu_data_mecc_r5,
74 evict_l2b_mcu_wr_data_r5,
75 evict_l2b_mcu_data_vld_r5,
76 gclk,
77 rst_por_,
78 rst_wmr_,
79 rst_wmr_protect,
80 scan_in,
81 tcu_pce_ov,
82 tcu_clk_stop,
83 tcu_aclk,
84 tcu_bclk,
85 tcu_scan_en,
86 tcu_muxtest,
87 tcu_dectest,
88 ccu_slow_cmp_sync_en,
89 ccu_cmp_slow_sync_en,
90 tcu_se_scancollar_in,
91 tcu_se_scancollar_out,
92 tcu_array_wr_inhibit,
93 tcu_atpg_mode,
94 tcu_array_bypass,
95 scan_out,
96 cluster_arst_l,
97 tcu_mbist_bisi_en,
98 tcu_l2b_mbist_start,
99 l2b_tcu_mbist_done,
100 l2b_tcu_mbist_fail,
101 tcu_l2b_mbist_scan_in,
102 tcu_mbist_user_mode,
103 l2b_tcu_mbist_scan_out,
104 l2b_dbg_sio_ctag_vld,
105 l2b_dbg_sio_ack_type,
106 l2b_dbg_sio_ack_dest,
107 l2b_l2d_rvalue,
108 l2b_l2d_rid,
109 l2b_l2d_wr_en,
110 l2b_l2d_fuse_clr,
111 l2d_l2b_fuse_read_data,
112 efu_l2b_fuse_data,
113 efu_l2b_fuse_xfer_en,
114 efu_l2b_fuse_clr,
115 l2b_efu_fuse_xfer_en,
116 l2b_efu_fuse_data);
117wire tcu_se_scancollar_out_unused;
118wire tcu_array_bypass_unused;
119wire [3:1] l2t_l2b_wbwr_wen_c6_unused;
120wire array_wr_inhibit;
121wire aclk;
122wire bclk;
123wire clock_header_scanout;
124wire pce_ov;
125wire wmr_protect_unused;
126wire wmr_l;
127wire por_l_unused;
128wire cmp_io_sync_en;
129wire io_cmp_sync_en;
130wire unused;
131wire clock_header_scanin;
132wire mbist_run;
133wire [2:0] mbist_addr;
134wire mbist_wb_array_wr_en;
135wire mbist_wb_array_rd_en;
136wire mbist_rdma_array_wr_en;
137wire mbist_rdma_array_rd_en;
138wire mbist_fb_array_wr_en;
139wire mbist_fb_array_rd_en;
140wire mbist_sel_wb_arrays;
141wire [4:0] mbist_evict_muxsel;
142wire [15:0] fbuf_mux_sel;
143wire [2:0] mbist_compare_read_sel_unused;
144wire rdma_mbist_enable;
145wire [7:0] rdma_mbist_data_in;
146wire fb_mbist_enable;
147wire [7:0] fb_mbist_data_in;
148wire wb_mbist_enable;
149wire [7:0] wb_mbist_data_in;
150wire wb_or_rdma_rw_fail;
151wire fb_rw_fail;
152wire fbd_scanin;
153wire fbd_scanout;
154wire [159:0] fb_array4_dout;
155wire [159:0] fb_array3_dout;
156wire [159:0] fb_array2_dout;
157wire [159:0] fb_array1_dout;
158wire fillbf_l2t_l2b_fbwr_wren_r3_v4;
159wire fillbf_l2t_l2b_fbwr_wren_r3_v3;
160wire fillbf_l2t_l2b_fbwr_wren_r3_v2;
161wire fillbf_l2t_l2b_fbwr_wren_r3_v1;
162wire [159:0] fb_array4_din;
163wire fb_array4_scanin;
164wire fb_array4_scanout;
165wire [159:0] fb_array3_din;
166wire fb_array3_scanin;
167wire fb_array3_scanout;
168wire [159:0] fb_array2_din;
169wire fb_array2_scanin;
170wire fb_array2_scanout;
171wire [159:0] fb_array1_din;
172wire fb_array1_scanin;
173wire fb_array1_scanout;
174wire rdmard_scanin;
175wire rdmard_scanout;
176wire [159:0] wb_array4_dout;
177wire [159:0] wb_array4_din;
178wire evict_l2t_l2b_wbrd_en_r1_v4;
179wire wb_array4_scanin;
180wire wb_array4_scanout;
181wire [159:0] wb_array3_dout;
182wire [159:0] wb_array3_din;
183wire evict_l2t_l2b_wbrd_en_r1_v3;
184wire wb_array3_scanin;
185wire wb_array3_scanout;
186wire [159:0] wb_array2_dout;
187wire [159:0] wb_array2_din;
188wire evict_l2t_l2b_wbrd_en_r1_v2;
189wire wb_array2_scanin;
190wire wb_array2_scanout;
191wire [159:0] wb_array1_dout;
192wire [159:0] wb_array1_din;
193wire evict_l2t_l2b_wbrd_en_r1_v1;
194wire wb_array1_scanin;
195wire wb_array1_scanout;
196wire evict_l2t_l2b_rdma_rden_r1_v1;
197wire evict_l2t_l2b_rdma_rden_r1_v2;
198wire evict_l2t_l2b_rdma_rden_r1_v3;
199wire evict_l2t_l2b_rdma_rden_r1_v4;
200wire evict_l2t_l2b_rdma_wren_s3_v4;
201wire evict_l2t_l2b_rdma_wren_s3_v3;
202wire evict_l2t_l2b_rdma_wren_s3_v2;
203wire evict_l2t_l2b_rdma_wren_s3_v1;
204wire evict_scanin;
205wire evict_scanout;
206wire siu_interface_scanin;
207wire siu_interface_scanout;
208wire [159:0] rdma_array4_dout;
209wire [159:0] rdma_array4_din;
210wire rdma_array4_scanin;
211wire rdma_array4_scanout;
212wire [159:0] rdma_array3_dout;
213wire [159:0] rdma_array3_din;
214wire rdma_array3_scanin;
215wire rdma_array3_scanout;
216wire [159:0] rdma_array2_dout;
217wire [159:0] rdma_array2_din;
218wire rdma_array2_scanin;
219wire rdma_array2_scanout;
220wire [159:0] rdma_array1_dout;
221wire [159:0] rdma_array1_din;
222wire rdma_array1_scanin;
223wire rdma_array1_scanout;
224wire l2d_sram_hdr_scanin;
225wire l2d_sram_hdr_scanout;
226
227
228//////////////////////////////////////////////////////////////////////////////
229// Interface with l2t
230//////////////////////////////////////////////////////////////////////////////
231
232input l2t_l2b_fbrd_en_c3; // PINDEF:RIGHT // rd en for a fill operation or fb bypass
233input [2:0] l2t_l2b_fbrd_wl_c3 ; // PINDEF:RIGHT // read entry
234input [15:0] l2t_l2b_fbwr_wen_r2 ; // PINDEF:RIGHT // mcu Fill or store in OFF mode.
235input [2:0] l2t_l2b_fbwr_wl_r2 ; // PINDEF:RIGHT // mcu Fill entry.
236input l2t_l2b_fbd_stdatasel_c3; // PINDEF:RIGHT // select store data in OFF mode
237input [77:0] l2t_l2b_stdecc_c2; // PINDEF:BOT // store data goes to l2b and l2d
238input l2t_l2b_evict_en_r0; // PINDEF:RIGHT
239input [3:0] l2t_l2b_wbwr_wen_c6; // PINDEF:RIGHT // write en
240input [2:0] l2t_l2b_wbwr_wl_c6; // PINDEF:RIGHT // from wbctl
241input l2t_l2b_wbrd_en_r0; // PINDEF:RIGHT // triggerred by a wr_ack from mcu
242input [2:0] l2t_l2b_wbrd_wl_r0; // PINDEF:RIGHT
243input [2:0] l2t_l2b_ev_dword_r0; // PINDEF:RIGHT
244input [15:0] l2t_l2b_rdma_wren_s2; // PINDEF:RIGHT
245input [ 1:0] l2t_l2b_rdma_wrwl_s2; // PINDEF:RIGHT
246input l2t_l2b_rdma_rden_r0; // PINDEF:RIGHT
247input [ 1:0] l2t_l2b_rdma_rdwl_r0; // PINDEF:RIGHT
248input l2t_l2b_ctag_en_c7; // PINDEF:RIGHT
249input [31:0] l2t_l2b_ctag_c7; // PINDEF:BOT //Ctag<23:0>= {Ordered,PES bits,read bit, tag[15:0]} Phase 2 : SIU inteface and packet format change 2/7/04
250input l2t_l2b_req_en_c7; // PINDEF:RIGHT
251input [ 3:0] l2t_l2b_word_c7; // PINDEF:RIGHT
252input l2t_l2b_word_vld_c7; // PINDEF:RIGHT
253
254input [31:0] sii_l2t_req; // PINDEF:BOT
255input [ 6:0] sii_l2b_ecc; // PINDEF:BOT
256
257output l2b_sio_ctag_vld; // PINDEF:RIGHT
258output [31:0] l2b_sio_data; // PINDEF:BOT
259output l2b_sio_ue_err; // PINDEF:RIGHT
260output l2b_l2t_rdma_uerr_c10; // PINDEF:RIGHT
261output l2b_l2t_rdma_cerr_c10; // PINDEF:RIGHT
262output l2b_l2t_rdma_notdata_c10; // PINDEF:RIGHT
263output l2b_l2t_ev_uerr_r5; // PINDEF:RIGHT
264output l2b_l2t_ev_cerr_r5; // PINDEF:RIGHT
265
266// Phase 2 : SIU interface changes : 2/5/04
267output [1:0] l2b_sio_parity; // PINDEF:RIGHT
268
269//////////////////////////////////////////////////////////////////////////////
270// Interface with l2d
271//////////////////////////////////////////////////////////////////////////////
272input [623:0] l2d_l2b_decc_out_c7; // PINDEF:TOP
273output [623:0] l2b_l2d_fbdecc_c4; // PINDEF:TOP
274//////////////////////////////////////////////////////////////////////////////
275// Interface with the DRAM
276//////////////////////////////////////////////////////////////////////////////
277
278input [127:0] mcu_l2b_data_r2; // PINDEF:BOT
279input [27:0] mcu_l2b_ecc_r2; // PINDEF:BOT
280input select_delay_mcu;
281
282output l2b_evict_l2b_mcu_data_mecc_r5; // PINDEF:BOT
283output [63:0] evict_l2b_mcu_wr_data_r5; // PINDEF:BOT
284output evict_l2b_mcu_data_vld_r5; // PINDEF:BOT
285//////////////////////////////////////////////////////////////////////////////
286// Global Signals
287//////////////////////////////////////////////////////////////////////////////
288
289input gclk;
290input rst_por_;
291input rst_wmr_;
292input rst_wmr_protect;
293input scan_in;
294input tcu_pce_ov;
295input tcu_clk_stop;
296input tcu_aclk;
297input tcu_bclk;
298input tcu_scan_en;
299input tcu_muxtest;
300input tcu_dectest;
301input ccu_slow_cmp_sync_en;
302input ccu_cmp_slow_sync_en;
303
304
305input tcu_se_scancollar_in;
306input tcu_se_scancollar_out;
307input tcu_array_wr_inhibit;
308input tcu_atpg_mode;
309input tcu_array_bypass;
310
311output scan_out;
312input cluster_arst_l;
313
314// Mbist pins
315input tcu_mbist_bisi_en;
316input tcu_l2b_mbist_start;
317output l2b_tcu_mbist_done;
318output l2b_tcu_mbist_fail;
319input tcu_l2b_mbist_scan_in;
320input tcu_mbist_user_mode;
321output l2b_tcu_mbist_scan_out;
322
323// Debug ports
324output l2b_dbg_sio_ctag_vld;
325output l2b_dbg_sio_ack_type;
326output l2b_dbg_sio_ack_dest;
327//////////////////////////////////////////////////////////////////////////////
328// Efuse related ports
329//////////////////////////////////////////////////////////////////////////////
330// to l2d fuse related ports
331output [9:0] l2b_l2d_rvalue;
332output [6:0] l2b_l2d_rid;
333output l2b_l2d_wr_en;
334output l2b_l2d_fuse_clr;
335
336// from l2d fuse related ports
337input [9:0] l2d_l2b_fuse_read_data;
338
339// efu to l2b
340input efu_l2b_fuse_data;
341input efu_l2b_fuse_xfer_en;
342input efu_l2b_fuse_clr;
343
344
345// l2b to efu
346output l2b_efu_fuse_xfer_en;
347output l2b_efu_fuse_data;
348
349//////////////////////////////////////////////////////////////////////////////
350
351wire l2clk;
352
353wire fillbf_l2t_l2b_fbrd_en_c3_v1;
354wire fillbf_l2t_l2b_fbrd_en_c3_v2;
355wire fillbf_l2t_l2b_fbrd_en_c3_v3;
356wire fillbf_l2t_l2b_fbrd_en_c3_v4;
357wire [ 2:0] fillbf_l2t_l2b_fbrd_wl_c3_v1;
358wire [ 2:0] fillbf_l2t_l2b_fbrd_wl_c3_v2;
359wire [ 2:0] fillbf_l2t_l2b_fbrd_wl_c3_v3;
360wire [ 2:0] fillbf_l2t_l2b_fbrd_wl_c3_v4;
361wire [ 15:0] fillbf_l2t_l2b_fbwr_wen_r3;
362wire [ 2:0] fillbf_l2t_l2b_fbwr_wl_r3_v1;
363wire [ 2:0] fillbf_l2t_l2b_fbwr_wl_r3_v2;
364wire [ 2:0] fillbf_l2t_l2b_fbwr_wl_r3_v3;
365wire [ 2:0] fillbf_l2t_l2b_fbwr_wl_r3_v4;
366wire [623:0] fillbf_fb_array_din;
367//wire [623:0] l2b_l2d_fbdecc_c4;
368
369wire [ 2:0] evict_l2t_l2b_wbrd_wl_r1_v1;
370wire [ 2:0] evict_l2t_l2b_wbrd_wl_r1_v2;
371wire [ 2:0] evict_l2t_l2b_wbrd_wl_r1_v3;
372wire [ 2:0] evict_l2t_l2b_wbrd_wl_r1_v4;
373wire evict_l2t_l2b_wbwr_wen_c8_v1;
374wire evict_l2t_l2b_wbwr_wen_c8_v2;
375wire evict_l2t_l2b_wbwr_wen_c8_v3;
376wire evict_l2t_l2b_wbwr_wen_c8_v4;
377wire [ 2:0] evict_l2t_l2b_wbwr_wl_c8_v1;
378wire [ 2:0] evict_l2t_l2b_wbwr_wl_c8_v2;
379wire [ 2:0] evict_l2t_l2b_wbwr_wl_c8_v3;
380wire [ 2:0] evict_l2t_l2b_wbwr_wl_c8_v4;
381//wire [623:0] l2d_l2b_decc_out_c7;
382wire [623:0] wb_array_dout;
383
384wire [ 15:0] evict_l2t_l2b_rdma_wren_s3;
385wire [ 1:0] evict_l2t_l2b_rdma_wrwl_s3_v1;
386wire [ 1:0] evict_l2t_l2b_rdma_wrwl_s3_v2;
387wire [ 1:0] evict_l2t_l2b_rdma_wrwl_s3_v3;
388wire [ 1:0] evict_l2t_l2b_rdma_wrwl_s3_v4;
389wire [ 1:0] evict_l2t_l2b_rdma_rdwl_r1_v1;
390wire [ 1:0] evict_l2t_l2b_rdma_rdwl_r1_v2;
391wire [ 1:0] evict_l2t_l2b_rdma_rdwl_r1_v3;
392wire [ 1:0] evict_l2t_l2b_rdma_rdwl_r1_v4;
393wire [623:0] evict_rdma_array_din;
394wire [623:0] rdma_array_dout;
395
396
397wire [15:0] l2b_l2d_fbdecc_c4_unused ;
398wire [15:0] wb_array_dout_unused ;
399wire [15:0] rdma_array_dout_unused ;
400
401wire [6:0] mb0_dcache_index_unused;
402wire [1:0] mb0_dcache_way_unused;
403wire [7:0] mb0_icache_index_unused;
404wire [1:0] mb0_icache_way_unused;
405wire [7:0] mb0_write_data_unused;
406
407
408// vlint cleanup effort
409assign tcu_se_scancollar_out_unused = tcu_se_scancollar_out;
410assign tcu_array_bypass_unused = tcu_array_bypass;
411assign l2t_l2b_wbwr_wen_c6_unused[3:1] = l2t_l2b_wbwr_wen_c6[3:1];
412
413
414////////////////////////////////////////////////////////////////////////////////
415
416
417clkgen_l2b_cmp clock_header
418 (
419 .tcu_wr_inhibit (tcu_array_wr_inhibit ),
420 .tcu_atpg_mode (tcu_atpg_mode ),
421 .array_wr_inhibit (array_wr_inhibit ),
422 .l2clk (l2clk ),
423 .aclk (aclk ),
424 .bclk (bclk ),
425 .scan_out (clock_header_scanout ),
426 .pce_ov (pce_ov ),
427 .wmr_protect (wmr_protect_unused ),
428 .wmr_ (wmr_l ),
429 .por_ (por_l_unused ),
430 .cmp_slow_sync_en (cmp_io_sync_en ),
431 .slow_cmp_sync_en (io_cmp_sync_en ),
432 .cluster_arst_l (cluster_arst_l ),
433 .aclk_wmr (unused ),
434 .tcu_clk_stop (tcu_clk_stop ),
435 .tcu_pce_ov (tcu_pce_ov ),
436 .rst_wmr_protect (rst_wmr_protect ),
437 .rst_wmr_ (rst_wmr_ ),
438 .rst_por_ (rst_por_ ),
439 .ccu_cmp_slow_sync_en (ccu_cmp_slow_sync_en ),
440 .ccu_slow_cmp_sync_en (ccu_slow_cmp_sync_en ),
441 .tcu_div_bypass (1'b0 ),
442 .ccu_div_ph (1'b1 ),
443 .cluster_div_en (1'b0 ),
444 .clk_ext (1'b0 ),
445 .ccu_serdes_dtm (1'b0 ),
446 .gclk (gclk ),
447 .tcu_aclk (tcu_aclk ),
448 .tcu_bclk (tcu_bclk ),
449 .scan_en (tcu_scan_en ),
450 .scan_in (clock_header_scanin )
451 );
452
453
454l2b_mb0_ctl mb0 (
455 .mbist_run (mbist_run),
456 .mbist_addr (mbist_addr[2:0]),
457 .mbist_wb_array_wr_en (mbist_wb_array_wr_en),
458 .mbist_wb_array_rd_en (mbist_wb_array_rd_en),
459 .mbist_rdma_array_wr_en (mbist_rdma_array_wr_en),
460 .mbist_rdma_array_rd_en (mbist_rdma_array_rd_en),
461 .mbist_fb_array_wr_en (mbist_fb_array_wr_en),
462 .mbist_fb_array_rd_en (mbist_fb_array_rd_en),
463 .mbist_sel_wb_arrays (mbist_sel_wb_arrays),
464 .mbist_cmpsel (mbist_evict_muxsel[4:0]),
465 .fb_mux_sel (fbuf_mux_sel[15:0]),
466 .mbist_done (l2b_tcu_mbist_done),
467 .mbist_fail (l2b_tcu_mbist_fail),
468 .mbist_compare_read_sel (mbist_compare_read_sel_unused[2:0]),
469 .scan_in(tcu_l2b_mbist_scan_in),
470 .scan_out(l2b_tcu_mbist_scan_out),
471 .l2clk (l2clk),
472 .tcu_pce_ov (tcu_pce_ov),
473 .tcu_clk_stop (1'b0),
474 .tcu_aclk (tcu_aclk),
475 .tcu_bclk (tcu_bclk),
476 .tcu_scan_en (tcu_scan_en),
477 .mbist_start (tcu_l2b_mbist_start),
478 .mbist_user_mode (tcu_mbist_user_mode),
479 .mbist_bisi_mode (tcu_mbist_bisi_en),
480 .rdma_mbist_enable (rdma_mbist_enable),
481 .rdma_mbist_data_in (rdma_mbist_data_in[7:0]),
482 .fb_mbist_enable (fb_mbist_enable),
483 .fb_mbist_data_in (fb_mbist_data_in[7:0]),
484 .wb_mbist_enable (wb_mbist_enable),
485 .wb_mbist_data_in (wb_mbist_data_in[7:0]),
486 .wb_or_rdma_rw_fail (wb_or_rdma_rw_fail),
487 .fb_rw_fail (fb_rw_fail)
488 );
489
490
491
492
493l2b_fillbf_dp fbd
494 (//Inputs
495 .scan_in(fbd_scanin),
496 .scan_out(fbd_scanout),
497 .l2clk (l2clk),
498 .tcu_aclk (aclk),
499 .tcu_bclk (bclk),
500 .tcu_scan_en (tcu_scan_en),
501 .tcu_pce_ov (tcu_pce_ov ),
502 .select_delay_mcu (select_delay_mcu ),
503 .tcu_clk_stop (1'b0),
504 .l2t_l2b_fbrd_en_c3 (l2t_l2b_fbrd_en_c3),
505 .l2t_l2b_fbrd_wl_c3 (l2t_l2b_fbrd_wl_c3[2:0]),
506 .l2t_l2b_fbwr_wen_r2 (l2t_l2b_fbwr_wen_r2[15:0]),
507 .l2t_l2b_fbwr_wl_r2 (l2t_l2b_fbwr_wl_r2[2:0]),
508 .l2t_l2b_fbd_stdatasel_c3 (l2t_l2b_fbd_stdatasel_c3),
509 .l2t_l2b_stdecc_c2 (l2t_l2b_stdecc_c2[77:0]),
510 .mcu_l2b_data_r2 (mcu_l2b_data_r2[127:0]),
511 .mcu_l2b_ecc_r2 (mcu_l2b_ecc_r2[27:0]),
512 .mbist_addr (mbist_addr[2:0] ),
513 .mbist_run (mbist_run ),
514 .mbist_fb_array_rd_en (mbist_fb_array_rd_en),
515 .fbuf_mux_sel (fbuf_mux_sel[15:0]),
516 // Outputs
517 .l2b_l2d_fbdecc_c4 ({fb_array4_dout[155:0],fb_array3_dout[155:0],
518 fb_array2_dout[155:0],fb_array1_dout[155:0]}),
519 .fb_mbist_data (fb_mbist_data_in[7:0]),
520 .fillbf_l2t_l2b_fbrd_en_c3_v1 (fillbf_l2t_l2b_fbrd_en_c3_v1),
521 .fillbf_l2t_l2b_fbrd_en_c3_v2 (fillbf_l2t_l2b_fbrd_en_c3_v2),
522 .fillbf_l2t_l2b_fbrd_en_c3_v3 (fillbf_l2t_l2b_fbrd_en_c3_v3),
523 .fillbf_l2t_l2b_fbrd_en_c3_v4 (fillbf_l2t_l2b_fbrd_en_c3_v4),
524 .fillbf_l2t_l2b_fbrd_wl_c3_v1 (fillbf_l2t_l2b_fbrd_wl_c3_v1[2:0]),
525 .fillbf_l2t_l2b_fbrd_wl_c3_v2 (fillbf_l2t_l2b_fbrd_wl_c3_v2[2:0]),
526 .fillbf_l2t_l2b_fbrd_wl_c3_v3 (fillbf_l2t_l2b_fbrd_wl_c3_v3[2:0]),
527 .fillbf_l2t_l2b_fbrd_wl_c3_v4 (fillbf_l2t_l2b_fbrd_wl_c3_v4[2:0]),
528 .fillbf_l2t_l2b_fbwr_wen_r3 (fillbf_l2t_l2b_fbwr_wen_r3[15:0]),
529 .fillbf_l2t_l2b_fbwr_wren_r3_v4 (fillbf_l2t_l2b_fbwr_wren_r3_v4),
530 .fillbf_l2t_l2b_fbwr_wren_r3_v3 (fillbf_l2t_l2b_fbwr_wren_r3_v3),
531 .fillbf_l2t_l2b_fbwr_wren_r3_v2 (fillbf_l2t_l2b_fbwr_wren_r3_v2),
532 .fillbf_l2t_l2b_fbwr_wren_r3_v1 (fillbf_l2t_l2b_fbwr_wren_r3_v1),
533 .fillbf_l2t_l2b_fbwr_wl_r3_v1 (fillbf_l2t_l2b_fbwr_wl_r3_v1[2:0]),
534 .fillbf_l2t_l2b_fbwr_wl_r3_v2 (fillbf_l2t_l2b_fbwr_wl_r3_v2[2:0]),
535 .fillbf_l2t_l2b_fbwr_wl_r3_v3 (fillbf_l2t_l2b_fbwr_wl_r3_v3[2:0]),
536 .fillbf_l2t_l2b_fbwr_wl_r3_v4 (fillbf_l2t_l2b_fbwr_wl_r3_v4[2:0]),
537 .fillbf_fb_array_din (fillbf_fb_array_din[623:0]),
538 .fb_rw_fail(fb_rw_fail),
539 .mbist_fb_array_wr_en(mbist_fb_array_wr_en)
540 );
541
542
543
544assign {l2b_l2d_fbdecc_c4_unused[15:12],
545 l2b_l2d_fbdecc_c4[351], l2b_l2d_fbdecc_c4[429], l2b_l2d_fbdecc_c4[507], l2b_l2d_fbdecc_c4[585],
546 l2b_l2d_fbdecc_c4[352], l2b_l2d_fbdecc_c4[430], l2b_l2d_fbdecc_c4[508], l2b_l2d_fbdecc_c4[586],
547 l2b_l2d_fbdecc_c4[353], l2b_l2d_fbdecc_c4[431], l2b_l2d_fbdecc_c4[509], l2b_l2d_fbdecc_c4[587],
548 l2b_l2d_fbdecc_c4[354], l2b_l2d_fbdecc_c4[432], l2b_l2d_fbdecc_c4[510], l2b_l2d_fbdecc_c4[588],
549 l2b_l2d_fbdecc_c4[355], l2b_l2d_fbdecc_c4[433], l2b_l2d_fbdecc_c4[511], l2b_l2d_fbdecc_c4[589],
550 l2b_l2d_fbdecc_c4[356], l2b_l2d_fbdecc_c4[434], l2b_l2d_fbdecc_c4[512], l2b_l2d_fbdecc_c4[590],
551 l2b_l2d_fbdecc_c4[357], l2b_l2d_fbdecc_c4[435], l2b_l2d_fbdecc_c4[513], l2b_l2d_fbdecc_c4[591],
552 l2b_l2d_fbdecc_c4[358], l2b_l2d_fbdecc_c4[436], l2b_l2d_fbdecc_c4[514], l2b_l2d_fbdecc_c4[592],
553 l2b_l2d_fbdecc_c4[359], l2b_l2d_fbdecc_c4[437], l2b_l2d_fbdecc_c4[515], l2b_l2d_fbdecc_c4[593]} = fb_array4_dout[159:120];
554
555 assign {l2b_l2d_fbdecc_c4[360], l2b_l2d_fbdecc_c4[438], l2b_l2d_fbdecc_c4[516], l2b_l2d_fbdecc_c4[594],
556 l2b_l2d_fbdecc_c4[361], l2b_l2d_fbdecc_c4[439], l2b_l2d_fbdecc_c4[517], l2b_l2d_fbdecc_c4[595],
557 l2b_l2d_fbdecc_c4[362], l2b_l2d_fbdecc_c4[440], l2b_l2d_fbdecc_c4[518], l2b_l2d_fbdecc_c4[596],
558 l2b_l2d_fbdecc_c4[363], l2b_l2d_fbdecc_c4[441], l2b_l2d_fbdecc_c4[519], l2b_l2d_fbdecc_c4[597],
559 l2b_l2d_fbdecc_c4[364], l2b_l2d_fbdecc_c4[442], l2b_l2d_fbdecc_c4[520], l2b_l2d_fbdecc_c4[598],
560 l2b_l2d_fbdecc_c4[365], l2b_l2d_fbdecc_c4[443], l2b_l2d_fbdecc_c4[521], l2b_l2d_fbdecc_c4[599],
561 l2b_l2d_fbdecc_c4[366], l2b_l2d_fbdecc_c4[444], l2b_l2d_fbdecc_c4[522], l2b_l2d_fbdecc_c4[600],
562 l2b_l2d_fbdecc_c4[367], l2b_l2d_fbdecc_c4[445], l2b_l2d_fbdecc_c4[523], l2b_l2d_fbdecc_c4[601],
563 l2b_l2d_fbdecc_c4[368], l2b_l2d_fbdecc_c4[446], l2b_l2d_fbdecc_c4[524], l2b_l2d_fbdecc_c4[602],
564 l2b_l2d_fbdecc_c4[369], l2b_l2d_fbdecc_c4[447], l2b_l2d_fbdecc_c4[525], l2b_l2d_fbdecc_c4[603]} = fb_array4_dout[119:80];
565
566 assign {l2b_l2d_fbdecc_c4[370], l2b_l2d_fbdecc_c4[448], l2b_l2d_fbdecc_c4[526], l2b_l2d_fbdecc_c4[604],
567 l2b_l2d_fbdecc_c4[371], l2b_l2d_fbdecc_c4[449], l2b_l2d_fbdecc_c4[527], l2b_l2d_fbdecc_c4[605],
568 l2b_l2d_fbdecc_c4[372], l2b_l2d_fbdecc_c4[450], l2b_l2d_fbdecc_c4[528], l2b_l2d_fbdecc_c4[606],
569 l2b_l2d_fbdecc_c4[373], l2b_l2d_fbdecc_c4[451], l2b_l2d_fbdecc_c4[529], l2b_l2d_fbdecc_c4[607],
570 l2b_l2d_fbdecc_c4[374], l2b_l2d_fbdecc_c4[452], l2b_l2d_fbdecc_c4[530], l2b_l2d_fbdecc_c4[608],
571 l2b_l2d_fbdecc_c4[375], l2b_l2d_fbdecc_c4[453], l2b_l2d_fbdecc_c4[531], l2b_l2d_fbdecc_c4[609],
572 l2b_l2d_fbdecc_c4[376], l2b_l2d_fbdecc_c4[454], l2b_l2d_fbdecc_c4[532], l2b_l2d_fbdecc_c4[610],
573 l2b_l2d_fbdecc_c4[377], l2b_l2d_fbdecc_c4[455], l2b_l2d_fbdecc_c4[533], l2b_l2d_fbdecc_c4[611],
574 l2b_l2d_fbdecc_c4[378], l2b_l2d_fbdecc_c4[456], l2b_l2d_fbdecc_c4[534], l2b_l2d_fbdecc_c4[612],
575 l2b_l2d_fbdecc_c4[379], l2b_l2d_fbdecc_c4[457], l2b_l2d_fbdecc_c4[535], l2b_l2d_fbdecc_c4[613] } = fb_array4_dout[79:40];
576
577 assign {l2b_l2d_fbdecc_c4[380], l2b_l2d_fbdecc_c4[458], l2b_l2d_fbdecc_c4[536], l2b_l2d_fbdecc_c4[614],
578 l2b_l2d_fbdecc_c4[381], l2b_l2d_fbdecc_c4[459], l2b_l2d_fbdecc_c4[537], l2b_l2d_fbdecc_c4[615],
579 l2b_l2d_fbdecc_c4[382], l2b_l2d_fbdecc_c4[460], l2b_l2d_fbdecc_c4[538], l2b_l2d_fbdecc_c4[616],
580 l2b_l2d_fbdecc_c4[383], l2b_l2d_fbdecc_c4[461], l2b_l2d_fbdecc_c4[539], l2b_l2d_fbdecc_c4[617],
581 l2b_l2d_fbdecc_c4[384], l2b_l2d_fbdecc_c4[462], l2b_l2d_fbdecc_c4[540], l2b_l2d_fbdecc_c4[618],
582 l2b_l2d_fbdecc_c4[385], l2b_l2d_fbdecc_c4[463], l2b_l2d_fbdecc_c4[541], l2b_l2d_fbdecc_c4[619],
583 l2b_l2d_fbdecc_c4[386], l2b_l2d_fbdecc_c4[464], l2b_l2d_fbdecc_c4[542], l2b_l2d_fbdecc_c4[620],
584 l2b_l2d_fbdecc_c4[387], l2b_l2d_fbdecc_c4[465], l2b_l2d_fbdecc_c4[543], l2b_l2d_fbdecc_c4[621],
585 l2b_l2d_fbdecc_c4[388], l2b_l2d_fbdecc_c4[466], l2b_l2d_fbdecc_c4[544], l2b_l2d_fbdecc_c4[622],
586 l2b_l2d_fbdecc_c4[389], l2b_l2d_fbdecc_c4[467], l2b_l2d_fbdecc_c4[545], l2b_l2d_fbdecc_c4[623]} = fb_array4_dout[39:0];
587
588assign fb_array4_din[159:120] = {1'b0, 1'b0, 1'b0, 1'b0,
589 fillbf_fb_array_din[351], fillbf_fb_array_din[429], fillbf_fb_array_din[507], fillbf_fb_array_din[585],
590 fillbf_fb_array_din[352], fillbf_fb_array_din[430], fillbf_fb_array_din[508], fillbf_fb_array_din[586],
591 fillbf_fb_array_din[353], fillbf_fb_array_din[431], fillbf_fb_array_din[509], fillbf_fb_array_din[587],
592 fillbf_fb_array_din[354], fillbf_fb_array_din[432], fillbf_fb_array_din[510], fillbf_fb_array_din[588],
593 fillbf_fb_array_din[355], fillbf_fb_array_din[433], fillbf_fb_array_din[511], fillbf_fb_array_din[589],
594 fillbf_fb_array_din[356], fillbf_fb_array_din[434], fillbf_fb_array_din[512], fillbf_fb_array_din[590],
595 fillbf_fb_array_din[357], fillbf_fb_array_din[435], fillbf_fb_array_din[513], fillbf_fb_array_din[591],
596 fillbf_fb_array_din[358], fillbf_fb_array_din[436], fillbf_fb_array_din[514], fillbf_fb_array_din[592],
597 fillbf_fb_array_din[359], fillbf_fb_array_din[437], fillbf_fb_array_din[515], fillbf_fb_array_din[593]};
598
599assign fb_array4_din[119:80] =
600 {fillbf_fb_array_din[360], fillbf_fb_array_din[438], fillbf_fb_array_din[516], fillbf_fb_array_din[594],
601 fillbf_fb_array_din[361], fillbf_fb_array_din[439], fillbf_fb_array_din[517], fillbf_fb_array_din[595],
602 fillbf_fb_array_din[362], fillbf_fb_array_din[440], fillbf_fb_array_din[518], fillbf_fb_array_din[596],
603 fillbf_fb_array_din[363], fillbf_fb_array_din[441], fillbf_fb_array_din[519], fillbf_fb_array_din[597],
604 fillbf_fb_array_din[364], fillbf_fb_array_din[442], fillbf_fb_array_din[520], fillbf_fb_array_din[598],
605 fillbf_fb_array_din[365], fillbf_fb_array_din[443], fillbf_fb_array_din[521], fillbf_fb_array_din[599],
606 fillbf_fb_array_din[366], fillbf_fb_array_din[444], fillbf_fb_array_din[522], fillbf_fb_array_din[600],
607 fillbf_fb_array_din[367], fillbf_fb_array_din[445], fillbf_fb_array_din[523], fillbf_fb_array_din[601],
608 fillbf_fb_array_din[368], fillbf_fb_array_din[446], fillbf_fb_array_din[524], fillbf_fb_array_din[602],
609 fillbf_fb_array_din[369], fillbf_fb_array_din[447], fillbf_fb_array_din[525], fillbf_fb_array_din[603]};
610
611assign fb_array4_din[79:40] = {fillbf_fb_array_din[370], fillbf_fb_array_din[448], fillbf_fb_array_din[526], fillbf_fb_array_din[604],
612 fillbf_fb_array_din[371], fillbf_fb_array_din[449], fillbf_fb_array_din[527], fillbf_fb_array_din[605],
613 fillbf_fb_array_din[372], fillbf_fb_array_din[450], fillbf_fb_array_din[528], fillbf_fb_array_din[606],
614 fillbf_fb_array_din[373], fillbf_fb_array_din[451], fillbf_fb_array_din[529], fillbf_fb_array_din[607],
615 fillbf_fb_array_din[374], fillbf_fb_array_din[452], fillbf_fb_array_din[530], fillbf_fb_array_din[608],
616 fillbf_fb_array_din[375], fillbf_fb_array_din[453], fillbf_fb_array_din[531], fillbf_fb_array_din[609],
617 fillbf_fb_array_din[376], fillbf_fb_array_din[454], fillbf_fb_array_din[532], fillbf_fb_array_din[610],
618 fillbf_fb_array_din[377], fillbf_fb_array_din[455], fillbf_fb_array_din[533], fillbf_fb_array_din[611],
619 fillbf_fb_array_din[378], fillbf_fb_array_din[456], fillbf_fb_array_din[534], fillbf_fb_array_din[612],
620 fillbf_fb_array_din[379], fillbf_fb_array_din[457], fillbf_fb_array_din[535], fillbf_fb_array_din[613]};
621
622assign fb_array4_din[39:0] = {fillbf_fb_array_din[380], fillbf_fb_array_din[458], fillbf_fb_array_din[536], fillbf_fb_array_din[614],
623 fillbf_fb_array_din[381], fillbf_fb_array_din[459], fillbf_fb_array_din[537], fillbf_fb_array_din[615],
624 fillbf_fb_array_din[382], fillbf_fb_array_din[460], fillbf_fb_array_din[538], fillbf_fb_array_din[616],
625 fillbf_fb_array_din[383], fillbf_fb_array_din[461], fillbf_fb_array_din[539], fillbf_fb_array_din[617],
626 fillbf_fb_array_din[384], fillbf_fb_array_din[462], fillbf_fb_array_din[540], fillbf_fb_array_din[618],
627 fillbf_fb_array_din[385], fillbf_fb_array_din[463], fillbf_fb_array_din[541], fillbf_fb_array_din[619],
628 fillbf_fb_array_din[386], fillbf_fb_array_din[464], fillbf_fb_array_din[542], fillbf_fb_array_din[620],
629 fillbf_fb_array_din[387], fillbf_fb_array_din[465], fillbf_fb_array_din[543], fillbf_fb_array_din[621],
630 fillbf_fb_array_din[388], fillbf_fb_array_din[466], fillbf_fb_array_din[544], fillbf_fb_array_din[622],
631 fillbf_fb_array_din[389], fillbf_fb_array_din[467], fillbf_fb_array_din[545], fillbf_fb_array_din[623]};
632
633
634n2_l2t_dp_16x160_cust fb_array4
635 (.dout ( fb_array4_dout),
636 .din ( fb_array4_din ),
637 .rd_adr ({1'b0, fillbf_l2t_l2b_fbrd_wl_c3_v4[2:0]}),
638 .wr_adr ({1'b0, fillbf_l2t_l2b_fbwr_wl_r3_v4[2:0]}),
639 .read_en (fillbf_l2t_l2b_fbrd_en_c3_v4),
640 .wr_en (fillbf_l2t_l2b_fbwr_wren_r3_v4),
641 .word_wen ({fillbf_l2t_l2b_fbwr_wen_r3[6], fillbf_l2t_l2b_fbwr_wen_r3[4],
642 fillbf_l2t_l2b_fbwr_wen_r3[2], fillbf_l2t_l2b_fbwr_wen_r3[0]}),
643 .byte_wen ({1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1,
644 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1}),
645 .scan_in(fb_array4_scanin),
646 .scan_out(fb_array4_scanout),
647 .l2clk (l2clk),
648 .tcu_pce_ov(pce_ov),
649 .pce(1'b1),
650 .tcu_aclk(aclk),
651 .tcu_bclk(bclk),
652 .tcu_scan_en(tcu_scan_en),
653 .mbist_run (fb_mbist_enable),
654 .mbist_wdata (fb_mbist_data_in[7:0]),
655 .tcu_array_wr_inhibit (array_wr_inhibit),
656 .tcu_se_scancollar_in (tcu_se_scancollar_in));
657
658assign {l2b_l2d_fbdecc_c4_unused[11:8],
659 l2b_l2d_fbdecc_c4[312], l2b_l2d_fbdecc_c4[390], l2b_l2d_fbdecc_c4[468], l2b_l2d_fbdecc_c4[546],
660 l2b_l2d_fbdecc_c4[313], l2b_l2d_fbdecc_c4[391], l2b_l2d_fbdecc_c4[469], l2b_l2d_fbdecc_c4[547],
661 l2b_l2d_fbdecc_c4[314], l2b_l2d_fbdecc_c4[392], l2b_l2d_fbdecc_c4[470], l2b_l2d_fbdecc_c4[548],
662 l2b_l2d_fbdecc_c4[315], l2b_l2d_fbdecc_c4[393], l2b_l2d_fbdecc_c4[471], l2b_l2d_fbdecc_c4[549],
663 l2b_l2d_fbdecc_c4[316], l2b_l2d_fbdecc_c4[394], l2b_l2d_fbdecc_c4[472], l2b_l2d_fbdecc_c4[550],
664 l2b_l2d_fbdecc_c4[317], l2b_l2d_fbdecc_c4[395], l2b_l2d_fbdecc_c4[473], l2b_l2d_fbdecc_c4[551],
665 l2b_l2d_fbdecc_c4[318], l2b_l2d_fbdecc_c4[396], l2b_l2d_fbdecc_c4[474], l2b_l2d_fbdecc_c4[552],
666 l2b_l2d_fbdecc_c4[319], l2b_l2d_fbdecc_c4[397], l2b_l2d_fbdecc_c4[475], l2b_l2d_fbdecc_c4[553],
667 l2b_l2d_fbdecc_c4[320], l2b_l2d_fbdecc_c4[398], l2b_l2d_fbdecc_c4[476], l2b_l2d_fbdecc_c4[554],
668 l2b_l2d_fbdecc_c4[321], l2b_l2d_fbdecc_c4[399], l2b_l2d_fbdecc_c4[477], l2b_l2d_fbdecc_c4[555],
669 l2b_l2d_fbdecc_c4[322], l2b_l2d_fbdecc_c4[400], l2b_l2d_fbdecc_c4[478], l2b_l2d_fbdecc_c4[556],
670 l2b_l2d_fbdecc_c4[323], l2b_l2d_fbdecc_c4[401], l2b_l2d_fbdecc_c4[479], l2b_l2d_fbdecc_c4[557],
671 l2b_l2d_fbdecc_c4[324], l2b_l2d_fbdecc_c4[402], l2b_l2d_fbdecc_c4[480], l2b_l2d_fbdecc_c4[558],
672 l2b_l2d_fbdecc_c4[325], l2b_l2d_fbdecc_c4[403], l2b_l2d_fbdecc_c4[481], l2b_l2d_fbdecc_c4[559],
673 l2b_l2d_fbdecc_c4[326], l2b_l2d_fbdecc_c4[404], l2b_l2d_fbdecc_c4[482], l2b_l2d_fbdecc_c4[560],
674 l2b_l2d_fbdecc_c4[327], l2b_l2d_fbdecc_c4[405], l2b_l2d_fbdecc_c4[483], l2b_l2d_fbdecc_c4[561],
675 l2b_l2d_fbdecc_c4[328], l2b_l2d_fbdecc_c4[406], l2b_l2d_fbdecc_c4[484], l2b_l2d_fbdecc_c4[562],
676 l2b_l2d_fbdecc_c4[329], l2b_l2d_fbdecc_c4[407], l2b_l2d_fbdecc_c4[485], l2b_l2d_fbdecc_c4[563],
677 l2b_l2d_fbdecc_c4[330], l2b_l2d_fbdecc_c4[408], l2b_l2d_fbdecc_c4[486], l2b_l2d_fbdecc_c4[564],
678 l2b_l2d_fbdecc_c4[331], l2b_l2d_fbdecc_c4[409], l2b_l2d_fbdecc_c4[487], l2b_l2d_fbdecc_c4[565],
679 l2b_l2d_fbdecc_c4[332], l2b_l2d_fbdecc_c4[410], l2b_l2d_fbdecc_c4[488], l2b_l2d_fbdecc_c4[566],
680 l2b_l2d_fbdecc_c4[333], l2b_l2d_fbdecc_c4[411], l2b_l2d_fbdecc_c4[489], l2b_l2d_fbdecc_c4[567],
681 l2b_l2d_fbdecc_c4[334], l2b_l2d_fbdecc_c4[412], l2b_l2d_fbdecc_c4[490], l2b_l2d_fbdecc_c4[568],
682 l2b_l2d_fbdecc_c4[335], l2b_l2d_fbdecc_c4[413], l2b_l2d_fbdecc_c4[491], l2b_l2d_fbdecc_c4[569],
683 l2b_l2d_fbdecc_c4[336], l2b_l2d_fbdecc_c4[414], l2b_l2d_fbdecc_c4[492], l2b_l2d_fbdecc_c4[570],
684 l2b_l2d_fbdecc_c4[337], l2b_l2d_fbdecc_c4[415], l2b_l2d_fbdecc_c4[493], l2b_l2d_fbdecc_c4[571],
685 l2b_l2d_fbdecc_c4[338], l2b_l2d_fbdecc_c4[416], l2b_l2d_fbdecc_c4[494], l2b_l2d_fbdecc_c4[572],
686 l2b_l2d_fbdecc_c4[339], l2b_l2d_fbdecc_c4[417], l2b_l2d_fbdecc_c4[495], l2b_l2d_fbdecc_c4[573],
687 l2b_l2d_fbdecc_c4[340], l2b_l2d_fbdecc_c4[418], l2b_l2d_fbdecc_c4[496], l2b_l2d_fbdecc_c4[574],
688 l2b_l2d_fbdecc_c4[341], l2b_l2d_fbdecc_c4[419], l2b_l2d_fbdecc_c4[497], l2b_l2d_fbdecc_c4[575],
689 l2b_l2d_fbdecc_c4[342], l2b_l2d_fbdecc_c4[420], l2b_l2d_fbdecc_c4[498], l2b_l2d_fbdecc_c4[576],
690 l2b_l2d_fbdecc_c4[343], l2b_l2d_fbdecc_c4[421], l2b_l2d_fbdecc_c4[499], l2b_l2d_fbdecc_c4[577],
691 l2b_l2d_fbdecc_c4[344], l2b_l2d_fbdecc_c4[422], l2b_l2d_fbdecc_c4[500], l2b_l2d_fbdecc_c4[578],
692 l2b_l2d_fbdecc_c4[345], l2b_l2d_fbdecc_c4[423], l2b_l2d_fbdecc_c4[501], l2b_l2d_fbdecc_c4[579],
693 l2b_l2d_fbdecc_c4[346], l2b_l2d_fbdecc_c4[424], l2b_l2d_fbdecc_c4[502], l2b_l2d_fbdecc_c4[580],
694 l2b_l2d_fbdecc_c4[347], l2b_l2d_fbdecc_c4[425], l2b_l2d_fbdecc_c4[503], l2b_l2d_fbdecc_c4[581],
695 l2b_l2d_fbdecc_c4[348], l2b_l2d_fbdecc_c4[426], l2b_l2d_fbdecc_c4[504], l2b_l2d_fbdecc_c4[582],
696 l2b_l2d_fbdecc_c4[349], l2b_l2d_fbdecc_c4[427], l2b_l2d_fbdecc_c4[505], l2b_l2d_fbdecc_c4[583],
697l2b_l2d_fbdecc_c4[350], l2b_l2d_fbdecc_c4[428], l2b_l2d_fbdecc_c4[506], l2b_l2d_fbdecc_c4[584]} = fb_array3_dout[159:0];
698
699assign fb_array3_din[159:120] = {1'b0, 1'b0, 1'b0, 1'b0,
700 fillbf_fb_array_din[312], fillbf_fb_array_din[390], fillbf_fb_array_din[468], fillbf_fb_array_din[546],
701 fillbf_fb_array_din[313], fillbf_fb_array_din[391], fillbf_fb_array_din[469], fillbf_fb_array_din[547],
702 fillbf_fb_array_din[314], fillbf_fb_array_din[392], fillbf_fb_array_din[470], fillbf_fb_array_din[548],
703 fillbf_fb_array_din[315], fillbf_fb_array_din[393], fillbf_fb_array_din[471], fillbf_fb_array_din[549],
704 fillbf_fb_array_din[316], fillbf_fb_array_din[394], fillbf_fb_array_din[472], fillbf_fb_array_din[550],
705 fillbf_fb_array_din[317], fillbf_fb_array_din[395], fillbf_fb_array_din[473], fillbf_fb_array_din[551],
706 fillbf_fb_array_din[318], fillbf_fb_array_din[396], fillbf_fb_array_din[474], fillbf_fb_array_din[552],
707 fillbf_fb_array_din[319], fillbf_fb_array_din[397], fillbf_fb_array_din[475], fillbf_fb_array_din[553],
708 fillbf_fb_array_din[320], fillbf_fb_array_din[398], fillbf_fb_array_din[476], fillbf_fb_array_din[554]};
709
710assign fb_array3_din[119:80] =
711 {fillbf_fb_array_din[321], fillbf_fb_array_din[399], fillbf_fb_array_din[477], fillbf_fb_array_din[555],
712 fillbf_fb_array_din[322], fillbf_fb_array_din[400], fillbf_fb_array_din[478], fillbf_fb_array_din[556],
713 fillbf_fb_array_din[323], fillbf_fb_array_din[401], fillbf_fb_array_din[479], fillbf_fb_array_din[557],
714 fillbf_fb_array_din[324], fillbf_fb_array_din[402], fillbf_fb_array_din[480], fillbf_fb_array_din[558],
715 fillbf_fb_array_din[325], fillbf_fb_array_din[403], fillbf_fb_array_din[481], fillbf_fb_array_din[559],
716 fillbf_fb_array_din[326], fillbf_fb_array_din[404], fillbf_fb_array_din[482], fillbf_fb_array_din[560],
717 fillbf_fb_array_din[327], fillbf_fb_array_din[405], fillbf_fb_array_din[483], fillbf_fb_array_din[561],
718 fillbf_fb_array_din[328], fillbf_fb_array_din[406], fillbf_fb_array_din[484], fillbf_fb_array_din[562],
719 fillbf_fb_array_din[329], fillbf_fb_array_din[407], fillbf_fb_array_din[485], fillbf_fb_array_din[563],
720 fillbf_fb_array_din[330], fillbf_fb_array_din[408], fillbf_fb_array_din[486], fillbf_fb_array_din[564]};
721
722assign fb_array3_din[79:40] =
723 {fillbf_fb_array_din[331], fillbf_fb_array_din[409], fillbf_fb_array_din[487], fillbf_fb_array_din[565],
724 fillbf_fb_array_din[332], fillbf_fb_array_din[410], fillbf_fb_array_din[488], fillbf_fb_array_din[566],
725 fillbf_fb_array_din[333], fillbf_fb_array_din[411], fillbf_fb_array_din[489], fillbf_fb_array_din[567],
726 fillbf_fb_array_din[334], fillbf_fb_array_din[412], fillbf_fb_array_din[490], fillbf_fb_array_din[568],
727 fillbf_fb_array_din[335], fillbf_fb_array_din[413], fillbf_fb_array_din[491], fillbf_fb_array_din[569],
728 fillbf_fb_array_din[336], fillbf_fb_array_din[414], fillbf_fb_array_din[492], fillbf_fb_array_din[570],
729 fillbf_fb_array_din[337], fillbf_fb_array_din[415], fillbf_fb_array_din[493], fillbf_fb_array_din[571],
730 fillbf_fb_array_din[338], fillbf_fb_array_din[416], fillbf_fb_array_din[494], fillbf_fb_array_din[572],
731 fillbf_fb_array_din[339], fillbf_fb_array_din[417], fillbf_fb_array_din[495], fillbf_fb_array_din[573],
732 fillbf_fb_array_din[340], fillbf_fb_array_din[418], fillbf_fb_array_din[496], fillbf_fb_array_din[574]};
733
734assign fb_array3_din[39:0] =
735 {fillbf_fb_array_din[341], fillbf_fb_array_din[419], fillbf_fb_array_din[497], fillbf_fb_array_din[575],
736 fillbf_fb_array_din[342], fillbf_fb_array_din[420], fillbf_fb_array_din[498], fillbf_fb_array_din[576],
737 fillbf_fb_array_din[343], fillbf_fb_array_din[421], fillbf_fb_array_din[499], fillbf_fb_array_din[577],
738 fillbf_fb_array_din[344], fillbf_fb_array_din[422], fillbf_fb_array_din[500], fillbf_fb_array_din[578],
739 fillbf_fb_array_din[345], fillbf_fb_array_din[423], fillbf_fb_array_din[501], fillbf_fb_array_din[579],
740 fillbf_fb_array_din[346], fillbf_fb_array_din[424], fillbf_fb_array_din[502], fillbf_fb_array_din[580],
741 fillbf_fb_array_din[347], fillbf_fb_array_din[425], fillbf_fb_array_din[503], fillbf_fb_array_din[581],
742 fillbf_fb_array_din[348], fillbf_fb_array_din[426], fillbf_fb_array_din[504], fillbf_fb_array_din[582],
743 fillbf_fb_array_din[349], fillbf_fb_array_din[427], fillbf_fb_array_din[505], fillbf_fb_array_din[583],
744 fillbf_fb_array_din[350], fillbf_fb_array_din[428], fillbf_fb_array_din[506], fillbf_fb_array_din[584]};
745
746n2_l2t_dp_16x160_cust fb_array3
747 (.dout (fb_array3_dout ),
748 .din (fb_array3_din ),
749 .rd_adr ({1'b0, fillbf_l2t_l2b_fbrd_wl_c3_v3[2:0]}),
750 .wr_adr ({1'b0, fillbf_l2t_l2b_fbwr_wl_r3_v3[2:0]}),
751 .read_en (fillbf_l2t_l2b_fbrd_en_c3_v3),
752 .wr_en (fillbf_l2t_l2b_fbwr_wren_r3_v3),
753 .word_wen ({fillbf_l2t_l2b_fbwr_wen_r3[7], fillbf_l2t_l2b_fbwr_wen_r3[5],
754 fillbf_l2t_l2b_fbwr_wen_r3[3], fillbf_l2t_l2b_fbwr_wen_r3[1]}),
755 .byte_wen ({1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1,
756 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1}),
757 .scan_in(fb_array3_scanin),
758 .scan_out(fb_array3_scanout),
759 .l2clk (l2clk),
760 .tcu_pce_ov(pce_ov),
761 .pce(1'b1),
762 .tcu_aclk(aclk),
763 .tcu_bclk(bclk),
764 .tcu_scan_en(tcu_scan_en),
765 .mbist_run (fb_mbist_enable),
766 .mbist_wdata (fb_mbist_data_in[7:0]),
767 .tcu_array_wr_inhibit(array_wr_inhibit),
768 .tcu_se_scancollar_in (tcu_se_scancollar_in));
769
770assign {l2b_l2d_fbdecc_c4_unused[7:4],
771 l2b_l2d_fbdecc_c4[39], l2b_l2d_fbdecc_c4[117], l2b_l2d_fbdecc_c4[195], l2b_l2d_fbdecc_c4[273],
772 l2b_l2d_fbdecc_c4[40], l2b_l2d_fbdecc_c4[118], l2b_l2d_fbdecc_c4[196], l2b_l2d_fbdecc_c4[274],
773 l2b_l2d_fbdecc_c4[41], l2b_l2d_fbdecc_c4[119], l2b_l2d_fbdecc_c4[197], l2b_l2d_fbdecc_c4[275],
774 l2b_l2d_fbdecc_c4[42], l2b_l2d_fbdecc_c4[120], l2b_l2d_fbdecc_c4[198], l2b_l2d_fbdecc_c4[276],
775 l2b_l2d_fbdecc_c4[43], l2b_l2d_fbdecc_c4[121], l2b_l2d_fbdecc_c4[199], l2b_l2d_fbdecc_c4[277],
776 l2b_l2d_fbdecc_c4[44], l2b_l2d_fbdecc_c4[122], l2b_l2d_fbdecc_c4[200], l2b_l2d_fbdecc_c4[278],
777 l2b_l2d_fbdecc_c4[45], l2b_l2d_fbdecc_c4[123], l2b_l2d_fbdecc_c4[201], l2b_l2d_fbdecc_c4[279],
778 l2b_l2d_fbdecc_c4[46], l2b_l2d_fbdecc_c4[124], l2b_l2d_fbdecc_c4[202], l2b_l2d_fbdecc_c4[280],
779 l2b_l2d_fbdecc_c4[47], l2b_l2d_fbdecc_c4[125], l2b_l2d_fbdecc_c4[203], l2b_l2d_fbdecc_c4[281],
780 l2b_l2d_fbdecc_c4[48], l2b_l2d_fbdecc_c4[126], l2b_l2d_fbdecc_c4[204], l2b_l2d_fbdecc_c4[282],
781 l2b_l2d_fbdecc_c4[49], l2b_l2d_fbdecc_c4[127], l2b_l2d_fbdecc_c4[205], l2b_l2d_fbdecc_c4[283],
782 l2b_l2d_fbdecc_c4[50], l2b_l2d_fbdecc_c4[128], l2b_l2d_fbdecc_c4[206], l2b_l2d_fbdecc_c4[284],
783 l2b_l2d_fbdecc_c4[51], l2b_l2d_fbdecc_c4[129], l2b_l2d_fbdecc_c4[207], l2b_l2d_fbdecc_c4[285],
784 l2b_l2d_fbdecc_c4[52], l2b_l2d_fbdecc_c4[130], l2b_l2d_fbdecc_c4[208], l2b_l2d_fbdecc_c4[286],
785 l2b_l2d_fbdecc_c4[53], l2b_l2d_fbdecc_c4[131], l2b_l2d_fbdecc_c4[209], l2b_l2d_fbdecc_c4[287],
786 l2b_l2d_fbdecc_c4[54], l2b_l2d_fbdecc_c4[132], l2b_l2d_fbdecc_c4[210], l2b_l2d_fbdecc_c4[288],
787 l2b_l2d_fbdecc_c4[55], l2b_l2d_fbdecc_c4[133], l2b_l2d_fbdecc_c4[211], l2b_l2d_fbdecc_c4[289],
788 l2b_l2d_fbdecc_c4[56], l2b_l2d_fbdecc_c4[134], l2b_l2d_fbdecc_c4[212], l2b_l2d_fbdecc_c4[290],
789 l2b_l2d_fbdecc_c4[57], l2b_l2d_fbdecc_c4[135], l2b_l2d_fbdecc_c4[213], l2b_l2d_fbdecc_c4[291],
790 l2b_l2d_fbdecc_c4[58], l2b_l2d_fbdecc_c4[136], l2b_l2d_fbdecc_c4[214], l2b_l2d_fbdecc_c4[292],
791 l2b_l2d_fbdecc_c4[59], l2b_l2d_fbdecc_c4[137], l2b_l2d_fbdecc_c4[215], l2b_l2d_fbdecc_c4[293],
792 l2b_l2d_fbdecc_c4[60], l2b_l2d_fbdecc_c4[138], l2b_l2d_fbdecc_c4[216], l2b_l2d_fbdecc_c4[294],
793 l2b_l2d_fbdecc_c4[61], l2b_l2d_fbdecc_c4[139], l2b_l2d_fbdecc_c4[217], l2b_l2d_fbdecc_c4[295],
794 l2b_l2d_fbdecc_c4[62], l2b_l2d_fbdecc_c4[140], l2b_l2d_fbdecc_c4[218], l2b_l2d_fbdecc_c4[296],
795 l2b_l2d_fbdecc_c4[63], l2b_l2d_fbdecc_c4[141], l2b_l2d_fbdecc_c4[219], l2b_l2d_fbdecc_c4[297],
796 l2b_l2d_fbdecc_c4[64], l2b_l2d_fbdecc_c4[142], l2b_l2d_fbdecc_c4[220], l2b_l2d_fbdecc_c4[298],
797 l2b_l2d_fbdecc_c4[65], l2b_l2d_fbdecc_c4[143], l2b_l2d_fbdecc_c4[221], l2b_l2d_fbdecc_c4[299],
798 l2b_l2d_fbdecc_c4[66], l2b_l2d_fbdecc_c4[144], l2b_l2d_fbdecc_c4[222], l2b_l2d_fbdecc_c4[300],
799 l2b_l2d_fbdecc_c4[67], l2b_l2d_fbdecc_c4[145], l2b_l2d_fbdecc_c4[223], l2b_l2d_fbdecc_c4[301],
800 l2b_l2d_fbdecc_c4[68], l2b_l2d_fbdecc_c4[146], l2b_l2d_fbdecc_c4[224], l2b_l2d_fbdecc_c4[302],
801 l2b_l2d_fbdecc_c4[69], l2b_l2d_fbdecc_c4[147], l2b_l2d_fbdecc_c4[225], l2b_l2d_fbdecc_c4[303],
802 l2b_l2d_fbdecc_c4[70], l2b_l2d_fbdecc_c4[148], l2b_l2d_fbdecc_c4[226], l2b_l2d_fbdecc_c4[304],
803 l2b_l2d_fbdecc_c4[71], l2b_l2d_fbdecc_c4[149], l2b_l2d_fbdecc_c4[227], l2b_l2d_fbdecc_c4[305],
804 l2b_l2d_fbdecc_c4[72], l2b_l2d_fbdecc_c4[150], l2b_l2d_fbdecc_c4[228], l2b_l2d_fbdecc_c4[306],
805 l2b_l2d_fbdecc_c4[73], l2b_l2d_fbdecc_c4[151], l2b_l2d_fbdecc_c4[229], l2b_l2d_fbdecc_c4[307],
806 l2b_l2d_fbdecc_c4[74], l2b_l2d_fbdecc_c4[152], l2b_l2d_fbdecc_c4[230], l2b_l2d_fbdecc_c4[308],
807 l2b_l2d_fbdecc_c4[75], l2b_l2d_fbdecc_c4[153], l2b_l2d_fbdecc_c4[231], l2b_l2d_fbdecc_c4[309],
808 l2b_l2d_fbdecc_c4[76], l2b_l2d_fbdecc_c4[154], l2b_l2d_fbdecc_c4[232], l2b_l2d_fbdecc_c4[310],
809 l2b_l2d_fbdecc_c4[77], l2b_l2d_fbdecc_c4[155], l2b_l2d_fbdecc_c4[233], l2b_l2d_fbdecc_c4[311]} = fb_array2_dout[159:0];
810
811assign fb_array2_din[159:0] = ({1'b0, 1'b0, 1'b0, 1'b0,
812 fillbf_fb_array_din[39], fillbf_fb_array_din[117], fillbf_fb_array_din[195], fillbf_fb_array_din[273],
813 fillbf_fb_array_din[40], fillbf_fb_array_din[118], fillbf_fb_array_din[196], fillbf_fb_array_din[274],
814 fillbf_fb_array_din[41], fillbf_fb_array_din[119], fillbf_fb_array_din[197], fillbf_fb_array_din[275],
815 fillbf_fb_array_din[42], fillbf_fb_array_din[120], fillbf_fb_array_din[198], fillbf_fb_array_din[276],
816 fillbf_fb_array_din[43], fillbf_fb_array_din[121], fillbf_fb_array_din[199], fillbf_fb_array_din[277],
817 fillbf_fb_array_din[44], fillbf_fb_array_din[122], fillbf_fb_array_din[200], fillbf_fb_array_din[278],
818 fillbf_fb_array_din[45], fillbf_fb_array_din[123], fillbf_fb_array_din[201], fillbf_fb_array_din[279],
819 fillbf_fb_array_din[46], fillbf_fb_array_din[124], fillbf_fb_array_din[202], fillbf_fb_array_din[280],
820 fillbf_fb_array_din[47], fillbf_fb_array_din[125], fillbf_fb_array_din[203], fillbf_fb_array_din[281],
821 fillbf_fb_array_din[48], fillbf_fb_array_din[126], fillbf_fb_array_din[204], fillbf_fb_array_din[282],
822 fillbf_fb_array_din[49], fillbf_fb_array_din[127], fillbf_fb_array_din[205], fillbf_fb_array_din[283],
823 fillbf_fb_array_din[50], fillbf_fb_array_din[128], fillbf_fb_array_din[206], fillbf_fb_array_din[284],
824 fillbf_fb_array_din[51], fillbf_fb_array_din[129], fillbf_fb_array_din[207], fillbf_fb_array_din[285],
825 fillbf_fb_array_din[52], fillbf_fb_array_din[130], fillbf_fb_array_din[208], fillbf_fb_array_din[286],
826 fillbf_fb_array_din[53], fillbf_fb_array_din[131], fillbf_fb_array_din[209], fillbf_fb_array_din[287],
827 fillbf_fb_array_din[54], fillbf_fb_array_din[132], fillbf_fb_array_din[210], fillbf_fb_array_din[288],
828 fillbf_fb_array_din[55], fillbf_fb_array_din[133], fillbf_fb_array_din[211], fillbf_fb_array_din[289],
829 fillbf_fb_array_din[56], fillbf_fb_array_din[134], fillbf_fb_array_din[212], fillbf_fb_array_din[290],
830 fillbf_fb_array_din[57], fillbf_fb_array_din[135], fillbf_fb_array_din[213], fillbf_fb_array_din[291],
831 fillbf_fb_array_din[58], fillbf_fb_array_din[136], fillbf_fb_array_din[214], fillbf_fb_array_din[292],
832 fillbf_fb_array_din[59], fillbf_fb_array_din[137], fillbf_fb_array_din[215], fillbf_fb_array_din[293],
833 fillbf_fb_array_din[60], fillbf_fb_array_din[138], fillbf_fb_array_din[216], fillbf_fb_array_din[294],
834 fillbf_fb_array_din[61], fillbf_fb_array_din[139], fillbf_fb_array_din[217], fillbf_fb_array_din[295],
835 fillbf_fb_array_din[62], fillbf_fb_array_din[140], fillbf_fb_array_din[218], fillbf_fb_array_din[296],
836 fillbf_fb_array_din[63], fillbf_fb_array_din[141], fillbf_fb_array_din[219], fillbf_fb_array_din[297],
837 fillbf_fb_array_din[64], fillbf_fb_array_din[142], fillbf_fb_array_din[220], fillbf_fb_array_din[298],
838 fillbf_fb_array_din[65], fillbf_fb_array_din[143], fillbf_fb_array_din[221], fillbf_fb_array_din[299],
839 fillbf_fb_array_din[66], fillbf_fb_array_din[144], fillbf_fb_array_din[222], fillbf_fb_array_din[300],
840 fillbf_fb_array_din[67], fillbf_fb_array_din[145], fillbf_fb_array_din[223], fillbf_fb_array_din[301],
841 fillbf_fb_array_din[68], fillbf_fb_array_din[146], fillbf_fb_array_din[224], fillbf_fb_array_din[302],
842 fillbf_fb_array_din[69], fillbf_fb_array_din[147], fillbf_fb_array_din[225], fillbf_fb_array_din[303],
843 fillbf_fb_array_din[70], fillbf_fb_array_din[148], fillbf_fb_array_din[226], fillbf_fb_array_din[304],
844 fillbf_fb_array_din[71], fillbf_fb_array_din[149], fillbf_fb_array_din[227], fillbf_fb_array_din[305],
845 fillbf_fb_array_din[72], fillbf_fb_array_din[150], fillbf_fb_array_din[228], fillbf_fb_array_din[306],
846 fillbf_fb_array_din[73], fillbf_fb_array_din[151], fillbf_fb_array_din[229], fillbf_fb_array_din[307],
847 fillbf_fb_array_din[74], fillbf_fb_array_din[152], fillbf_fb_array_din[230], fillbf_fb_array_din[308],
848 fillbf_fb_array_din[75], fillbf_fb_array_din[153], fillbf_fb_array_din[231], fillbf_fb_array_din[309],
849 fillbf_fb_array_din[76], fillbf_fb_array_din[154], fillbf_fb_array_din[232], fillbf_fb_array_din[310],
850 fillbf_fb_array_din[77], fillbf_fb_array_din[155], fillbf_fb_array_din[233], fillbf_fb_array_din[311]});
851
852n2_l2t_dp_16x160_cust fb_array2
853 (.dout ( fb_array2_dout ),
854 .din ( fb_array2_din ),
855 .rd_adr ({1'b0, fillbf_l2t_l2b_fbrd_wl_c3_v2[2:0]}),
856 .wr_adr ({1'b0, fillbf_l2t_l2b_fbwr_wl_r3_v2[2:0]}),
857 .read_en (fillbf_l2t_l2b_fbrd_en_c3_v2),
858 .wr_en (fillbf_l2t_l2b_fbwr_wren_r3_v2),
859 .word_wen ({fillbf_l2t_l2b_fbwr_wen_r3[14], fillbf_l2t_l2b_fbwr_wen_r3[12],
860 fillbf_l2t_l2b_fbwr_wen_r3[10], fillbf_l2t_l2b_fbwr_wen_r3[8]}),
861 .byte_wen ({1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1,
862 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1}),
863 .scan_in(fb_array2_scanin),
864 .scan_out(fb_array2_scanout),
865 .l2clk (l2clk),
866 .tcu_pce_ov(pce_ov),
867 .pce(1'b1),
868 .tcu_aclk(aclk),
869 .tcu_bclk(bclk),
870 .tcu_scan_en(tcu_scan_en),
871 .mbist_run (fb_mbist_enable),
872 .mbist_wdata (fb_mbist_data_in[7:0]),
873 .tcu_array_wr_inhibit(array_wr_inhibit),
874 .tcu_se_scancollar_in (tcu_se_scancollar_in));
875
876
877assign {l2b_l2d_fbdecc_c4_unused[3:0],
878 l2b_l2d_fbdecc_c4[0], l2b_l2d_fbdecc_c4[78], l2b_l2d_fbdecc_c4[156], l2b_l2d_fbdecc_c4[234],
879 l2b_l2d_fbdecc_c4[1], l2b_l2d_fbdecc_c4[79], l2b_l2d_fbdecc_c4[157], l2b_l2d_fbdecc_c4[235],
880 l2b_l2d_fbdecc_c4[2], l2b_l2d_fbdecc_c4[80], l2b_l2d_fbdecc_c4[158], l2b_l2d_fbdecc_c4[236],
881 l2b_l2d_fbdecc_c4[3], l2b_l2d_fbdecc_c4[81], l2b_l2d_fbdecc_c4[159], l2b_l2d_fbdecc_c4[237],
882 l2b_l2d_fbdecc_c4[4], l2b_l2d_fbdecc_c4[82], l2b_l2d_fbdecc_c4[160], l2b_l2d_fbdecc_c4[238],
883 l2b_l2d_fbdecc_c4[5], l2b_l2d_fbdecc_c4[83], l2b_l2d_fbdecc_c4[161], l2b_l2d_fbdecc_c4[239],
884 l2b_l2d_fbdecc_c4[6], l2b_l2d_fbdecc_c4[84], l2b_l2d_fbdecc_c4[162], l2b_l2d_fbdecc_c4[240],
885 l2b_l2d_fbdecc_c4[7], l2b_l2d_fbdecc_c4[85], l2b_l2d_fbdecc_c4[163], l2b_l2d_fbdecc_c4[241],
886 l2b_l2d_fbdecc_c4[8], l2b_l2d_fbdecc_c4[86], l2b_l2d_fbdecc_c4[164], l2b_l2d_fbdecc_c4[242],
887 l2b_l2d_fbdecc_c4[9], l2b_l2d_fbdecc_c4[87], l2b_l2d_fbdecc_c4[165], l2b_l2d_fbdecc_c4[243],
888 l2b_l2d_fbdecc_c4[10], l2b_l2d_fbdecc_c4[88], l2b_l2d_fbdecc_c4[166], l2b_l2d_fbdecc_c4[244],
889 l2b_l2d_fbdecc_c4[11], l2b_l2d_fbdecc_c4[89], l2b_l2d_fbdecc_c4[167], l2b_l2d_fbdecc_c4[245],
890 l2b_l2d_fbdecc_c4[12], l2b_l2d_fbdecc_c4[90], l2b_l2d_fbdecc_c4[168], l2b_l2d_fbdecc_c4[246],
891 l2b_l2d_fbdecc_c4[13], l2b_l2d_fbdecc_c4[91], l2b_l2d_fbdecc_c4[169], l2b_l2d_fbdecc_c4[247],
892 l2b_l2d_fbdecc_c4[14], l2b_l2d_fbdecc_c4[92], l2b_l2d_fbdecc_c4[170], l2b_l2d_fbdecc_c4[248],
893 l2b_l2d_fbdecc_c4[15], l2b_l2d_fbdecc_c4[93], l2b_l2d_fbdecc_c4[171], l2b_l2d_fbdecc_c4[249],
894 l2b_l2d_fbdecc_c4[16], l2b_l2d_fbdecc_c4[94], l2b_l2d_fbdecc_c4[172], l2b_l2d_fbdecc_c4[250],
895 l2b_l2d_fbdecc_c4[17], l2b_l2d_fbdecc_c4[95], l2b_l2d_fbdecc_c4[173], l2b_l2d_fbdecc_c4[251],
896 l2b_l2d_fbdecc_c4[18], l2b_l2d_fbdecc_c4[96], l2b_l2d_fbdecc_c4[174], l2b_l2d_fbdecc_c4[252],
897 l2b_l2d_fbdecc_c4[19], l2b_l2d_fbdecc_c4[97], l2b_l2d_fbdecc_c4[175], l2b_l2d_fbdecc_c4[253],
898 l2b_l2d_fbdecc_c4[20], l2b_l2d_fbdecc_c4[98], l2b_l2d_fbdecc_c4[176], l2b_l2d_fbdecc_c4[254],
899 l2b_l2d_fbdecc_c4[21], l2b_l2d_fbdecc_c4[99], l2b_l2d_fbdecc_c4[177], l2b_l2d_fbdecc_c4[255],
900 l2b_l2d_fbdecc_c4[22], l2b_l2d_fbdecc_c4[100], l2b_l2d_fbdecc_c4[178], l2b_l2d_fbdecc_c4[256],
901 l2b_l2d_fbdecc_c4[23], l2b_l2d_fbdecc_c4[101], l2b_l2d_fbdecc_c4[179], l2b_l2d_fbdecc_c4[257],
902 l2b_l2d_fbdecc_c4[24], l2b_l2d_fbdecc_c4[102], l2b_l2d_fbdecc_c4[180], l2b_l2d_fbdecc_c4[258],
903 l2b_l2d_fbdecc_c4[25], l2b_l2d_fbdecc_c4[103], l2b_l2d_fbdecc_c4[181], l2b_l2d_fbdecc_c4[259],
904 l2b_l2d_fbdecc_c4[26], l2b_l2d_fbdecc_c4[104], l2b_l2d_fbdecc_c4[182], l2b_l2d_fbdecc_c4[260],
905 l2b_l2d_fbdecc_c4[27], l2b_l2d_fbdecc_c4[105], l2b_l2d_fbdecc_c4[183], l2b_l2d_fbdecc_c4[261],
906 l2b_l2d_fbdecc_c4[28], l2b_l2d_fbdecc_c4[106], l2b_l2d_fbdecc_c4[184], l2b_l2d_fbdecc_c4[262],
907 l2b_l2d_fbdecc_c4[29], l2b_l2d_fbdecc_c4[107], l2b_l2d_fbdecc_c4[185], l2b_l2d_fbdecc_c4[263],
908 l2b_l2d_fbdecc_c4[30], l2b_l2d_fbdecc_c4[108], l2b_l2d_fbdecc_c4[186], l2b_l2d_fbdecc_c4[264],
909 l2b_l2d_fbdecc_c4[31], l2b_l2d_fbdecc_c4[109], l2b_l2d_fbdecc_c4[187], l2b_l2d_fbdecc_c4[265],
910 l2b_l2d_fbdecc_c4[32], l2b_l2d_fbdecc_c4[110], l2b_l2d_fbdecc_c4[188], l2b_l2d_fbdecc_c4[266],
911 l2b_l2d_fbdecc_c4[33], l2b_l2d_fbdecc_c4[111], l2b_l2d_fbdecc_c4[189], l2b_l2d_fbdecc_c4[267],
912 l2b_l2d_fbdecc_c4[34], l2b_l2d_fbdecc_c4[112], l2b_l2d_fbdecc_c4[190], l2b_l2d_fbdecc_c4[268],
913 l2b_l2d_fbdecc_c4[35], l2b_l2d_fbdecc_c4[113], l2b_l2d_fbdecc_c4[191], l2b_l2d_fbdecc_c4[269],
914 l2b_l2d_fbdecc_c4[36], l2b_l2d_fbdecc_c4[114], l2b_l2d_fbdecc_c4[192], l2b_l2d_fbdecc_c4[270],
915 l2b_l2d_fbdecc_c4[37], l2b_l2d_fbdecc_c4[115], l2b_l2d_fbdecc_c4[193], l2b_l2d_fbdecc_c4[271],
916 l2b_l2d_fbdecc_c4[38], l2b_l2d_fbdecc_c4[116], l2b_l2d_fbdecc_c4[194], l2b_l2d_fbdecc_c4[272]} = fb_array1_dout[159:0];
917
918assign fb_array1_din[159:0] = ({1'b0, 1'b0, 1'b0, 1'b0,
919 fillbf_fb_array_din[0], fillbf_fb_array_din[78], fillbf_fb_array_din[156], fillbf_fb_array_din[234],
920 fillbf_fb_array_din[1], fillbf_fb_array_din[79], fillbf_fb_array_din[157], fillbf_fb_array_din[235],
921 fillbf_fb_array_din[2], fillbf_fb_array_din[80], fillbf_fb_array_din[158], fillbf_fb_array_din[236],
922 fillbf_fb_array_din[3], fillbf_fb_array_din[81], fillbf_fb_array_din[159], fillbf_fb_array_din[237],
923 fillbf_fb_array_din[4], fillbf_fb_array_din[82], fillbf_fb_array_din[160], fillbf_fb_array_din[238],
924 fillbf_fb_array_din[5], fillbf_fb_array_din[83], fillbf_fb_array_din[161], fillbf_fb_array_din[239],
925 fillbf_fb_array_din[6], fillbf_fb_array_din[84], fillbf_fb_array_din[162], fillbf_fb_array_din[240],
926 fillbf_fb_array_din[7], fillbf_fb_array_din[85], fillbf_fb_array_din[163], fillbf_fb_array_din[241],
927 fillbf_fb_array_din[8], fillbf_fb_array_din[86], fillbf_fb_array_din[164], fillbf_fb_array_din[242],
928 fillbf_fb_array_din[9], fillbf_fb_array_din[87], fillbf_fb_array_din[165], fillbf_fb_array_din[243],
929 fillbf_fb_array_din[10], fillbf_fb_array_din[88], fillbf_fb_array_din[166], fillbf_fb_array_din[244],
930 fillbf_fb_array_din[11], fillbf_fb_array_din[89], fillbf_fb_array_din[167], fillbf_fb_array_din[245],
931 fillbf_fb_array_din[12], fillbf_fb_array_din[90], fillbf_fb_array_din[168], fillbf_fb_array_din[246],
932 fillbf_fb_array_din[13], fillbf_fb_array_din[91], fillbf_fb_array_din[169], fillbf_fb_array_din[247],
933 fillbf_fb_array_din[14], fillbf_fb_array_din[92], fillbf_fb_array_din[170], fillbf_fb_array_din[248],
934 fillbf_fb_array_din[15], fillbf_fb_array_din[93], fillbf_fb_array_din[171], fillbf_fb_array_din[249],
935 fillbf_fb_array_din[16], fillbf_fb_array_din[94], fillbf_fb_array_din[172], fillbf_fb_array_din[250],
936 fillbf_fb_array_din[17], fillbf_fb_array_din[95], fillbf_fb_array_din[173], fillbf_fb_array_din[251],
937 fillbf_fb_array_din[18], fillbf_fb_array_din[96], fillbf_fb_array_din[174], fillbf_fb_array_din[252],
938 fillbf_fb_array_din[19], fillbf_fb_array_din[97], fillbf_fb_array_din[175], fillbf_fb_array_din[253],
939 fillbf_fb_array_din[20], fillbf_fb_array_din[98], fillbf_fb_array_din[176], fillbf_fb_array_din[254],
940 fillbf_fb_array_din[21], fillbf_fb_array_din[99], fillbf_fb_array_din[177], fillbf_fb_array_din[255],
941 fillbf_fb_array_din[22], fillbf_fb_array_din[100], fillbf_fb_array_din[178], fillbf_fb_array_din[256],
942 fillbf_fb_array_din[23], fillbf_fb_array_din[101], fillbf_fb_array_din[179], fillbf_fb_array_din[257],
943 fillbf_fb_array_din[24], fillbf_fb_array_din[102], fillbf_fb_array_din[180], fillbf_fb_array_din[258],
944 fillbf_fb_array_din[25], fillbf_fb_array_din[103], fillbf_fb_array_din[181], fillbf_fb_array_din[259],
945 fillbf_fb_array_din[26], fillbf_fb_array_din[104], fillbf_fb_array_din[182], fillbf_fb_array_din[260],
946 fillbf_fb_array_din[27], fillbf_fb_array_din[105], fillbf_fb_array_din[183], fillbf_fb_array_din[261],
947 fillbf_fb_array_din[28], fillbf_fb_array_din[106], fillbf_fb_array_din[184], fillbf_fb_array_din[262],
948 fillbf_fb_array_din[29], fillbf_fb_array_din[107], fillbf_fb_array_din[185], fillbf_fb_array_din[263],
949 fillbf_fb_array_din[30], fillbf_fb_array_din[108], fillbf_fb_array_din[186], fillbf_fb_array_din[264],
950 fillbf_fb_array_din[31], fillbf_fb_array_din[109], fillbf_fb_array_din[187], fillbf_fb_array_din[265],
951 fillbf_fb_array_din[32], fillbf_fb_array_din[110], fillbf_fb_array_din[188], fillbf_fb_array_din[266],
952 fillbf_fb_array_din[33], fillbf_fb_array_din[111], fillbf_fb_array_din[189], fillbf_fb_array_din[267],
953 fillbf_fb_array_din[34], fillbf_fb_array_din[112], fillbf_fb_array_din[190], fillbf_fb_array_din[268],
954 fillbf_fb_array_din[35], fillbf_fb_array_din[113], fillbf_fb_array_din[191], fillbf_fb_array_din[269],
955 fillbf_fb_array_din[36], fillbf_fb_array_din[114], fillbf_fb_array_din[192], fillbf_fb_array_din[270],
956 fillbf_fb_array_din[37], fillbf_fb_array_din[115], fillbf_fb_array_din[193], fillbf_fb_array_din[271],
957 fillbf_fb_array_din[38], fillbf_fb_array_din[116], fillbf_fb_array_din[194], fillbf_fb_array_din[272]});
958
959n2_l2t_dp_16x160_cust fb_array1
960 (.dout ( fb_array1_dout ),
961 .rd_adr ({1'b0, fillbf_l2t_l2b_fbrd_wl_c3_v1[2:0]}),
962 .din ( fb_array1_din ),
963 .wr_adr ({1'b0, fillbf_l2t_l2b_fbwr_wl_r3_v1[2:0]}),
964 .read_en (fillbf_l2t_l2b_fbrd_en_c3_v1),
965 .wr_en (fillbf_l2t_l2b_fbwr_wren_r3_v1),
966 .word_wen ({fillbf_l2t_l2b_fbwr_wen_r3[15], fillbf_l2t_l2b_fbwr_wen_r3[13],
967 fillbf_l2t_l2b_fbwr_wen_r3[11], fillbf_l2t_l2b_fbwr_wen_r3[9]}),
968 .byte_wen ({1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1,
969 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1}),
970 .scan_in(fb_array1_scanin),
971 .scan_out(fb_array1_scanout),
972 .l2clk (l2clk),
973 .tcu_pce_ov(pce_ov),
974 .pce(1'b1),
975 .tcu_aclk(aclk),
976 .tcu_bclk(bclk),
977 .tcu_scan_en(tcu_scan_en),
978 .mbist_run (fb_mbist_enable),
979 .mbist_wdata (fb_mbist_data_in[7:0]),
980 .tcu_array_wr_inhibit(array_wr_inhibit),
981 .tcu_se_scancollar_in (tcu_se_scancollar_in));
982
983
984////////////////////////////////////////////////////////////////////////////////
985
986l2b_rdmard_dp rdmard
987 (
988 // Outputs
989 .rdmard_l2b_sio_ctag_vld (l2b_sio_ctag_vld),
990 .rdmard_l2b_sio_data (l2b_sio_data[31:0]),
991 .rdmard_l2b_sio_ue_err (l2b_sio_ue_err),
992 .rdmard_l2b_l2t_rdma_uerr_c10 (l2b_l2t_rdma_uerr_c10),
993 .rdmard_l2b_l2t_rdma_cerr_c10 (l2b_l2t_rdma_cerr_c10),
994 .rdmard_l2b_l2t_rdma_notdata_c10 (l2b_l2t_rdma_notdata_c10),
995 .rdmard_l2b_sio_parity (l2b_sio_parity[1:0]),//Phase 2 :SIU interface changes 2/5/04
996 .l2b_dbg_sio_ctag_vld (l2b_dbg_sio_ctag_vld),
997 .l2b_dbg_sio_ack_type (l2b_dbg_sio_ack_type),
998 .l2b_dbg_sio_ack_dest (l2b_dbg_sio_ack_dest),
999
1000 // Inputs
1001 .tcu_aclk (aclk),
1002 .tcu_bclk (bclk),
1003 .tcu_scan_en (tcu_scan_en),
1004 .tcu_pce_ov (tcu_pce_ov),
1005 .tcu_clk_stop (1'b0),
1006 .scan_in(rdmard_scanin),
1007 .scan_out(rdmard_scanout),
1008 .l2clk (l2clk),
1009 .l2t_l2b_ctag_en_c7 (l2t_l2b_ctag_en_c7),
1010 .l2t_l2b_ctag_c7 (l2t_l2b_ctag_c7[31:0]),// Phase 2 : SIU inteface and packet format change 2/7/04
1011 .l2t_l2b_req_en_c7 (l2t_l2b_req_en_c7),
1012 .l2d_l2b_decc_out_c7 (l2d_l2b_decc_out_c7[623:0]),
1013 .l2t_l2b_word_c7 (l2t_l2b_word_c7[3:0]),
1014 .l2t_l2b_word_vld_c7 (l2t_l2b_word_vld_c7));
1015
1016
1017////////////////////////////////////////////////////////////////////////////////
1018
1019assign {wb_array_dout_unused[15:12],
1020 wb_array_dout[351], wb_array_dout[429], wb_array_dout[507], wb_array_dout[585],
1021 wb_array_dout[352], wb_array_dout[430], wb_array_dout[508], wb_array_dout[586],
1022 wb_array_dout[353], wb_array_dout[431], wb_array_dout[509], wb_array_dout[587],
1023 wb_array_dout[354], wb_array_dout[432], wb_array_dout[510], wb_array_dout[588],
1024 wb_array_dout[355], wb_array_dout[433], wb_array_dout[511], wb_array_dout[589],
1025 wb_array_dout[356], wb_array_dout[434], wb_array_dout[512], wb_array_dout[590],
1026 wb_array_dout[357], wb_array_dout[435], wb_array_dout[513], wb_array_dout[591],
1027 wb_array_dout[358], wb_array_dout[436], wb_array_dout[514], wb_array_dout[592],
1028 wb_array_dout[359], wb_array_dout[437], wb_array_dout[515], wb_array_dout[593],
1029 wb_array_dout[360], wb_array_dout[438], wb_array_dout[516], wb_array_dout[594],
1030 wb_array_dout[361], wb_array_dout[439], wb_array_dout[517], wb_array_dout[595],
1031 wb_array_dout[362], wb_array_dout[440], wb_array_dout[518], wb_array_dout[596],
1032 wb_array_dout[363], wb_array_dout[441], wb_array_dout[519], wb_array_dout[597],
1033 wb_array_dout[364], wb_array_dout[442], wb_array_dout[520], wb_array_dout[598],
1034 wb_array_dout[365], wb_array_dout[443], wb_array_dout[521], wb_array_dout[599],
1035 wb_array_dout[366], wb_array_dout[444], wb_array_dout[522], wb_array_dout[600],
1036 wb_array_dout[367], wb_array_dout[445], wb_array_dout[523], wb_array_dout[601],
1037 wb_array_dout[368], wb_array_dout[446], wb_array_dout[524], wb_array_dout[602],
1038 wb_array_dout[369], wb_array_dout[447], wb_array_dout[525], wb_array_dout[603],
1039 wb_array_dout[370], wb_array_dout[448], wb_array_dout[526], wb_array_dout[604],
1040 wb_array_dout[371], wb_array_dout[449], wb_array_dout[527], wb_array_dout[605],
1041 wb_array_dout[372], wb_array_dout[450], wb_array_dout[528], wb_array_dout[606],
1042 wb_array_dout[373], wb_array_dout[451], wb_array_dout[529], wb_array_dout[607],
1043 wb_array_dout[374], wb_array_dout[452], wb_array_dout[530], wb_array_dout[608],
1044 wb_array_dout[375], wb_array_dout[453], wb_array_dout[531], wb_array_dout[609],
1045 wb_array_dout[376], wb_array_dout[454], wb_array_dout[532], wb_array_dout[610],
1046 wb_array_dout[377], wb_array_dout[455], wb_array_dout[533], wb_array_dout[611],
1047 wb_array_dout[378], wb_array_dout[456], wb_array_dout[534], wb_array_dout[612],
1048 wb_array_dout[379], wb_array_dout[457], wb_array_dout[535], wb_array_dout[613],
1049 wb_array_dout[380], wb_array_dout[458], wb_array_dout[536], wb_array_dout[614],
1050 wb_array_dout[381], wb_array_dout[459], wb_array_dout[537], wb_array_dout[615],
1051 wb_array_dout[382], wb_array_dout[460], wb_array_dout[538], wb_array_dout[616],
1052 wb_array_dout[383], wb_array_dout[461], wb_array_dout[539], wb_array_dout[617],
1053 wb_array_dout[384], wb_array_dout[462], wb_array_dout[540], wb_array_dout[618],
1054 wb_array_dout[385], wb_array_dout[463], wb_array_dout[541], wb_array_dout[619],
1055 wb_array_dout[386], wb_array_dout[464], wb_array_dout[542], wb_array_dout[620],
1056 wb_array_dout[387], wb_array_dout[465], wb_array_dout[543], wb_array_dout[621],
1057 wb_array_dout[388], wb_array_dout[466], wb_array_dout[544], wb_array_dout[622],
1058 wb_array_dout[389], wb_array_dout[467], wb_array_dout[545], wb_array_dout[623]} = wb_array4_dout[159:0];
1059
1060assign wb_array4_din[159:120] = {1'b0, 1'b0, 1'b0, 1'b0,
1061 l2d_l2b_decc_out_c7[351], l2d_l2b_decc_out_c7[429], l2d_l2b_decc_out_c7[507], l2d_l2b_decc_out_c7[585],
1062 l2d_l2b_decc_out_c7[352], l2d_l2b_decc_out_c7[430], l2d_l2b_decc_out_c7[508], l2d_l2b_decc_out_c7[586],
1063 l2d_l2b_decc_out_c7[353], l2d_l2b_decc_out_c7[431], l2d_l2b_decc_out_c7[509], l2d_l2b_decc_out_c7[587],
1064 l2d_l2b_decc_out_c7[354], l2d_l2b_decc_out_c7[432], l2d_l2b_decc_out_c7[510], l2d_l2b_decc_out_c7[588],
1065 l2d_l2b_decc_out_c7[355], l2d_l2b_decc_out_c7[433], l2d_l2b_decc_out_c7[511], l2d_l2b_decc_out_c7[589],
1066 l2d_l2b_decc_out_c7[356], l2d_l2b_decc_out_c7[434], l2d_l2b_decc_out_c7[512], l2d_l2b_decc_out_c7[590],
1067 l2d_l2b_decc_out_c7[357], l2d_l2b_decc_out_c7[435], l2d_l2b_decc_out_c7[513], l2d_l2b_decc_out_c7[591],
1068 l2d_l2b_decc_out_c7[358], l2d_l2b_decc_out_c7[436], l2d_l2b_decc_out_c7[514], l2d_l2b_decc_out_c7[592],
1069 l2d_l2b_decc_out_c7[359], l2d_l2b_decc_out_c7[437], l2d_l2b_decc_out_c7[515], l2d_l2b_decc_out_c7[593]};
1070assign wb_array4_din[119:80] =
1071 {l2d_l2b_decc_out_c7[360], l2d_l2b_decc_out_c7[438], l2d_l2b_decc_out_c7[516], l2d_l2b_decc_out_c7[594],
1072 l2d_l2b_decc_out_c7[361], l2d_l2b_decc_out_c7[439], l2d_l2b_decc_out_c7[517], l2d_l2b_decc_out_c7[595],
1073 l2d_l2b_decc_out_c7[362], l2d_l2b_decc_out_c7[440], l2d_l2b_decc_out_c7[518], l2d_l2b_decc_out_c7[596],
1074 l2d_l2b_decc_out_c7[363], l2d_l2b_decc_out_c7[441], l2d_l2b_decc_out_c7[519], l2d_l2b_decc_out_c7[597],
1075 l2d_l2b_decc_out_c7[364], l2d_l2b_decc_out_c7[442], l2d_l2b_decc_out_c7[520], l2d_l2b_decc_out_c7[598],
1076 l2d_l2b_decc_out_c7[365], l2d_l2b_decc_out_c7[443], l2d_l2b_decc_out_c7[521], l2d_l2b_decc_out_c7[599],
1077 l2d_l2b_decc_out_c7[366], l2d_l2b_decc_out_c7[444], l2d_l2b_decc_out_c7[522], l2d_l2b_decc_out_c7[600],
1078 l2d_l2b_decc_out_c7[367], l2d_l2b_decc_out_c7[445], l2d_l2b_decc_out_c7[523], l2d_l2b_decc_out_c7[601],
1079 l2d_l2b_decc_out_c7[368], l2d_l2b_decc_out_c7[446], l2d_l2b_decc_out_c7[524], l2d_l2b_decc_out_c7[602],
1080 l2d_l2b_decc_out_c7[369], l2d_l2b_decc_out_c7[447], l2d_l2b_decc_out_c7[525], l2d_l2b_decc_out_c7[603]};
1081assign wb_array4_din[79:40] =
1082 {l2d_l2b_decc_out_c7[370], l2d_l2b_decc_out_c7[448], l2d_l2b_decc_out_c7[526], l2d_l2b_decc_out_c7[604],
1083 l2d_l2b_decc_out_c7[371], l2d_l2b_decc_out_c7[449], l2d_l2b_decc_out_c7[527], l2d_l2b_decc_out_c7[605],
1084 l2d_l2b_decc_out_c7[372], l2d_l2b_decc_out_c7[450], l2d_l2b_decc_out_c7[528], l2d_l2b_decc_out_c7[606],
1085 l2d_l2b_decc_out_c7[373], l2d_l2b_decc_out_c7[451], l2d_l2b_decc_out_c7[529], l2d_l2b_decc_out_c7[607],
1086 l2d_l2b_decc_out_c7[374], l2d_l2b_decc_out_c7[452], l2d_l2b_decc_out_c7[530], l2d_l2b_decc_out_c7[608],
1087 l2d_l2b_decc_out_c7[375], l2d_l2b_decc_out_c7[453], l2d_l2b_decc_out_c7[531], l2d_l2b_decc_out_c7[609],
1088 l2d_l2b_decc_out_c7[376], l2d_l2b_decc_out_c7[454], l2d_l2b_decc_out_c7[532], l2d_l2b_decc_out_c7[610],
1089 l2d_l2b_decc_out_c7[377], l2d_l2b_decc_out_c7[455], l2d_l2b_decc_out_c7[533], l2d_l2b_decc_out_c7[611],
1090 l2d_l2b_decc_out_c7[378], l2d_l2b_decc_out_c7[456], l2d_l2b_decc_out_c7[534], l2d_l2b_decc_out_c7[612],
1091 l2d_l2b_decc_out_c7[379], l2d_l2b_decc_out_c7[457], l2d_l2b_decc_out_c7[535], l2d_l2b_decc_out_c7[613]};
1092assign wb_array4_din[39:0] =
1093 {l2d_l2b_decc_out_c7[380], l2d_l2b_decc_out_c7[458], l2d_l2b_decc_out_c7[536], l2d_l2b_decc_out_c7[614],
1094 l2d_l2b_decc_out_c7[381], l2d_l2b_decc_out_c7[459], l2d_l2b_decc_out_c7[537], l2d_l2b_decc_out_c7[615],
1095 l2d_l2b_decc_out_c7[382], l2d_l2b_decc_out_c7[460], l2d_l2b_decc_out_c7[538], l2d_l2b_decc_out_c7[616],
1096 l2d_l2b_decc_out_c7[383], l2d_l2b_decc_out_c7[461], l2d_l2b_decc_out_c7[539], l2d_l2b_decc_out_c7[617],
1097 l2d_l2b_decc_out_c7[384], l2d_l2b_decc_out_c7[462], l2d_l2b_decc_out_c7[540], l2d_l2b_decc_out_c7[618],
1098 l2d_l2b_decc_out_c7[385], l2d_l2b_decc_out_c7[463], l2d_l2b_decc_out_c7[541], l2d_l2b_decc_out_c7[619],
1099 l2d_l2b_decc_out_c7[386], l2d_l2b_decc_out_c7[464], l2d_l2b_decc_out_c7[542], l2d_l2b_decc_out_c7[620],
1100 l2d_l2b_decc_out_c7[387], l2d_l2b_decc_out_c7[465], l2d_l2b_decc_out_c7[543], l2d_l2b_decc_out_c7[621],
1101 l2d_l2b_decc_out_c7[388], l2d_l2b_decc_out_c7[466], l2d_l2b_decc_out_c7[544], l2d_l2b_decc_out_c7[622],
1102 l2d_l2b_decc_out_c7[389], l2d_l2b_decc_out_c7[467], l2d_l2b_decc_out_c7[545], l2d_l2b_decc_out_c7[623]};
1103
1104
1105
1106
1107
1108n2_l2t_dp_16x160_cust wb_array4
1109 (.dout ( wb_array4_dout ),
1110 .din ( wb_array4_din ),
1111 .rd_adr ({1'b0, evict_l2t_l2b_wbrd_wl_r1_v4[2:0]}),
1112 .wr_adr ({1'b0, evict_l2t_l2b_wbwr_wl_c8_v4[2:0]}),
1113 .read_en (evict_l2t_l2b_wbrd_en_r1_v4),
1114 .wr_en (evict_l2t_l2b_wbwr_wen_c8_v4),
1115 .word_wen ({4{evict_l2t_l2b_wbwr_wen_c8_v4}}),
1116 .byte_wen ({1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1,
1117 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1}),
1118 .scan_in(wb_array4_scanin),
1119 .scan_out(wb_array4_scanout),
1120 .l2clk (l2clk),
1121 .tcu_pce_ov(pce_ov),
1122 .pce(1'b1),
1123 .tcu_aclk(aclk),
1124 .tcu_bclk(bclk),
1125 .tcu_scan_en(tcu_scan_en),
1126 .mbist_run (wb_mbist_enable),
1127 .mbist_wdata (wb_mbist_data_in[7:0]),
1128 .tcu_array_wr_inhibit(array_wr_inhibit),
1129 .tcu_se_scancollar_in (tcu_se_scancollar_in));
1130
1131assign {wb_array_dout_unused[11:8],
1132 wb_array_dout[312], wb_array_dout[390], wb_array_dout[468], wb_array_dout[546],
1133 wb_array_dout[313], wb_array_dout[391], wb_array_dout[469], wb_array_dout[547],
1134 wb_array_dout[314], wb_array_dout[392], wb_array_dout[470], wb_array_dout[548],
1135 wb_array_dout[315], wb_array_dout[393], wb_array_dout[471], wb_array_dout[549],
1136 wb_array_dout[316], wb_array_dout[394], wb_array_dout[472], wb_array_dout[550],
1137 wb_array_dout[317], wb_array_dout[395], wb_array_dout[473], wb_array_dout[551],
1138 wb_array_dout[318], wb_array_dout[396], wb_array_dout[474], wb_array_dout[552],
1139 wb_array_dout[319], wb_array_dout[397], wb_array_dout[475], wb_array_dout[553],
1140 wb_array_dout[320], wb_array_dout[398], wb_array_dout[476], wb_array_dout[554],
1141 wb_array_dout[321], wb_array_dout[399], wb_array_dout[477], wb_array_dout[555],
1142 wb_array_dout[322], wb_array_dout[400], wb_array_dout[478], wb_array_dout[556],
1143 wb_array_dout[323], wb_array_dout[401], wb_array_dout[479], wb_array_dout[557],
1144 wb_array_dout[324], wb_array_dout[402], wb_array_dout[480], wb_array_dout[558],
1145 wb_array_dout[325], wb_array_dout[403], wb_array_dout[481], wb_array_dout[559],
1146 wb_array_dout[326], wb_array_dout[404], wb_array_dout[482], wb_array_dout[560],
1147 wb_array_dout[327], wb_array_dout[405], wb_array_dout[483], wb_array_dout[561],
1148 wb_array_dout[328], wb_array_dout[406], wb_array_dout[484], wb_array_dout[562],
1149 wb_array_dout[329], wb_array_dout[407], wb_array_dout[485], wb_array_dout[563],
1150 wb_array_dout[330], wb_array_dout[408], wb_array_dout[486], wb_array_dout[564],
1151 wb_array_dout[331], wb_array_dout[409], wb_array_dout[487], wb_array_dout[565],
1152 wb_array_dout[332], wb_array_dout[410], wb_array_dout[488], wb_array_dout[566],
1153 wb_array_dout[333], wb_array_dout[411], wb_array_dout[489], wb_array_dout[567],
1154 wb_array_dout[334], wb_array_dout[412], wb_array_dout[490], wb_array_dout[568],
1155 wb_array_dout[335], wb_array_dout[413], wb_array_dout[491], wb_array_dout[569],
1156 wb_array_dout[336], wb_array_dout[414], wb_array_dout[492], wb_array_dout[570],
1157 wb_array_dout[337], wb_array_dout[415], wb_array_dout[493], wb_array_dout[571],
1158 wb_array_dout[338], wb_array_dout[416], wb_array_dout[494], wb_array_dout[572],
1159 wb_array_dout[339], wb_array_dout[417], wb_array_dout[495], wb_array_dout[573],
1160 wb_array_dout[340], wb_array_dout[418], wb_array_dout[496], wb_array_dout[574],
1161 wb_array_dout[341], wb_array_dout[419], wb_array_dout[497], wb_array_dout[575],
1162 wb_array_dout[342], wb_array_dout[420], wb_array_dout[498], wb_array_dout[576],
1163 wb_array_dout[343], wb_array_dout[421], wb_array_dout[499], wb_array_dout[577],
1164 wb_array_dout[344], wb_array_dout[422], wb_array_dout[500], wb_array_dout[578],
1165 wb_array_dout[345], wb_array_dout[423], wb_array_dout[501], wb_array_dout[579],
1166 wb_array_dout[346], wb_array_dout[424], wb_array_dout[502], wb_array_dout[580],
1167 wb_array_dout[347], wb_array_dout[425], wb_array_dout[503], wb_array_dout[581],
1168 wb_array_dout[348], wb_array_dout[426], wb_array_dout[504], wb_array_dout[582],
1169 wb_array_dout[349], wb_array_dout[427], wb_array_dout[505], wb_array_dout[583],
1170 wb_array_dout[350], wb_array_dout[428], wb_array_dout[506], wb_array_dout[584]} = wb_array3_dout[159:0];
1171
1172assign wb_array3_din[159:120] = {1'b0, 1'b0, 1'b0, 1'b0,
1173 l2d_l2b_decc_out_c7[312], l2d_l2b_decc_out_c7[390], l2d_l2b_decc_out_c7[468], l2d_l2b_decc_out_c7[546],
1174 l2d_l2b_decc_out_c7[313], l2d_l2b_decc_out_c7[391], l2d_l2b_decc_out_c7[469], l2d_l2b_decc_out_c7[547],
1175 l2d_l2b_decc_out_c7[314], l2d_l2b_decc_out_c7[392], l2d_l2b_decc_out_c7[470], l2d_l2b_decc_out_c7[548],
1176 l2d_l2b_decc_out_c7[315], l2d_l2b_decc_out_c7[393], l2d_l2b_decc_out_c7[471], l2d_l2b_decc_out_c7[549],
1177 l2d_l2b_decc_out_c7[316], l2d_l2b_decc_out_c7[394], l2d_l2b_decc_out_c7[472], l2d_l2b_decc_out_c7[550],
1178 l2d_l2b_decc_out_c7[317], l2d_l2b_decc_out_c7[395], l2d_l2b_decc_out_c7[473], l2d_l2b_decc_out_c7[551],
1179 l2d_l2b_decc_out_c7[318], l2d_l2b_decc_out_c7[396], l2d_l2b_decc_out_c7[474], l2d_l2b_decc_out_c7[552],
1180 l2d_l2b_decc_out_c7[319], l2d_l2b_decc_out_c7[397], l2d_l2b_decc_out_c7[475], l2d_l2b_decc_out_c7[553],
1181 l2d_l2b_decc_out_c7[320], l2d_l2b_decc_out_c7[398], l2d_l2b_decc_out_c7[476], l2d_l2b_decc_out_c7[554]};
1182assign wb_array3_din[119:80] =
1183 {l2d_l2b_decc_out_c7[321], l2d_l2b_decc_out_c7[399], l2d_l2b_decc_out_c7[477], l2d_l2b_decc_out_c7[555],
1184 l2d_l2b_decc_out_c7[322], l2d_l2b_decc_out_c7[400], l2d_l2b_decc_out_c7[478], l2d_l2b_decc_out_c7[556],
1185 l2d_l2b_decc_out_c7[323], l2d_l2b_decc_out_c7[401], l2d_l2b_decc_out_c7[479], l2d_l2b_decc_out_c7[557],
1186 l2d_l2b_decc_out_c7[324], l2d_l2b_decc_out_c7[402], l2d_l2b_decc_out_c7[480], l2d_l2b_decc_out_c7[558],
1187 l2d_l2b_decc_out_c7[325], l2d_l2b_decc_out_c7[403], l2d_l2b_decc_out_c7[481], l2d_l2b_decc_out_c7[559],
1188 l2d_l2b_decc_out_c7[326], l2d_l2b_decc_out_c7[404], l2d_l2b_decc_out_c7[482], l2d_l2b_decc_out_c7[560],
1189 l2d_l2b_decc_out_c7[327], l2d_l2b_decc_out_c7[405], l2d_l2b_decc_out_c7[483], l2d_l2b_decc_out_c7[561],
1190 l2d_l2b_decc_out_c7[328], l2d_l2b_decc_out_c7[406], l2d_l2b_decc_out_c7[484], l2d_l2b_decc_out_c7[562],
1191 l2d_l2b_decc_out_c7[329], l2d_l2b_decc_out_c7[407], l2d_l2b_decc_out_c7[485], l2d_l2b_decc_out_c7[563],
1192 l2d_l2b_decc_out_c7[330], l2d_l2b_decc_out_c7[408], l2d_l2b_decc_out_c7[486], l2d_l2b_decc_out_c7[564]};
1193assign wb_array3_din[79:40] =
1194 {l2d_l2b_decc_out_c7[331], l2d_l2b_decc_out_c7[409], l2d_l2b_decc_out_c7[487], l2d_l2b_decc_out_c7[565],
1195 l2d_l2b_decc_out_c7[332], l2d_l2b_decc_out_c7[410], l2d_l2b_decc_out_c7[488], l2d_l2b_decc_out_c7[566],
1196 l2d_l2b_decc_out_c7[333], l2d_l2b_decc_out_c7[411], l2d_l2b_decc_out_c7[489], l2d_l2b_decc_out_c7[567],
1197 l2d_l2b_decc_out_c7[334], l2d_l2b_decc_out_c7[412], l2d_l2b_decc_out_c7[490], l2d_l2b_decc_out_c7[568],
1198 l2d_l2b_decc_out_c7[335], l2d_l2b_decc_out_c7[413], l2d_l2b_decc_out_c7[491], l2d_l2b_decc_out_c7[569],
1199 l2d_l2b_decc_out_c7[336], l2d_l2b_decc_out_c7[414], l2d_l2b_decc_out_c7[492], l2d_l2b_decc_out_c7[570],
1200 l2d_l2b_decc_out_c7[337], l2d_l2b_decc_out_c7[415], l2d_l2b_decc_out_c7[493], l2d_l2b_decc_out_c7[571],
1201 l2d_l2b_decc_out_c7[338], l2d_l2b_decc_out_c7[416], l2d_l2b_decc_out_c7[494], l2d_l2b_decc_out_c7[572],
1202 l2d_l2b_decc_out_c7[339], l2d_l2b_decc_out_c7[417], l2d_l2b_decc_out_c7[495], l2d_l2b_decc_out_c7[573],
1203 l2d_l2b_decc_out_c7[340], l2d_l2b_decc_out_c7[418], l2d_l2b_decc_out_c7[496], l2d_l2b_decc_out_c7[574]};
1204assign wb_array3_din[39:0] =
1205 {l2d_l2b_decc_out_c7[341], l2d_l2b_decc_out_c7[419], l2d_l2b_decc_out_c7[497], l2d_l2b_decc_out_c7[575],
1206 l2d_l2b_decc_out_c7[342], l2d_l2b_decc_out_c7[420], l2d_l2b_decc_out_c7[498], l2d_l2b_decc_out_c7[576],
1207 l2d_l2b_decc_out_c7[343], l2d_l2b_decc_out_c7[421], l2d_l2b_decc_out_c7[499], l2d_l2b_decc_out_c7[577],
1208 l2d_l2b_decc_out_c7[344], l2d_l2b_decc_out_c7[422], l2d_l2b_decc_out_c7[500], l2d_l2b_decc_out_c7[578],
1209 l2d_l2b_decc_out_c7[345], l2d_l2b_decc_out_c7[423], l2d_l2b_decc_out_c7[501], l2d_l2b_decc_out_c7[579],
1210 l2d_l2b_decc_out_c7[346], l2d_l2b_decc_out_c7[424], l2d_l2b_decc_out_c7[502], l2d_l2b_decc_out_c7[580],
1211 l2d_l2b_decc_out_c7[347], l2d_l2b_decc_out_c7[425], l2d_l2b_decc_out_c7[503], l2d_l2b_decc_out_c7[581],
1212 l2d_l2b_decc_out_c7[348], l2d_l2b_decc_out_c7[426], l2d_l2b_decc_out_c7[504], l2d_l2b_decc_out_c7[582],
1213 l2d_l2b_decc_out_c7[349], l2d_l2b_decc_out_c7[427], l2d_l2b_decc_out_c7[505], l2d_l2b_decc_out_c7[583],
1214 l2d_l2b_decc_out_c7[350], l2d_l2b_decc_out_c7[428], l2d_l2b_decc_out_c7[506], l2d_l2b_decc_out_c7[584]};
1215
1216
1217n2_l2t_dp_16x160_cust wb_array3
1218 (.dout (wb_array3_dout ),
1219 .din (wb_array3_din ),
1220 .rd_adr ({1'b0, evict_l2t_l2b_wbrd_wl_r1_v3[2:0]}),
1221 .wr_adr ({1'b0, evict_l2t_l2b_wbwr_wl_c8_v3[2:0]}),
1222 .read_en (evict_l2t_l2b_wbrd_en_r1_v3),
1223 .wr_en (evict_l2t_l2b_wbwr_wen_c8_v3),
1224 .word_wen ({4{evict_l2t_l2b_wbwr_wen_c8_v3}}),
1225 .byte_wen ({1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1,
1226 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1}),
1227 .scan_in(wb_array3_scanin),
1228 .scan_out(wb_array3_scanout),
1229 .l2clk (l2clk),
1230 .tcu_pce_ov(pce_ov),
1231 .pce(1'b1),
1232 .tcu_aclk(aclk),
1233 .tcu_bclk(bclk),
1234 .tcu_scan_en(tcu_scan_en),
1235 .mbist_run (wb_mbist_enable),
1236 .mbist_wdata (wb_mbist_data_in[7:0]),
1237 .tcu_array_wr_inhibit(array_wr_inhibit),
1238 .tcu_se_scancollar_in (tcu_se_scancollar_in));
1239
1240assign {wb_array_dout_unused[7:4],
1241 wb_array_dout[39], wb_array_dout[117], wb_array_dout[195], wb_array_dout[273],
1242 wb_array_dout[40], wb_array_dout[118], wb_array_dout[196], wb_array_dout[274],
1243 wb_array_dout[41], wb_array_dout[119], wb_array_dout[197], wb_array_dout[275],
1244 wb_array_dout[42], wb_array_dout[120], wb_array_dout[198], wb_array_dout[276],
1245 wb_array_dout[43], wb_array_dout[121], wb_array_dout[199], wb_array_dout[277],
1246 wb_array_dout[44], wb_array_dout[122], wb_array_dout[200], wb_array_dout[278],
1247 wb_array_dout[45], wb_array_dout[123], wb_array_dout[201], wb_array_dout[279],
1248 wb_array_dout[46], wb_array_dout[124], wb_array_dout[202], wb_array_dout[280],
1249 wb_array_dout[47], wb_array_dout[125], wb_array_dout[203], wb_array_dout[281],
1250 wb_array_dout[48], wb_array_dout[126], wb_array_dout[204], wb_array_dout[282],
1251 wb_array_dout[49], wb_array_dout[127], wb_array_dout[205], wb_array_dout[283],
1252 wb_array_dout[50], wb_array_dout[128], wb_array_dout[206], wb_array_dout[284],
1253 wb_array_dout[51], wb_array_dout[129], wb_array_dout[207], wb_array_dout[285],
1254 wb_array_dout[52], wb_array_dout[130], wb_array_dout[208], wb_array_dout[286],
1255 wb_array_dout[53], wb_array_dout[131], wb_array_dout[209], wb_array_dout[287],
1256 wb_array_dout[54], wb_array_dout[132], wb_array_dout[210], wb_array_dout[288],
1257 wb_array_dout[55], wb_array_dout[133], wb_array_dout[211], wb_array_dout[289],
1258 wb_array_dout[56], wb_array_dout[134], wb_array_dout[212], wb_array_dout[290],
1259 wb_array_dout[57], wb_array_dout[135], wb_array_dout[213], wb_array_dout[291],
1260 wb_array_dout[58], wb_array_dout[136], wb_array_dout[214], wb_array_dout[292],
1261 wb_array_dout[59], wb_array_dout[137], wb_array_dout[215], wb_array_dout[293],
1262 wb_array_dout[60], wb_array_dout[138], wb_array_dout[216], wb_array_dout[294],
1263 wb_array_dout[61], wb_array_dout[139], wb_array_dout[217], wb_array_dout[295],
1264 wb_array_dout[62], wb_array_dout[140], wb_array_dout[218], wb_array_dout[296],
1265 wb_array_dout[63], wb_array_dout[141], wb_array_dout[219], wb_array_dout[297],
1266 wb_array_dout[64], wb_array_dout[142], wb_array_dout[220], wb_array_dout[298],
1267 wb_array_dout[65], wb_array_dout[143], wb_array_dout[221], wb_array_dout[299],
1268 wb_array_dout[66], wb_array_dout[144], wb_array_dout[222], wb_array_dout[300],
1269 wb_array_dout[67], wb_array_dout[145], wb_array_dout[223], wb_array_dout[301],
1270 wb_array_dout[68], wb_array_dout[146], wb_array_dout[224], wb_array_dout[302],
1271 wb_array_dout[69], wb_array_dout[147], wb_array_dout[225], wb_array_dout[303],
1272 wb_array_dout[70], wb_array_dout[148], wb_array_dout[226], wb_array_dout[304],
1273 wb_array_dout[71], wb_array_dout[149], wb_array_dout[227], wb_array_dout[305],
1274 wb_array_dout[72], wb_array_dout[150], wb_array_dout[228], wb_array_dout[306],
1275 wb_array_dout[73], wb_array_dout[151], wb_array_dout[229], wb_array_dout[307],
1276 wb_array_dout[74], wb_array_dout[152], wb_array_dout[230], wb_array_dout[308],
1277 wb_array_dout[75], wb_array_dout[153], wb_array_dout[231], wb_array_dout[309],
1278 wb_array_dout[76], wb_array_dout[154], wb_array_dout[232], wb_array_dout[310],
1279 wb_array_dout[77], wb_array_dout[155], wb_array_dout[233], wb_array_dout[311]} = wb_array2_dout[159:0];
1280
1281assign wb_array2_din[159:120] = {1'b0, 1'b0, 1'b0, 1'b0,
1282 l2d_l2b_decc_out_c7[39], l2d_l2b_decc_out_c7[117], l2d_l2b_decc_out_c7[195], l2d_l2b_decc_out_c7[273],
1283 l2d_l2b_decc_out_c7[40], l2d_l2b_decc_out_c7[118], l2d_l2b_decc_out_c7[196], l2d_l2b_decc_out_c7[274],
1284 l2d_l2b_decc_out_c7[41], l2d_l2b_decc_out_c7[119], l2d_l2b_decc_out_c7[197], l2d_l2b_decc_out_c7[275],
1285 l2d_l2b_decc_out_c7[42], l2d_l2b_decc_out_c7[120], l2d_l2b_decc_out_c7[198], l2d_l2b_decc_out_c7[276],
1286 l2d_l2b_decc_out_c7[43], l2d_l2b_decc_out_c7[121], l2d_l2b_decc_out_c7[199], l2d_l2b_decc_out_c7[277],
1287 l2d_l2b_decc_out_c7[44], l2d_l2b_decc_out_c7[122], l2d_l2b_decc_out_c7[200], l2d_l2b_decc_out_c7[278],
1288 l2d_l2b_decc_out_c7[45], l2d_l2b_decc_out_c7[123], l2d_l2b_decc_out_c7[201], l2d_l2b_decc_out_c7[279],
1289 l2d_l2b_decc_out_c7[46], l2d_l2b_decc_out_c7[124], l2d_l2b_decc_out_c7[202], l2d_l2b_decc_out_c7[280],
1290 l2d_l2b_decc_out_c7[47], l2d_l2b_decc_out_c7[125], l2d_l2b_decc_out_c7[203], l2d_l2b_decc_out_c7[281]};
1291assign wb_array2_din[119:80] =
1292 {l2d_l2b_decc_out_c7[48], l2d_l2b_decc_out_c7[126], l2d_l2b_decc_out_c7[204], l2d_l2b_decc_out_c7[282],
1293 l2d_l2b_decc_out_c7[49], l2d_l2b_decc_out_c7[127], l2d_l2b_decc_out_c7[205], l2d_l2b_decc_out_c7[283],
1294 l2d_l2b_decc_out_c7[50], l2d_l2b_decc_out_c7[128], l2d_l2b_decc_out_c7[206], l2d_l2b_decc_out_c7[284],
1295 l2d_l2b_decc_out_c7[51], l2d_l2b_decc_out_c7[129], l2d_l2b_decc_out_c7[207], l2d_l2b_decc_out_c7[285],
1296 l2d_l2b_decc_out_c7[52], l2d_l2b_decc_out_c7[130], l2d_l2b_decc_out_c7[208], l2d_l2b_decc_out_c7[286],
1297 l2d_l2b_decc_out_c7[53], l2d_l2b_decc_out_c7[131], l2d_l2b_decc_out_c7[209], l2d_l2b_decc_out_c7[287],
1298 l2d_l2b_decc_out_c7[54], l2d_l2b_decc_out_c7[132], l2d_l2b_decc_out_c7[210], l2d_l2b_decc_out_c7[288],
1299 l2d_l2b_decc_out_c7[55], l2d_l2b_decc_out_c7[133], l2d_l2b_decc_out_c7[211], l2d_l2b_decc_out_c7[289],
1300 l2d_l2b_decc_out_c7[56], l2d_l2b_decc_out_c7[134], l2d_l2b_decc_out_c7[212], l2d_l2b_decc_out_c7[290],
1301 l2d_l2b_decc_out_c7[57], l2d_l2b_decc_out_c7[135], l2d_l2b_decc_out_c7[213], l2d_l2b_decc_out_c7[291]};
1302assign wb_array2_din[79:40] =
1303 {l2d_l2b_decc_out_c7[58], l2d_l2b_decc_out_c7[136], l2d_l2b_decc_out_c7[214], l2d_l2b_decc_out_c7[292],
1304 l2d_l2b_decc_out_c7[59], l2d_l2b_decc_out_c7[137], l2d_l2b_decc_out_c7[215], l2d_l2b_decc_out_c7[293],
1305 l2d_l2b_decc_out_c7[60], l2d_l2b_decc_out_c7[138], l2d_l2b_decc_out_c7[216], l2d_l2b_decc_out_c7[294],
1306 l2d_l2b_decc_out_c7[61], l2d_l2b_decc_out_c7[139], l2d_l2b_decc_out_c7[217], l2d_l2b_decc_out_c7[295],
1307 l2d_l2b_decc_out_c7[62], l2d_l2b_decc_out_c7[140], l2d_l2b_decc_out_c7[218], l2d_l2b_decc_out_c7[296],
1308 l2d_l2b_decc_out_c7[63], l2d_l2b_decc_out_c7[141], l2d_l2b_decc_out_c7[219], l2d_l2b_decc_out_c7[297],
1309 l2d_l2b_decc_out_c7[64], l2d_l2b_decc_out_c7[142], l2d_l2b_decc_out_c7[220], l2d_l2b_decc_out_c7[298],
1310 l2d_l2b_decc_out_c7[65], l2d_l2b_decc_out_c7[143], l2d_l2b_decc_out_c7[221], l2d_l2b_decc_out_c7[299],
1311 l2d_l2b_decc_out_c7[66], l2d_l2b_decc_out_c7[144], l2d_l2b_decc_out_c7[222], l2d_l2b_decc_out_c7[300],
1312 l2d_l2b_decc_out_c7[67], l2d_l2b_decc_out_c7[145], l2d_l2b_decc_out_c7[223], l2d_l2b_decc_out_c7[301]};
1313
1314assign wb_array2_din[39:0] =
1315 {l2d_l2b_decc_out_c7[68], l2d_l2b_decc_out_c7[146], l2d_l2b_decc_out_c7[224], l2d_l2b_decc_out_c7[302],
1316 l2d_l2b_decc_out_c7[69], l2d_l2b_decc_out_c7[147], l2d_l2b_decc_out_c7[225], l2d_l2b_decc_out_c7[303],
1317 l2d_l2b_decc_out_c7[70], l2d_l2b_decc_out_c7[148], l2d_l2b_decc_out_c7[226], l2d_l2b_decc_out_c7[304],
1318 l2d_l2b_decc_out_c7[71], l2d_l2b_decc_out_c7[149], l2d_l2b_decc_out_c7[227], l2d_l2b_decc_out_c7[305],
1319 l2d_l2b_decc_out_c7[72], l2d_l2b_decc_out_c7[150], l2d_l2b_decc_out_c7[228], l2d_l2b_decc_out_c7[306],
1320 l2d_l2b_decc_out_c7[73], l2d_l2b_decc_out_c7[151], l2d_l2b_decc_out_c7[229], l2d_l2b_decc_out_c7[307],
1321 l2d_l2b_decc_out_c7[74], l2d_l2b_decc_out_c7[152], l2d_l2b_decc_out_c7[230], l2d_l2b_decc_out_c7[308],
1322 l2d_l2b_decc_out_c7[75], l2d_l2b_decc_out_c7[153], l2d_l2b_decc_out_c7[231], l2d_l2b_decc_out_c7[309],
1323 l2d_l2b_decc_out_c7[76], l2d_l2b_decc_out_c7[154], l2d_l2b_decc_out_c7[232], l2d_l2b_decc_out_c7[310],
1324 l2d_l2b_decc_out_c7[77], l2d_l2b_decc_out_c7[155], l2d_l2b_decc_out_c7[233], l2d_l2b_decc_out_c7[311]};
1325
1326
1327n2_l2t_dp_16x160_cust wb_array2
1328 (.dout (wb_array2_dout ),
1329 .din (wb_array2_din ),
1330 .rd_adr ({1'b0, evict_l2t_l2b_wbrd_wl_r1_v2[2:0]}),
1331 .wr_adr ({1'b0, evict_l2t_l2b_wbwr_wl_c8_v2[2:0]}),
1332 .read_en (evict_l2t_l2b_wbrd_en_r1_v2),
1333 .wr_en (evict_l2t_l2b_wbwr_wen_c8_v2),
1334 .word_wen ({4{evict_l2t_l2b_wbwr_wen_c8_v2}}),
1335 .byte_wen ({1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1,
1336 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1}),
1337 .scan_in(wb_array2_scanin),
1338 .scan_out(wb_array2_scanout),
1339 .l2clk (l2clk),
1340 .tcu_pce_ov(pce_ov),
1341 .pce(1'b1),
1342 .tcu_aclk(aclk),
1343 .tcu_bclk(bclk),
1344 .tcu_scan_en(tcu_scan_en),
1345 .mbist_run (wb_mbist_enable),
1346 .mbist_wdata (wb_mbist_data_in[7:0]),
1347 .tcu_array_wr_inhibit(array_wr_inhibit),
1348 .tcu_se_scancollar_in (tcu_se_scancollar_in));
1349
1350assign {wb_array_dout_unused[3:0],
1351 wb_array_dout[0], wb_array_dout[78], wb_array_dout[156], wb_array_dout[234],
1352 wb_array_dout[1], wb_array_dout[79], wb_array_dout[157], wb_array_dout[235],
1353 wb_array_dout[2], wb_array_dout[80], wb_array_dout[158], wb_array_dout[236],
1354 wb_array_dout[3], wb_array_dout[81], wb_array_dout[159], wb_array_dout[237],
1355 wb_array_dout[4], wb_array_dout[82], wb_array_dout[160], wb_array_dout[238],
1356 wb_array_dout[5], wb_array_dout[83], wb_array_dout[161], wb_array_dout[239],
1357 wb_array_dout[6], wb_array_dout[84], wb_array_dout[162], wb_array_dout[240],
1358 wb_array_dout[7], wb_array_dout[85], wb_array_dout[163], wb_array_dout[241],
1359 wb_array_dout[8], wb_array_dout[86], wb_array_dout[164], wb_array_dout[242],
1360 wb_array_dout[9], wb_array_dout[87], wb_array_dout[165], wb_array_dout[243],
1361 wb_array_dout[10], wb_array_dout[88], wb_array_dout[166], wb_array_dout[244],
1362 wb_array_dout[11], wb_array_dout[89], wb_array_dout[167], wb_array_dout[245],
1363 wb_array_dout[12], wb_array_dout[90], wb_array_dout[168], wb_array_dout[246],
1364 wb_array_dout[13], wb_array_dout[91], wb_array_dout[169], wb_array_dout[247],
1365 wb_array_dout[14], wb_array_dout[92], wb_array_dout[170], wb_array_dout[248],
1366 wb_array_dout[15], wb_array_dout[93], wb_array_dout[171], wb_array_dout[249],
1367 wb_array_dout[16], wb_array_dout[94], wb_array_dout[172], wb_array_dout[250],
1368 wb_array_dout[17], wb_array_dout[95], wb_array_dout[173], wb_array_dout[251],
1369 wb_array_dout[18], wb_array_dout[96], wb_array_dout[174], wb_array_dout[252],
1370 wb_array_dout[19], wb_array_dout[97], wb_array_dout[175], wb_array_dout[253],
1371 wb_array_dout[20], wb_array_dout[98], wb_array_dout[176], wb_array_dout[254],
1372 wb_array_dout[21], wb_array_dout[99], wb_array_dout[177], wb_array_dout[255],
1373 wb_array_dout[22], wb_array_dout[100], wb_array_dout[178], wb_array_dout[256],
1374 wb_array_dout[23], wb_array_dout[101], wb_array_dout[179], wb_array_dout[257],
1375 wb_array_dout[24], wb_array_dout[102], wb_array_dout[180], wb_array_dout[258],
1376 wb_array_dout[25], wb_array_dout[103], wb_array_dout[181], wb_array_dout[259],
1377 wb_array_dout[26], wb_array_dout[104], wb_array_dout[182], wb_array_dout[260],
1378 wb_array_dout[27], wb_array_dout[105], wb_array_dout[183], wb_array_dout[261],
1379 wb_array_dout[28], wb_array_dout[106], wb_array_dout[184], wb_array_dout[262],
1380 wb_array_dout[29], wb_array_dout[107], wb_array_dout[185], wb_array_dout[263],
1381 wb_array_dout[30], wb_array_dout[108], wb_array_dout[186], wb_array_dout[264],
1382 wb_array_dout[31], wb_array_dout[109], wb_array_dout[187], wb_array_dout[265],
1383 wb_array_dout[32], wb_array_dout[110], wb_array_dout[188], wb_array_dout[266],
1384 wb_array_dout[33], wb_array_dout[111], wb_array_dout[189], wb_array_dout[267],
1385 wb_array_dout[34], wb_array_dout[112], wb_array_dout[190], wb_array_dout[268],
1386 wb_array_dout[35], wb_array_dout[113], wb_array_dout[191], wb_array_dout[269],
1387 wb_array_dout[36], wb_array_dout[114], wb_array_dout[192], wb_array_dout[270],
1388 wb_array_dout[37], wb_array_dout[115], wb_array_dout[193], wb_array_dout[271],
1389 wb_array_dout[38], wb_array_dout[116], wb_array_dout[194], wb_array_dout[272]} = wb_array1_dout[159:0];
1390
1391assign wb_array1_din[159:120] = {1'b0, 1'b0, 1'b0, 1'b0,
1392 l2d_l2b_decc_out_c7[0], l2d_l2b_decc_out_c7[78], l2d_l2b_decc_out_c7[156], l2d_l2b_decc_out_c7[234],
1393 l2d_l2b_decc_out_c7[1], l2d_l2b_decc_out_c7[79], l2d_l2b_decc_out_c7[157], l2d_l2b_decc_out_c7[235],
1394 l2d_l2b_decc_out_c7[2], l2d_l2b_decc_out_c7[80], l2d_l2b_decc_out_c7[158], l2d_l2b_decc_out_c7[236],
1395 l2d_l2b_decc_out_c7[3], l2d_l2b_decc_out_c7[81], l2d_l2b_decc_out_c7[159], l2d_l2b_decc_out_c7[237],
1396 l2d_l2b_decc_out_c7[4], l2d_l2b_decc_out_c7[82], l2d_l2b_decc_out_c7[160], l2d_l2b_decc_out_c7[238],
1397 l2d_l2b_decc_out_c7[5], l2d_l2b_decc_out_c7[83], l2d_l2b_decc_out_c7[161], l2d_l2b_decc_out_c7[239],
1398 l2d_l2b_decc_out_c7[6], l2d_l2b_decc_out_c7[84], l2d_l2b_decc_out_c7[162], l2d_l2b_decc_out_c7[240],
1399 l2d_l2b_decc_out_c7[7], l2d_l2b_decc_out_c7[85], l2d_l2b_decc_out_c7[163], l2d_l2b_decc_out_c7[241],
1400 l2d_l2b_decc_out_c7[8], l2d_l2b_decc_out_c7[86], l2d_l2b_decc_out_c7[164], l2d_l2b_decc_out_c7[242]};
1401assign wb_array1_din[119:80] =
1402 {l2d_l2b_decc_out_c7[9], l2d_l2b_decc_out_c7[87], l2d_l2b_decc_out_c7[165], l2d_l2b_decc_out_c7[243],
1403 l2d_l2b_decc_out_c7[10], l2d_l2b_decc_out_c7[88], l2d_l2b_decc_out_c7[166], l2d_l2b_decc_out_c7[244],
1404 l2d_l2b_decc_out_c7[11], l2d_l2b_decc_out_c7[89], l2d_l2b_decc_out_c7[167], l2d_l2b_decc_out_c7[245],
1405 l2d_l2b_decc_out_c7[12], l2d_l2b_decc_out_c7[90], l2d_l2b_decc_out_c7[168], l2d_l2b_decc_out_c7[246],
1406 l2d_l2b_decc_out_c7[13], l2d_l2b_decc_out_c7[91], l2d_l2b_decc_out_c7[169], l2d_l2b_decc_out_c7[247],
1407 l2d_l2b_decc_out_c7[14], l2d_l2b_decc_out_c7[92], l2d_l2b_decc_out_c7[170], l2d_l2b_decc_out_c7[248],
1408 l2d_l2b_decc_out_c7[15], l2d_l2b_decc_out_c7[93], l2d_l2b_decc_out_c7[171], l2d_l2b_decc_out_c7[249],
1409 l2d_l2b_decc_out_c7[16], l2d_l2b_decc_out_c7[94], l2d_l2b_decc_out_c7[172], l2d_l2b_decc_out_c7[250],
1410 l2d_l2b_decc_out_c7[17], l2d_l2b_decc_out_c7[95], l2d_l2b_decc_out_c7[173], l2d_l2b_decc_out_c7[251],
1411 l2d_l2b_decc_out_c7[18], l2d_l2b_decc_out_c7[96], l2d_l2b_decc_out_c7[174], l2d_l2b_decc_out_c7[252]};
1412assign wb_array1_din[79:40] =
1413 {l2d_l2b_decc_out_c7[19], l2d_l2b_decc_out_c7[97], l2d_l2b_decc_out_c7[175], l2d_l2b_decc_out_c7[253],
1414 l2d_l2b_decc_out_c7[20], l2d_l2b_decc_out_c7[98], l2d_l2b_decc_out_c7[176], l2d_l2b_decc_out_c7[254],
1415 l2d_l2b_decc_out_c7[21], l2d_l2b_decc_out_c7[99], l2d_l2b_decc_out_c7[177], l2d_l2b_decc_out_c7[255],
1416 l2d_l2b_decc_out_c7[22], l2d_l2b_decc_out_c7[100], l2d_l2b_decc_out_c7[178], l2d_l2b_decc_out_c7[256],
1417 l2d_l2b_decc_out_c7[23], l2d_l2b_decc_out_c7[101], l2d_l2b_decc_out_c7[179], l2d_l2b_decc_out_c7[257],
1418 l2d_l2b_decc_out_c7[24], l2d_l2b_decc_out_c7[102], l2d_l2b_decc_out_c7[180], l2d_l2b_decc_out_c7[258],
1419 l2d_l2b_decc_out_c7[25], l2d_l2b_decc_out_c7[103], l2d_l2b_decc_out_c7[181], l2d_l2b_decc_out_c7[259],
1420 l2d_l2b_decc_out_c7[26], l2d_l2b_decc_out_c7[104], l2d_l2b_decc_out_c7[182], l2d_l2b_decc_out_c7[260],
1421 l2d_l2b_decc_out_c7[27], l2d_l2b_decc_out_c7[105], l2d_l2b_decc_out_c7[183], l2d_l2b_decc_out_c7[261],
1422 l2d_l2b_decc_out_c7[28], l2d_l2b_decc_out_c7[106], l2d_l2b_decc_out_c7[184], l2d_l2b_decc_out_c7[262]};
1423assign wb_array1_din[39:0] =
1424 {l2d_l2b_decc_out_c7[29], l2d_l2b_decc_out_c7[107], l2d_l2b_decc_out_c7[185], l2d_l2b_decc_out_c7[263],
1425 l2d_l2b_decc_out_c7[30], l2d_l2b_decc_out_c7[108], l2d_l2b_decc_out_c7[186], l2d_l2b_decc_out_c7[264],
1426 l2d_l2b_decc_out_c7[31], l2d_l2b_decc_out_c7[109], l2d_l2b_decc_out_c7[187], l2d_l2b_decc_out_c7[265],
1427 l2d_l2b_decc_out_c7[32], l2d_l2b_decc_out_c7[110], l2d_l2b_decc_out_c7[188], l2d_l2b_decc_out_c7[266],
1428 l2d_l2b_decc_out_c7[33], l2d_l2b_decc_out_c7[111], l2d_l2b_decc_out_c7[189], l2d_l2b_decc_out_c7[267],
1429 l2d_l2b_decc_out_c7[34], l2d_l2b_decc_out_c7[112], l2d_l2b_decc_out_c7[190], l2d_l2b_decc_out_c7[268],
1430 l2d_l2b_decc_out_c7[35], l2d_l2b_decc_out_c7[113], l2d_l2b_decc_out_c7[191], l2d_l2b_decc_out_c7[269],
1431 l2d_l2b_decc_out_c7[36], l2d_l2b_decc_out_c7[114], l2d_l2b_decc_out_c7[192], l2d_l2b_decc_out_c7[270],
1432 l2d_l2b_decc_out_c7[37], l2d_l2b_decc_out_c7[115], l2d_l2b_decc_out_c7[193], l2d_l2b_decc_out_c7[271],
1433 l2d_l2b_decc_out_c7[38], l2d_l2b_decc_out_c7[116], l2d_l2b_decc_out_c7[194], l2d_l2b_decc_out_c7[272]};
1434
1435
1436n2_l2t_dp_16x160_cust wb_array1
1437 (.dout ( wb_array1_dout ),
1438 .din ( wb_array1_din ),
1439 .rd_adr ({1'b0, evict_l2t_l2b_wbrd_wl_r1_v1[2:0]}),
1440 .wr_adr ({1'b0, evict_l2t_l2b_wbwr_wl_c8_v1[2:0]}),
1441 .read_en (evict_l2t_l2b_wbrd_en_r1_v1),
1442 .wr_en (evict_l2t_l2b_wbwr_wen_c8_v1),
1443 .word_wen ({4{evict_l2t_l2b_wbwr_wen_c8_v1}}),
1444 .byte_wen ({1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1,
1445 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1}),
1446 .scan_in(wb_array1_scanin),
1447 .scan_out(wb_array1_scanout),
1448 .l2clk (l2clk),
1449 .tcu_pce_ov(pce_ov),
1450 .pce(1'b1),
1451 .tcu_aclk(aclk),
1452 .tcu_bclk(bclk),
1453 .tcu_scan_en(tcu_scan_en),
1454 .mbist_run (wb_mbist_enable),
1455 .mbist_wdata (wb_mbist_data_in[7:0]),
1456 .tcu_array_wr_inhibit(array_wr_inhibit),
1457 .tcu_se_scancollar_in (tcu_se_scancollar_in));
1458
1459
1460////////////////////////////////////////////////////////////////////////////////
1461
1462l2b_evict_dp evict
1463 (
1464 // Outputs
1465 .evict_l2b_mcu_wr_data_r5 (evict_l2b_mcu_wr_data_r5[63:0]),
1466 .evict_l2b_mcu_data_vld_r5 (evict_l2b_mcu_data_vld_r5),
1467 .evict_l2b_mcu_data_mecc_r5 (l2b_evict_l2b_mcu_data_mecc_r5),
1468 .evict_l2b_l2t_ev_uerr_r5 (l2b_l2t_ev_uerr_r5),
1469 .evict_l2b_l2t_ev_cerr_r5 (l2b_l2t_ev_cerr_r5),
1470 .evict_l2t_l2b_wbrd_en_r1_v1 (evict_l2t_l2b_wbrd_en_r1_v1),
1471 .evict_l2t_l2b_wbrd_en_r1_v2 (evict_l2t_l2b_wbrd_en_r1_v2),
1472 .evict_l2t_l2b_wbrd_en_r1_v3 (evict_l2t_l2b_wbrd_en_r1_v3),
1473 .evict_l2t_l2b_wbrd_en_r1_v4 (evict_l2t_l2b_wbrd_en_r1_v4),
1474 .evict_l2t_l2b_wbrd_wl_r1_v1 (evict_l2t_l2b_wbrd_wl_r1_v1[2:0]),
1475 .evict_l2t_l2b_wbrd_wl_r1_v2 (evict_l2t_l2b_wbrd_wl_r1_v2[2:0]),
1476 .evict_l2t_l2b_wbrd_wl_r1_v3 (evict_l2t_l2b_wbrd_wl_r1_v3[2:0]),
1477 .evict_l2t_l2b_wbrd_wl_r1_v4 (evict_l2t_l2b_wbrd_wl_r1_v4[2:0]),
1478 .evict_l2t_l2b_wbwr_wen_c8_v1 (evict_l2t_l2b_wbwr_wen_c8_v1),
1479 .evict_l2t_l2b_wbwr_wen_c8_v2 (evict_l2t_l2b_wbwr_wen_c8_v2),
1480 .evict_l2t_l2b_wbwr_wen_c8_v3 (evict_l2t_l2b_wbwr_wen_c8_v3),
1481 .evict_l2t_l2b_wbwr_wen_c8_v4 (evict_l2t_l2b_wbwr_wen_c8_v4),
1482 .evict_l2t_l2b_wbwr_wl_c8_v1 (evict_l2t_l2b_wbwr_wl_c8_v1[2:0]),
1483 .evict_l2t_l2b_wbwr_wl_c8_v2 (evict_l2t_l2b_wbwr_wl_c8_v2[2:0]),
1484 .evict_l2t_l2b_wbwr_wl_c8_v3 (evict_l2t_l2b_wbwr_wl_c8_v3[2:0]),
1485 .evict_l2t_l2b_wbwr_wl_c8_v4 (evict_l2t_l2b_wbwr_wl_c8_v4[2:0]),
1486 .evict_l2t_l2b_rdma_rden_r1_v1 (evict_l2t_l2b_rdma_rden_r1_v1),
1487 .evict_l2t_l2b_rdma_rden_r1_v2 (evict_l2t_l2b_rdma_rden_r1_v2),
1488 .evict_l2t_l2b_rdma_rden_r1_v3 (evict_l2t_l2b_rdma_rden_r1_v3),
1489 .evict_l2t_l2b_rdma_rden_r1_v4 (evict_l2t_l2b_rdma_rden_r1_v4),
1490 .evict_l2t_l2b_rdma_rdwl_r1_v1 (evict_l2t_l2b_rdma_rdwl_r1_v1[1:0]),
1491 .evict_l2t_l2b_rdma_rdwl_r1_v2 (evict_l2t_l2b_rdma_rdwl_r1_v2[1:0]),
1492 .evict_l2t_l2b_rdma_rdwl_r1_v3 (evict_l2t_l2b_rdma_rdwl_r1_v3[1:0]),
1493 .evict_l2t_l2b_rdma_rdwl_r1_v4 (evict_l2t_l2b_rdma_rdwl_r1_v4[1:0]),
1494 .evict_l2t_l2b_rdma_wren_s3 (evict_l2t_l2b_rdma_wren_s3[15:0]),
1495 .evict_l2t_l2b_rdma_wren_s3_v4 (evict_l2t_l2b_rdma_wren_s3_v4),
1496 .evict_l2t_l2b_rdma_wren_s3_v3 (evict_l2t_l2b_rdma_wren_s3_v3),
1497 .evict_l2t_l2b_rdma_wren_s3_v2 (evict_l2t_l2b_rdma_wren_s3_v2),
1498 .evict_l2t_l2b_rdma_wren_s3_v1 (evict_l2t_l2b_rdma_wren_s3_v1),
1499 .evict_l2t_l2b_rdma_wrwl_s3_v1 (evict_l2t_l2b_rdma_wrwl_s3_v1[1:0]),
1500 .evict_l2t_l2b_rdma_wrwl_s3_v2 (evict_l2t_l2b_rdma_wrwl_s3_v2[1:0]),
1501 .evict_l2t_l2b_rdma_wrwl_s3_v3 (evict_l2t_l2b_rdma_wrwl_s3_v3[1:0]),
1502 .evict_l2t_l2b_rdma_wrwl_s3_v4 (evict_l2t_l2b_rdma_wrwl_s3_v4[1:0]),
1503 // Inputs
1504 .scan_in(evict_scanin),
1505 .scan_out(evict_scanout),
1506 .l2clk (l2clk),
1507 .wmr_l (wmr_l),
1508 .mbist_addr(mbist_addr[2:0]),
1509 // .mbist_compare_read_sel (mbist_compare_read_sel[2:0]),
1510 .select_delay_mcu (select_delay_mcu),
1511 .wb_mbist_data_in(wb_mbist_data_in[7:0]),
1512 .mbist_wb_array_wr_en(mbist_wb_array_wr_en),
1513 .mbist_wb_array_rd_en(mbist_wb_array_rd_en),
1514 .mbist_rdma_array_wr_en(mbist_rdma_array_wr_en),
1515 .mbist_rdma_array_rd_en(mbist_rdma_array_rd_en),
1516 .mbist_sel_wb_arrays(mbist_sel_wb_arrays),
1517 .mbist_evict_muxsel(mbist_evict_muxsel[4:0]),
1518 .mbist_run(mbist_run),
1519 .tcu_aclk (aclk),
1520 .tcu_bclk (bclk),
1521 .tcu_scan_en (tcu_scan_en),
1522 .tcu_pce_ov (tcu_pce_ov),
1523 .tcu_clk_stop (1'b0),
1524 .tcu_muxtest (tcu_muxtest),
1525 .l2t_l2b_wbrd_en_r0 (l2t_l2b_wbrd_en_r0),
1526 .wb_array_dout (wb_array_dout[623:0]),
1527 .l2t_l2b_evict_en_r0 (l2t_l2b_evict_en_r0),
1528 .l2t_l2b_ev_dword_r0 (l2t_l2b_ev_dword_r0[2:0]),
1529 .l2t_l2b_rdma_rden_r0 (l2t_l2b_rdma_rden_r0),
1530 .rdma_array_dout (rdma_array_dout[623:0]),
1531 .l2t_l2b_wbrd_wl_r0 (l2t_l2b_wbrd_wl_r0[2:0]),
1532 .l2t_l2b_wbwr_wen_c6 (l2t_l2b_wbwr_wen_c6[0]),
1533 .l2t_l2b_wbwr_wl_c6 (l2t_l2b_wbwr_wl_c6[2:0]),
1534 .l2t_l2b_rdma_rdwl_r0 (l2t_l2b_rdma_rdwl_r0[1:0]),
1535 .l2t_l2b_rdma_wren_s2 (l2t_l2b_rdma_wren_s2[15:0]),
1536 .l2t_l2b_rdma_wrwl_s2 (l2t_l2b_rdma_wrwl_s2[1:0]),
1537 .tcu_dectest(tcu_dectest),
1538 .wb_or_rdma_rw_fail(wb_or_rdma_rw_fail)
1539 );
1540
1541
1542
1543l2b_siu_dp siu_interface (
1544 .sii_l2t_req (sii_l2t_req[31:0]),
1545 .sii_l2b_ecc (sii_l2b_ecc[6:0]),
1546 .tcu_aclk (tcu_aclk),
1547 .tcu_bclk (tcu_bclk),
1548 .tcu_scan_en (tcu_scan_en),
1549 .tcu_pce_ov (tcu_pce_ov),
1550 .tcu_clk_stop (1'b0),
1551 .scan_in(siu_interface_scanin),
1552 .scan_out(siu_interface_scanout),
1553 .l2clk (l2clk),
1554 .evict_l2b_rdma_array_din (evict_rdma_array_din[623:0])
1555 );
1556
1557
1558
1559
1560
1561
1562
1563////////////////////////////////////////////////////////////////////////////////
1564
1565assign {rdma_array_dout_unused[15:12],
1566 rdma_array_dout[351], rdma_array_dout[429], rdma_array_dout[507], rdma_array_dout[585],
1567 rdma_array_dout[352], rdma_array_dout[430], rdma_array_dout[508], rdma_array_dout[586],
1568 rdma_array_dout[353], rdma_array_dout[431], rdma_array_dout[509], rdma_array_dout[587],
1569 rdma_array_dout[354], rdma_array_dout[432], rdma_array_dout[510], rdma_array_dout[588],
1570 rdma_array_dout[355], rdma_array_dout[433], rdma_array_dout[511], rdma_array_dout[589],
1571 rdma_array_dout[356], rdma_array_dout[434], rdma_array_dout[512], rdma_array_dout[590],
1572 rdma_array_dout[357], rdma_array_dout[435], rdma_array_dout[513], rdma_array_dout[591],
1573 rdma_array_dout[358], rdma_array_dout[436], rdma_array_dout[514], rdma_array_dout[592],
1574 rdma_array_dout[359], rdma_array_dout[437], rdma_array_dout[515], rdma_array_dout[593],
1575 rdma_array_dout[360], rdma_array_dout[438], rdma_array_dout[516], rdma_array_dout[594],
1576 rdma_array_dout[361], rdma_array_dout[439], rdma_array_dout[517], rdma_array_dout[595],
1577 rdma_array_dout[362], rdma_array_dout[440], rdma_array_dout[518], rdma_array_dout[596],
1578 rdma_array_dout[363], rdma_array_dout[441], rdma_array_dout[519], rdma_array_dout[597],
1579 rdma_array_dout[364], rdma_array_dout[442], rdma_array_dout[520], rdma_array_dout[598],
1580 rdma_array_dout[365], rdma_array_dout[443], rdma_array_dout[521], rdma_array_dout[599],
1581 rdma_array_dout[366], rdma_array_dout[444], rdma_array_dout[522], rdma_array_dout[600],
1582 rdma_array_dout[367], rdma_array_dout[445], rdma_array_dout[523], rdma_array_dout[601],
1583 rdma_array_dout[368], rdma_array_dout[446], rdma_array_dout[524], rdma_array_dout[602],
1584 rdma_array_dout[369], rdma_array_dout[447], rdma_array_dout[525], rdma_array_dout[603],
1585 rdma_array_dout[370], rdma_array_dout[448], rdma_array_dout[526], rdma_array_dout[604],
1586 rdma_array_dout[371], rdma_array_dout[449], rdma_array_dout[527], rdma_array_dout[605],
1587 rdma_array_dout[372], rdma_array_dout[450], rdma_array_dout[528], rdma_array_dout[606],
1588 rdma_array_dout[373], rdma_array_dout[451], rdma_array_dout[529], rdma_array_dout[607],
1589 rdma_array_dout[374], rdma_array_dout[452], rdma_array_dout[530], rdma_array_dout[608],
1590 rdma_array_dout[375], rdma_array_dout[453], rdma_array_dout[531], rdma_array_dout[609],
1591 rdma_array_dout[376], rdma_array_dout[454], rdma_array_dout[532], rdma_array_dout[610],
1592 rdma_array_dout[377], rdma_array_dout[455], rdma_array_dout[533], rdma_array_dout[611],
1593 rdma_array_dout[378], rdma_array_dout[456], rdma_array_dout[534], rdma_array_dout[612],
1594 rdma_array_dout[379], rdma_array_dout[457], rdma_array_dout[535], rdma_array_dout[613],
1595 rdma_array_dout[380], rdma_array_dout[458], rdma_array_dout[536], rdma_array_dout[614],
1596 rdma_array_dout[381], rdma_array_dout[459], rdma_array_dout[537], rdma_array_dout[615],
1597 rdma_array_dout[382], rdma_array_dout[460], rdma_array_dout[538], rdma_array_dout[616],
1598 rdma_array_dout[383], rdma_array_dout[461], rdma_array_dout[539], rdma_array_dout[617],
1599 rdma_array_dout[384], rdma_array_dout[462], rdma_array_dout[540], rdma_array_dout[618],
1600 rdma_array_dout[385], rdma_array_dout[463], rdma_array_dout[541], rdma_array_dout[619],
1601 rdma_array_dout[386], rdma_array_dout[464], rdma_array_dout[542], rdma_array_dout[620],
1602 rdma_array_dout[387], rdma_array_dout[465], rdma_array_dout[543], rdma_array_dout[621],
1603 rdma_array_dout[388], rdma_array_dout[466], rdma_array_dout[544], rdma_array_dout[622],
1604 rdma_array_dout[389], rdma_array_dout[467], rdma_array_dout[545], rdma_array_dout[623]} = rdma_array4_dout[159:0];
1605
1606
1607
1608assign rdma_array4_din[159:120] = {1'b0, 1'b0, 1'b0, 1'b0,
1609 evict_rdma_array_din[351], evict_rdma_array_din[429], evict_rdma_array_din[507], evict_rdma_array_din[585],
1610 evict_rdma_array_din[352], evict_rdma_array_din[430], evict_rdma_array_din[508], evict_rdma_array_din[586],
1611 evict_rdma_array_din[353], evict_rdma_array_din[431], evict_rdma_array_din[509], evict_rdma_array_din[587],
1612 evict_rdma_array_din[354], evict_rdma_array_din[432], evict_rdma_array_din[510], evict_rdma_array_din[588],
1613 evict_rdma_array_din[355], evict_rdma_array_din[433], evict_rdma_array_din[511], evict_rdma_array_din[589],
1614 evict_rdma_array_din[356], evict_rdma_array_din[434], evict_rdma_array_din[512], evict_rdma_array_din[590],
1615 evict_rdma_array_din[357], evict_rdma_array_din[435], evict_rdma_array_din[513], evict_rdma_array_din[591],
1616 evict_rdma_array_din[358], evict_rdma_array_din[436], evict_rdma_array_din[514], evict_rdma_array_din[592],
1617 evict_rdma_array_din[359], evict_rdma_array_din[437], evict_rdma_array_din[515], evict_rdma_array_din[593]};
1618assign rdma_array4_din[119:80] =
1619 {evict_rdma_array_din[360], evict_rdma_array_din[438], evict_rdma_array_din[516], evict_rdma_array_din[594],
1620 evict_rdma_array_din[361], evict_rdma_array_din[439], evict_rdma_array_din[517], evict_rdma_array_din[595],
1621 evict_rdma_array_din[362], evict_rdma_array_din[440], evict_rdma_array_din[518], evict_rdma_array_din[596],
1622 evict_rdma_array_din[363], evict_rdma_array_din[441], evict_rdma_array_din[519], evict_rdma_array_din[597],
1623 evict_rdma_array_din[364], evict_rdma_array_din[442], evict_rdma_array_din[520], evict_rdma_array_din[598],
1624 evict_rdma_array_din[365], evict_rdma_array_din[443], evict_rdma_array_din[521], evict_rdma_array_din[599],
1625 evict_rdma_array_din[366], evict_rdma_array_din[444], evict_rdma_array_din[522], evict_rdma_array_din[600],
1626 evict_rdma_array_din[367], evict_rdma_array_din[445], evict_rdma_array_din[523], evict_rdma_array_din[601],
1627 evict_rdma_array_din[368], evict_rdma_array_din[446], evict_rdma_array_din[524], evict_rdma_array_din[602],
1628 evict_rdma_array_din[369], evict_rdma_array_din[447], evict_rdma_array_din[525], evict_rdma_array_din[603]};
1629assign rdma_array4_din[79:40] =
1630 {evict_rdma_array_din[370], evict_rdma_array_din[448], evict_rdma_array_din[526], evict_rdma_array_din[604],
1631 evict_rdma_array_din[371], evict_rdma_array_din[449], evict_rdma_array_din[527], evict_rdma_array_din[605],
1632 evict_rdma_array_din[372], evict_rdma_array_din[450], evict_rdma_array_din[528], evict_rdma_array_din[606],
1633 evict_rdma_array_din[373], evict_rdma_array_din[451], evict_rdma_array_din[529], evict_rdma_array_din[607],
1634 evict_rdma_array_din[374], evict_rdma_array_din[452], evict_rdma_array_din[530], evict_rdma_array_din[608],
1635 evict_rdma_array_din[375], evict_rdma_array_din[453], evict_rdma_array_din[531], evict_rdma_array_din[609],
1636 evict_rdma_array_din[376], evict_rdma_array_din[454], evict_rdma_array_din[532], evict_rdma_array_din[610],
1637 evict_rdma_array_din[377], evict_rdma_array_din[455], evict_rdma_array_din[533], evict_rdma_array_din[611],
1638 evict_rdma_array_din[378], evict_rdma_array_din[456], evict_rdma_array_din[534], evict_rdma_array_din[612],
1639 evict_rdma_array_din[379], evict_rdma_array_din[457], evict_rdma_array_din[535], evict_rdma_array_din[613]};
1640assign rdma_array4_din[39:0] =
1641 {evict_rdma_array_din[380], evict_rdma_array_din[458], evict_rdma_array_din[536], evict_rdma_array_din[614],
1642 evict_rdma_array_din[381], evict_rdma_array_din[459], evict_rdma_array_din[537], evict_rdma_array_din[615],
1643 evict_rdma_array_din[382], evict_rdma_array_din[460], evict_rdma_array_din[538], evict_rdma_array_din[616],
1644 evict_rdma_array_din[383], evict_rdma_array_din[461], evict_rdma_array_din[539], evict_rdma_array_din[617],
1645 evict_rdma_array_din[384], evict_rdma_array_din[462], evict_rdma_array_din[540], evict_rdma_array_din[618],
1646 evict_rdma_array_din[385], evict_rdma_array_din[463], evict_rdma_array_din[541], evict_rdma_array_din[619],
1647 evict_rdma_array_din[386], evict_rdma_array_din[464], evict_rdma_array_din[542], evict_rdma_array_din[620],
1648 evict_rdma_array_din[387], evict_rdma_array_din[465], evict_rdma_array_din[543], evict_rdma_array_din[621],
1649 evict_rdma_array_din[388], evict_rdma_array_din[466], evict_rdma_array_din[544], evict_rdma_array_din[622],
1650 evict_rdma_array_din[389], evict_rdma_array_din[467], evict_rdma_array_din[545], evict_rdma_array_din[623]};
1651
1652
1653
1654n2_l2t_dp_16x160_cust rdma_array4
1655 (.dout ( rdma_array4_dout),
1656 .din ( rdma_array4_din ),
1657 .rd_adr ({1'b0, 1'b0, evict_l2t_l2b_rdma_rdwl_r1_v4[1:0]}),
1658 .wr_adr ({1'b0, 1'b0, evict_l2t_l2b_rdma_wrwl_s3_v4[1:0]}),
1659 .read_en (evict_l2t_l2b_rdma_rden_r1_v4),
1660 .wr_en (evict_l2t_l2b_rdma_wren_s3_v4),
1661 .word_wen ({evict_l2t_l2b_rdma_wren_s3[6], evict_l2t_l2b_rdma_wren_s3[4],
1662 evict_l2t_l2b_rdma_wren_s3[2], evict_l2t_l2b_rdma_wren_s3[0]}),
1663 .byte_wen ({1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1,
1664 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1}),
1665 .scan_in(rdma_array4_scanin),
1666 .scan_out(rdma_array4_scanout),
1667 .l2clk (l2clk),
1668 .tcu_pce_ov(pce_ov),
1669 .pce(1'b1),
1670 .tcu_aclk(aclk),
1671 .tcu_bclk(bclk),
1672 .tcu_scan_en(tcu_scan_en),
1673 .mbist_run (rdma_mbist_enable),
1674 .mbist_wdata (rdma_mbist_data_in[7:0]),
1675 .tcu_array_wr_inhibit(array_wr_inhibit),
1676 .tcu_se_scancollar_in (tcu_se_scancollar_in));
1677
1678assign {rdma_array_dout_unused[11:8],
1679 rdma_array_dout[312], rdma_array_dout[390], rdma_array_dout[468], rdma_array_dout[546],
1680 rdma_array_dout[313], rdma_array_dout[391], rdma_array_dout[469], rdma_array_dout[547],
1681 rdma_array_dout[314], rdma_array_dout[392], rdma_array_dout[470], rdma_array_dout[548],
1682 rdma_array_dout[315], rdma_array_dout[393], rdma_array_dout[471], rdma_array_dout[549],
1683 rdma_array_dout[316], rdma_array_dout[394], rdma_array_dout[472], rdma_array_dout[550],
1684 rdma_array_dout[317], rdma_array_dout[395], rdma_array_dout[473], rdma_array_dout[551],
1685 rdma_array_dout[318], rdma_array_dout[396], rdma_array_dout[474], rdma_array_dout[552],
1686 rdma_array_dout[319], rdma_array_dout[397], rdma_array_dout[475], rdma_array_dout[553],
1687 rdma_array_dout[320], rdma_array_dout[398], rdma_array_dout[476], rdma_array_dout[554],
1688 rdma_array_dout[321], rdma_array_dout[399], rdma_array_dout[477], rdma_array_dout[555],
1689 rdma_array_dout[322], rdma_array_dout[400], rdma_array_dout[478], rdma_array_dout[556],
1690 rdma_array_dout[323], rdma_array_dout[401], rdma_array_dout[479], rdma_array_dout[557],
1691 rdma_array_dout[324], rdma_array_dout[402], rdma_array_dout[480], rdma_array_dout[558],
1692 rdma_array_dout[325], rdma_array_dout[403], rdma_array_dout[481], rdma_array_dout[559],
1693 rdma_array_dout[326], rdma_array_dout[404], rdma_array_dout[482], rdma_array_dout[560],
1694 rdma_array_dout[327], rdma_array_dout[405], rdma_array_dout[483], rdma_array_dout[561],
1695 rdma_array_dout[328], rdma_array_dout[406], rdma_array_dout[484], rdma_array_dout[562],
1696 rdma_array_dout[329], rdma_array_dout[407], rdma_array_dout[485], rdma_array_dout[563],
1697 rdma_array_dout[330], rdma_array_dout[408], rdma_array_dout[486], rdma_array_dout[564],
1698 rdma_array_dout[331], rdma_array_dout[409], rdma_array_dout[487], rdma_array_dout[565],
1699 rdma_array_dout[332], rdma_array_dout[410], rdma_array_dout[488], rdma_array_dout[566],
1700 rdma_array_dout[333], rdma_array_dout[411], rdma_array_dout[489], rdma_array_dout[567],
1701 rdma_array_dout[334], rdma_array_dout[412], rdma_array_dout[490], rdma_array_dout[568],
1702 rdma_array_dout[335], rdma_array_dout[413], rdma_array_dout[491], rdma_array_dout[569],
1703 rdma_array_dout[336], rdma_array_dout[414], rdma_array_dout[492], rdma_array_dout[570],
1704 rdma_array_dout[337], rdma_array_dout[415], rdma_array_dout[493], rdma_array_dout[571],
1705 rdma_array_dout[338], rdma_array_dout[416], rdma_array_dout[494], rdma_array_dout[572],
1706 rdma_array_dout[339], rdma_array_dout[417], rdma_array_dout[495], rdma_array_dout[573],
1707 rdma_array_dout[340], rdma_array_dout[418], rdma_array_dout[496], rdma_array_dout[574],
1708 rdma_array_dout[341], rdma_array_dout[419], rdma_array_dout[497], rdma_array_dout[575],
1709 rdma_array_dout[342], rdma_array_dout[420], rdma_array_dout[498], rdma_array_dout[576],
1710 rdma_array_dout[343], rdma_array_dout[421], rdma_array_dout[499], rdma_array_dout[577],
1711 rdma_array_dout[344], rdma_array_dout[422], rdma_array_dout[500], rdma_array_dout[578],
1712 rdma_array_dout[345], rdma_array_dout[423], rdma_array_dout[501], rdma_array_dout[579],
1713 rdma_array_dout[346], rdma_array_dout[424], rdma_array_dout[502], rdma_array_dout[580],
1714 rdma_array_dout[347], rdma_array_dout[425], rdma_array_dout[503], rdma_array_dout[581],
1715 rdma_array_dout[348], rdma_array_dout[426], rdma_array_dout[504], rdma_array_dout[582],
1716 rdma_array_dout[349], rdma_array_dout[427], rdma_array_dout[505], rdma_array_dout[583],
1717 rdma_array_dout[350], rdma_array_dout[428], rdma_array_dout[506], rdma_array_dout[584]} = rdma_array3_dout[159:0];
1718
1719
1720assign rdma_array3_din[159:120] = {1'b0, 1'b0, 1'b0, 1'b0,
1721 evict_rdma_array_din[312], evict_rdma_array_din[390], evict_rdma_array_din[468], evict_rdma_array_din[546],
1722 evict_rdma_array_din[313], evict_rdma_array_din[391], evict_rdma_array_din[469], evict_rdma_array_din[547],
1723 evict_rdma_array_din[314], evict_rdma_array_din[392], evict_rdma_array_din[470], evict_rdma_array_din[548],
1724 evict_rdma_array_din[315], evict_rdma_array_din[393], evict_rdma_array_din[471], evict_rdma_array_din[549],
1725 evict_rdma_array_din[316], evict_rdma_array_din[394], evict_rdma_array_din[472], evict_rdma_array_din[550],
1726 evict_rdma_array_din[317], evict_rdma_array_din[395], evict_rdma_array_din[473], evict_rdma_array_din[551],
1727 evict_rdma_array_din[318], evict_rdma_array_din[396], evict_rdma_array_din[474], evict_rdma_array_din[552],
1728 evict_rdma_array_din[319], evict_rdma_array_din[397], evict_rdma_array_din[475], evict_rdma_array_din[553],
1729 evict_rdma_array_din[320], evict_rdma_array_din[398], evict_rdma_array_din[476], evict_rdma_array_din[554]};
1730assign rdma_array3_din[119:80] =
1731 {evict_rdma_array_din[321], evict_rdma_array_din[399], evict_rdma_array_din[477], evict_rdma_array_din[555],
1732 evict_rdma_array_din[322], evict_rdma_array_din[400], evict_rdma_array_din[478], evict_rdma_array_din[556],
1733 evict_rdma_array_din[323], evict_rdma_array_din[401], evict_rdma_array_din[479], evict_rdma_array_din[557],
1734 evict_rdma_array_din[324], evict_rdma_array_din[402], evict_rdma_array_din[480], evict_rdma_array_din[558],
1735 evict_rdma_array_din[325], evict_rdma_array_din[403], evict_rdma_array_din[481], evict_rdma_array_din[559],
1736 evict_rdma_array_din[326], evict_rdma_array_din[404], evict_rdma_array_din[482], evict_rdma_array_din[560],
1737 evict_rdma_array_din[327], evict_rdma_array_din[405], evict_rdma_array_din[483], evict_rdma_array_din[561],
1738 evict_rdma_array_din[328], evict_rdma_array_din[406], evict_rdma_array_din[484], evict_rdma_array_din[562],
1739 evict_rdma_array_din[329], evict_rdma_array_din[407], evict_rdma_array_din[485], evict_rdma_array_din[563],
1740 evict_rdma_array_din[330], evict_rdma_array_din[408], evict_rdma_array_din[486], evict_rdma_array_din[564]};
1741assign rdma_array3_din[79:40] =
1742 {evict_rdma_array_din[331], evict_rdma_array_din[409], evict_rdma_array_din[487], evict_rdma_array_din[565],
1743 evict_rdma_array_din[332], evict_rdma_array_din[410], evict_rdma_array_din[488], evict_rdma_array_din[566],
1744 evict_rdma_array_din[333], evict_rdma_array_din[411], evict_rdma_array_din[489], evict_rdma_array_din[567],
1745 evict_rdma_array_din[334], evict_rdma_array_din[412], evict_rdma_array_din[490], evict_rdma_array_din[568],
1746 evict_rdma_array_din[335], evict_rdma_array_din[413], evict_rdma_array_din[491], evict_rdma_array_din[569],
1747 evict_rdma_array_din[336], evict_rdma_array_din[414], evict_rdma_array_din[492], evict_rdma_array_din[570],
1748 evict_rdma_array_din[337], evict_rdma_array_din[415], evict_rdma_array_din[493], evict_rdma_array_din[571],
1749 evict_rdma_array_din[338], evict_rdma_array_din[416], evict_rdma_array_din[494], evict_rdma_array_din[572],
1750 evict_rdma_array_din[339], evict_rdma_array_din[417], evict_rdma_array_din[495], evict_rdma_array_din[573],
1751 evict_rdma_array_din[340], evict_rdma_array_din[418], evict_rdma_array_din[496], evict_rdma_array_din[574]};
1752assign rdma_array3_din[39:0] =
1753 {evict_rdma_array_din[341], evict_rdma_array_din[419], evict_rdma_array_din[497], evict_rdma_array_din[575],
1754 evict_rdma_array_din[342], evict_rdma_array_din[420], evict_rdma_array_din[498], evict_rdma_array_din[576],
1755 evict_rdma_array_din[343], evict_rdma_array_din[421], evict_rdma_array_din[499], evict_rdma_array_din[577],
1756 evict_rdma_array_din[344], evict_rdma_array_din[422], evict_rdma_array_din[500], evict_rdma_array_din[578],
1757 evict_rdma_array_din[345], evict_rdma_array_din[423], evict_rdma_array_din[501], evict_rdma_array_din[579],
1758 evict_rdma_array_din[346], evict_rdma_array_din[424], evict_rdma_array_din[502], evict_rdma_array_din[580],
1759 evict_rdma_array_din[347], evict_rdma_array_din[425], evict_rdma_array_din[503], evict_rdma_array_din[581],
1760 evict_rdma_array_din[348], evict_rdma_array_din[426], evict_rdma_array_din[504], evict_rdma_array_din[582],
1761 evict_rdma_array_din[349], evict_rdma_array_din[427], evict_rdma_array_din[505], evict_rdma_array_din[583],
1762 evict_rdma_array_din[350], evict_rdma_array_din[428], evict_rdma_array_din[506], evict_rdma_array_din[584]};
1763
1764
1765
1766n2_l2t_dp_16x160_cust rdma_array3
1767 (.dout ( rdma_array3_dout ),
1768 .din ( rdma_array3_din ),
1769 .rd_adr ({1'b0, 1'b0, evict_l2t_l2b_rdma_rdwl_r1_v3[1:0]}),
1770 .wr_adr ({1'b0, 1'b0, evict_l2t_l2b_rdma_wrwl_s3_v3[1:0]}),
1771 .read_en (evict_l2t_l2b_rdma_rden_r1_v3),
1772 .wr_en (evict_l2t_l2b_rdma_wren_s3_v3),
1773 .word_wen ({evict_l2t_l2b_rdma_wren_s3[7], evict_l2t_l2b_rdma_wren_s3[5],
1774 evict_l2t_l2b_rdma_wren_s3[3], evict_l2t_l2b_rdma_wren_s3[1]}),
1775 .byte_wen ({1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1,
1776 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1}),
1777 .scan_in(rdma_array3_scanin),
1778 .scan_out(rdma_array3_scanout),
1779 .l2clk (l2clk),
1780 .tcu_pce_ov(pce_ov),
1781 .pce(1'b1),
1782 .tcu_aclk(aclk),
1783 .tcu_bclk(bclk),
1784 .tcu_scan_en(tcu_scan_en),
1785 .mbist_run (rdma_mbist_enable),
1786 .mbist_wdata (rdma_mbist_data_in[7:0]),
1787 .tcu_array_wr_inhibit(array_wr_inhibit),
1788 .tcu_se_scancollar_in (tcu_se_scancollar_in));
1789
1790assign {rdma_array_dout_unused[7:4],
1791 rdma_array_dout[39], rdma_array_dout[117], rdma_array_dout[195], rdma_array_dout[273],
1792 rdma_array_dout[40], rdma_array_dout[118], rdma_array_dout[196], rdma_array_dout[274],
1793 rdma_array_dout[41], rdma_array_dout[119], rdma_array_dout[197], rdma_array_dout[275],
1794 rdma_array_dout[42], rdma_array_dout[120], rdma_array_dout[198], rdma_array_dout[276],
1795 rdma_array_dout[43], rdma_array_dout[121], rdma_array_dout[199], rdma_array_dout[277],
1796 rdma_array_dout[44], rdma_array_dout[122], rdma_array_dout[200], rdma_array_dout[278],
1797 rdma_array_dout[45], rdma_array_dout[123], rdma_array_dout[201], rdma_array_dout[279],
1798 rdma_array_dout[46], rdma_array_dout[124], rdma_array_dout[202], rdma_array_dout[280],
1799 rdma_array_dout[47], rdma_array_dout[125], rdma_array_dout[203], rdma_array_dout[281],
1800 rdma_array_dout[48], rdma_array_dout[126], rdma_array_dout[204], rdma_array_dout[282],
1801 rdma_array_dout[49], rdma_array_dout[127], rdma_array_dout[205], rdma_array_dout[283],
1802 rdma_array_dout[50], rdma_array_dout[128], rdma_array_dout[206], rdma_array_dout[284],
1803 rdma_array_dout[51], rdma_array_dout[129], rdma_array_dout[207], rdma_array_dout[285],
1804 rdma_array_dout[52], rdma_array_dout[130], rdma_array_dout[208], rdma_array_dout[286],
1805 rdma_array_dout[53], rdma_array_dout[131], rdma_array_dout[209], rdma_array_dout[287],
1806 rdma_array_dout[54], rdma_array_dout[132], rdma_array_dout[210], rdma_array_dout[288],
1807 rdma_array_dout[55], rdma_array_dout[133], rdma_array_dout[211], rdma_array_dout[289],
1808 rdma_array_dout[56], rdma_array_dout[134], rdma_array_dout[212], rdma_array_dout[290],
1809 rdma_array_dout[57], rdma_array_dout[135], rdma_array_dout[213], rdma_array_dout[291],
1810 rdma_array_dout[58], rdma_array_dout[136], rdma_array_dout[214], rdma_array_dout[292],
1811 rdma_array_dout[59], rdma_array_dout[137], rdma_array_dout[215], rdma_array_dout[293],
1812 rdma_array_dout[60], rdma_array_dout[138], rdma_array_dout[216], rdma_array_dout[294],
1813 rdma_array_dout[61], rdma_array_dout[139], rdma_array_dout[217], rdma_array_dout[295],
1814 rdma_array_dout[62], rdma_array_dout[140], rdma_array_dout[218], rdma_array_dout[296],
1815 rdma_array_dout[63], rdma_array_dout[141], rdma_array_dout[219], rdma_array_dout[297],
1816 rdma_array_dout[64], rdma_array_dout[142], rdma_array_dout[220], rdma_array_dout[298],
1817 rdma_array_dout[65], rdma_array_dout[143], rdma_array_dout[221], rdma_array_dout[299],
1818 rdma_array_dout[66], rdma_array_dout[144], rdma_array_dout[222], rdma_array_dout[300],
1819 rdma_array_dout[67], rdma_array_dout[145], rdma_array_dout[223], rdma_array_dout[301],
1820 rdma_array_dout[68], rdma_array_dout[146], rdma_array_dout[224], rdma_array_dout[302],
1821 rdma_array_dout[69], rdma_array_dout[147], rdma_array_dout[225], rdma_array_dout[303],
1822 rdma_array_dout[70], rdma_array_dout[148], rdma_array_dout[226], rdma_array_dout[304],
1823 rdma_array_dout[71], rdma_array_dout[149], rdma_array_dout[227], rdma_array_dout[305],
1824 rdma_array_dout[72], rdma_array_dout[150], rdma_array_dout[228], rdma_array_dout[306],
1825 rdma_array_dout[73], rdma_array_dout[151], rdma_array_dout[229], rdma_array_dout[307],
1826 rdma_array_dout[74], rdma_array_dout[152], rdma_array_dout[230], rdma_array_dout[308],
1827 rdma_array_dout[75], rdma_array_dout[153], rdma_array_dout[231], rdma_array_dout[309],
1828 rdma_array_dout[76], rdma_array_dout[154], rdma_array_dout[232], rdma_array_dout[310],
1829 rdma_array_dout[77], rdma_array_dout[155], rdma_array_dout[233], rdma_array_dout[311]} = rdma_array2_dout[159:0];
1830
1831
1832assign rdma_array2_din[159:120] = {1'b0, 1'b0, 1'b0, 1'b0,
1833 evict_rdma_array_din[39], evict_rdma_array_din[117], evict_rdma_array_din[195], evict_rdma_array_din[273],
1834 evict_rdma_array_din[40], evict_rdma_array_din[118], evict_rdma_array_din[196], evict_rdma_array_din[274],
1835 evict_rdma_array_din[41], evict_rdma_array_din[119], evict_rdma_array_din[197], evict_rdma_array_din[275],
1836 evict_rdma_array_din[42], evict_rdma_array_din[120], evict_rdma_array_din[198], evict_rdma_array_din[276],
1837 evict_rdma_array_din[43], evict_rdma_array_din[121], evict_rdma_array_din[199], evict_rdma_array_din[277],
1838 evict_rdma_array_din[44], evict_rdma_array_din[122], evict_rdma_array_din[200], evict_rdma_array_din[278],
1839 evict_rdma_array_din[45], evict_rdma_array_din[123], evict_rdma_array_din[201], evict_rdma_array_din[279],
1840 evict_rdma_array_din[46], evict_rdma_array_din[124], evict_rdma_array_din[202], evict_rdma_array_din[280],
1841 evict_rdma_array_din[47], evict_rdma_array_din[125], evict_rdma_array_din[203], evict_rdma_array_din[281]};
1842assign rdma_array2_din[119:80] =
1843 {evict_rdma_array_din[48], evict_rdma_array_din[126], evict_rdma_array_din[204], evict_rdma_array_din[282],
1844 evict_rdma_array_din[49], evict_rdma_array_din[127], evict_rdma_array_din[205], evict_rdma_array_din[283],
1845 evict_rdma_array_din[50], evict_rdma_array_din[128], evict_rdma_array_din[206], evict_rdma_array_din[284],
1846 evict_rdma_array_din[51], evict_rdma_array_din[129], evict_rdma_array_din[207], evict_rdma_array_din[285],
1847 evict_rdma_array_din[52], evict_rdma_array_din[130], evict_rdma_array_din[208], evict_rdma_array_din[286],
1848 evict_rdma_array_din[53], evict_rdma_array_din[131], evict_rdma_array_din[209], evict_rdma_array_din[287],
1849 evict_rdma_array_din[54], evict_rdma_array_din[132], evict_rdma_array_din[210], evict_rdma_array_din[288],
1850 evict_rdma_array_din[55], evict_rdma_array_din[133], evict_rdma_array_din[211], evict_rdma_array_din[289],
1851 evict_rdma_array_din[56], evict_rdma_array_din[134], evict_rdma_array_din[212], evict_rdma_array_din[290],
1852 evict_rdma_array_din[57], evict_rdma_array_din[135], evict_rdma_array_din[213], evict_rdma_array_din[291]};
1853assign rdma_array2_din[79:40] =
1854 {evict_rdma_array_din[58], evict_rdma_array_din[136], evict_rdma_array_din[214], evict_rdma_array_din[292],
1855 evict_rdma_array_din[59], evict_rdma_array_din[137], evict_rdma_array_din[215], evict_rdma_array_din[293],
1856 evict_rdma_array_din[60], evict_rdma_array_din[138], evict_rdma_array_din[216], evict_rdma_array_din[294],
1857 evict_rdma_array_din[61], evict_rdma_array_din[139], evict_rdma_array_din[217], evict_rdma_array_din[295],
1858 evict_rdma_array_din[62], evict_rdma_array_din[140], evict_rdma_array_din[218], evict_rdma_array_din[296],
1859 evict_rdma_array_din[63], evict_rdma_array_din[141], evict_rdma_array_din[219], evict_rdma_array_din[297],
1860 evict_rdma_array_din[64], evict_rdma_array_din[142], evict_rdma_array_din[220], evict_rdma_array_din[298],
1861 evict_rdma_array_din[65], evict_rdma_array_din[143], evict_rdma_array_din[221], evict_rdma_array_din[299],
1862 evict_rdma_array_din[66], evict_rdma_array_din[144], evict_rdma_array_din[222], evict_rdma_array_din[300],
1863 evict_rdma_array_din[67], evict_rdma_array_din[145], evict_rdma_array_din[223], evict_rdma_array_din[301]};
1864assign rdma_array2_din[39:0] =
1865 {evict_rdma_array_din[68], evict_rdma_array_din[146], evict_rdma_array_din[224], evict_rdma_array_din[302],
1866 evict_rdma_array_din[69], evict_rdma_array_din[147], evict_rdma_array_din[225], evict_rdma_array_din[303],
1867 evict_rdma_array_din[70], evict_rdma_array_din[148], evict_rdma_array_din[226], evict_rdma_array_din[304],
1868 evict_rdma_array_din[71], evict_rdma_array_din[149], evict_rdma_array_din[227], evict_rdma_array_din[305],
1869 evict_rdma_array_din[72], evict_rdma_array_din[150], evict_rdma_array_din[228], evict_rdma_array_din[306],
1870 evict_rdma_array_din[73], evict_rdma_array_din[151], evict_rdma_array_din[229], evict_rdma_array_din[307],
1871 evict_rdma_array_din[74], evict_rdma_array_din[152], evict_rdma_array_din[230], evict_rdma_array_din[308],
1872 evict_rdma_array_din[75], evict_rdma_array_din[153], evict_rdma_array_din[231], evict_rdma_array_din[309],
1873 evict_rdma_array_din[76], evict_rdma_array_din[154], evict_rdma_array_din[232], evict_rdma_array_din[310],
1874 evict_rdma_array_din[77], evict_rdma_array_din[155], evict_rdma_array_din[233], evict_rdma_array_din[311]};
1875
1876
1877
1878n2_l2t_dp_16x160_cust rdma_array2
1879 (.dout (rdma_array2_dout ),
1880 .din (rdma_array2_din ),
1881 .rd_adr ({1'b0, 1'b0, evict_l2t_l2b_rdma_rdwl_r1_v2[1:0]}),
1882 .wr_adr ({1'b0, 1'b0, evict_l2t_l2b_rdma_wrwl_s3_v2[1:0]}),
1883 .read_en (evict_l2t_l2b_rdma_rden_r1_v2),
1884 .wr_en (evict_l2t_l2b_rdma_wren_s3_v2),
1885 .word_wen ({evict_l2t_l2b_rdma_wren_s3[14], evict_l2t_l2b_rdma_wren_s3[12],
1886 evict_l2t_l2b_rdma_wren_s3[10], evict_l2t_l2b_rdma_wren_s3[8]}),
1887 .byte_wen ({1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1,
1888 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1}),
1889 .scan_in(rdma_array2_scanin),
1890 .scan_out(rdma_array2_scanout),
1891 .l2clk (l2clk),
1892 .tcu_pce_ov(pce_ov),
1893 .pce(1'b1),
1894 .tcu_aclk(aclk),
1895 .tcu_bclk(bclk),
1896 .tcu_scan_en(tcu_scan_en),
1897 .mbist_run (rdma_mbist_enable),
1898 .mbist_wdata (rdma_mbist_data_in[7:0]),
1899 .tcu_array_wr_inhibit(array_wr_inhibit),
1900 .tcu_se_scancollar_in (tcu_se_scancollar_in));
1901
1902assign {rdma_array_dout_unused[3:0],
1903 rdma_array_dout[0], rdma_array_dout[78], rdma_array_dout[156], rdma_array_dout[234],
1904 rdma_array_dout[1], rdma_array_dout[79], rdma_array_dout[157], rdma_array_dout[235],
1905 rdma_array_dout[2], rdma_array_dout[80], rdma_array_dout[158], rdma_array_dout[236],
1906 rdma_array_dout[3], rdma_array_dout[81], rdma_array_dout[159], rdma_array_dout[237],
1907 rdma_array_dout[4], rdma_array_dout[82], rdma_array_dout[160], rdma_array_dout[238],
1908 rdma_array_dout[5], rdma_array_dout[83], rdma_array_dout[161], rdma_array_dout[239],
1909 rdma_array_dout[6], rdma_array_dout[84], rdma_array_dout[162], rdma_array_dout[240],
1910 rdma_array_dout[7], rdma_array_dout[85], rdma_array_dout[163], rdma_array_dout[241],
1911 rdma_array_dout[8], rdma_array_dout[86], rdma_array_dout[164], rdma_array_dout[242],
1912 rdma_array_dout[9], rdma_array_dout[87], rdma_array_dout[165], rdma_array_dout[243],
1913 rdma_array_dout[10], rdma_array_dout[88], rdma_array_dout[166], rdma_array_dout[244],
1914 rdma_array_dout[11], rdma_array_dout[89], rdma_array_dout[167], rdma_array_dout[245],
1915 rdma_array_dout[12], rdma_array_dout[90], rdma_array_dout[168], rdma_array_dout[246],
1916 rdma_array_dout[13], rdma_array_dout[91], rdma_array_dout[169], rdma_array_dout[247],
1917 rdma_array_dout[14], rdma_array_dout[92], rdma_array_dout[170], rdma_array_dout[248],
1918 rdma_array_dout[15], rdma_array_dout[93], rdma_array_dout[171], rdma_array_dout[249],
1919 rdma_array_dout[16], rdma_array_dout[94], rdma_array_dout[172], rdma_array_dout[250],
1920 rdma_array_dout[17], rdma_array_dout[95], rdma_array_dout[173], rdma_array_dout[251],
1921 rdma_array_dout[18], rdma_array_dout[96], rdma_array_dout[174], rdma_array_dout[252],
1922 rdma_array_dout[19], rdma_array_dout[97], rdma_array_dout[175], rdma_array_dout[253],
1923 rdma_array_dout[20], rdma_array_dout[98], rdma_array_dout[176], rdma_array_dout[254],
1924 rdma_array_dout[21], rdma_array_dout[99], rdma_array_dout[177], rdma_array_dout[255],
1925 rdma_array_dout[22], rdma_array_dout[100], rdma_array_dout[178], rdma_array_dout[256],
1926 rdma_array_dout[23], rdma_array_dout[101], rdma_array_dout[179], rdma_array_dout[257],
1927 rdma_array_dout[24], rdma_array_dout[102], rdma_array_dout[180], rdma_array_dout[258],
1928 rdma_array_dout[25], rdma_array_dout[103], rdma_array_dout[181], rdma_array_dout[259],
1929 rdma_array_dout[26], rdma_array_dout[104], rdma_array_dout[182], rdma_array_dout[260],
1930 rdma_array_dout[27], rdma_array_dout[105], rdma_array_dout[183], rdma_array_dout[261],
1931 rdma_array_dout[28], rdma_array_dout[106], rdma_array_dout[184], rdma_array_dout[262],
1932 rdma_array_dout[29], rdma_array_dout[107], rdma_array_dout[185], rdma_array_dout[263],
1933 rdma_array_dout[30], rdma_array_dout[108], rdma_array_dout[186], rdma_array_dout[264],
1934 rdma_array_dout[31], rdma_array_dout[109], rdma_array_dout[187], rdma_array_dout[265],
1935 rdma_array_dout[32], rdma_array_dout[110], rdma_array_dout[188], rdma_array_dout[266],
1936 rdma_array_dout[33], rdma_array_dout[111], rdma_array_dout[189], rdma_array_dout[267],
1937 rdma_array_dout[34], rdma_array_dout[112], rdma_array_dout[190], rdma_array_dout[268],
1938 rdma_array_dout[35], rdma_array_dout[113], rdma_array_dout[191], rdma_array_dout[269],
1939 rdma_array_dout[36], rdma_array_dout[114], rdma_array_dout[192], rdma_array_dout[270],
1940 rdma_array_dout[37], rdma_array_dout[115], rdma_array_dout[193], rdma_array_dout[271],
1941 rdma_array_dout[38], rdma_array_dout[116], rdma_array_dout[194], rdma_array_dout[272]} = rdma_array1_dout[159:0];
1942
1943
1944assign rdma_array1_din[159:120] = {1'b0, 1'b0, 1'b0, 1'b0,
1945 evict_rdma_array_din[0], evict_rdma_array_din[78], evict_rdma_array_din[156], evict_rdma_array_din[234],
1946 evict_rdma_array_din[1], evict_rdma_array_din[79], evict_rdma_array_din[157], evict_rdma_array_din[235],
1947 evict_rdma_array_din[2], evict_rdma_array_din[80], evict_rdma_array_din[158], evict_rdma_array_din[236],
1948 evict_rdma_array_din[3], evict_rdma_array_din[81], evict_rdma_array_din[159], evict_rdma_array_din[237],
1949 evict_rdma_array_din[4], evict_rdma_array_din[82], evict_rdma_array_din[160], evict_rdma_array_din[238],
1950 evict_rdma_array_din[5], evict_rdma_array_din[83], evict_rdma_array_din[161], evict_rdma_array_din[239],
1951 evict_rdma_array_din[6], evict_rdma_array_din[84], evict_rdma_array_din[162], evict_rdma_array_din[240],
1952 evict_rdma_array_din[7], evict_rdma_array_din[85], evict_rdma_array_din[163], evict_rdma_array_din[241],
1953 evict_rdma_array_din[8], evict_rdma_array_din[86], evict_rdma_array_din[164], evict_rdma_array_din[242]};
1954assign rdma_array1_din[119:80] =
1955 {evict_rdma_array_din[9], evict_rdma_array_din[87], evict_rdma_array_din[165], evict_rdma_array_din[243],
1956 evict_rdma_array_din[10], evict_rdma_array_din[88], evict_rdma_array_din[166], evict_rdma_array_din[244],
1957 evict_rdma_array_din[11], evict_rdma_array_din[89], evict_rdma_array_din[167], evict_rdma_array_din[245],
1958 evict_rdma_array_din[12], evict_rdma_array_din[90], evict_rdma_array_din[168], evict_rdma_array_din[246],
1959 evict_rdma_array_din[13], evict_rdma_array_din[91], evict_rdma_array_din[169], evict_rdma_array_din[247],
1960 evict_rdma_array_din[14], evict_rdma_array_din[92], evict_rdma_array_din[170], evict_rdma_array_din[248],
1961 evict_rdma_array_din[15], evict_rdma_array_din[93], evict_rdma_array_din[171], evict_rdma_array_din[249],
1962 evict_rdma_array_din[16], evict_rdma_array_din[94], evict_rdma_array_din[172], evict_rdma_array_din[250],
1963 evict_rdma_array_din[17], evict_rdma_array_din[95], evict_rdma_array_din[173], evict_rdma_array_din[251],
1964 evict_rdma_array_din[18], evict_rdma_array_din[96], evict_rdma_array_din[174], evict_rdma_array_din[252]};
1965assign rdma_array1_din[79:40] =
1966 {evict_rdma_array_din[19], evict_rdma_array_din[97], evict_rdma_array_din[175], evict_rdma_array_din[253],
1967 evict_rdma_array_din[20], evict_rdma_array_din[98], evict_rdma_array_din[176], evict_rdma_array_din[254],
1968 evict_rdma_array_din[21], evict_rdma_array_din[99], evict_rdma_array_din[177], evict_rdma_array_din[255],
1969 evict_rdma_array_din[22], evict_rdma_array_din[100], evict_rdma_array_din[178], evict_rdma_array_din[256],
1970 evict_rdma_array_din[23], evict_rdma_array_din[101], evict_rdma_array_din[179], evict_rdma_array_din[257],
1971 evict_rdma_array_din[24], evict_rdma_array_din[102], evict_rdma_array_din[180], evict_rdma_array_din[258],
1972 evict_rdma_array_din[25], evict_rdma_array_din[103], evict_rdma_array_din[181], evict_rdma_array_din[259],
1973 evict_rdma_array_din[26], evict_rdma_array_din[104], evict_rdma_array_din[182], evict_rdma_array_din[260],
1974 evict_rdma_array_din[27], evict_rdma_array_din[105], evict_rdma_array_din[183], evict_rdma_array_din[261],
1975 evict_rdma_array_din[28], evict_rdma_array_din[106], evict_rdma_array_din[184], evict_rdma_array_din[262]};
1976assign rdma_array1_din[39:0] =
1977 {evict_rdma_array_din[29], evict_rdma_array_din[107], evict_rdma_array_din[185], evict_rdma_array_din[263],
1978 evict_rdma_array_din[30], evict_rdma_array_din[108], evict_rdma_array_din[186], evict_rdma_array_din[264],
1979 evict_rdma_array_din[31], evict_rdma_array_din[109], evict_rdma_array_din[187], evict_rdma_array_din[265],
1980 evict_rdma_array_din[32], evict_rdma_array_din[110], evict_rdma_array_din[188], evict_rdma_array_din[266],
1981 evict_rdma_array_din[33], evict_rdma_array_din[111], evict_rdma_array_din[189], evict_rdma_array_din[267],
1982 evict_rdma_array_din[34], evict_rdma_array_din[112], evict_rdma_array_din[190], evict_rdma_array_din[268],
1983 evict_rdma_array_din[35], evict_rdma_array_din[113], evict_rdma_array_din[191], evict_rdma_array_din[269],
1984 evict_rdma_array_din[36], evict_rdma_array_din[114], evict_rdma_array_din[192], evict_rdma_array_din[270],
1985 evict_rdma_array_din[37], evict_rdma_array_din[115], evict_rdma_array_din[193], evict_rdma_array_din[271],
1986 evict_rdma_array_din[38], evict_rdma_array_din[116], evict_rdma_array_din[194], evict_rdma_array_din[272]};
1987
1988
1989n2_l2t_dp_16x160_cust rdma_array1
1990 (.dout ( rdma_array1_dout ),
1991 .rd_adr ({1'b0, 1'b0, evict_l2t_l2b_rdma_rdwl_r1_v1[1:0]}),
1992 .din (rdma_array1_din ),
1993 .wr_adr ({1'b0, 1'b0, evict_l2t_l2b_rdma_wrwl_s3_v1[1:0]}),
1994 .read_en (evict_l2t_l2b_rdma_rden_r1_v1),
1995 .wr_en (evict_l2t_l2b_rdma_wren_s3_v1),
1996 .word_wen ({evict_l2t_l2b_rdma_wren_s3[15], evict_l2t_l2b_rdma_wren_s3[13],
1997 evict_l2t_l2b_rdma_wren_s3[11], evict_l2t_l2b_rdma_wren_s3[9]}),
1998 .byte_wen ({1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1,
1999 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1, 1'b1}),
2000 .scan_in(rdma_array1_scanin),
2001 .scan_out(rdma_array1_scanout),
2002 .l2clk (l2clk),
2003 .tcu_pce_ov(pce_ov),
2004 .pce(1'b1),
2005 .tcu_aclk(aclk),
2006 .tcu_bclk(bclk),
2007 .tcu_scan_en(tcu_scan_en),
2008 .mbist_run (rdma_mbist_enable),
2009 .mbist_wdata (rdma_mbist_data_in[7:0]),
2010 .tcu_array_wr_inhibit(array_wr_inhibit),
2011 .tcu_se_scancollar_in (tcu_se_scancollar_in));
2012
2013
2014////////////////////////////////////////////////////////////////////////////////
2015// EFU SRAM Header for L2data
2016////////////////////////////////////////////////////////////////////////////////
2017
2018l2b_l2defu_ctl l2d_sram_hdr
2019 (
2020 .efu_hdr_write_data (efu_l2b_fuse_data),
2021 .efu_hdr_xfer_en (efu_l2b_fuse_xfer_en),
2022 .efu_hdr_clr (efu_l2b_fuse_clr),
2023 .sram_hdr_read_data (l2d_l2b_fuse_read_data[9:0]),
2024 .scan_in(l2d_sram_hdr_scanin),
2025 .scan_out(l2d_sram_hdr_scanout),
2026 .l2clk (l2clk),
2027 .tcu_pce_ov (tcu_pce_ov),
2028 .tcu_aclk (tcu_aclk),
2029 .tcu_bclk (tcu_bclk),
2030 .tcu_scan_en (tcu_scan_en),
2031 .io_cmp_sync_en (io_cmp_sync_en),
2032 .cmp_io_sync_en (cmp_io_sync_en),
2033 .tcu_clk_stop (1'b0),
2034 .hdr_efu_read_data (l2b_efu_fuse_data),
2035 .hdr_efu_xfer_en (l2b_efu_fuse_xfer_en),
2036 .hdr_sram_rvalue (l2b_l2d_rvalue[9:0]),
2037 .hdr_sram_rid (l2b_l2d_rid[6:0]),
2038 .hdr_sram_wr_en (l2b_l2d_wr_en),
2039 .hdr_sram_red_clr (l2b_l2d_fuse_clr)
2040 );
2041
2042
2043////////////////////////////////////////////////////////////////////////////////
2044
2045
2046// fixscan start:
2047assign clock_header_scanin =scan_in;
2048assign fbd_scanin = clock_header_scanout ;
2049assign fb_array4_scanin = fbd_scanout ;
2050assign fb_array3_scanin = fb_array4_scanout ;
2051assign fb_array2_scanin = fb_array3_scanout ;
2052assign fb_array1_scanin = fb_array2_scanout ;
2053assign rdmard_scanin = fb_array1_scanout ;
2054assign wb_array4_scanin = rdmard_scanout ;
2055assign wb_array3_scanin = wb_array4_scanout ;
2056assign wb_array2_scanin = wb_array3_scanout ;
2057assign wb_array1_scanin = wb_array2_scanout ;
2058assign evict_scanin = wb_array1_scanout ;
2059assign siu_interface_scanin = evict_scanout ;
2060assign rdma_array4_scanin = siu_interface_scanout ;
2061assign rdma_array3_scanin = rdma_array4_scanout ;
2062assign rdma_array2_scanin = rdma_array3_scanout ;
2063assign rdma_array1_scanin = rdma_array2_scanout ;
2064assign l2d_sram_hdr_scanin = rdma_array1_scanout ;
2065assign scan_out = l2d_sram_hdr_scanout ;
2066// fixscan end:
2067endmodule
2068