Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / mcu / rtl / mcu.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: mcu.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module mcu (
36 mcu_fsr0_data,
37 mcu_fsr1_data,
38 mcu_fsr0_cfgpll_enpll,
39 mcu_fsr1_cfgpll_enpll,
40 mcu_fsr01_cfgpll_lb,
41 mcu_fsr01_cfgpll_mpy,
42 mcu_fsr0_cfgrx_enrx,
43 mcu_fsr1_cfgrx_enrx,
44 mcu_fsr0_cfgrx_entest,
45 mcu_fsr1_cfgrx_entest,
46 mcu_fsr0_cfgrx_align,
47 mcu_fsr1_cfgrx_align,
48 mcu_fsr0_cfgrx_invpair,
49 mcu_fsr1_cfgrx_invpair,
50 mcu_fsr01_cfgrx_eq,
51 mcu_fsr01_cfgrx_cdr,
52 mcu_fsr01_cfgrx_term,
53 mcu_fsr0_cfgtx_entx,
54 mcu_fsr1_cfgtx_entx,
55 mcu_fsr0_cfgtx_entest,
56 mcu_fsr1_cfgtx_entest,
57 mcu_fsr0_cfgtx_enidl,
58 mcu_fsr1_cfgtx_enidl,
59 mcu_fsr0_cfgtx_invpair,
60 mcu_fsr1_cfgtx_invpair,
61 mcu_fsr0_cfgtx_bstx,
62 mcu_fsr1_cfgtx_bstx,
63 mcu_fsr01_cfgtx_enftp,
64 mcu_fsr01_cfgtx_de,
65 mcu_fsr01_cfgtx_swing,
66 mcu_fsr01_cfgtx_cm,
67 mcu_fsr01_cfgrtx_rate,
68 mcu_fsr0_testcfg,
69 mcu_fsr1_testcfg,
70 mcu_l2t0_data_vld_r0,
71 mcu_l2t0_rd_ack,
72 mcu_l2t0_scb_mecc_err,
73 mcu_l2t0_scb_secc_err,
74 mcu_l2t0_wr_ack,
75 mcu_l2t0_qword_id_r0,
76 mcu_l2t0_mecc_err_r3,
77 mcu_l2t0_rd_req_id_r0,
78 mcu_l2t0_secc_err_r3,
79 mcu_l2t1_data_vld_r0,
80 mcu_l2t1_rd_ack,
81 mcu_l2t1_scb_mecc_err,
82 mcu_l2t1_scb_secc_err,
83 mcu_l2t1_wr_ack,
84 mcu_l2t1_qword_id_r0,
85 mcu_l2t1_mecc_err_r3,
86 mcu_l2t1_rd_req_id_r0,
87 mcu_l2t1_secc_err_r3,
88 mcu_l2b_data_r3,
89 mcu_l2b_ecc_r3,
90 mcu_pt_sync_out,
91 mcu_ncu_data,
92 mcu_ncu_stall,
93 mcu_ncu_vld,
94 mcu_ncu_ecc,
95 mcu_ncu_fbr,
96 mcu_dbg1_rd_req_in_0,
97 mcu_dbg1_rd_req_in_1,
98 mcu_dbg1_rd_req_out,
99 mcu_dbg1_wr_req_in_0,
100 mcu_dbg1_wr_req_in_1,
101 mcu_dbg1_wr_req_out,
102 mcu_dbg1_mecc_err,
103 mcu_dbg1_secc_err,
104 mcu_dbg1_fbd_err,
105 mcu_dbg1_err_mode,
106 mcu_dbg1_crc21,
107 mcu_dbg1_err_event,
108 fsr0_mcu_rxbclk,
109 fsr1_mcu_rxbclk,
110 fsr0_mcu_data,
111 fsr1_mcu_data,
112 fsr0_mcu_stspll_lock,
113 fsr1_mcu_stspll_lock,
114 fsr0_mcu_stsrx_testfail,
115 fsr1_mcu_stsrx_testfail,
116 fsr0_mcu_stsrx_sync,
117 fsr1_mcu_stsrx_sync,
118 fsr0_mcu_stsrx_losdtct,
119 fsr1_mcu_stsrx_losdtct,
120 fsr0_mcu_stsrx_bsrxp,
121 fsr1_mcu_stsrx_bsrxp,
122 fsr0_mcu_stsrx_bsrxn,
123 fsr1_mcu_stsrx_bsrxn,
124 fsr0_mcu_ststx_testfail,
125 fsr1_mcu_ststx_testfail,
126 ncu_mcu_data,
127 ncu_mcu_stall,
128 ncu_mcu_vld,
129 ncu_mcu_ecci,
130 ncu_mcu_fbui,
131 ncu_mcu_fbri,
132 ncu_mcu_pm,
133 ncu_mcu_ba01,
134 ncu_mcu_ba23,
135 ncu_mcu_ba45,
136 ncu_mcu_ba67,
137 l2b0_mcu_data_mecc_r5,
138 l2b0_mcu_data_vld_r5,
139 l2b0_mcu_wr_data_r5,
140 l2t0_mcu_addr_39to7,
141 l2t0_mcu_addr_5,
142 l2t0_mcu_rd_dummy_req,
143 l2t0_mcu_rd_req,
144 l2t0_mcu_rd_req_id,
145 l2t0_mcu_wr_req,
146 l2b1_mcu_data_mecc_r5,
147 l2b1_mcu_data_vld_r5,
148 l2b1_mcu_wr_data_r5,
149 l2t1_mcu_addr_39to7,
150 l2t1_mcu_addr_5,
151 l2t1_mcu_rd_dummy_req,
152 l2t1_mcu_rd_req,
153 l2t1_mcu_rd_req_id,
154 l2t1_mcu_wr_req,
155 mcu_pt_sync_in0,
156 mcu_pt_sync_in1,
157 mcu_pt_sync_in2,
158 mcu_id,
159 tcu_mbist_bisi_en,
160 tcu_mbist_user_mode,
161 tcu_mcu_mbist_start,
162 mcu_tcu_mbist_done,
163 mcu_tcu_mbist_fail,
164 tcu_mcu_mbist_scan_in,
165 mcu_tcu_mbist_scan_out,
166 tcu_sbs_scan_en,
167 tcu_sbs_aclk,
168 tcu_sbs_bclk,
169 tcu_sbs_clk,
170 tcu_sbs_uclk,
171 mcu_sbs_scan_in,
172 mcu_sbs_scan_out,
173 ccu_dr_sync_en,
174 ccu_io_cmp_sync_en,
175 ccu_cmp_io_sync_en,
176 rst_mcu_selfrsh,
177 rst_wmr_protect,
178 scan_in,
179 tcu_aclk,
180 tcu_bclk,
181 tcu_mcu_clk_stop,
182 tcu_mcu_dr_clk_stop,
183 tcu_mcu_io_clk_stop,
184 tcu_pce_ov,
185 tcu_dectest,
186 tcu_muxtest,
187 tcu_mcu_testmode,
188 tcu_mcu_fbd_clk_stop,
189 tcu_scan_en,
190 tcu_se_scancollar_in,
191 tcu_array_wr_inhibit,
192 tcu_array_bypass,
193 tcu_atpg_mode,
194 scan_out,
195 tcu_div_bypass,
196 ccu_io_out,
197 ccu_serdes_dtm,
198 dr_gclk,
199 gclk);
200wire clkgen_cmp_scanin;
201wire clkgen_cmp_scanout;
202wire l2clk;
203wire cmp_io_sync_en;
204wire io_cmp_sync_en;
205wire cmp_pce_ov;
206wire io2x_sync_en_unused;
207wire cmp_wmr_unused;
208wire cmp_por_unused;
209wire cmp_wmr_protect_unused;
210wire cmp_aclk_wmr_unused;
211wire cmp_array_wr_inhibit;
212wire clkgen_dr_scanin;
213wire clkgen_dr_scanout;
214wire drl2clk;
215wire dr_pce_ov;
216wire dr_cmp_slow_sync_en_unused;
217wire dr_slow_cmp_sync_en_unused;
218wire dr_wmr_unused;
219wire dr_por_unused;
220wire dr_aclk_unused;
221wire dr_bclk_unused;
222wire aclk_wmr;
223wire dr_array_wr_inhibit;
224wire clkgen_io_scanin;
225wire clkgen_io_scanout;
226wire iol2clk;
227wire io_pce_ov;
228wire io_cmp_slow_sync_en_unused;
229wire io_slow_cmp_sync_en_unused;
230wire io_wmr_unused;
231wire io_por_unused;
232wire io_wmr_protect_unused;
233wire io_aclk_unused;
234wire io_bclk_unused;
235wire io_aclk_wmr_unused;
236wire io_awi_unused;
237wire l2if0_scanin;
238wire l2if0_scanout;
239wire aclk;
240wire bclk;
241wire drq0_rdq_free;
242wire [7:0] woq0_wdq_entry_free;
243wire [3:0] mcu_ucb_rd_req_in_0;
244wire mcu_ucb_wr_req_in_0;
245wire l2if0_rd_dummy_req;
246wire l2if0_rd_dummy_req_addr5;
247wire [2:0] l2if0_rd_dummy_req_id;
248wire l2if0_rd_dummy_addr_err;
249wire rdpctl0_dummy_data_valid;
250wire l2if0_mcu_data_mecc;
251wire [2:0] l2if0_data_wr_addr;
252wire l2if0_wdq_rd_inh;
253wire l2if0_wr_req;
254wire l2if0_rd_req;
255wire [1:0] l2if0_wdq_we;
256wire [4:0] l2if0_wdq_wadr;
257wire [3:0] l2if0_wdq_in_cntr;
258wire l2if0_rd_rank_adr;
259wire [2:0] l2if0_rd_dimm_adr;
260wire [2:0] l2if0_rd_bank_adr;
261wire [14:0] l2if0_rd_ras_adr;
262wire [10:0] l2if0_rd_cas_adr;
263wire l2if0_rd_addr_err;
264wire l2if0_rd_addr_parity;
265wire [2:0] l2if0_rd_req_id;
266wire l2if0_wr_rank_adr;
267wire [2:0] l2if0_wr_dimm_adr;
268wire [2:0] l2if0_wr_bank_adr;
269wire [14:0] l2if0_wr_ras_adr;
270wire [10:0] l2if0_wr_cas_adr;
271wire l2if0_wr_addr_err;
272wire l2if0_wr_addr_parity;
273wire mbist_wdqrf00_wr_en;
274wire mbist_wdqrf01_wr_en;
275wire [7:0] mbist_wdata;
276wire dr_sync_en;
277wire l2if1_scanin;
278wire l2if1_scanout;
279wire drq1_rdq_free;
280wire [7:0] woq1_wdq_entry_free;
281wire [3:0] mcu_ucb_rd_req_in_1;
282wire mcu_ucb_wr_req_in_1;
283wire l2if1_rd_dummy_req;
284wire l2if1_rd_dummy_req_addr5;
285wire [2:0] l2if1_rd_dummy_req_id;
286wire l2if1_rd_dummy_addr_err;
287wire rdpctl1_dummy_data_valid;
288wire l2if1_mcu_data_mecc;
289wire [2:0] l2if1_data_wr_addr;
290wire l2if1_wdq_rd_inh;
291wire l2if1_wr_req;
292wire l2if1_rd_req;
293wire [1:0] l2if1_wdq_we;
294wire [4:0] l2if1_wdq_wadr;
295wire [3:0] l2if1_wdq_in_cntr;
296wire l2if1_rd_rank_adr;
297wire [2:0] l2if1_rd_dimm_adr;
298wire [2:0] l2if1_rd_bank_adr;
299wire [14:0] l2if1_rd_ras_adr;
300wire [10:0] l2if1_rd_cas_adr;
301wire l2if1_rd_addr_err;
302wire l2if1_rd_addr_parity;
303wire [2:0] l2if1_rd_req_id;
304wire l2if1_wr_rank_adr;
305wire [2:0] l2if1_wr_dimm_adr;
306wire [2:0] l2if1_wr_bank_adr;
307wire [14:0] l2if1_wr_ras_adr;
308wire [10:0] l2if1_wr_cas_adr;
309wire l2if1_wr_addr_err;
310wire l2if1_wr_addr_parity;
311wire mbist_wdqrf10_wr_en;
312wire mbist_wdqrf11_wr_en;
313wire drif_wmr_scanin;
314wire drif_wmr_scanout;
315wire drif_scanin;
316wire drif_scanout;
317wire ucb_scanin;
318wire ucb_scanout;
319wire ucb_mcu_rd_req_vld;
320wire ucb_mcu_wr_req_vld;
321wire mcu_ucb_ack_vld;
322wire mcu_ucb_nack_vld;
323wire [63:0] mcu_ucb_data;
324wire rdata_err_intr;
325wire rdata_scanin;
326wire rdata_scanout;
327wire [6:0] wdrqf00_data;
328wire wdqrf00_data_mecc;
329wire [6:0] wdrqf01_data;
330wire wdqrf01_data_mecc;
331wire [6:0] wdrqf10_data;
332wire wdqrf10_data_mecc;
333wire [6:0] wdrqf11_data;
334wire wdqrf11_data_mecc;
335wire [71:0] mbist_read_data;
336wire mcu_l2t0_data_vld_r0_unused;
337wire [1:0] mcu_l2t0_qword_id_r0_unused;
338wire [2:0] mcu_l2t0_rd_req_id_r0_unused;
339wire mcu_l2t1_data_vld_r0_unused;
340wire [1:0] mcu_l2t1_qword_id_r0_unused;
341wire [2:0] mcu_l2t1_rd_req_id_r0_unused;
342wire rdpctl_wmr_scanin;
343wire rdpctl_wmr_scanout;
344wire rdpctl_scanin;
345wire rdpctl_scanout;
346wire [63:0] drif_ucb_data;
347wire fdout_scanin;
348wire fdout_scanout;
349wire fdoklu_scanin;
350wire fdoklu_scanout;
351wire fbdic_wmr_scanin;
352wire fbdic_wmr_scanout;
353wire fbdic_scanin;
354wire fbdic_scanout;
355wire fbdtm_scanin;
356wire fbdtm_scanout;
357wire fbdtm_wmr_scanin;
358wire fbdtm_wmr_scanout;
359wire [143:0] fbdird0_data;
360wire [13:0] fdout0_frame_lock_sync;
361wire [13:0] fdout1_frame_lock_sync;
362wire crcs_scanin;
363wire crcs_scanout;
364wire crcn_scanin;
365wire crcn_scanout;
366wire addrdp_scanin;
367wire addrdp_scanout;
368wire drq0_rd_adr_queue7_en;
369wire drq0_rd_adr_queue6_en;
370wire drq0_rd_adr_queue5_en;
371wire drq0_rd_adr_queue4_en;
372wire drq0_rd_adr_queue3_en;
373wire drq0_rd_adr_queue2_en;
374wire drq0_rd_adr_queue1_en;
375wire drq0_rd_adr_queue0_en;
376wire [7:0] drif0_rd_adr_queue_sel;
377wire drq0_wr_adr_queue7_en;
378wire drq0_wr_adr_queue6_en;
379wire drq0_wr_adr_queue5_en;
380wire drq0_wr_adr_queue4_en;
381wire drq0_wr_adr_queue3_en;
382wire drq0_wr_adr_queue2_en;
383wire drq0_wr_adr_queue1_en;
384wire drq0_wr_adr_queue0_en;
385wire addrdp0_rd_wr_adr0_eq;
386wire addrdp0_rd_wr_adr1_eq;
387wire addrdp0_rd_wr_adr2_eq;
388wire addrdp0_rd_wr_adr3_eq;
389wire addrdp0_rd_wr_adr4_eq;
390wire addrdp0_rd_wr_adr5_eq;
391wire addrdp0_rd_wr_adr6_eq;
392wire addrdp0_rd_wr_adr7_eq;
393wire [1:0] drif0_req_rdwr_addr_sel;
394wire drq1_rd_adr_queue7_en;
395wire drq1_rd_adr_queue6_en;
396wire drq1_rd_adr_queue5_en;
397wire drq1_rd_adr_queue4_en;
398wire drq1_rd_adr_queue3_en;
399wire drq1_rd_adr_queue2_en;
400wire drq1_rd_adr_queue1_en;
401wire drq1_rd_adr_queue0_en;
402wire [7:0] drif1_rd_adr_queue_sel;
403wire drq1_wr_adr_queue7_en;
404wire drq1_wr_adr_queue6_en;
405wire drq1_wr_adr_queue5_en;
406wire drq1_wr_adr_queue4_en;
407wire drq1_wr_adr_queue3_en;
408wire drq1_wr_adr_queue2_en;
409wire drq1_wr_adr_queue1_en;
410wire drq1_wr_adr_queue0_en;
411wire addrdp1_rd_wr_adr0_eq;
412wire addrdp1_rd_wr_adr1_eq;
413wire addrdp1_rd_wr_adr2_eq;
414wire addrdp1_rd_wr_adr3_eq;
415wire addrdp1_rd_wr_adr4_eq;
416wire addrdp1_rd_wr_adr5_eq;
417wire addrdp1_rd_wr_adr6_eq;
418wire addrdp1_rd_wr_adr7_eq;
419wire [1:0] drif1_req_rdwr_addr_sel;
420wire [14:0] addrdp_ras_adr_queue;
421wire [10:0] addrdp_cas_adr_queue;
422wire [14:0] addrdp_ras_wr1_adr_queue;
423wire [10:0] addrdp_cas_wr1_adr_queue;
424wire [14:0] addrdp_ras_wr2_adr_queue;
425wire [10:0] addrdp_cas_wr2_adr_queue;
426wire [2:0] addrdp_rd_req_id_queue;
427wire [1:0] drif_rascas_adr_sel;
428wire [1:0] drif_rascas_wr1_adr_sel;
429wire [1:0] drif_rascas_wr2_adr_sel;
430wire [7:0] woq_wr_adr_queue_sel;
431wire [7:0] woq_wr1_adr_queue_sel;
432wire [7:0] woq_wr2_adr_queue_sel;
433wire readdp0_scanin;
434wire readdp0_scanout;
435wire [1:0] readdp_ecc_single_err;
436wire [1:0] readdp_ecc_multi_err;
437wire [35:0] readdp0_ecc_loc;
438wire drif_fail_over_mode;
439wire [34:0] drif_fail_over_mask;
440wire [34:0] drif_fail_over_mask_l;
441wire [15:0] readdp0_syndrome;
442wire [255:0] mcu_scrub_wdata;
443wire [255:0] readdp_rddata;
444wire [2:0] rdpctl_rddata_en;
445wire rdpctl_radr_parity;
446wire rdpctl_inj_ecc_err;
447wire readdp1_scanin;
448wire readdp1_scanout;
449wire [35:0] readdp1_ecc_loc;
450wire [15:0] readdp1_syndrome;
451wire [143:0] fbdird1_data;
452wire mcu_gnd_unused;
453wire l2rdmx_scanin;
454wire l2rdmx_scanout;
455wire rdata_ddr_cmp_sync_en;
456wire rdata_cmp_ddr_sync_en;
457wire [63:0] l2rdmx0_l2wr_data;
458wire [63:0] l2rdmx1_l2wr_data;
459wire [1:0] rdata_rddata_sel;
460wire rdata_pa_err;
461wire readdp_l2_secc_err_dly1;
462wire readdp_l2_mecc_err_dly1;
463wire wrdp_scanin;
464wire wrdp_scanout;
465wire [127:0] wdq0_wr_data;
466wire [127:0] wdq1_wr_data;
467wire drif_err_inj_enable;
468wire [15:0] drif_err_mask_reg;
469wire [3:0] drif_wdata_sel;
470wire drif_wadr_parity;
471wire drif_l2poison_qw;
472wire drif_scrub_rwen;
473wire [1:0] drif_io_wdata_sel;
474wire [143:0] mcu_ddp_data_out;
475wire mbist_run_d1;
476wire mbist_sel_hiorlo_72bits_d1;
477wire mbist_sel_bank0or1_d1;
478wire [11:0] fdout_idle_lfsr;
479wire wrdp_idle_lfsr_l_0;
480wire [11:0] wrdp_idle_lfsr;
481wire [3:0] wrdp_idle_lfsr_0;
482wire fbdiwr_scanin;
483wire fbdiwr_scanout;
484wire [8:0] fbdic0_sb_failover_mask;
485wire [8:0] fbdic0_sb_failover_mask_l;
486wire [8:0] fbdic1_sb_failover_mask;
487wire [8:0] fbdic1_sb_failover_mask_l;
488wire fbdird_scanin;
489wire fbdird_scanout;
490wire fbdic0_nb_failover;
491wire fbdic0_nb_failover_l;
492wire fbdic1_nb_failover;
493wire fbdic1_nb_failover_l;
494wire lndskw0_wmr_scanin;
495wire lndskw0_wmr_scanout;
496wire lndskw0_scanin;
497wire lndskw0_scanout;
498wire [167:0] fbd0_data;
499wire fbdic0_inc_wptr;
500wire [13:0] fbdic0_inc_rptr;
501wire fbdic0_clr_ptrs;
502wire [12:0] fbdic0_nb_failover_mask;
503wire [12:0] fbdic0_nb_failover_mask_l;
504wire [167:0] lndskw0_data;
505wire [13:0] lndskw0_ts0_hdr_match;
506wire [11:0] lndskw0_status_parity;
507wire [13:0] lndskw0_idle_match;
508wire [13:0] lndskw0_alert_match;
509wire [11:0] lndskw0_alert_asserted;
510wire [11:0] lndskw0_nbde;
511wire [23:0] lndskw0_thermal_trip;
512wire lndskw1_wmr_scanin;
513wire lndskw1_wmr_scanout;
514wire lndskw1_scanin;
515wire lndskw1_scanout;
516wire [167:0] fbd1_data;
517wire fbdic1_inc_wptr;
518wire [13:0] fbdic1_inc_rptr;
519wire fbdic1_clr_ptrs;
520wire [12:0] fbdic1_nb_failover_mask;
521wire [12:0] fbdic1_nb_failover_mask_l;
522wire [167:0] lndskw1_data;
523wire [13:0] lndskw1_ts0_hdr_match;
524wire [11:0] lndskw1_status_parity;
525wire [13:0] lndskw1_idle_match;
526wire [13:0] lndskw1_alert_match;
527wire [11:0] lndskw1_alert_asserted;
528wire [11:0] lndskw1_nbde;
529wire [23:0] lndskw1_thermal_trip;
530wire fbd0_scanin;
531wire fbd0_scanout;
532wire [13:0] fbd0_elect_idle;
533wire [13:0] fbd0_frame_lock;
534wire [13:0] fbd0_testfail;
535wire [1:0] fdout0_rptr0;
536wire [1:0] fdout0_rptr1;
537wire [1:0] fdout0_rptr2;
538wire [1:0] fdout0_rptr3;
539wire [1:0] fdout0_rptr4;
540wire [1:0] fdout0_rptr5;
541wire [1:0] fdout0_rptr6;
542wire [1:0] fdout0_rptr7;
543wire [1:0] fdout0_rptr8;
544wire [1:0] fdout0_rptr9;
545wire [1:0] fdout0_rptr10;
546wire [1:0] fdout0_rptr11;
547wire [1:0] fdout0_rptr12;
548wire [1:0] fdout0_rptr13;
549wire fbd1_scanin;
550wire fbd1_scanout;
551wire [13:0] fbd1_elect_idle;
552wire [13:0] fbd1_frame_lock;
553wire [13:0] fbd1_testfail;
554wire [1:0] fdout1_rptr0;
555wire [1:0] fdout1_rptr1;
556wire [1:0] fdout1_rptr2;
557wire [1:0] fdout1_rptr3;
558wire [1:0] fdout1_rptr4;
559wire [1:0] fdout1_rptr5;
560wire [1:0] fdout1_rptr6;
561wire [1:0] fdout1_rptr7;
562wire [1:0] fdout1_rptr8;
563wire [1:0] fdout1_rptr9;
564wire [1:0] fdout1_rptr10;
565wire [1:0] fdout1_rptr11;
566wire [1:0] fdout1_rptr12;
567wire [1:0] fdout1_rptr13;
568wire wdqrf00_scanin;
569wire wdqrf00_scanout;
570wire mbist_run;
571wire array_wr_inhibit;
572wire [1:0] rdata0_wdq_rd;
573wire [4:0] rdata_wdq_radr;
574wire wdqrf01_scanin;
575wire wdqrf01_scanout;
576wire wdqrf10_scanin;
577wire wdqrf10_scanout;
578wire [1:0] rdata1_wdq_rd;
579wire wdqrf11_scanin;
580wire wdqrf11_scanout;
581wire mbist_scanin;
582wire mbist_scanout;
583wire [4:0] mbist_addr;
584wire mbist_sel_bank0or1;
585wire mbist_sel_hiorlo_72bits;
586wire mbist_wdqrf00_rd_en;
587wire mbist_wdqrf01_rd_en;
588wire mbist_wdqrf10_rd_en;
589wire mbist_wdqrf11_rd_en;
590wire wmr_protect;
591wire drif_stacked_dimm;
592wire drif_addr_bank_low_sel;
593wire [1:0] drif_mem_type;
594wire [2:0] drif_num_dimms;
595wire drif_single_channel_mode;
596wire rdata_pm_1mcu;
597wire rdata_pm_2mcu;
598wire drif_branch_disabled;
599wire drif_rdata_ack_vld;
600wire drif_rdata_nack_vld;
601wire [63:0] drif_rdata_data;
602wire drif_send_info_val;
603wire [19:0] drif_send_info;
604wire drif0_wdq_rd;
605wire drif1_wdq_rd;
606wire [4:0] drif_wdq_radr;
607wire [31:0] drif_scrub_addr;
608wire drif_ucb_wr_req_vld;
609wire drif_ucb_rd_req_vld;
610wire [12:0] drif_ucb_addr;
611wire drif_err_sts_reg_ld;
612wire drif_err_addr_reg_ld;
613wire drif_err_cnt_reg_ld;
614wire drif_err_loc_reg_ld;
615wire drif_err_retry_reg_ld;
616wire drif_dbg_trig_reg_ld;
617wire [2:0] drif_dram_cmd_a;
618wire [15:0] drif_dram_addr_a;
619wire [2:0] drif_dram_bank_a;
620wire drif_dram_rank_a;
621wire [2:0] drif_dram_dimm_a;
622wire [2:0] drif_dram_cmd_b;
623wire [15:0] drif_dram_addr_b;
624wire [2:0] drif_dram_bank_b;
625wire drif_dram_rank_b;
626wire [2:0] drif_dram_dimm_b;
627wire [2:0] drif_dram_cmd_c;
628wire [15:0] drif_dram_addr_c;
629wire [2:0] drif_dram_bank_c;
630wire drif_dram_rank_c;
631wire [2:0] drif_dram_dimm_c;
632wire drif_wdata_wsn;
633wire woq_err_st_wait_free;
634wire drif_crc_rd_picked;
635wire drif_err_fifo_empty;
636wire woq_err_fifo_empty;
637wire [1:0] woq_wr_req_out;
638wire drif_mcu_error_mode;
639wire drif_err_state_crc_fr;
640wire drif_mcu_idle;
641wire drif_cke_reg;
642wire rdata_drif_rd_req_vld;
643wire rdata_drif_wr_req_vld;
644wire [12:0] rdata_drif_addr;
645wire [63:0] rdata_drif_data;
646wire rdata_mcu_selfrsh;
647wire [35:0] rdpctl_err_addr_reg;
648wire [25:0] rdpctl_err_sts_reg;
649wire [35:0] rdpctl_err_loc;
650wire [15:0] rdpctl_err_cnt;
651wire [36:0] rdpctl_err_retry_reg;
652wire rdpctl_dbg_trig_enable;
653wire rdpctl_kp_lnk_up;
654wire rdpctl_mask_err;
655wire [1:0] rdpctl_dtm_mask_chnl;
656wire rdpctl_dtm_atspeed;
657wire [7:0] rdpctl_drq0_clear_ent;
658wire [7:0] rdpctl_drq1_clear_ent;
659wire rdpctl_scrub_wren;
660wire rdpctl_scrub_addrinc_en;
661wire l2b0_rd_rank_adr;
662wire [2:0] l2b0_rd_dimm_adr;
663wire [2:0] l2b0_rd_bank_adr;
664wire l2b0_rd_addr_err;
665wire l2b0_rd_addr_par;
666wire l2b1_rd_rank_adr;
667wire [2:0] l2b1_rd_dimm_adr;
668wire [2:0] l2b1_rd_bank_adr;
669wire l2b1_rd_addr_err;
670wire l2b1_rd_addr_par;
671wire l2b0_wr_rank_adr;
672wire [2:0] l2b0_wr_dimm_adr;
673wire [2:0] l2b0_wr_bank_adr;
674wire l2b0_wr_addr_err;
675wire l2b0_wr_addr_par;
676wire l2b1_wr_rank_adr;
677wire [2:0] l2b1_wr_dimm_adr;
678wire [2:0] l2b1_wr_bank_adr;
679wire l2b1_wr_addr_err;
680wire l2b1_wr_addr_par;
681wire rdpctl_scrub_read_done;
682wire rdpctl_err_fifo_enq;
683wire [14:0] rdpctl_err_fifo_data;
684wire rdpctl_fifo_empty;
685wire rdpctl_fifo_full;
686wire rdpctl_no_crc_err;
687wire rdpctl_crc_err;
688wire [65:0] fbdic_ucb_rd_data;
689wire fbdic_sync_frame_req_early3;
690wire fbdic_sync_frame_req_early2;
691wire fbdic_sync_frame_req_early1;
692wire fbdic_sync_frame_req;
693wire fbdic_scr_frame_req_d4;
694wire fbdic_l0_state;
695wire [1:0] fbdic_woq_free;
696wire fbdic_clear_wrq_ent;
697wire fbdic_error_mode;
698wire fbdic_l0s_lfsr_stall;
699wire fbdic_err_fast_reset_done;
700wire fbdic_chnl_reset_error_mode;
701wire fbdic_mcu_idle;
702wire [4:0] mcu_ucb_rd_request_out;
703wire [1:0] mcu_ucb_wr_req_out;
704wire mcu_ucb_mecc_err;
705wire mcu_ucb_secc_err;
706wire mcu_ucb_fbd_err;
707wire mcu_ucb_err_mode;
708wire mcu_ucb_err_event;
709wire [12:0] ucb_mcu_addr;
710wire [63:0] ucb_mcu_data;
711wire ucb_rdata_selfrsh;
712wire ucb_err_ecci;
713wire ucb_err_fbui;
714wire ucb_err_fbri;
715wire ucb_pm;
716wire ucb_pm_ba01;
717wire ucb_pm_ba23;
718wire ucb_pm_ba45;
719wire ucb_pm_ba67;
720wire rdata_err_fbr;
721wire [21:0] fbdiwr_dtm_crc;
722wire rdata_serdes_dtm;
723wire rdata_err_ecci;
724wire rdata_err_fbri;
725wire rdata_err_fbui;
726wire mbist_run_d1_l;
727wire fbdic_err_fbr;
728wire rdpctl_l2t0_data_valid;
729wire rdpctl_l2t1_data_valid;
730wire rdpctl_qword_id;
731wire [2:0] rdpctl_rd_req_id;
732wire rdpctl_pa_err;
733wire rdpctl_scrb0_err_valid;
734wire rdpctl_scrb1_err_valid;
735wire rdpctl_fbd0_recov_err;
736wire rdpctl_fbd1_recov_err;
737wire [1:0] rdpctl_fbd_unrecov_err;
738wire rdpctl_secc_cnt_intr;
739wire fbdic_fbd_error;
740wire fbdic_srds_dtm_muxsel;
741wire rdpctl_crc_recov_err;
742wire rdpctl_crc_unrecov_err;
743wire [1:0] rdpctl_dtm_chnl_enable;
744wire fbdic_serdes_dtm;
745wire fbdic_rddata_vld;
746wire fbdic_crc_error;
747wire fbdic_chnl_reset_error;
748wire fbdic_err_unrecov;
749wire fbdic_err_recov;
750wire fbdic_cfgrd_crc_error;
751wire [119:0] fbdiwr0_data;
752wire [119:0] fbdiwr1_data;
753wire fbdic_link_cnt_eq_0_d1;
754wire fdout_idle_lfsr_l_0;
755wire [5:0] fdout_link_cnt;
756wire fbdic_link_cnt_en;
757wire fbdic_idle_lfsr_reset;
758wire [5:0] fbdic_link_cnt_reset;
759wire [4:0] fbdic_data_sel;
760wire [11:0] fbdic0_ts_data;
761wire [11:0] fbdic1_ts_data;
762wire [119:0] fbdic_ibist_data;
763wire [1:0] fbdic_f;
764wire fbdic_f_1_l;
765wire fbdic0_chnl_disable;
766wire fbdic1_chnl_disable;
767wire [23:0] fbdic_a_cmd;
768wire [71:0] fbdic_bc_cmd;
769wire [1:0] fbdic0_cmd_crc_sel;
770wire [2:0] fbdic0_data_crc_sel;
771wire fbdic0_sb_failover;
772wire fbdic0_sb_failover_l;
773wire [1:0] fbdic1_cmd_crc_sel;
774wire [2:0] fbdic1_data_crc_sel;
775wire fbdic1_sb_failover;
776wire fbdic1_sb_failover_l;
777wire fbdic_train_state;
778wire fbdic_disable_state;
779wire fbdic_enable_sync_count;
780wire fbdic_sync_frame_req_d1;
781wire fbdic_special_cmd;
782wire fbdic_special_cmd_l;
783wire fbdic_ibrx_data_sel;
784wire fbdic_ibrx_data_sel_l;
785wire fbdic_rddata_vld_l;
786wire rdpctl_kp_lnk_up_clr;
787wire [167:40] fbdird_ibrx_data;
788wire fbdird_crc_cmp0_0;
789wire fbdird_crc_cmp0_1;
790wire fbdird_crc_cmp1_0;
791wire fbdird_crc_cmp1_1;
792wire mcu_gnd;
793wire [13:0] crcsc_crc;
794wire [9:0] crcscf_crc;
795wire [21:0] crcsd0_crc;
796wire [9:0] crcsdf0_crc;
797wire [21:0] crcsd1_crc;
798wire [9:0] crcsdf1_crc;
799wire [25:0] bc;
800wire [71:0] bd0;
801wire [71:0] bd1;
802wire [11:0] crcnd_crc0_0;
803wire [11:0] crcnd_crc0_1;
804wire [11:0] crcnd_crc1_0;
805wire [11:0] crcnd_crc1_1;
806wire [5:0] crcndf_crc0_0;
807wire [5:0] crcndf_crc0_1;
808wire [5:0] crcndf_crc1_0;
809wire [5:0] crcndf_crc1_1;
810wire [71:0] bd00;
811wire [71:0] bd01;
812wire [71:0] bd10;
813wire [71:0] bd11;
814wire [14:0] l2b0_rd_ras_adr;
815wire [10:0] l2b0_rd_cas_adr;
816wire [2:0] l2b0_l2rd_req_id;
817wire [14:0] l2b0_wr_ras_adr;
818wire [10:0] l2b0_wr_cas_adr;
819wire [14:0] l2b1_rd_ras_adr;
820wire [10:0] l2b1_rd_cas_adr;
821wire [2:0] l2b1_l2rd_req_id;
822wire [14:0] l2b1_wr_ras_adr;
823wire [10:0] l2b1_wr_cas_adr;
824
825
826//////////////////////////////
827// OUTPUTS
828//////////////////////////////
829output [119:0] mcu_fsr0_data;
830output [119:0] mcu_fsr1_data;
831output mcu_fsr0_cfgpll_enpll;
832output mcu_fsr1_cfgpll_enpll;
833output [1:0] mcu_fsr01_cfgpll_lb;
834output [3:0] mcu_fsr01_cfgpll_mpy;
835output mcu_fsr0_cfgrx_enrx;
836output mcu_fsr1_cfgrx_enrx;
837output mcu_fsr0_cfgrx_entest;
838output mcu_fsr1_cfgrx_entest;
839output mcu_fsr0_cfgrx_align;
840output mcu_fsr1_cfgrx_align;
841output [13:0] mcu_fsr0_cfgrx_invpair;
842output [13:0] mcu_fsr1_cfgrx_invpair;
843output [3:0] mcu_fsr01_cfgrx_eq;
844output [2:0] mcu_fsr01_cfgrx_cdr;
845output [2:0] mcu_fsr01_cfgrx_term;
846output mcu_fsr0_cfgtx_entx;
847output mcu_fsr1_cfgtx_entx;
848output mcu_fsr0_cfgtx_entest;
849output mcu_fsr1_cfgtx_entest;
850output mcu_fsr0_cfgtx_enidl;
851output mcu_fsr1_cfgtx_enidl;
852output [9:0] mcu_fsr0_cfgtx_invpair;
853output [9:0] mcu_fsr1_cfgtx_invpair;
854output [9:0] mcu_fsr0_cfgtx_bstx;
855output [9:0] mcu_fsr1_cfgtx_bstx;
856output mcu_fsr01_cfgtx_enftp;
857output [3:0] mcu_fsr01_cfgtx_de;
858output [2:0] mcu_fsr01_cfgtx_swing;
859output mcu_fsr01_cfgtx_cm;
860output [1:0] mcu_fsr01_cfgrtx_rate;
861output [11:0] mcu_fsr0_testcfg;
862output [11:0] mcu_fsr1_testcfg;
863output mcu_l2t0_data_vld_r0; // PINDEF:RIGHT
864output mcu_l2t0_rd_ack; // PINDEF:RIGHT
865output mcu_l2t0_scb_mecc_err; // PINDEF:RIGHT
866output mcu_l2t0_scb_secc_err; // PINDEF:RIGHT
867output mcu_l2t0_wr_ack; // PINDEF:RIGHT
868output [1:0] mcu_l2t0_qword_id_r0; // PINDEF:RIGHT
869output mcu_l2t0_mecc_err_r3; // PINDEF:RIGHT
870output [2:0] mcu_l2t0_rd_req_id_r0; // PINDEF:RIGHT
871output mcu_l2t0_secc_err_r3; // PINDEF:RIGHT
872output mcu_l2t1_data_vld_r0; // PINDEF:RIGHT
873output mcu_l2t1_rd_ack; // PINDEF:RIGHT
874output mcu_l2t1_scb_mecc_err; // PINDEF:RIGHT
875output mcu_l2t1_scb_secc_err; // PINDEF:RIGHT
876output mcu_l2t1_wr_ack; // PINDEF:RIGHT
877output [1:0] mcu_l2t1_qword_id_r0; // PINDEF:RIGHT
878output mcu_l2t1_mecc_err_r3; // PINDEF:RIGHT
879output [2:0] mcu_l2t1_rd_req_id_r0; // PINDEF:RIGHT
880output mcu_l2t1_secc_err_r3; // PINDEF:RIGHT
881output [127:0] mcu_l2b_data_r3; // PINDEF:RIGHT
882output [27:0] mcu_l2b_ecc_r3; // PINDEF:RIGHT
883output mcu_pt_sync_out; // PINDEF:RIGHT
884output [3:0] mcu_ncu_data; // PINDEF:RIGHT
885output mcu_ncu_stall; // PINDEF:RIGHT
886output mcu_ncu_vld; // PINDEF:RIGHT
887output mcu_ncu_ecc;
888output mcu_ncu_fbr;
889output [3:0] mcu_dbg1_rd_req_in_0;
890output [3:0] mcu_dbg1_rd_req_in_1;
891output [4:0] mcu_dbg1_rd_req_out;
892output mcu_dbg1_wr_req_in_0;
893output mcu_dbg1_wr_req_in_1;
894output [1:0] mcu_dbg1_wr_req_out;
895output mcu_dbg1_mecc_err;
896output mcu_dbg1_secc_err;
897output mcu_dbg1_fbd_err;
898output mcu_dbg1_err_mode;
899output mcu_dbg1_crc21;
900output mcu_dbg1_err_event;
901
902//////////////////////////////
903// INPUTS
904//////////////////////////////
905input [13:0] fsr0_mcu_rxbclk;
906input [13:0] fsr1_mcu_rxbclk;
907input [167:0] fsr0_mcu_data; // PINDEF:BOT
908input [167:0] fsr1_mcu_data; // PINDEF:BOT
909input [2:0] fsr0_mcu_stspll_lock;
910input [2:0] fsr1_mcu_stspll_lock;
911input [13:0] fsr0_mcu_stsrx_testfail;
912input [13:0] fsr1_mcu_stsrx_testfail;
913input [13:0] fsr0_mcu_stsrx_sync;
914input [13:0] fsr1_mcu_stsrx_sync;
915input [13:0] fsr0_mcu_stsrx_losdtct;
916input [13:0] fsr1_mcu_stsrx_losdtct;
917input [13:0] fsr0_mcu_stsrx_bsrxp;
918input [13:0] fsr1_mcu_stsrx_bsrxp;
919input [13:0] fsr0_mcu_stsrx_bsrxn;
920input [13:0] fsr1_mcu_stsrx_bsrxn;
921input [9:0] fsr0_mcu_ststx_testfail;
922input [9:0] fsr1_mcu_ststx_testfail;
923input [3:0] ncu_mcu_data; // PINDEF:RIGHT
924input ncu_mcu_stall; // PINDEF:RIGHT
925input ncu_mcu_vld; // PINDEF:RIGHT
926input ncu_mcu_ecci;
927input ncu_mcu_fbui;
928input ncu_mcu_fbri;
929input ncu_mcu_pm;
930input ncu_mcu_ba01;
931input ncu_mcu_ba23;
932input ncu_mcu_ba45;
933input ncu_mcu_ba67;
934input l2b0_mcu_data_mecc_r5; // PINDEF:RIGHT
935input l2b0_mcu_data_vld_r5; // PINDEF:RIGHT
936input [63:0] l2b0_mcu_wr_data_r5; // PINDEF:RIGHT
937input [39:7] l2t0_mcu_addr_39to7; // PINDEF:RIGHT
938input l2t0_mcu_addr_5; // PINDEF:RIGHT
939input l2t0_mcu_rd_dummy_req; // PINDEF:RIGHT
940input l2t0_mcu_rd_req; // PINDEF:RIGHT
941input [2:0] l2t0_mcu_rd_req_id; // PINDEF:RIGHT
942input l2t0_mcu_wr_req; // PINDEF:RIGHT
943input l2b1_mcu_data_mecc_r5; // PINDEF:RIGHT
944input l2b1_mcu_data_vld_r5; // PINDEF:RIGHT
945input [63:0] l2b1_mcu_wr_data_r5; // PINDEF:RIGHT
946input [39:7] l2t1_mcu_addr_39to7; // PINDEF:RIGHT
947input l2t1_mcu_addr_5; // PINDEF:RIGHT
948input l2t1_mcu_rd_dummy_req; // PINDEF:RIGHT
949input l2t1_mcu_rd_req; // PINDEF:RIGHT
950input [2:0] l2t1_mcu_rd_req_id; // PINDEF:RIGHT
951input l2t1_mcu_wr_req; // PINDEF:RIGHT
952input mcu_pt_sync_in0; // PINDEF:RIGHT
953input mcu_pt_sync_in1; // PINDEF:RIGHT
954input mcu_pt_sync_in2; // PINDEF:RIGHT
955input [1:0] mcu_id;
956
957// MBIST Signals
958
959input tcu_mbist_bisi_en;
960input tcu_mbist_user_mode;
961input tcu_mcu_mbist_start;
962output mcu_tcu_mbist_done;
963output mcu_tcu_mbist_fail;
964input tcu_mcu_mbist_scan_in;
965output mcu_tcu_mbist_scan_out;
966
967// SERDES scan signals
968input tcu_sbs_scan_en;
969input tcu_sbs_aclk;
970input tcu_sbs_bclk;
971input tcu_sbs_clk;
972input tcu_sbs_uclk;
973input mcu_sbs_scan_in;
974output mcu_sbs_scan_out;
975
976//////////////////////////////
977// Global Signals
978//////////////////////////////
979input ccu_dr_sync_en; // PINDEF:RIGHT
980input ccu_io_cmp_sync_en; // PINDEF:RIGHT
981input ccu_cmp_io_sync_en; // PINDEF:RIGHT
982input rst_mcu_selfrsh; // PINDEF:RIGHT
983input rst_wmr_protect; // PINDEF:RIGHT
984
985input scan_in; // PINDEF:RIGHT
986input tcu_aclk; // PINDEF:RIGHT
987input tcu_bclk; // PINDEF:RIGHT
988input tcu_mcu_clk_stop; // PINDEF:RIGHT
989input tcu_mcu_dr_clk_stop; // PINDEF:RIGHT
990input tcu_mcu_io_clk_stop; // PINDEF:RIGHT
991input tcu_pce_ov; // PINDEF:RIGHT
992input tcu_dectest;
993input tcu_muxtest;
994input tcu_mcu_testmode;
995input tcu_mcu_fbd_clk_stop;
996input tcu_scan_en;
997input tcu_se_scancollar_in;
998input tcu_array_wr_inhibit;
999input tcu_array_bypass;
1000input tcu_atpg_mode;
1001output scan_out; // PINDEF:RIGHT
1002
1003input tcu_div_bypass;
1004input ccu_io_out; // PINDEF:RIGHT
1005input ccu_serdes_dtm;
1006input dr_gclk; // PINDEF:RIGHT
1007input gclk; // PINDEF:RIGHT
1008
1009////////////////////////////////////////////////////////////
1010
1011clkgen_mcu_cmp clkgen_cmp (
1012 .scan_in(clkgen_cmp_scanin),
1013 .scan_out(clkgen_cmp_scanout),
1014 .l2clk(l2clk),
1015 .cmp_slow_sync_en(cmp_io_sync_en),
1016 .slow_cmp_sync_en(io_cmp_sync_en),
1017 .tcu_clk_stop(tcu_mcu_clk_stop),
1018 .pce_ov(cmp_pce_ov),
1019 .ccu_cmp_slow_sync_en(ccu_cmp_io_sync_en),
1020 .ccu_slow_cmp_sync_en(ccu_io_cmp_sync_en),
1021 .ccu_io2x_sync_en(1'b0),
1022 .io2x_sync_en(io2x_sync_en_unused),
1023 .tcu_div_bypass(1'b0),
1024 .ccu_div_ph(1'b0),
1025 .cluster_div_en(1'b0),
1026 .rst_wmr_(1'b0),
1027 .rst_por_(1'b0),
1028 .wmr_(cmp_wmr_unused),
1029 .por_(cmp_por_unused),
1030 .wmr_protect(cmp_wmr_protect_unused),
1031 .cluster_arst_l(1'b1),
1032 .gclk(gclk),
1033 .clk_ext(1'b0),
1034 .aclk_wmr(cmp_aclk_wmr_unused),
1035 .scan_en(tcu_scan_en),
1036 .ccu_serdes_dtm(1'b0),
1037 .tcu_wr_inhibit(tcu_array_wr_inhibit),
1038 .array_wr_inhibit(cmp_array_wr_inhibit),
1039 .tcu_atpg_mode(tcu_atpg_mode),
1040 .aclk(aclk),
1041 .bclk(bclk),
1042 .dr_sync_en(dr_sync_en),
1043 .tcu_pce_ov(tcu_pce_ov),
1044 .rst_wmr_protect(rst_wmr_protect),
1045 .ccu_dr_sync_en(ccu_dr_sync_en),
1046 .tcu_aclk(tcu_aclk),
1047 .tcu_bclk(tcu_bclk)
1048);
1049
1050clkgen_mcu_dr clkgen_dr (
1051 .scan_in(clkgen_dr_scanin),
1052 .scan_out(clkgen_dr_scanout),
1053 .l2clk(drl2clk),
1054 .pce_ov(dr_pce_ov),
1055 .cmp_slow_sync_en(dr_cmp_slow_sync_en_unused),
1056 .slow_cmp_sync_en(dr_slow_cmp_sync_en_unused),
1057 .ccu_cmp_slow_sync_en(1'b0),
1058 .ccu_slow_cmp_sync_en(1'b0),
1059 .tcu_clk_stop(tcu_mcu_dr_clk_stop),
1060 .tcu_div_bypass(1'b0),
1061 .ccu_div_ph(1'b0),
1062 .cluster_div_en(1'b0),
1063 .rst_wmr_(1'b0),
1064 .rst_por_(1'b0),
1065 .wmr_(dr_wmr_unused),
1066 .por_(dr_por_unused),
1067 .cluster_arst_l(1'b1),
1068 .gclk(dr_gclk),
1069 .clk_ext(1'b0),
1070 .aclk(dr_aclk_unused),
1071 .bclk(dr_bclk_unused),
1072 .aclk_wmr(aclk_wmr),
1073 .scan_en(tcu_scan_en),
1074 .ccu_serdes_dtm(1'b0),
1075 .tcu_wr_inhibit(tcu_array_wr_inhibit),
1076 .array_wr_inhibit(dr_array_wr_inhibit),
1077 .tcu_atpg_mode(tcu_atpg_mode),
1078 .wmr_protect(wmr_protect),
1079 .tcu_pce_ov(tcu_pce_ov),
1080 .rst_wmr_protect(rst_wmr_protect),
1081 .tcu_aclk(tcu_aclk),
1082 .tcu_bclk(tcu_bclk)
1083);
1084
1085clkgen_mcu_io clkgen_io (
1086 .scan_in(clkgen_io_scanin),
1087 .scan_out(clkgen_io_scanout),
1088 .l2clk(iol2clk),
1089 .pce_ov(io_pce_ov),
1090 .cmp_slow_sync_en(io_cmp_slow_sync_en_unused),
1091 .slow_cmp_sync_en(io_slow_cmp_sync_en_unused),
1092 .ccu_cmp_slow_sync_en(1'b0),
1093 .ccu_slow_cmp_sync_en(1'b0),
1094 .tcu_clk_stop(tcu_mcu_io_clk_stop),
1095 .tcu_div_bypass(tcu_div_bypass),
1096 .ccu_div_ph(ccu_io_out),
1097 .cluster_div_en(1'b1),
1098 .rst_wmr_(1'b0),
1099 .rst_por_(1'b0),
1100 .wmr_(io_wmr_unused),
1101 .por_(io_por_unused),
1102 .wmr_protect(io_wmr_protect_unused),
1103 .cluster_arst_l(1'b1),
1104 .gclk(gclk),
1105 .clk_ext(1'b0),
1106 .aclk(io_aclk_unused),
1107 .bclk(io_bclk_unused),
1108 .aclk_wmr(io_aclk_wmr_unused),
1109 .scan_en(tcu_scan_en),
1110 .ccu_serdes_dtm(1'b0),
1111 .tcu_wr_inhibit(1'b0),
1112 .array_wr_inhibit(io_awi_unused),
1113 .tcu_atpg_mode(tcu_atpg_mode),
1114 .tcu_pce_ov(tcu_pce_ov),
1115 .rst_wmr_protect(rst_wmr_protect),
1116 .tcu_aclk(tcu_aclk),
1117 .tcu_bclk(tcu_bclk)
1118);
1119
1120mcu_l2if_ctl l2if0 (
1121 .scan_in(l2if0_scanin),
1122 .scan_out(l2if0_scanout),
1123 .l2clk(l2clk),
1124 .tcu_aclk(aclk),
1125 .tcu_bclk(bclk),
1126 .tcu_pce_ov(cmp_pce_ov),
1127 .l2t_mcu_rd_req(l2t0_mcu_rd_req),
1128 .l2t_mcu_rd_dummy_req(l2t0_mcu_rd_dummy_req),
1129 .l2t_mcu_rd_req_id(l2t0_mcu_rd_req_id[2:0]),
1130 .l2t_mcu_addr({l2t0_mcu_addr_39to7[39:7],1'b0,l2t0_mcu_addr_5}),
1131 .l2t_mcu_wr_req(l2t0_mcu_wr_req),
1132 .l2b_mcu_data_vld(l2b0_mcu_data_vld_r5),
1133 .l2b_mcu_data_mecc(l2b0_mcu_data_mecc_r5),
1134 .drq_rdq_free(drq0_rdq_free),
1135 .woq_wdq_entry_free(woq0_wdq_entry_free[7:0]),
1136 .mcu_l2t_rd_ack(mcu_l2t0_rd_ack),
1137 .mcu_l2t_wr_ack(mcu_l2t0_wr_ack),
1138 .mcu_ucb_rd_req_in ( mcu_ucb_rd_req_in_0[3:0]), // sent to dbg via ucb
1139 .mcu_ucb_wr_req_in ( mcu_ucb_wr_req_in_0 ), // sent to dbg via ucb
1140 .l2if_rd_dummy_req(l2if0_rd_dummy_req),
1141 .l2if_rd_dummy_req_addr5(l2if0_rd_dummy_req_addr5),
1142 .l2if_rd_dummy_req_id(l2if0_rd_dummy_req_id[2:0]),
1143 .l2if_rd_dummy_addr_err(l2if0_rd_dummy_addr_err),
1144 .rdpctl_dummy_data_valid(rdpctl0_dummy_data_valid),
1145 .l2if_mcu_data_mecc(l2if0_mcu_data_mecc),
1146 .l2if_data_wr_addr(l2if0_data_wr_addr[2:0]),
1147 .l2if_wdq_rd_inh(l2if0_wdq_rd_inh),
1148 .l2if_wr_req(l2if0_wr_req),
1149 .l2if_rd_req(l2if0_rd_req),
1150 .l2if_wdq_we(l2if0_wdq_we[1:0]),
1151 .l2if_wdq_wadr(l2if0_wdq_wadr[4:0]),
1152 .l2if_wdq_in_cntr(l2if0_wdq_in_cntr[3:0]),
1153 .l2if_rd_rank_adr(l2if0_rd_rank_adr),
1154 .l2if_rd_dimm_adr(l2if0_rd_dimm_adr[2:0]),
1155 .l2if_rd_bank_adr(l2if0_rd_bank_adr[2:0]),
1156 .l2if_rd_ras_adr(l2if0_rd_ras_adr[14:0]),
1157 .l2if_rd_cas_adr(l2if0_rd_cas_adr[10:0]),
1158 .l2if_rd_addr_err(l2if0_rd_addr_err),
1159 .l2if_rd_addr_parity(l2if0_rd_addr_parity),
1160 .l2if_rd_req_id(l2if0_rd_req_id[2:0]),
1161 .l2if_wr_rank_adr(l2if0_wr_rank_adr),
1162 .l2if_wr_dimm_adr(l2if0_wr_dimm_adr[2:0]),
1163 .l2if_wr_bank_adr(l2if0_wr_bank_adr[2:0]),
1164 .l2if_wr_ras_adr(l2if0_wr_ras_adr[14:0]),
1165 .l2if_wr_cas_adr(l2if0_wr_cas_adr[10:0]),
1166 .l2if_wr_addr_err(l2if0_wr_addr_err),
1167 .l2if_wr_addr_parity(l2if0_wr_addr_parity),
1168 .mbist_wdqrf0_wr_en(mbist_wdqrf00_wr_en),
1169 .mbist_wdqrf1_wr_en(mbist_wdqrf01_wr_en),
1170 .mbist_wdata_0(mbist_wdata[0]),
1171 .ccu_mcu_cmp_ddr_sync_en(dr_sync_en),
1172 .ccu_mcu_ddr_cmp_sync_en(dr_sync_en),
1173 .ccu_mcu_cmp_io_sync_en(cmp_io_sync_en),
1174 .drif_stacked_dimm(drif_stacked_dimm),
1175 .drif_addr_bank_low_sel(drif_addr_bank_low_sel),
1176 .drif_mem_type(drif_mem_type[1:0]),
1177 .drif_num_dimms(drif_num_dimms[2:0]),
1178 .drif_single_channel_mode(drif_single_channel_mode),
1179 .rdata_pm_1mcu(rdata_pm_1mcu),
1180 .rdata_pm_2mcu(rdata_pm_2mcu),
1181 .mbist_run(mbist_run),
1182 .mbist_addr(mbist_addr[4:0]),
1183 .tcu_scan_en(tcu_scan_en)
1184);
1185
1186mcu_l2if_ctl l2if1 (
1187 .scan_in(l2if1_scanin),
1188 .scan_out(l2if1_scanout),
1189 .l2clk(l2clk),
1190 .tcu_aclk(aclk),
1191 .tcu_bclk(bclk),
1192 .tcu_pce_ov(cmp_pce_ov),
1193 .l2t_mcu_rd_req(l2t1_mcu_rd_req),
1194 .l2t_mcu_rd_dummy_req(l2t1_mcu_rd_dummy_req),
1195 .l2t_mcu_rd_req_id(l2t1_mcu_rd_req_id[2:0]),
1196 .l2t_mcu_addr({l2t1_mcu_addr_39to7[39:7],1'b1,l2t1_mcu_addr_5}),
1197 .l2t_mcu_wr_req(l2t1_mcu_wr_req),
1198 .l2b_mcu_data_vld(l2b1_mcu_data_vld_r5),
1199 .l2b_mcu_data_mecc(l2b1_mcu_data_mecc_r5),
1200 .drq_rdq_free(drq1_rdq_free),
1201 .woq_wdq_entry_free(woq1_wdq_entry_free[7:0]),
1202 .mcu_l2t_rd_ack(mcu_l2t1_rd_ack),
1203 .mcu_l2t_wr_ack(mcu_l2t1_wr_ack),
1204 .mcu_ucb_rd_req_in ( mcu_ucb_rd_req_in_1[3:0] ), // sent to dbg via ucb
1205 .mcu_ucb_wr_req_in ( mcu_ucb_wr_req_in_1 ), // sent to dbg via ucb
1206 .l2if_rd_dummy_req(l2if1_rd_dummy_req),
1207 .l2if_rd_dummy_req_addr5(l2if1_rd_dummy_req_addr5),
1208 .l2if_rd_dummy_req_id(l2if1_rd_dummy_req_id[2:0]),
1209 .l2if_rd_dummy_addr_err(l2if1_rd_dummy_addr_err),
1210 .rdpctl_dummy_data_valid(rdpctl1_dummy_data_valid),
1211 .l2if_mcu_data_mecc(l2if1_mcu_data_mecc),
1212 .l2if_data_wr_addr(l2if1_data_wr_addr[2:0]),
1213 .l2if_wdq_rd_inh(l2if1_wdq_rd_inh),
1214 .l2if_wr_req(l2if1_wr_req),
1215 .l2if_rd_req(l2if1_rd_req),
1216 .l2if_wdq_we(l2if1_wdq_we[1:0]),
1217 .l2if_wdq_wadr(l2if1_wdq_wadr[4:0]),
1218 .l2if_wdq_in_cntr(l2if1_wdq_in_cntr[3:0]),
1219 .l2if_rd_rank_adr(l2if1_rd_rank_adr),
1220 .l2if_rd_dimm_adr(l2if1_rd_dimm_adr[2:0]),
1221 .l2if_rd_bank_adr(l2if1_rd_bank_adr[2:0]),
1222 .l2if_rd_ras_adr(l2if1_rd_ras_adr[14:0]),
1223 .l2if_rd_cas_adr(l2if1_rd_cas_adr[10:0]),
1224 .l2if_rd_addr_err(l2if1_rd_addr_err),
1225 .l2if_rd_addr_parity(l2if1_rd_addr_parity),
1226 .l2if_rd_req_id(l2if1_rd_req_id[2:0]),
1227 .l2if_wr_rank_adr(l2if1_wr_rank_adr),
1228 .l2if_wr_dimm_adr(l2if1_wr_dimm_adr[2:0]),
1229 .l2if_wr_bank_adr(l2if1_wr_bank_adr[2:0]),
1230 .l2if_wr_ras_adr(l2if1_wr_ras_adr[14:0]),
1231 .l2if_wr_cas_adr(l2if1_wr_cas_adr[10:0]),
1232 .l2if_wr_addr_err(l2if1_wr_addr_err),
1233 .l2if_wr_addr_parity(l2if1_wr_addr_parity),
1234 .mbist_wdqrf0_wr_en(mbist_wdqrf10_wr_en),
1235 .mbist_wdqrf1_wr_en(mbist_wdqrf11_wr_en),
1236 .mbist_wdata_0(mbist_wdata[0]),
1237 .ccu_mcu_cmp_ddr_sync_en(dr_sync_en),
1238 .ccu_mcu_ddr_cmp_sync_en(dr_sync_en),
1239 .ccu_mcu_cmp_io_sync_en(cmp_io_sync_en),
1240 .drif_stacked_dimm(drif_stacked_dimm),
1241 .drif_addr_bank_low_sel(drif_addr_bank_low_sel),
1242 .drif_mem_type(drif_mem_type[1:0]),
1243 .drif_num_dimms(drif_num_dimms[2:0]),
1244 .drif_single_channel_mode(drif_single_channel_mode),
1245 .rdata_pm_1mcu(rdata_pm_1mcu),
1246 .rdata_pm_2mcu(rdata_pm_2mcu),
1247 .mbist_run(mbist_run),
1248 .mbist_addr(mbist_addr[4:0]),
1249 .tcu_scan_en(tcu_scan_en)
1250);
1251
1252mcu_drif_ctl drif ( // FS:wmr_protect
1253 .wmr_scan_in(drif_wmr_scanin),
1254 .wmr_scan_out(drif_wmr_scanout),
1255 .scan_in(drif_scanin),
1256 .scan_out(drif_scanout),
1257 .drl2clk(drl2clk),
1258 .tcu_aclk(aclk),
1259 .tcu_bclk(bclk),
1260 .tcu_pce_ov(dr_pce_ov),
1261 .drif_fail_over_mode(drif_fail_over_mode),
1262 .drif_fail_over_mask(drif_fail_over_mask[34:0]),
1263 .drif_fail_over_mask_l(drif_fail_over_mask_l[34:0]),
1264 .drq0_rdq_free(drq0_rdq_free),
1265 .drq1_rdq_free(drq1_rdq_free),
1266 .woq0_wdq_entry_free(woq0_wdq_entry_free[7:0]),
1267 .woq1_wdq_entry_free(woq1_wdq_entry_free[7:0]),
1268 .drif_num_dimms(drif_num_dimms[2:0]),
1269 .drif_addr_bank_low_sel(drif_addr_bank_low_sel),
1270 .drif_mem_type(drif_mem_type[1:0]),
1271 .drif_stacked_dimm(drif_stacked_dimm),
1272 .drif_single_channel_mode(drif_single_channel_mode),
1273 .drif_branch_disabled(drif_branch_disabled),
1274 .drif_wdata_sel(drif_wdata_sel[3:0]),
1275 .drif_rdata_ack_vld(drif_rdata_ack_vld),
1276 .drif_rdata_nack_vld(drif_rdata_nack_vld),
1277 .drif_rdata_data(drif_rdata_data[63:0]),
1278 .drif_err_inj_enable(drif_err_inj_enable),
1279 .drif_err_mask_reg(drif_err_mask_reg[15:0]),
1280 .drif_send_info_val(drif_send_info_val),
1281 .drif_send_info(drif_send_info[19:0]),
1282 .drif0_wdq_rd(drif0_wdq_rd),
1283 .drif1_wdq_rd(drif1_wdq_rd),
1284 .drif_wdq_radr(drif_wdq_radr[4:0]),
1285 .drif_rascas_adr_sel(drif_rascas_adr_sel[1:0]),
1286 .drif_rascas_wr1_adr_sel(drif_rascas_wr1_adr_sel[1:0]),
1287 .drif_rascas_wr2_adr_sel(drif_rascas_wr2_adr_sel[1:0]),
1288 .drif_scrub_addr(drif_scrub_addr[31:0]),
1289 .drq0_rd_adr_queue7_en(drq0_rd_adr_queue7_en),
1290 .drq0_rd_adr_queue6_en(drq0_rd_adr_queue6_en),
1291 .drq0_rd_adr_queue5_en(drq0_rd_adr_queue5_en),
1292 .drq0_rd_adr_queue4_en(drq0_rd_adr_queue4_en),
1293 .drq0_rd_adr_queue3_en(drq0_rd_adr_queue3_en),
1294 .drq0_rd_adr_queue2_en(drq0_rd_adr_queue2_en),
1295 .drq0_rd_adr_queue1_en(drq0_rd_adr_queue1_en),
1296 .drq0_rd_adr_queue0_en(drq0_rd_adr_queue0_en),
1297 .drq1_rd_adr_queue7_en(drq1_rd_adr_queue7_en),
1298 .drq1_rd_adr_queue6_en(drq1_rd_adr_queue6_en),
1299 .drq1_rd_adr_queue5_en(drq1_rd_adr_queue5_en),
1300 .drq1_rd_adr_queue4_en(drq1_rd_adr_queue4_en),
1301 .drq1_rd_adr_queue3_en(drq1_rd_adr_queue3_en),
1302 .drq1_rd_adr_queue2_en(drq1_rd_adr_queue2_en),
1303 .drq1_rd_adr_queue1_en(drq1_rd_adr_queue1_en),
1304 .drq1_rd_adr_queue0_en(drq1_rd_adr_queue0_en),
1305 .drq0_wr_adr_queue7_en(drq0_wr_adr_queue7_en),
1306 .drq0_wr_adr_queue6_en(drq0_wr_adr_queue6_en),
1307 .drq0_wr_adr_queue5_en(drq0_wr_adr_queue5_en),
1308 .drq0_wr_adr_queue4_en(drq0_wr_adr_queue4_en),
1309 .drq0_wr_adr_queue3_en(drq0_wr_adr_queue3_en),
1310 .drq0_wr_adr_queue2_en(drq0_wr_adr_queue2_en),
1311 .drq0_wr_adr_queue1_en(drq0_wr_adr_queue1_en),
1312 .drq0_wr_adr_queue0_en(drq0_wr_adr_queue0_en),
1313 .drq1_wr_adr_queue7_en(drq1_wr_adr_queue7_en),
1314 .drq1_wr_adr_queue6_en(drq1_wr_adr_queue6_en),
1315 .drq1_wr_adr_queue5_en(drq1_wr_adr_queue5_en),
1316 .drq1_wr_adr_queue4_en(drq1_wr_adr_queue4_en),
1317 .drq1_wr_adr_queue3_en(drq1_wr_adr_queue3_en),
1318 .drq1_wr_adr_queue2_en(drq1_wr_adr_queue2_en),
1319 .drq1_wr_adr_queue1_en(drq1_wr_adr_queue1_en),
1320 .drq1_wr_adr_queue0_en(drq1_wr_adr_queue0_en),
1321 .drif0_rd_adr_queue_sel(drif0_rd_adr_queue_sel[7:0]),
1322 .drif1_rd_adr_queue_sel(drif1_rd_adr_queue_sel[7:0]),
1323 .woq_wr_adr_queue_sel(woq_wr_adr_queue_sel[7:0]),
1324 .woq_wr1_adr_queue_sel(woq_wr1_adr_queue_sel[7:0]),
1325 .woq_wr2_adr_queue_sel(woq_wr2_adr_queue_sel[7:0]),
1326 .drif0_req_rdwr_addr_sel(drif0_req_rdwr_addr_sel[1:0]),
1327 .drif1_req_rdwr_addr_sel(drif1_req_rdwr_addr_sel[1:0]),
1328 .drif_l2poison_qw(drif_l2poison_qw),
1329 .drif_wadr_parity(drif_wadr_parity),
1330 .mcu_pt_sync_out(mcu_pt_sync_out),
1331 .drif_scrub_rwen(drif_scrub_rwen),
1332 .drif_io_wdata_sel(drif_io_wdata_sel[1:0]),
1333 .drif_ucb_wr_req_vld(drif_ucb_wr_req_vld),
1334 .drif_ucb_rd_req_vld(drif_ucb_rd_req_vld),
1335 .drif_ucb_addr(drif_ucb_addr[12:0]),
1336 .drif_ucb_data(drif_ucb_data[63:0]),
1337 .drif_err_sts_reg_ld(drif_err_sts_reg_ld),
1338 .drif_err_addr_reg_ld(drif_err_addr_reg_ld),
1339 .drif_err_cnt_reg_ld(drif_err_cnt_reg_ld),
1340 .drif_err_loc_reg_ld(drif_err_loc_reg_ld),
1341 .drif_err_retry_reg_ld(drif_err_retry_reg_ld),
1342 .drif_dbg_trig_reg_ld(drif_dbg_trig_reg_ld),
1343 .drif_dram_cmd_a(drif_dram_cmd_a[2:0]),
1344 .drif_dram_addr_a(drif_dram_addr_a[15:0]),
1345 .drif_dram_bank_a(drif_dram_bank_a[2:0]),
1346 .drif_dram_rank_a(drif_dram_rank_a),
1347 .drif_dram_dimm_a(drif_dram_dimm_a[2:0]),
1348 .drif_dram_cmd_b(drif_dram_cmd_b[2:0]),
1349 .drif_dram_addr_b(drif_dram_addr_b[15:0]),
1350 .drif_dram_bank_b(drif_dram_bank_b[2:0]),
1351 .drif_dram_rank_b(drif_dram_rank_b),
1352 .drif_dram_dimm_b(drif_dram_dimm_b[2:0]),
1353 .drif_dram_cmd_c(drif_dram_cmd_c[2:0]),
1354 .drif_dram_addr_c(drif_dram_addr_c[15:0]),
1355 .drif_dram_bank_c(drif_dram_bank_c[2:0]),
1356 .drif_dram_rank_c(drif_dram_rank_c),
1357 .drif_dram_dimm_c(drif_dram_dimm_c[2:0]),
1358 .drif_wdata_wsn(drif_wdata_wsn),
1359 .woq_err_st_wait_free(woq_err_st_wait_free),
1360 .drif_crc_rd_picked(drif_crc_rd_picked),
1361 .drif_err_fifo_empty(drif_err_fifo_empty),
1362 .woq_err_fifo_empty(woq_err_fifo_empty),
1363 .woq_wr_req_out(woq_wr_req_out[1:0]),
1364 .drif_mcu_error_mode(drif_mcu_error_mode),
1365 .drif_err_state_crc_fr(drif_err_state_crc_fr),
1366 .drif_mcu_idle(drif_mcu_idle),
1367 .drif_cke_reg(drif_cke_reg),
1368 .rdata_drif_rd_req_vld(rdata_drif_rd_req_vld),
1369 .rdata_drif_wr_req_vld(rdata_drif_wr_req_vld),
1370 .rdata_drif_addr(rdata_drif_addr[12:0]),
1371 .rdata_drif_data(rdata_drif_data[63:0]),
1372 .rdata_mcu_selfrsh(rdata_mcu_selfrsh),
1373 .rdpctl_err_addr_reg(rdpctl_err_addr_reg[35:0]),
1374 .rdpctl_err_sts_reg(rdpctl_err_sts_reg[25:0]),
1375 .rdpctl_err_loc(rdpctl_err_loc[35:0]),
1376 .rdpctl_err_cnt(rdpctl_err_cnt[15:0]),
1377 .rdpctl_err_retry_reg(rdpctl_err_retry_reg[36:0]),
1378 .rdpctl_dbg_trig_enable(rdpctl_dbg_trig_enable),
1379 .rdpctl_kp_lnk_up(rdpctl_kp_lnk_up),
1380 .rdpctl_mask_err(rdpctl_mask_err),
1381 .rdpctl_dtm_mask_chnl(rdpctl_dtm_mask_chnl[1:0]),
1382 .rdpctl_dtm_atspeed(rdpctl_dtm_atspeed),
1383 .rdpctl_drq0_clear_ent(rdpctl_drq0_clear_ent[7:0]),
1384 .rdpctl_drq1_clear_ent(rdpctl_drq1_clear_ent[7:0]),
1385 .rdpctl_scrub_wren(rdpctl_scrub_wren),
1386 .rdpctl_scrub_addrinc_en(rdpctl_scrub_addrinc_en),
1387 .readdp_ecc_multi_err(readdp_ecc_multi_err[1:0]),
1388 .addrdp_ras_adr_queue(addrdp_ras_adr_queue[14:0]),
1389 .addrdp_cas_adr_queue(addrdp_cas_adr_queue[10:0]),
1390 .addrdp_rd_req_id_queue(addrdp_rd_req_id_queue[2:0]),
1391 .addrdp_ras_wr1_adr_queue(addrdp_ras_wr1_adr_queue[14:0]),
1392 .addrdp_cas_wr1_adr_queue(addrdp_cas_wr1_adr_queue[10:0]),
1393 .addrdp_ras_wr2_adr_queue(addrdp_ras_wr2_adr_queue[14:0]),
1394 .addrdp_cas_wr2_adr_queue(addrdp_cas_wr2_adr_queue[10:0]),
1395 .l2b0_rd_rank_adr(l2b0_rd_rank_adr),
1396 .l2b0_rd_dimm_adr(l2b0_rd_dimm_adr[2:0]),
1397 .l2b0_rd_bank_adr(l2b0_rd_bank_adr[2:0]),
1398 .l2b0_rd_addr_err(l2b0_rd_addr_err),
1399 .l2b0_rd_addr_par(l2b0_rd_addr_par),
1400 .l2b1_rd_rank_adr(l2b1_rd_rank_adr),
1401 .l2b1_rd_dimm_adr(l2b1_rd_dimm_adr[2:0]),
1402 .l2b1_rd_bank_adr(l2b1_rd_bank_adr[2:0]),
1403 .l2b1_rd_addr_err(l2b1_rd_addr_err),
1404 .l2b1_rd_addr_par(l2b1_rd_addr_par),
1405 .l2b0_wr_rank_adr(l2b0_wr_rank_adr),
1406 .l2b0_wr_dimm_adr(l2b0_wr_dimm_adr[2:0]),
1407 .l2b0_wr_bank_adr(l2b0_wr_bank_adr[2:0]),
1408 .l2b0_wr_addr_err(l2b0_wr_addr_err),
1409 .l2b0_wr_addr_par(l2b0_wr_addr_par),
1410 .l2b1_wr_rank_adr(l2b1_wr_rank_adr),
1411 .l2b1_wr_dimm_adr(l2b1_wr_dimm_adr[2:0]),
1412 .l2b1_wr_bank_adr(l2b1_wr_bank_adr[2:0]),
1413 .l2b1_wr_addr_err(l2b1_wr_addr_err),
1414 .l2b1_wr_addr_par(l2b1_wr_addr_par),
1415 .l2if0_rd_req(l2if0_rd_req),
1416 .l2if0_wr_req(l2if0_wr_req),
1417 .l2if0_data_wr_addr(l2if0_data_wr_addr[2:0]),
1418 .l2if0_wdq_rd_inh(l2if0_wdq_rd_inh),
1419 .l2if0_wdq_in_cntr(l2if0_wdq_in_cntr[3:0]),
1420 .l2if1_rd_req(l2if1_rd_req),
1421 .l2if1_wr_req(l2if1_wr_req),
1422 .l2if1_data_wr_addr(l2if1_data_wr_addr[2:0]),
1423 .l2if1_wdq_rd_inh(l2if1_wdq_rd_inh),
1424 .l2if1_wdq_in_cntr(l2if1_wdq_in_cntr[3:0]),
1425 .mcu_pt_sync_in0(mcu_pt_sync_in0),
1426 .mcu_pt_sync_in1(mcu_pt_sync_in1),
1427 .mcu_pt_sync_in2(mcu_pt_sync_in2),
1428 .addrdp0_rd_wr_adr0_eq(addrdp0_rd_wr_adr0_eq),
1429 .addrdp0_rd_wr_adr1_eq(addrdp0_rd_wr_adr1_eq),
1430 .addrdp0_rd_wr_adr2_eq(addrdp0_rd_wr_adr2_eq),
1431 .addrdp0_rd_wr_adr3_eq(addrdp0_rd_wr_adr3_eq),
1432 .addrdp0_rd_wr_adr4_eq(addrdp0_rd_wr_adr4_eq),
1433 .addrdp0_rd_wr_adr5_eq(addrdp0_rd_wr_adr5_eq),
1434 .addrdp0_rd_wr_adr6_eq(addrdp0_rd_wr_adr6_eq),
1435 .addrdp0_rd_wr_adr7_eq(addrdp0_rd_wr_adr7_eq),
1436 .addrdp1_rd_wr_adr0_eq(addrdp1_rd_wr_adr0_eq),
1437 .addrdp1_rd_wr_adr1_eq(addrdp1_rd_wr_adr1_eq),
1438 .addrdp1_rd_wr_adr2_eq(addrdp1_rd_wr_adr2_eq),
1439 .addrdp1_rd_wr_adr3_eq(addrdp1_rd_wr_adr3_eq),
1440 .addrdp1_rd_wr_adr4_eq(addrdp1_rd_wr_adr4_eq),
1441 .addrdp1_rd_wr_adr5_eq(addrdp1_rd_wr_adr5_eq),
1442 .addrdp1_rd_wr_adr6_eq(addrdp1_rd_wr_adr6_eq),
1443 .addrdp1_rd_wr_adr7_eq(addrdp1_rd_wr_adr7_eq),
1444 .rdpctl_scrub_read_done(rdpctl_scrub_read_done),
1445 .wdqrf00_data_mecc(wdqrf00_data_mecc),
1446 .wdqrf01_data_mecc(wdqrf01_data_mecc),
1447 .wdqrf10_data_mecc(wdqrf10_data_mecc),
1448 .wdqrf11_data_mecc(wdqrf11_data_mecc),
1449 .rdpctl_err_fifo_enq(rdpctl_err_fifo_enq),
1450 .rdpctl_err_fifo_data(rdpctl_err_fifo_data[14:0]),
1451 .rdpctl_fifo_empty(rdpctl_fifo_empty),
1452 .rdpctl_fifo_full(rdpctl_fifo_full),
1453 .rdpctl_no_crc_err(rdpctl_no_crc_err),
1454 .rdpctl_crc_err(rdpctl_crc_err),
1455 .fbdic_ucb_rd_data(fbdic_ucb_rd_data[65:0]),
1456 .fbdic_sync_frame_req_early3(fbdic_sync_frame_req_early3),
1457 .fbdic_sync_frame_req_early2(fbdic_sync_frame_req_early2),
1458 .fbdic_sync_frame_req_early1(fbdic_sync_frame_req_early1),
1459 .fbdic_sync_frame_req(fbdic_sync_frame_req),
1460 .fbdic_scr_frame_req_d4(fbdic_scr_frame_req_d4),
1461 .fbdic_l0_state(fbdic_l0_state),
1462 .fbdic_woq_free(fbdic_woq_free[1:0]),
1463 .fbdic_clear_wrq_ent(fbdic_clear_wrq_ent),
1464 .fbdic_error_mode(fbdic_error_mode),
1465 .fbdic_l0s_lfsr_stall(fbdic_l0s_lfsr_stall),
1466 .fbdic_err_fast_reset_done(fbdic_err_fast_reset_done),
1467 .fbdic_chnl_reset_error_mode(fbdic_chnl_reset_error_mode),
1468 .fbdic_mcu_idle(fbdic_mcu_idle),
1469 .aclk_wmr(aclk_wmr),
1470 .tcu_scan_en(tcu_scan_en),
1471 .wmr_protect(wmr_protect)
1472);
1473
1474mcu_ucb_ctl ucb (
1475 .scan_in(ucb_scanin),
1476 .scan_out(ucb_scanout),
1477 .iol2clk(iol2clk),
1478 .tcu_aclk(aclk),
1479 .tcu_bclk(bclk),
1480 .tcu_pce_ov(io_pce_ov),
1481 .ucb_mcu_rd_req_vld0(ucb_mcu_rd_req_vld),
1482 .ucb_mcu_wr_req_vld0(ucb_mcu_wr_req_vld),
1483 .mcu_ucb_ack_vld0(mcu_ucb_ack_vld),
1484 .mcu_ucb_nack_vld0(mcu_ucb_nack_vld),
1485 .mcu_ucb_data0(mcu_ucb_data[63:0]),
1486 .rdata_err_intr0(rdata_err_intr),
1487 .clspine_mcu_selfrsh(rst_mcu_selfrsh),
1488 .mcu_ucb_rd_req_in_0 (mcu_ucb_rd_req_in_0[3:0]),
1489 .mcu_ucb_wr_req_in_0 (mcu_ucb_wr_req_in_0),
1490 .mcu_ucb_rd_req_in_1 (mcu_ucb_rd_req_in_1[3:0]),
1491 .mcu_ucb_wr_req_in_1 (mcu_ucb_wr_req_in_1),
1492 .mcu_ucb_rd_request_out(mcu_ucb_rd_request_out[4:0]),
1493 .mcu_ucb_wr_req_out(mcu_ucb_wr_req_out[1:0]),
1494 .mcu_ucb_mecc_err(mcu_ucb_mecc_err),
1495 .mcu_ucb_secc_err(mcu_ucb_secc_err),
1496 .mcu_ucb_fbd_err(mcu_ucb_fbd_err),
1497 .mcu_ucb_err_mode(mcu_ucb_err_mode),
1498 .mcu_ucb_err_event(mcu_ucb_err_event),
1499 .mcu_dbg1_rd_req_out(mcu_dbg1_rd_req_out[4:0]),
1500 .mcu_dbg1_wr_req_out(mcu_dbg1_wr_req_out[1:0]),
1501 .mcu_dbg1_mecc_err(mcu_dbg1_mecc_err),
1502 .mcu_dbg1_secc_err(mcu_dbg1_secc_err),
1503 .mcu_dbg1_fbd_err(mcu_dbg1_fbd_err),
1504 .mcu_dbg1_err_mode(mcu_dbg1_err_mode),
1505 .mcu_dbg1_err_event(mcu_dbg1_err_event),
1506 .mcu_dbg1_rd_req_in_0(mcu_dbg1_rd_req_in_0[3:0]),
1507 .mcu_dbg1_wr_req_in_0(mcu_dbg1_wr_req_in_0),
1508 .mcu_dbg1_rd_req_in_1(mcu_dbg1_rd_req_in_1[3:0]),
1509 .mcu_dbg1_wr_req_in_1(mcu_dbg1_wr_req_in_1),
1510 .mcu_dbg1_crc21(mcu_dbg1_crc21),
1511 .ucb_mcu_addr(ucb_mcu_addr[12:0]),
1512 .ucb_mcu_data(ucb_mcu_data[63:0]),
1513 .ucb_rdata_selfrsh(ucb_rdata_selfrsh),
1514 .ucb_err_ecci(ucb_err_ecci),
1515 .ucb_err_fbui(ucb_err_fbui),
1516 .ucb_err_fbri(ucb_err_fbri),
1517 .ucb_pm(ucb_pm),
1518 .ucb_pm_ba01(ucb_pm_ba01),
1519 .ucb_pm_ba23(ucb_pm_ba23),
1520 .ucb_pm_ba45(ucb_pm_ba45),
1521 .ucb_pm_ba67(ucb_pm_ba67),
1522 .mcu_ncu_vld(mcu_ncu_vld),
1523 .mcu_ncu_data(mcu_ncu_data[3:0]),
1524 .mcu_ncu_stall(mcu_ncu_stall),
1525 .mcu_ncu_ecc(mcu_ncu_ecc),
1526 .mcu_ncu_fbr(mcu_ncu_fbr),
1527 .ncu_mcu_vld(ncu_mcu_vld),
1528 .ncu_mcu_data(ncu_mcu_data[3:0]),
1529 .ncu_mcu_stall(ncu_mcu_stall),
1530 .ncu_mcu_ecci(ncu_mcu_ecci),
1531 .ncu_mcu_fbui(ncu_mcu_fbui),
1532 .ncu_mcu_fbri(ncu_mcu_fbri),
1533 .ncu_mcu_pm(ncu_mcu_pm),
1534 .ncu_mcu_ba01(ncu_mcu_ba01),
1535 .ncu_mcu_ba23(ncu_mcu_ba23),
1536 .ncu_mcu_ba45(ncu_mcu_ba45),
1537 .ncu_mcu_ba67(ncu_mcu_ba67),
1538 .rdata_err_fbr(rdata_err_fbr),
1539 .fbdiwr_dtm_crc(fbdiwr_dtm_crc[21:0]),
1540 .rdata_serdes_dtm(rdata_serdes_dtm),
1541 .tcu_scan_en(tcu_scan_en)
1542);
1543
1544mcu_rdata_ctl rdata (
1545 .scan_in(rdata_scanin),
1546 .scan_out(rdata_scanout),
1547 .l2clk(l2clk),
1548 .tcu_aclk(aclk),
1549 .tcu_bclk(bclk),
1550 .tcu_pce_ov(cmp_pce_ov),
1551 .ccu_mcu_cmp_ddr_sync_en(dr_sync_en),
1552 .ccu_mcu_ddr_cmp_sync_en(dr_sync_en),
1553 .ccu_mcu_cmp_io_sync_en(cmp_io_sync_en),
1554 .ccu_mcu_io_cmp_sync_en(io_cmp_sync_en),
1555 .wdqrf00_data({wdrqf00_data[6:0], wdqrf00_data_mecc}),
1556 .wdqrf01_data({wdrqf01_data[6:0], wdqrf01_data_mecc}),
1557 .wdqrf10_data({wdrqf10_data[6:0], wdqrf10_data_mecc}),
1558 .wdqrf11_data({wdrqf11_data[6:0], wdqrf11_data_mecc}),
1559 .mbist_read_data(mbist_read_data[71:64]),
1560 .mcu_l2t0_data_vld_r0(mcu_l2t0_data_vld_r0_unused),
1561 .mcu_l2t0_qword_id_r0(mcu_l2t0_qword_id_r0_unused[1:0]),
1562 .mcu_l2t0_rd_req_id_r0(mcu_l2t0_rd_req_id_r0_unused[2:0]),
1563 .mcu_l2t1_data_vld_r0(mcu_l2t1_data_vld_r0_unused),
1564 .mcu_l2t1_qword_id_r0(mcu_l2t1_qword_id_r0_unused[1:0]),
1565 .mcu_l2t1_rd_req_id_r0(mcu_l2t1_rd_req_id_r0_unused[2:0]),
1566 .mcu_ucb_rd_request_out(mcu_ucb_rd_request_out[4:0]),
1567 .mcu_ucb_wr_req_out(mcu_ucb_wr_req_out[1:0]),
1568 .mcu_ucb_mecc_err(mcu_ucb_mecc_err),
1569 .mcu_ucb_secc_err(mcu_ucb_secc_err),
1570 .mcu_ucb_fbd_err(mcu_ucb_fbd_err),
1571 .mcu_ucb_err_mode(mcu_ucb_err_mode),
1572 .mcu_ucb_err_event(mcu_ucb_err_event),
1573 .mcu_l2t0_mecc_err_r3(mcu_l2t0_mecc_err_r3),
1574 .mcu_l2t0_secc_err_r3(mcu_l2t0_secc_err_r3),
1575 .mcu_l2t0_scb_mecc_err(mcu_l2t0_scb_mecc_err),
1576 .mcu_l2t0_scb_secc_err(mcu_l2t0_scb_secc_err),
1577 .mcu_l2t1_mecc_err_r3(mcu_l2t1_mecc_err_r3),
1578 .mcu_l2t1_secc_err_r3(mcu_l2t1_secc_err_r3),
1579 .mcu_l2t1_scb_mecc_err(mcu_l2t1_scb_mecc_err),
1580 .mcu_l2t1_scb_secc_err(mcu_l2t1_scb_secc_err),
1581 .rdata_drif_rd_req_vld(rdata_drif_rd_req_vld),
1582 .rdata_drif_wr_req_vld(rdata_drif_wr_req_vld),
1583 .rdata_drif_addr(rdata_drif_addr[12:0]),
1584 .rdata_drif_data(rdata_drif_data[63:0]),
1585 .rdata_mcu_selfrsh(rdata_mcu_selfrsh),
1586 .rdata_err_ecci(rdata_err_ecci),
1587 .rdata_err_fbri(rdata_err_fbri),
1588 .rdata_err_fbui(rdata_err_fbui),
1589 .mcu_ucb_ack_vld(mcu_ucb_ack_vld),
1590 .mcu_ucb_nack_vld(mcu_ucb_nack_vld),
1591 .mcu_ucb_data(mcu_ucb_data[63:0]),
1592 .rdata_err_intr(rdata_err_intr),
1593 .rdata_err_fbr(rdata_err_fbr),
1594 .rdata_cmp_ddr_sync_en(rdata_cmp_ddr_sync_en),
1595 .rdata_ddr_cmp_sync_en(rdata_ddr_cmp_sync_en),
1596 .rdata_rddata_sel(rdata_rddata_sel[1:0]),
1597 .rdata_pa_err(rdata_pa_err),
1598 .rdata_pm_1mcu(rdata_pm_1mcu),
1599 .rdata_pm_2mcu(rdata_pm_2mcu),
1600 .rdata0_wdq_rd(rdata0_wdq_rd[1:0]),
1601 .rdata1_wdq_rd(rdata1_wdq_rd[1:0]),
1602 .rdata_wdq_radr(rdata_wdq_radr[4:0]),
1603 .mbist_run_d1(mbist_run_d1),
1604 .mbist_run_d1_l(mbist_run_d1_l),
1605 .mbist_sel_hiorlo_72bits_d1(mbist_sel_hiorlo_72bits_d1),
1606 .mbist_sel_bank0or1_d1(mbist_sel_bank0or1_d1),
1607 .rdata_serdes_dtm(rdata_serdes_dtm),
1608 .ucb_rdata_selfrsh(ucb_rdata_selfrsh),
1609 .ucb_mcu_rd_req_vld(ucb_mcu_rd_req_vld),
1610 .ucb_mcu_wr_req_vld(ucb_mcu_wr_req_vld),
1611 .ucb_mcu_addr(ucb_mcu_addr[12:0]),
1612 .ucb_mcu_data(ucb_mcu_data[63:0]),
1613 .ucb_err_ecci(ucb_err_ecci),
1614 .ucb_err_fbri(ucb_err_fbri),
1615 .ucb_err_fbui(ucb_err_fbui),
1616 .drif_rdata_ack_vld(drif_rdata_ack_vld),
1617 .drif_rdata_nack_vld(drif_rdata_nack_vld),
1618 .drif_rdata_data(drif_rdata_data[63:0]),
1619 .fbdic_err_fbr(fbdic_err_fbr),
1620 .rdpctl_l2t0_data_valid(rdpctl_l2t0_data_valid),
1621 .rdpctl_l2t1_data_valid(rdpctl_l2t1_data_valid),
1622 .rdpctl_qword_id(rdpctl_qword_id),
1623 .rdpctl_rd_req_id(rdpctl_rd_req_id[2:0]),
1624 .rdpctl_pa_err(rdpctl_pa_err),
1625 .rdpctl_scrb0_err_valid(rdpctl_scrb0_err_valid),
1626 .rdpctl_scrb1_err_valid(rdpctl_scrb1_err_valid),
1627 .rdpctl_fbd0_recov_err(rdpctl_fbd0_recov_err),
1628 .rdpctl_fbd1_recov_err(rdpctl_fbd1_recov_err),
1629 .rdpctl_fbd_unrecov_err(rdpctl_fbd_unrecov_err[1:0]),
1630 .rdpctl_secc_cnt_intr(rdpctl_secc_cnt_intr),
1631 .rdpctl_dbg_trig_enable(rdpctl_dbg_trig_enable),
1632 .fbdic_fbd_error(fbdic_fbd_error),
1633 .drif_mcu_error_mode(drif_mcu_error_mode),
1634 .woq_wr_req_out(woq_wr_req_out[1:0]),
1635 .ucb_pm(ucb_pm),
1636 .ucb_pm_ba01(ucb_pm_ba01),
1637 .ucb_pm_ba23(ucb_pm_ba23),
1638 .ucb_pm_ba45(ucb_pm_ba45),
1639 .ucb_pm_ba67(ucb_pm_ba67),
1640 .readdp_l2_secc_err_dly1(readdp_l2_secc_err_dly1),
1641 .readdp_l2_mecc_err_dly1(readdp_l2_mecc_err_dly1),
1642 .mbist_run(mbist_run),
1643 .mbist_addr(mbist_addr[4:0]),
1644 .mbist_sel_bank0or1(mbist_sel_bank0or1),
1645 .mbist_sel_hiorlo_72bits(mbist_sel_hiorlo_72bits),
1646 .mbist_wdqrf00_rd_en(mbist_wdqrf00_rd_en),
1647 .mbist_wdqrf01_rd_en(mbist_wdqrf01_rd_en),
1648 .mbist_wdqrf10_rd_en(mbist_wdqrf10_rd_en),
1649 .mbist_wdqrf11_rd_en(mbist_wdqrf11_rd_en),
1650 .drif0_wdq_rd(drif0_wdq_rd),
1651 .drif1_wdq_rd(drif1_wdq_rd),
1652 .drif_wdq_radr(drif_wdq_radr[4:0]),
1653 .fbdic_srds_dtm_muxsel(fbdic_srds_dtm_muxsel),
1654 .array_wr_inhibit(array_wr_inhibit),
1655 .cmp_array_wr_inhibit(cmp_array_wr_inhibit),
1656 .dr_array_wr_inhibit(dr_array_wr_inhibit),
1657 .tcu_scan_en(tcu_scan_en)
1658);
1659
1660mcu_rdpctl_ctl rdpctl ( // FS:wmr_protect
1661 .wmr_scan_in(rdpctl_wmr_scanin),
1662 .wmr_scan_out(rdpctl_wmr_scanout),
1663 .scan_in(rdpctl_scanin),
1664 .scan_out(rdpctl_scanout),
1665 .drl2clk(drl2clk),
1666 .tcu_aclk(aclk),
1667 .tcu_bclk(bclk),
1668 .tcu_pce_ov(dr_pce_ov),
1669 .drif_ucb_data_39to0(drif_ucb_data[39:0]),
1670 .drif_ucb_data_63to54(drif_ucb_data[63:54]),
1671 .rdpctl_scrub_addrinc_en(rdpctl_scrub_addrinc_en),
1672 .rdpctl_err_addr_reg(rdpctl_err_addr_reg[35:0]),
1673 .rdpctl_err_sts_reg(rdpctl_err_sts_reg[25:0]),
1674 .rdpctl_err_loc(rdpctl_err_loc[35:0]),
1675 .rdpctl_err_cnt(rdpctl_err_cnt[15:0]),
1676 .rdpctl_err_retry_reg(rdpctl_err_retry_reg[36:0]),
1677 .rdpctl_dbg_trig_enable(rdpctl_dbg_trig_enable),
1678 .rdpctl_drq0_clear_ent(rdpctl_drq0_clear_ent[7:0]),
1679 .rdpctl_drq1_clear_ent(rdpctl_drq1_clear_ent[7:0]),
1680 .rdpctl_err_fifo_enq(rdpctl_err_fifo_enq),
1681 .rdpctl_err_fifo_data(rdpctl_err_fifo_data[14:0]),
1682 .rdpctl_fifo_empty(rdpctl_fifo_empty),
1683 .rdpctl_fifo_full(rdpctl_fifo_full),
1684 .rdpctl_no_crc_err(rdpctl_no_crc_err),
1685 .rdpctl_crc_err(rdpctl_crc_err),
1686 .rdpctl_fbd0_recov_err(rdpctl_fbd0_recov_err),
1687 .rdpctl_fbd1_recov_err(rdpctl_fbd1_recov_err),
1688 .rdpctl_fbd_unrecov_err(rdpctl_fbd_unrecov_err[1:0]),
1689 .rdpctl_crc_recov_err(rdpctl_crc_recov_err),
1690 .rdpctl_crc_unrecov_err(rdpctl_crc_unrecov_err),
1691 .rdpctl_scrub_read_done(rdpctl_scrub_read_done),
1692 .rdpctl_scrb0_err_valid(rdpctl_scrb0_err_valid),
1693 .rdpctl_scrb1_err_valid(rdpctl_scrb1_err_valid),
1694 .rdpctl_l2t0_data_valid(rdpctl_l2t0_data_valid),
1695 .rdpctl_l2t1_data_valid(rdpctl_l2t1_data_valid),
1696 .rdpctl_qword_id(rdpctl_qword_id),
1697 .rdpctl_rd_req_id(rdpctl_rd_req_id[2:0]),
1698 .rdpctl_pa_err(rdpctl_pa_err),
1699 .rdpctl_radr_parity(rdpctl_radr_parity),
1700 .rdpctl_rddata_en(rdpctl_rddata_en[2:0]),
1701 .rdpctl_inj_ecc_err(rdpctl_inj_ecc_err),
1702 .rdpctl0_dummy_data_valid(rdpctl0_dummy_data_valid),
1703 .rdpctl1_dummy_data_valid(rdpctl1_dummy_data_valid),
1704 .rdpctl_secc_cnt_intr(rdpctl_secc_cnt_intr),
1705 .rdpctl_scrub_wren(rdpctl_scrub_wren),
1706 .rdpctl_mask_err(rdpctl_mask_err),
1707 .rdpctl_dtm_mask_chnl(rdpctl_dtm_mask_chnl[1:0]),
1708 .rdpctl_dtm_atspeed(rdpctl_dtm_atspeed),
1709 .rdpctl_dtm_chnl_enable(rdpctl_dtm_chnl_enable[1:0]),
1710 .fbdic_serdes_dtm(fbdic_serdes_dtm),
1711 .fbdic_rddata_vld(fbdic_rddata_vld),
1712 .fbdic_crc_error(fbdic_crc_error),
1713 .fbdic_chnl_reset_error(fbdic_chnl_reset_error),
1714 .drif_err_state_crc_fr(drif_err_state_crc_fr),
1715 .fbdic_chnl_reset_error_mode(fbdic_chnl_reset_error_mode),
1716 .fbdic_err_unrecov(fbdic_err_unrecov),
1717 .fbdic_err_recov(fbdic_err_recov),
1718 .fbdic_cfgrd_crc_error(fbdic_cfgrd_crc_error),
1719 .drif_send_info_val(drif_send_info_val),
1720 .drif_send_info(drif_send_info[19:0]),
1721 .readdp_ecc_single_err(readdp_ecc_single_err[1:0]),
1722 .readdp_ecc_multi_err(readdp_ecc_multi_err[1:0]),
1723 .readdp0_syndrome(readdp0_syndrome[15:0]),
1724 .readdp1_syndrome(readdp1_syndrome[15:0]),
1725 .readdp0_ecc_loc(readdp0_ecc_loc[35:0]),
1726 .readdp1_ecc_loc(readdp1_ecc_loc[35:0]),
1727 .drif_scrub_addr(drif_scrub_addr[31:0]),
1728 .mcu_id(mcu_id[1:0]),
1729 .drif_single_channel_mode(drif_single_channel_mode),
1730 .l2if0_rd_dummy_req(l2if0_rd_dummy_req),
1731 .l2if0_rd_dummy_req_addr5(l2if0_rd_dummy_req_addr5),
1732 .l2if0_rd_dummy_req_id(l2if0_rd_dummy_req_id[2:0]),
1733 .l2if0_rd_dummy_addr_err(l2if0_rd_dummy_addr_err),
1734 .l2if1_rd_dummy_req(l2if1_rd_dummy_req),
1735 .l2if1_rd_dummy_req_addr5(l2if1_rd_dummy_req_addr5),
1736 .l2if1_rd_dummy_req_id(l2if1_rd_dummy_req_id[2:0]),
1737 .l2if1_rd_dummy_addr_err(l2if1_rd_dummy_addr_err),
1738 .drif_err_sts_reg_ld(drif_err_sts_reg_ld),
1739 .drif_err_addr_reg_ld(drif_err_addr_reg_ld),
1740 .drif_err_cnt_reg_ld(drif_err_cnt_reg_ld),
1741 .drif_err_loc_reg_ld(drif_err_loc_reg_ld),
1742 .drif_err_retry_reg_ld(drif_err_retry_reg_ld),
1743 .drif_dbg_trig_reg_ld(drif_dbg_trig_reg_ld),
1744 .rdata_err_ecci(rdata_err_ecci),
1745 .rdata_pm_1mcu(rdata_pm_1mcu),
1746 .rdata_pm_2mcu(rdata_pm_2mcu),
1747 .aclk_wmr(aclk_wmr),
1748 .tcu_scan_en(tcu_scan_en),
1749 .wmr_protect(wmr_protect)
1750);
1751
1752mcu_fdout_ctl fdout (
1753 .scan_in(fdout_scanin),
1754 .scan_out(fdout_scanout),
1755 .drl2clk(dr_gclk),
1756 .tcu_aclk(tcu_aclk),
1757 .tcu_bclk(tcu_bclk),
1758 .tcu_pce_ov(dr_pce_ov),
1759 .mcu_fsr0_data(mcu_fsr0_data[119:0]),
1760 .mcu_fsr1_data(mcu_fsr1_data[119:0]),
1761 .fbdiwr0_data(fbdiwr0_data[119:0]),
1762 .fbdiwr1_data(fbdiwr1_data[119:0]),
1763 .rdpctl_kp_lnk_up(rdpctl_kp_lnk_up),
1764 .fbdic_link_cnt_eq_0_d1(fbdic_link_cnt_eq_0_d1),
1765 .tcu_mcu_fbd_clk_stop(tcu_mcu_fbd_clk_stop),
1766 .tcu_atpg_mode(tcu_atpg_mode),
1767 .tcu_scan_en(tcu_scan_en),
1768 .tcu_mcu_testmode(tcu_mcu_testmode)
1769);
1770
1771mcu_fdoklu_ctl fdoklu (
1772 .scan_in(fdoklu_scanin),
1773 .scan_out(fdoklu_scanout),
1774 .drl2clk(dr_gclk),
1775 .tcu_aclk(tcu_aclk),
1776 .tcu_bclk(tcu_bclk),
1777 .tcu_pce_ov(dr_pce_ov),
1778 .fdout0_frame_lock_sync(fdout0_frame_lock_sync[13:0]),
1779 .fdout1_frame_lock_sync(fdout1_frame_lock_sync[13:0]),
1780 .fdout0_rptr0(fdout0_rptr0[1:0]),
1781 .fdout0_rptr1(fdout0_rptr1[1:0]),
1782 .fdout0_rptr2(fdout0_rptr2[1:0]),
1783 .fdout0_rptr3(fdout0_rptr3[1:0]),
1784 .fdout0_rptr4(fdout0_rptr4[1:0]),
1785 .fdout0_rptr5(fdout0_rptr5[1:0]),
1786 .fdout0_rptr6(fdout0_rptr6[1:0]),
1787 .fdout0_rptr7(fdout0_rptr7[1:0]),
1788 .fdout0_rptr8(fdout0_rptr8[1:0]),
1789 .fdout0_rptr9(fdout0_rptr9[1:0]),
1790 .fdout0_rptr10(fdout0_rptr10[1:0]),
1791 .fdout0_rptr11(fdout0_rptr11[1:0]),
1792 .fdout0_rptr12(fdout0_rptr12[1:0]),
1793 .fdout0_rptr13(fdout0_rptr13[1:0]),
1794 .fdout1_rptr0(fdout1_rptr0[1:0]),
1795 .fdout1_rptr1(fdout1_rptr1[1:0]),
1796 .fdout1_rptr2(fdout1_rptr2[1:0]),
1797 .fdout1_rptr3(fdout1_rptr3[1:0]),
1798 .fdout1_rptr4(fdout1_rptr4[1:0]),
1799 .fdout1_rptr5(fdout1_rptr5[1:0]),
1800 .fdout1_rptr6(fdout1_rptr6[1:0]),
1801 .fdout1_rptr7(fdout1_rptr7[1:0]),
1802 .fdout1_rptr8(fdout1_rptr8[1:0]),
1803 .fdout1_rptr9(fdout1_rptr9[1:0]),
1804 .fdout1_rptr10(fdout1_rptr10[1:0]),
1805 .fdout1_rptr11(fdout1_rptr11[1:0]),
1806 .fdout1_rptr12(fdout1_rptr12[1:0]),
1807 .fdout1_rptr13(fdout1_rptr13[1:0]),
1808 .fdout_idle_lfsr(fdout_idle_lfsr[11:0]),
1809 .fdout_idle_lfsr_l_0(fdout_idle_lfsr_l_0),
1810 .fdout_link_cnt(fdout_link_cnt[5:0]),
1811 .fbdic_link_cnt_eq_0_d1(fbdic_link_cnt_eq_0_d1),
1812 .rdpctl_kp_lnk_up(rdpctl_kp_lnk_up),
1813 .fbdic_link_cnt_en(fbdic_link_cnt_en),
1814 .fbdic_idle_lfsr_reset(fbdic_idle_lfsr_reset),
1815 .fbdic_link_cnt_reset(fbdic_link_cnt_reset[5:0]),
1816 .fbdic_l0_state(fbdic_l0_state),
1817 .fbd0_frame_lock(fbd0_frame_lock[13:0]),
1818 .fbd1_frame_lock(fbd1_frame_lock[13:0]),
1819 .tcu_mcu_fbd_clk_stop(tcu_mcu_fbd_clk_stop),
1820 .tcu_atpg_mode(tcu_atpg_mode),
1821 .tcu_scan_en(tcu_scan_en),
1822 .tcu_mcu_testmode(tcu_mcu_testmode)
1823);
1824
1825mcu_fbdic_ctl fbdic ( // FS:wmr_protect
1826 .wmr_scan_in(fbdic_wmr_scanin),
1827 .fbdic_wmr_scanout(fbdic_wmr_scanout),
1828 .scan_in(fbdic_scanin),
1829 .scan_out(fbdic_scanout),
1830 .fbdtm_si(fbdtm_scanin),
1831 .fbdtm_so(fbdtm_scanout),
1832 .fbdtm_wmr_si(fbdtm_wmr_scanin),
1833 .fbdtm_wmr_so(fbdtm_wmr_scanout),
1834 .drl2clk(drl2clk),
1835 .tcu_aclk(aclk),
1836 .tcu_bclk(bclk),
1837 .tcu_pce_ov(dr_pce_ov),
1838 .fbdird0_cnfgreg_data(fbdird0_data[103:72]),
1839 .fbdird1_cnfgreg_data(fbdird0_data[31:0]),
1840 .fsr0_mcu_stspll_lock(fsr0_mcu_stspll_lock[2:0]),
1841 .fsr1_mcu_stspll_lock(fsr1_mcu_stspll_lock[2:0]),
1842 .fbd0_frame_lock(fdout0_frame_lock_sync[13:0]),
1843 .fbd1_frame_lock(fdout1_frame_lock_sync[13:0]),
1844 .fbdic_data_sel(fbdic_data_sel[4:0]),
1845 .fbdic0_ts_data(fbdic0_ts_data[11:0]),
1846 .fbdic1_ts_data(fbdic1_ts_data[11:0]),
1847 .fbdic_ibist_data(fbdic_ibist_data[119:0]),
1848 .fbdic_f(fbdic_f[1:0]),
1849 .fbdic_f_1_l(fbdic_f_1_l),
1850 .fbdic0_chnl_disable(fbdic0_chnl_disable),
1851 .fbdic1_chnl_disable(fbdic1_chnl_disable),
1852 .fbdic_a_cmd(fbdic_a_cmd[23:0]),
1853 .fbdic_bc_cmd(fbdic_bc_cmd[71:0]),
1854 .fbdic0_cmd_crc_sel(fbdic0_cmd_crc_sel[1:0]),
1855 .fbdic0_data_crc_sel(fbdic0_data_crc_sel[2:0]),
1856 .fbdic0_sb_failover(fbdic0_sb_failover),
1857 .fbdic0_sb_failover_l(fbdic0_sb_failover_l),
1858 .fbdic0_sb_failover_mask(fbdic0_sb_failover_mask[8:0]),
1859 .fbdic0_sb_failover_mask_l(fbdic0_sb_failover_mask_l[8:0]),
1860 .fbdic0_nb_failover(fbdic0_nb_failover),
1861 .fbdic0_nb_failover_l(fbdic0_nb_failover_l),
1862 .fbdic0_nb_failover_mask(fbdic0_nb_failover_mask[12:0]),
1863 .fbdic0_nb_failover_mask_l(fbdic0_nb_failover_mask_l[12:0]),
1864 .fbdic1_cmd_crc_sel(fbdic1_cmd_crc_sel[1:0]),
1865 .fbdic1_data_crc_sel(fbdic1_data_crc_sel[2:0]),
1866 .fbdic1_sb_failover(fbdic1_sb_failover),
1867 .fbdic1_sb_failover_l(fbdic1_sb_failover_l),
1868 .fbdic1_sb_failover_mask(fbdic1_sb_failover_mask[8:0]),
1869 .fbdic1_sb_failover_mask_l(fbdic1_sb_failover_mask_l[8:0]),
1870 .fbdic1_nb_failover(fbdic1_nb_failover),
1871 .fbdic1_nb_failover_l(fbdic1_nb_failover_l),
1872 .fbdic1_nb_failover_mask(fbdic1_nb_failover_mask[12:0]),
1873 .fbdic1_nb_failover_mask_l(fbdic1_nb_failover_mask_l[12:0]),
1874 .fbdic_ucb_rd_data(fbdic_ucb_rd_data[65:0]),
1875 .fbdic_train_state(fbdic_train_state),
1876 .fbdic_disable_state(fbdic_disable_state),
1877 .fbdic_enable_sync_count(fbdic_enable_sync_count),
1878 .fbdic_sync_frame_req_early3(fbdic_sync_frame_req_early3),
1879 .fbdic_sync_frame_req_early2(fbdic_sync_frame_req_early2),
1880 .fbdic_sync_frame_req_early1(fbdic_sync_frame_req_early1),
1881 .fbdic_sync_frame_req(fbdic_sync_frame_req),
1882 .fbdic_sync_frame_req_d1(fbdic_sync_frame_req_d1),
1883 .fbdic_scr_frame_req_d4(fbdic_scr_frame_req_d4),
1884 .fbdic_l0_state(fbdic_l0_state),
1885 .fbdic_l0s_lfsr_stall(fbdic_l0s_lfsr_stall),
1886 .fbdic_err_fast_reset_done(fbdic_err_fast_reset_done),
1887 .fbdic_chnl_reset_error(fbdic_chnl_reset_error),
1888 .fbdic_chnl_reset_error_mode(fbdic_chnl_reset_error_mode),
1889 .fbdic_special_cmd(fbdic_special_cmd),
1890 .fbdic_special_cmd_l(fbdic_special_cmd_l),
1891 .fbdic_ibrx_data_sel(fbdic_ibrx_data_sel),
1892 .fbdic_ibrx_data_sel_l(fbdic_ibrx_data_sel_l),
1893 .fbdic_rddata_vld(fbdic_rddata_vld),
1894 .fbdic_rddata_vld_l(fbdic_rddata_vld_l),
1895 .fbdic_woq_free(fbdic_woq_free[1:0]),
1896 .fbdic_clear_wrq_ent(fbdic_clear_wrq_ent),
1897 .fbdic_error_mode(fbdic_error_mode),
1898 .fbdic_fbd_error(fbdic_fbd_error),
1899 .fbdic_crc_error(fbdic_crc_error),
1900 .fbdic_err_unrecov(fbdic_err_unrecov),
1901 .fbdic_err_recov(fbdic_err_recov),
1902 .fbdic_err_fbr(fbdic_err_fbr),
1903 .fbdic0_inc_wptr(fbdic0_inc_wptr),
1904 .fbdic0_inc_rptr(fbdic0_inc_rptr[13:0]),
1905 .fbdic0_clr_ptrs(fbdic0_clr_ptrs),
1906 .fbdic1_inc_wptr(fbdic1_inc_wptr),
1907 .fbdic1_inc_rptr(fbdic1_inc_rptr[13:0]),
1908 .fbdic1_clr_ptrs(fbdic1_clr_ptrs),
1909 .fbdic_idle_lfsr_reset(fbdic_idle_lfsr_reset),
1910 .mcu_fsr0_cfgpll_enpll(mcu_fsr0_cfgpll_enpll),
1911 .mcu_fsr1_cfgpll_enpll(mcu_fsr1_cfgpll_enpll),
1912 .mcu_fsr01_cfgpll_lb(mcu_fsr01_cfgpll_lb[1:0]),
1913 .mcu_fsr01_cfgpll_mpy(mcu_fsr01_cfgpll_mpy[3:0]),
1914 .mcu_fsr0_cfgrx_enrx(mcu_fsr0_cfgrx_enrx),
1915 .mcu_fsr1_cfgrx_enrx(mcu_fsr1_cfgrx_enrx),
1916 .mcu_fsr0_cfgrx_entest(mcu_fsr0_cfgrx_entest),
1917 .mcu_fsr1_cfgrx_entest(mcu_fsr1_cfgrx_entest),
1918 .mcu_fsr0_cfgrx_align(mcu_fsr0_cfgrx_align),
1919 .mcu_fsr1_cfgrx_align(mcu_fsr1_cfgrx_align),
1920 .mcu_fsr0_cfgrx_invpair(mcu_fsr0_cfgrx_invpair[13:0]),
1921 .mcu_fsr1_cfgrx_invpair(mcu_fsr1_cfgrx_invpair[13:0]),
1922 .mcu_fsr01_cfgrx_eq(mcu_fsr01_cfgrx_eq[3:0]),
1923 .mcu_fsr01_cfgrx_cdr(mcu_fsr01_cfgrx_cdr[2:0]),
1924 .mcu_fsr01_cfgrx_term(mcu_fsr01_cfgrx_term[2:0]),
1925 .mcu_fsr0_cfgtx_entx(mcu_fsr0_cfgtx_entx),
1926 .mcu_fsr1_cfgtx_entx(mcu_fsr1_cfgtx_entx),
1927 .mcu_fsr0_cfgtx_entest(mcu_fsr0_cfgtx_entest),
1928 .mcu_fsr1_cfgtx_entest(mcu_fsr1_cfgtx_entest),
1929 .mcu_fsr0_cfgtx_enidl(mcu_fsr0_cfgtx_enidl),
1930 .mcu_fsr1_cfgtx_enidl(mcu_fsr1_cfgtx_enidl),
1931 .mcu_fsr0_cfgtx_invpair(mcu_fsr0_cfgtx_invpair[9:0]),
1932 .mcu_fsr1_cfgtx_invpair(mcu_fsr1_cfgtx_invpair[9:0]),
1933 .mcu_fsr01_cfgtx_enftp(mcu_fsr01_cfgtx_enftp),
1934 .mcu_fsr01_cfgtx_de(mcu_fsr01_cfgtx_de[3:0]),
1935 .mcu_fsr01_cfgtx_swing(mcu_fsr01_cfgtx_swing[2:0]),
1936 .mcu_fsr01_cfgtx_cm(mcu_fsr01_cfgtx_cm),
1937 .mcu_fsr01_cfgrtx_rate(mcu_fsr01_cfgrtx_rate[1:0]),
1938 .mcu_fsr0_testcfg(mcu_fsr0_testcfg[11:0]),
1939 .mcu_fsr1_testcfg(mcu_fsr1_testcfg[11:0]),
1940 .fbdic_link_cnt_en(fbdic_link_cnt_en),
1941 .fbdic_link_cnt_reset(fbdic_link_cnt_reset[5:0]),
1942 .rdpctl_kp_lnk_up(rdpctl_kp_lnk_up),
1943 .rdpctl_kp_lnk_up_clr(rdpctl_kp_lnk_up_clr),
1944 .fbdic_serdes_dtm(fbdic_serdes_dtm),
1945 .fbdic_srds_dtm_muxsel(fbdic_srds_dtm_muxsel),
1946 .fbdic_cfgrd_crc_error(fbdic_cfgrd_crc_error),
1947 .fbdic_mcu_idle(fbdic_mcu_idle),
1948 .fbd0_elect_idle(fbd0_elect_idle[13:0]),
1949 .fbd1_elect_idle(fbd1_elect_idle[13:0]),
1950 .fbd0_testfail(fbd0_testfail[13:0]),
1951 .fbd1_testfail(fbd1_testfail[13:0]),
1952 .drif_ucb_wr_req_vld(drif_ucb_wr_req_vld),
1953 .drif_ucb_rd_req_vld(drif_ucb_rd_req_vld),
1954 .drif_ucb_addr(drif_ucb_addr[12:0]),
1955 .drif_ucb_data(drif_ucb_data[63:0]),
1956 .lndskw0_data(lndskw0_data[39:0]),
1957 .lndskw1_data(lndskw1_data[39:0]),
1958 .fbdird_ibrx_data(fbdird_ibrx_data[167:40]),
1959 .drif_dram_cmd_a(drif_dram_cmd_a[2:0]),
1960 .drif_dram_addr_a(drif_dram_addr_a[15:0]),
1961 .drif_dram_bank_a(drif_dram_bank_a[2:0]),
1962 .drif_dram_dimm_a(drif_dram_dimm_a[2:0]),
1963 .drif_dram_rank_a(drif_dram_rank_a),
1964 .drif_dram_cmd_b(drif_dram_cmd_b[2:0]),
1965 .drif_dram_addr_b(drif_dram_addr_b[15:0]),
1966 .drif_dram_bank_b(drif_dram_bank_b[2:0]),
1967 .drif_dram_dimm_b(drif_dram_dimm_b[2:0]),
1968 .drif_dram_rank_b(drif_dram_rank_b),
1969 .drif_wdata_wsn(drif_wdata_wsn),
1970 .woq_err_st_wait_free(woq_err_st_wait_free),
1971 .drif_dram_cmd_c(drif_dram_cmd_c[2:0]),
1972 .drif_dram_addr_c(drif_dram_addr_c[15:0]),
1973 .drif_dram_bank_c(drif_dram_bank_c[2:0]),
1974 .drif_dram_dimm_c(drif_dram_dimm_c[2:0]),
1975 .drif_dram_rank_c(drif_dram_rank_c),
1976 .drif_single_channel_mode(drif_single_channel_mode),
1977 .drif_branch_disabled(drif_branch_disabled),
1978 .drif_mcu_idle(drif_mcu_idle),
1979 .drif_cke_reg(drif_cke_reg),
1980 .drif_stacked_dimm(drif_stacked_dimm),
1981 .drif_num_dimms(drif_num_dimms[2:0]),
1982 .rdpctl_fifo_empty(rdpctl_fifo_empty),
1983 .rdpctl_crc_recov_err(rdpctl_crc_recov_err),
1984 .rdpctl_crc_unrecov_err(rdpctl_crc_unrecov_err),
1985 .rdpctl_mask_err(rdpctl_mask_err),
1986 .drif_dbg_trig_reg_ld(drif_dbg_trig_reg_ld),
1987 .fdout_link_cnt(fdout_link_cnt[5:0]),
1988 .drif_err_state_crc_fr(drif_err_state_crc_fr),
1989 .rdata_err_fbui(rdata_err_fbui),
1990 .rdata_err_fbri(rdata_err_fbri),
1991 .fbdird_crc_cmp0_0(fbdird_crc_cmp0_0),
1992 .fbdird_crc_cmp0_1(fbdird_crc_cmp0_1),
1993 .fbdird_crc_cmp1_0(fbdird_crc_cmp1_0),
1994 .fbdird_crc_cmp1_1(fbdird_crc_cmp1_1),
1995 .lndskw0_ts0_hdr_match(lndskw0_ts0_hdr_match[13:0]),
1996 .lndskw1_ts0_hdr_match(lndskw1_ts0_hdr_match[13:0]),
1997 .lndskw0_status_parity(lndskw0_status_parity[11:0]),
1998 .lndskw1_status_parity(lndskw1_status_parity[11:0]),
1999 .lndskw0_idle_match(lndskw0_idle_match[13:0]),
2000 .lndskw1_idle_match(lndskw1_idle_match[13:0]),
2001 .lndskw0_alert_match(lndskw0_alert_match[13:0]),
2002 .lndskw1_alert_match(lndskw1_alert_match[13:0]),
2003 .lndskw0_alert_asserted(lndskw0_alert_asserted[11:0]),
2004 .lndskw1_alert_asserted(lndskw1_alert_asserted[11:0]),
2005 .lndskw0_nbde(lndskw0_nbde[11:0]),
2006 .lndskw1_nbde(lndskw1_nbde[11:0]),
2007 .lndskw0_thermal_trip(lndskw0_thermal_trip[23:0]),
2008 .lndskw1_thermal_trip(lndskw1_thermal_trip[23:0]),
2009 .fsr0_mcu_ststx_testfail(fsr0_mcu_ststx_testfail[9:0]),
2010 .fsr1_mcu_ststx_testfail(fsr1_mcu_ststx_testfail[9:0]),
2011 .rdpctl_dtm_atspeed(rdpctl_dtm_atspeed),
2012 .ccu_serdes_dtm(ccu_serdes_dtm),
2013 .mcu_gnd(mcu_gnd),
2014 .aclk_wmr(aclk_wmr),
2015 .tcu_scan_en(tcu_scan_en),
2016 .wmr_protect(wmr_protect),
2017 .tcu_mcu_testmode(tcu_mcu_testmode)
2018);
2019
2020mcu_crcs_ctl crcs (
2021 .scan_in(crcs_scanin),
2022 .scan_out(crcs_scanout),
2023 .drl2clk(drl2clk),
2024 .tcu_aclk(aclk),
2025 .tcu_bclk(bclk),
2026 .tcu_pce_ov(dr_pce_ov),
2027 .crcsc_crc(crcsc_crc[13:0]),
2028 .crcscf_crc(crcscf_crc[9:0]),
2029 .crcsd0_crc(crcsd0_crc[21:0]),
2030 .crcsdf0_crc(crcsdf0_crc[9:0]),
2031 .crcsd1_crc(crcsd1_crc[21:0]),
2032 .crcsdf1_crc(crcsdf1_crc[9:0]),
2033 .bc(bc[25:0]),
2034 .bd0(bd0[71:0]),
2035 .bd1(bd1[71:0]),
2036 .tcu_scan_en(tcu_scan_en)
2037);
2038
2039mcu_crcn_ctl crcn (
2040 .scan_in(crcn_scanin),
2041 .scan_out(crcn_scanout),
2042 .drl2clk(drl2clk),
2043 .tcu_aclk(aclk),
2044 .tcu_bclk(bclk),
2045 .tcu_pce_ov(dr_pce_ov),
2046 .crcnd_crc0_0(crcnd_crc0_0[11:0]),
2047 .crcnd_crc0_1(crcnd_crc0_1[11:0]),
2048 .crcnd_crc1_0(crcnd_crc1_0[11:0]),
2049 .crcnd_crc1_1(crcnd_crc1_1[11:0]),
2050 .crcndf_crc0_0(crcndf_crc0_0[5:0]),
2051 .crcndf_crc0_1(crcndf_crc0_1[5:0]),
2052 .crcndf_crc1_0(crcndf_crc1_0[5:0]),
2053 .crcndf_crc1_1(crcndf_crc1_1[5:0]),
2054 .bd00(bd00[71:0]),
2055 .bd01(bd01[71:0]),
2056 .bd10(bd10[71:0]),
2057 .bd11(bd11[71:0]),
2058 .tcu_scan_en(tcu_scan_en)
2059);
2060
2061mcu_addrdp_dp addrdp (
2062 .scan_in(addrdp_scanin),
2063 .scan_out(addrdp_scanout),
2064 .drl2clk(drl2clk),
2065 .tcu_aclk(aclk),
2066 .tcu_bclk(bclk),
2067 .tcu_pce_ov(dr_pce_ov),
2068 .l2b0_rd_adr_queue7_en(drq0_rd_adr_queue7_en),
2069 .l2b0_rd_adr_queue6_en(drq0_rd_adr_queue6_en),
2070 .l2b0_rd_adr_queue5_en(drq0_rd_adr_queue5_en),
2071 .l2b0_rd_adr_queue4_en(drq0_rd_adr_queue4_en),
2072 .l2b0_rd_adr_queue3_en(drq0_rd_adr_queue3_en),
2073 .l2b0_rd_adr_queue2_en(drq0_rd_adr_queue2_en),
2074 .l2b0_rd_adr_queue1_en(drq0_rd_adr_queue1_en),
2075 .l2b0_rd_adr_queue0_en(drq0_rd_adr_queue0_en),
2076 .l2b0_rd_adr_queue_sel(drif0_rd_adr_queue_sel[7:0]),
2077 .l2b0_wr_adr_queue7_en(drq0_wr_adr_queue7_en),
2078 .l2b0_wr_adr_queue6_en(drq0_wr_adr_queue6_en),
2079 .l2b0_wr_adr_queue5_en(drq0_wr_adr_queue5_en),
2080 .l2b0_wr_adr_queue4_en(drq0_wr_adr_queue4_en),
2081 .l2b0_wr_adr_queue3_en(drq0_wr_adr_queue3_en),
2082 .l2b0_wr_adr_queue2_en(drq0_wr_adr_queue2_en),
2083 .l2b0_wr_adr_queue1_en(drq0_wr_adr_queue1_en),
2084 .l2b0_wr_adr_queue0_en(drq0_wr_adr_queue0_en),
2085 .l2b0_rd_wr_adr0_eq(addrdp0_rd_wr_adr0_eq),
2086 .l2b0_rd_wr_adr1_eq(addrdp0_rd_wr_adr1_eq),
2087 .l2b0_rd_wr_adr2_eq(addrdp0_rd_wr_adr2_eq),
2088 .l2b0_rd_wr_adr3_eq(addrdp0_rd_wr_adr3_eq),
2089 .l2b0_rd_wr_adr4_eq(addrdp0_rd_wr_adr4_eq),
2090 .l2b0_rd_wr_adr5_eq(addrdp0_rd_wr_adr5_eq),
2091 .l2b0_rd_wr_adr6_eq(addrdp0_rd_wr_adr6_eq),
2092 .l2b0_rd_wr_adr7_eq(addrdp0_rd_wr_adr7_eq),
2093 .l2b0_req_rdwr_addr_sel(drif0_req_rdwr_addr_sel[1:0]),
2094 .l2b1_rd_adr_queue7_en(drq1_rd_adr_queue7_en),
2095 .l2b1_rd_adr_queue6_en(drq1_rd_adr_queue6_en),
2096 .l2b1_rd_adr_queue5_en(drq1_rd_adr_queue5_en),
2097 .l2b1_rd_adr_queue4_en(drq1_rd_adr_queue4_en),
2098 .l2b1_rd_adr_queue3_en(drq1_rd_adr_queue3_en),
2099 .l2b1_rd_adr_queue2_en(drq1_rd_adr_queue2_en),
2100 .l2b1_rd_adr_queue1_en(drq1_rd_adr_queue1_en),
2101 .l2b1_rd_adr_queue0_en(drq1_rd_adr_queue0_en),
2102 .l2b1_rd_adr_queue_sel(drif1_rd_adr_queue_sel[7:0]),
2103 .l2b1_wr_adr_queue7_en(drq1_wr_adr_queue7_en),
2104 .l2b1_wr_adr_queue6_en(drq1_wr_adr_queue6_en),
2105 .l2b1_wr_adr_queue5_en(drq1_wr_adr_queue5_en),
2106 .l2b1_wr_adr_queue4_en(drq1_wr_adr_queue4_en),
2107 .l2b1_wr_adr_queue3_en(drq1_wr_adr_queue3_en),
2108 .l2b1_wr_adr_queue2_en(drq1_wr_adr_queue2_en),
2109 .l2b1_wr_adr_queue1_en(drq1_wr_adr_queue1_en),
2110 .l2b1_wr_adr_queue0_en(drq1_wr_adr_queue0_en),
2111 .l2b1_rd_wr_adr0_eq(addrdp1_rd_wr_adr0_eq),
2112 .l2b1_rd_wr_adr1_eq(addrdp1_rd_wr_adr1_eq),
2113 .l2b1_rd_wr_adr2_eq(addrdp1_rd_wr_adr2_eq),
2114 .l2b1_rd_wr_adr3_eq(addrdp1_rd_wr_adr3_eq),
2115 .l2b1_rd_wr_adr4_eq(addrdp1_rd_wr_adr4_eq),
2116 .l2b1_rd_wr_adr5_eq(addrdp1_rd_wr_adr5_eq),
2117 .l2b1_rd_wr_adr6_eq(addrdp1_rd_wr_adr6_eq),
2118 .l2b1_rd_wr_adr7_eq(addrdp1_rd_wr_adr7_eq),
2119 .l2b1_req_rdwr_addr_sel(drif1_req_rdwr_addr_sel[1:0]),
2120 .ras_adr_queue(addrdp_ras_adr_queue[14:0]),
2121 .cas_adr_queue(addrdp_cas_adr_queue[10:0]),
2122 .ras_wr1_adr_queue(addrdp_ras_wr1_adr_queue[14:0]),
2123 .cas_wr1_adr_queue(addrdp_cas_wr1_adr_queue[10:0]),
2124 .ras_wr2_adr_queue(addrdp_ras_wr2_adr_queue[14:0]),
2125 .cas_wr2_adr_queue(addrdp_cas_wr2_adr_queue[10:0]),
2126 .rd_req_id_queue(addrdp_rd_req_id_queue[2:0]),
2127 .rascas_adr_sel(drif_rascas_adr_sel[1:0]),
2128 .rascas_wr1_adr_sel(drif_rascas_wr1_adr_sel[1:0]),
2129 .rascas_wr2_adr_sel(drif_rascas_wr2_adr_sel[1:0]),
2130 .wr_adr_queue_sel(woq_wr_adr_queue_sel[7:0]),
2131 .wr1_adr_queue_sel(woq_wr1_adr_queue_sel[7:0]),
2132 .wr2_adr_queue_sel(woq_wr2_adr_queue_sel[7:0]),
2133 .l2clk(l2clk),
2134 .tcu_scan_en(tcu_scan_en),
2135 .drif_single_channel_mode(drif_single_channel_mode),
2136 .l2b0_rd_ras_adr(l2b0_rd_ras_adr[14:0]),
2137 .l2b0_rd_cas_adr(l2b0_rd_cas_adr[10:0]),
2138 .l2b0_rd_rank_adr(l2b0_rd_rank_adr),
2139 .l2b0_rd_dimm_adr(l2b0_rd_dimm_adr[2:0]),
2140 .l2b0_rd_bank_adr(l2b0_rd_bank_adr[2:0]),
2141 .l2b0_l2rd_req_id(l2b0_l2rd_req_id[2:0]),
2142 .l2b0_wr_ras_adr(l2b0_wr_ras_adr[14:0]),
2143 .l2b0_wr_cas_adr(l2b0_wr_cas_adr[10:0]),
2144 .l2b0_wr_rank_adr(l2b0_wr_rank_adr),
2145 .l2b0_wr_dimm_adr(l2b0_wr_dimm_adr[2:0]),
2146 .l2b0_wr_bank_adr(l2b0_wr_bank_adr[2:0]),
2147 .l2b1_rd_ras_adr(l2b1_rd_ras_adr[14:0]),
2148 .l2b1_rd_cas_adr(l2b1_rd_cas_adr[10:0]),
2149 .l2b1_rd_rank_adr(l2b1_rd_rank_adr),
2150 .l2b1_rd_dimm_adr(l2b1_rd_dimm_adr[2:0]),
2151 .l2b1_rd_bank_adr(l2b1_rd_bank_adr[2:0]),
2152 .l2b1_l2rd_req_id(l2b1_l2rd_req_id[2:0]),
2153 .l2b1_wr_ras_adr(l2b1_wr_ras_adr[14:0]),
2154 .l2b1_wr_cas_adr(l2b1_wr_cas_adr[10:0]),
2155 .l2b1_wr_rank_adr(l2b1_wr_rank_adr),
2156 .l2b1_wr_dimm_adr(l2b1_wr_dimm_adr[2:0]),
2157 .l2b1_wr_bank_adr(l2b1_wr_bank_adr[2:0])
2158);
2159
2160mcu_readdp_dp readdp0 (
2161 .scan_in(readdp0_scanin),
2162 .scan_out(readdp0_scanout),
2163 .drl2clk(drl2clk),
2164 .tcu_aclk(aclk),
2165 .tcu_bclk(bclk),
2166 .tcu_pce_ov(dr_pce_ov),
2167 .dr_secc_err(readdp_ecc_single_err[0]),
2168 .dr_mecc_err(readdp_ecc_multi_err[0]),
2169 .ecc_loc(readdp0_ecc_loc[35:0]),
2170 .fail_over_mode(drif_fail_over_mode),
2171 .fail_over_mask(drif_fail_over_mask[34:0]),
2172 .fail_over_mask_l(drif_fail_over_mask_l[34:0]),
2173 .syndrome(readdp0_syndrome[15:0]),
2174 .cor_rddata(mcu_scrub_wdata[255:128]),
2175 .rddata(readdp_rddata[255:128]),
2176 .rddata_en({rdpctl_rddata_en[2],rdpctl_rddata_en[0]}),
2177 .radr_parity(rdpctl_radr_parity),
2178 .inj_ecc_err(rdpctl_inj_ecc_err),
2179 .io_mcu_data_in(fbdird0_data[127:0]),
2180 .io_mcu_ecc_in(fbdird0_data[143:128]),
2181 .tcu_scan_en(tcu_scan_en),
2182 .fbdic_rddata_vld(fbdic_rddata_vld),
2183 .mcu_gnd(mcu_gnd)
2184);
2185
2186mcu_readdp_dp readdp1 (
2187 .scan_in(readdp1_scanin),
2188 .scan_out(readdp1_scanout),
2189 .drl2clk(drl2clk),
2190 .tcu_aclk(aclk),
2191 .tcu_bclk(bclk),
2192 .tcu_pce_ov(dr_pce_ov),
2193 .dr_secc_err(readdp_ecc_single_err[1]),
2194 .dr_mecc_err(readdp_ecc_multi_err[1]),
2195 .ecc_loc(readdp1_ecc_loc[35:0]),
2196 .fail_over_mode(drif_fail_over_mode),
2197 .fail_over_mask(drif_fail_over_mask[34:0]),
2198 .fail_over_mask_l(drif_fail_over_mask_l[34:0]),
2199 .syndrome(readdp1_syndrome[15:0]),
2200 .cor_rddata(mcu_scrub_wdata[127:0]),
2201 .rddata(readdp_rddata[127:0]),
2202 .rddata_en(rdpctl_rddata_en[2:1]),
2203 .radr_parity(rdpctl_radr_parity),
2204 .inj_ecc_err(1'b0),
2205 .io_mcu_data_in(fbdird1_data[127:0]),
2206 .io_mcu_ecc_in(fbdird1_data[143:128]),
2207 .mcu_gnd(mcu_gnd_unused),
2208 .tcu_scan_en(tcu_scan_en),
2209 .fbdic_rddata_vld(fbdic_rddata_vld)
2210);
2211
2212mcu_l2rdmx_dp l2rdmx (
2213 .scan_in(l2rdmx_scanin),
2214 .scan_out(l2rdmx_scanout),
2215 .l2clk(l2clk),
2216 .tcu_aclk(aclk),
2217 .tcu_bclk(bclk),
2218 .tcu_pce_ov(cmp_pce_ov),
2219 .ddr_cmp_sync_en(rdata_ddr_cmp_sync_en),
2220 .cmp_ddr_sync_en(rdata_cmp_ddr_sync_en),
2221 .bank0_l2wr_data(l2rdmx0_l2wr_data[63:0]),
2222 .bank1_l2wr_data(l2rdmx1_l2wr_data[63:0]),
2223 .rddata(readdp_rddata[255:0]),
2224 .rddata_sel(rdata_rddata_sel[1:0]),
2225 .pa_err(rdata_pa_err),
2226 .dr_secc_err(readdp_ecc_single_err[1:0]),
2227 .dr_mecc_err(readdp_ecc_multi_err[1:0]),
2228 .l2_secc_err_dly1(readdp_l2_secc_err_dly1),
2229 .l2_mecc_err_dly1(readdp_l2_mecc_err_dly1),
2230 .mcu_rddata(mcu_l2b_data_r3[127:0]),
2231 .mcu_rdecc(mcu_l2b_ecc_r3[27:0]),
2232 .mcu_l2t0_qword_id_r0(mcu_l2t0_qword_id_r0[1:0]),
2233 .mcu_l2t0_data_vld_r0(mcu_l2t0_data_vld_r0),
2234 .mcu_l2t0_rd_req_id_r0(mcu_l2t0_rd_req_id_r0[2:0]),
2235 .mcu_l2t1_qword_id_r0(mcu_l2t1_qword_id_r0[1:0]),
2236 .mcu_l2t1_data_vld_r0(mcu_l2t1_data_vld_r0),
2237 .mcu_l2t1_rd_req_id_r0(mcu_l2t1_rd_req_id_r0[2:0]),
2238 .l2b0_rd_rank_adr(l2b0_rd_rank_adr),
2239 .l2b0_rd_dimm_adr(l2b0_rd_dimm_adr[2:0]),
2240 .l2b0_rd_bank_adr(l2b0_rd_bank_adr[2:0]),
2241 .l2b0_rd_ras_adr(l2b0_rd_ras_adr[14:0]),
2242 .l2b0_rd_cas_adr(l2b0_rd_cas_adr[10:0]),
2243 .l2b0_rd_addr_err(l2b0_rd_addr_err),
2244 .l2b0_rd_addr_par(l2b0_rd_addr_par),
2245 .l2b0_l2rd_req_id(l2b0_l2rd_req_id[2:0]),
2246 .l2b0_wr_rank_adr(l2b0_wr_rank_adr),
2247 .l2b0_wr_dimm_adr(l2b0_wr_dimm_adr[2:0]),
2248 .l2b0_wr_bank_adr(l2b0_wr_bank_adr[2:0]),
2249 .l2b0_wr_ras_adr(l2b0_wr_ras_adr[14:0]),
2250 .l2b0_wr_cas_adr(l2b0_wr_cas_adr[10:0]),
2251 .l2b0_wr_addr_err(l2b0_wr_addr_err),
2252 .l2b0_wr_addr_par(l2b0_wr_addr_par),
2253 .l2b1_rd_rank_adr(l2b1_rd_rank_adr),
2254 .l2b1_rd_dimm_adr(l2b1_rd_dimm_adr[2:0]),
2255 .l2b1_rd_bank_adr(l2b1_rd_bank_adr[2:0]),
2256 .l2b1_rd_ras_adr(l2b1_rd_ras_adr[14:0]),
2257 .l2b1_rd_cas_adr(l2b1_rd_cas_adr[10:0]),
2258 .l2b1_rd_addr_err(l2b1_rd_addr_err),
2259 .l2b1_rd_addr_par(l2b1_rd_addr_par),
2260 .l2b1_l2rd_req_id(l2b1_l2rd_req_id[2:0]),
2261 .l2b1_wr_rank_adr(l2b1_wr_rank_adr),
2262 .l2b1_wr_dimm_adr(l2b1_wr_dimm_adr[2:0]),
2263 .l2b1_wr_bank_adr(l2b1_wr_bank_adr[2:0]),
2264 .l2b1_wr_ras_adr(l2b1_wr_ras_adr[14:0]),
2265 .l2b1_wr_cas_adr(l2b1_wr_cas_adr[10:0]),
2266 .l2b1_wr_addr_err(l2b1_wr_addr_err),
2267 .l2b1_wr_addr_par(l2b1_wr_addr_par),
2268 .mbist_run_d1(mbist_run_d1),
2269 .mbist_run_d1_l(mbist_run_d1_l),
2270 .mbist_wdata(mbist_wdata[7:0]),
2271 .l2b0_mcu_wr_data_r5(l2b0_mcu_wr_data_r5[63:0]),
2272 .l2b1_mcu_wr_data_r5(l2b1_mcu_wr_data_r5[63:0]),
2273 .rdpctl_l2t0_data_valid(rdpctl_l2t0_data_valid),
2274 .rdpctl_l2t1_data_valid(rdpctl_l2t1_data_valid),
2275 .rdpctl_qword_id(rdpctl_qword_id),
2276 .rdpctl_rd_req_id(rdpctl_rd_req_id[2:0]),
2277 .l2if0_rd_rank_adr(l2if0_rd_rank_adr),
2278 .l2if0_rd_dimm_adr(l2if0_rd_dimm_adr[2:0]),
2279 .l2if0_rd_bank_adr(l2if0_rd_bank_adr[2:0]),
2280 .l2if0_rd_ras_adr(l2if0_rd_ras_adr[14:0]),
2281 .l2if0_rd_cas_adr(l2if0_rd_cas_adr[10:0]),
2282 .l2if0_rd_addr_err(l2if0_rd_addr_err),
2283 .l2if0_rd_addr_parity(l2if0_rd_addr_parity),
2284 .l2if0_rd_req_id(l2if0_rd_req_id[2:0]),
2285 .l2if0_wr_rank_adr(l2if0_wr_rank_adr),
2286 .l2if0_wr_dimm_adr(l2if0_wr_dimm_adr[2:0]),
2287 .l2if0_wr_bank_adr(l2if0_wr_bank_adr[2:0]),
2288 .l2if0_wr_ras_adr(l2if0_wr_ras_adr[14:0]),
2289 .l2if0_wr_cas_adr(l2if0_wr_cas_adr[10:0]),
2290 .l2if0_wr_addr_err(l2if0_wr_addr_err),
2291 .l2if0_wr_addr_parity(l2if0_wr_addr_parity),
2292 .l2if1_rd_rank_adr(l2if1_rd_rank_adr),
2293 .l2if1_rd_dimm_adr(l2if1_rd_dimm_adr[2:0]),
2294 .l2if1_rd_bank_adr(l2if1_rd_bank_adr[2:0]),
2295 .l2if1_rd_ras_adr(l2if1_rd_ras_adr[14:0]),
2296 .l2if1_rd_cas_adr(l2if1_rd_cas_adr[10:0]),
2297 .l2if1_rd_addr_err(l2if1_rd_addr_err),
2298 .l2if1_rd_addr_parity(l2if1_rd_addr_parity),
2299 .l2if1_rd_req_id(l2if1_rd_req_id[2:0]),
2300 .l2if1_wr_rank_adr(l2if1_wr_rank_adr),
2301 .l2if1_wr_dimm_adr(l2if1_wr_dimm_adr[2:0]),
2302 .l2if1_wr_bank_adr(l2if1_wr_bank_adr[2:0]),
2303 .l2if1_wr_ras_adr(l2if1_wr_ras_adr[14:0]),
2304 .l2if1_wr_cas_adr(l2if1_wr_cas_adr[10:0]),
2305 .l2if1_wr_addr_err(l2if1_wr_addr_err),
2306 .l2if1_wr_addr_parity(l2if1_wr_addr_parity),
2307 .tcu_scan_en(tcu_scan_en)
2308);
2309
2310mcu_wrdp_dp wrdp (
2311 .scan_in(wrdp_scanin),
2312 .scan_out(wrdp_scanout),
2313 .drl2clk(drl2clk),
2314 .tcu_aclk(aclk),
2315 .tcu_bclk(bclk),
2316 .tcu_pce_ov(dr_pce_ov),
2317 .fail_over_mask(drif_fail_over_mask[34:0]),
2318 .fail_over_mask_l(drif_fail_over_mask_l[34:0]),
2319 .bank0_wdata(wdq0_wr_data[127:0]),
2320 .bank1_wdata(wdq1_wr_data[127:0]),
2321 .err_inj_reg(drif_err_inj_enable),
2322 .err_mask_reg(drif_err_mask_reg[15:0]),
2323 .wdata_sel(drif_wdata_sel[3:0]),
2324 .wadr_parity(drif_wadr_parity),
2325 .l2poison_qw(drif_l2poison_qw),
2326 .scrub_rwen(drif_scrub_rwen),
2327 .io_wdata_sel(drif_io_wdata_sel[1:0]),
2328 .mcu_io_data_out(mcu_ddp_data_out[143:0]),
2329 .mbist_run(mbist_run_d1),
2330 .mbist_sel_hiorlo_72bits(mbist_sel_hiorlo_72bits_d1),
2331 .mbist_sel_bank0or1(mbist_sel_bank0or1_d1),
2332 .lfsr_in(fdout_idle_lfsr[11:0]),
2333 .lfsr_out({wrdp_idle_lfsr_l_0, wrdp_idle_lfsr[11:0]}),
2334 .lfsr_out_0(wrdp_idle_lfsr_0[3:0]),
2335 .l2clk(l2clk),
2336 .cmp_pce_ov(cmp_pce_ov),
2337 .tcu_scan_en(tcu_scan_en),
2338 .mcu_scrub_wdata(mcu_scrub_wdata[255:0]),
2339 .mbist_read_data(mbist_read_data[63:0])
2340);
2341
2342mcu_fbdiwr_dp fbdiwr (
2343 .scan_in(fbdiwr_scanin),
2344 .scan_out(fbdiwr_scanout),
2345 .drl2clk(drl2clk),
2346 .tcu_aclk(aclk),
2347 .tcu_bclk(bclk),
2348 .tcu_pce_ov(dr_pce_ov),
2349 .wrdp_data(mcu_ddp_data_out[143:0]),
2350 .fbdic0_failover_mask(fbdic0_sb_failover_mask[8:0]),
2351 .fbdic0_failover_mask_l(fbdic0_sb_failover_mask_l[8:0]),
2352 .fbdic1_failover_mask(fbdic1_sb_failover_mask[8:0]),
2353 .fbdic1_failover_mask_l(fbdic1_sb_failover_mask_l[8:0]),
2354 .fbdiwr0_data(fbdiwr0_data[119:0]),
2355 .fbdiwr1_data(fbdiwr1_data[119:0]),
2356 .fbdiwr_dtm_crc(fbdiwr_dtm_crc[21:0]),
2357 .bc(bc[25:0]),
2358 .bd0(bd0[71:0]),
2359 .bd1(bd1[71:0]),
2360 .fbdic0_ts_data(fbdic0_ts_data[11:0]),
2361 .fbdic1_ts_data(fbdic1_ts_data[11:0]),
2362 .fbdic_ibist_data(fbdic_ibist_data[119:0]),
2363 .fbdic_f(fbdic_f[1:0]),
2364 .fbdic_f_1_l(fbdic_f_1_l),
2365 .fbdic0_chnl_disable(fbdic0_chnl_disable),
2366 .fbdic1_chnl_disable(fbdic1_chnl_disable),
2367 .fbdic_a_cmd(fbdic_a_cmd[23:0]),
2368 .fbdic_bc_cmd(fbdic_bc_cmd[71:0]),
2369 .fbdic0_cmd_crc_sel(fbdic0_cmd_crc_sel[1:0]),
2370 .fbdic1_cmd_crc_sel(fbdic1_cmd_crc_sel[1:0]),
2371 .fbdic0_data_crc_sel(fbdic0_data_crc_sel[2:0]),
2372 .fbdic1_data_crc_sel(fbdic1_data_crc_sel[2:0]),
2373 .fbdic_special_cmd(fbdic_special_cmd),
2374 .fbdic_special_cmd_l(fbdic_special_cmd_l),
2375 .fbd0_data(fbd0_data[167:0]),
2376 .fbd1_data(fbd1_data[167:0]),
2377 .fbdic_data_sel(fbdic_data_sel[4:0]),
2378 .rdpctl_dtm_chnl_enable(rdpctl_dtm_chnl_enable[1:0]),
2379 .crcsc_crc(crcsc_crc[13:0]),
2380 .crcscf_crc(crcscf_crc[9:0]),
2381 .crcsd0_crc(crcsd0_crc[21:0]),
2382 .crcsdf0_crc(crcsdf0_crc[9:0]),
2383 .crcsd1_crc(crcsd1_crc[21:0]),
2384 .crcsdf1_crc(crcsdf1_crc[9:0]),
2385 .tcu_dectest(tcu_dectest),
2386 .tcu_muxtest(tcu_muxtest),
2387 .tcu_scan_en(tcu_scan_en)
2388);
2389
2390mcu_fbdird_dp fbdird (
2391 .scan_in(fbdird_scanin),
2392 .scan_out(fbdird_scanout),
2393 .drl2clk(drl2clk),
2394 .tcu_aclk(aclk),
2395 .tcu_bclk(bclk),
2396 .tcu_pce_ov(dr_pce_ov),
2397 .fbdic0_failover(fbdic0_nb_failover),
2398 .fbdic0_failover_l(fbdic0_nb_failover_l),
2399 .fbdic1_failover(fbdic1_nb_failover),
2400 .fbdic1_failover_l(fbdic1_nb_failover_l),
2401 .fbdird0_data(fbdird0_data[143:0]),
2402 .fbdird1_data(fbdird1_data[143:0]),
2403 .fbdird_ibrx_data(fbdird_ibrx_data[167:40]),
2404 .fbdird_crc_cmp0_0(fbdird_crc_cmp0_0),
2405 .fbdird_crc_cmp0_1(fbdird_crc_cmp0_1),
2406 .fbdird_crc_cmp1_0(fbdird_crc_cmp1_0),
2407 .fbdird_crc_cmp1_1(fbdird_crc_cmp1_1),
2408 .bd00(bd00[71:0]),
2409 .bd01(bd01[71:0]),
2410 .bd10(bd10[71:0]),
2411 .bd11(bd11[71:0]),
2412 .fbdic_rddata_vld(fbdic_rddata_vld),
2413 .fbdic_rddata_vld_l(fbdic_rddata_vld_l),
2414 .fbdic_ibrx_data_sel(fbdic_ibrx_data_sel),
2415 .fbdic_ibrx_data_sel_l(fbdic_ibrx_data_sel_l),
2416 .fbd0_data(fbd0_data[167:0]),
2417 .fbd1_data(fbd1_data[167:0]),
2418 .fbdic_idle_lfsr_reset(fbdic_idle_lfsr_reset),
2419 .fbdic_train_state(fbdic_train_state),
2420 .fbdic_disable_state(fbdic_disable_state),
2421 .lndskw0_data(lndskw0_data[167:0]),
2422 .lndskw1_data(lndskw1_data[167:0]),
2423 .crcnd_crc0_0(crcnd_crc0_0[11:0]),
2424 .crcnd_crc0_1(crcnd_crc0_1[11:0]),
2425 .crcnd_crc1_0(crcnd_crc1_0[11:0]),
2426 .crcnd_crc1_1(crcnd_crc1_1[11:0]),
2427 .crcndf_crc0_0(crcndf_crc0_0[5:0]),
2428 .crcndf_crc0_1(crcndf_crc0_1[5:0]),
2429 .crcndf_crc1_0(crcndf_crc1_0[5:0]),
2430 .crcndf_crc1_1(crcndf_crc1_1[5:0]),
2431 .drif_single_channel_mode(drif_single_channel_mode),
2432 .tcu_dectest(tcu_dectest),
2433 .tcu_muxtest(tcu_muxtest),
2434 .tcu_scan_en(tcu_scan_en)
2435);
2436
2437mcu_lndskw_dp lndskw0 ( // FS:wmr_protect
2438 .wmr_scan_in(lndskw0_wmr_scanin),
2439 .wmr_scan_out(lndskw0_wmr_scanout),
2440 .scan_in(lndskw0_scanin),
2441 .scan_out(lndskw0_scanout),
2442 .drl2clk(drl2clk),
2443 .tcu_aclk(aclk),
2444 .tcu_bclk(bclk),
2445 .tcu_pce_ov(dr_pce_ov),
2446 .fbd_data(fbd0_data[167:0]),
2447 .fbdic_inc_wptr(fbdic0_inc_wptr),
2448 .fbdic_inc_rptr(fbdic0_inc_rptr[13:0]),
2449 .fbdic_clr_ptrs(fbdic0_clr_ptrs),
2450 .fbdic_failover_mask(fbdic0_nb_failover_mask[12:0]),
2451 .fbdic_failover_mask_l(fbdic0_nb_failover_mask_l[12:0]),
2452 .lndskw_data(lndskw0_data[167:0]),
2453 .lndskw_ts0_hdr_match(lndskw0_ts0_hdr_match[13:0]),
2454 .lndskw_status_parity(lndskw0_status_parity[11:0]),
2455 .lndskw_idle_match(lndskw0_idle_match[13:0]),
2456 .lndskw_alert_match(lndskw0_alert_match[13:0]),
2457 .lndskw_alert_asserted(lndskw0_alert_asserted[11:0]),
2458 .lndskw_nbde(lndskw0_nbde[11:0]),
2459 .lndskw_thermal_trip(lndskw0_thermal_trip[23:0]),
2460 .fdout_idle_lfsr(wrdp_idle_lfsr[11:0]),
2461 .fdout_idle_lfsr_l_0(wrdp_idle_lfsr_l_0),
2462 .fdout_idle_lfsr_0(wrdp_idle_lfsr_0[1:0]),
2463 .tcu_scan_en(tcu_scan_en),
2464 .aclk_wmr(aclk_wmr)
2465);
2466
2467mcu_lndskw_dp lndskw1 ( // FS:wmr_protect
2468 .wmr_scan_in(lndskw1_wmr_scanin),
2469 .wmr_scan_out(lndskw1_wmr_scanout),
2470 .scan_in(lndskw1_scanin),
2471 .scan_out(lndskw1_scanout),
2472 .drl2clk(drl2clk),
2473 .tcu_aclk(aclk),
2474 .tcu_bclk(bclk),
2475 .tcu_pce_ov(dr_pce_ov),
2476 .fbd_data(fbd1_data[167:0]),
2477 .fbdic_inc_wptr(fbdic1_inc_wptr),
2478 .fbdic_inc_rptr(fbdic1_inc_rptr[13:0]),
2479 .fbdic_clr_ptrs(fbdic1_clr_ptrs),
2480 .fbdic_failover_mask(fbdic1_nb_failover_mask[12:0]),
2481 .fbdic_failover_mask_l(fbdic1_nb_failover_mask_l[12:0]),
2482 .lndskw_data(lndskw1_data[167:0]),
2483 .lndskw_ts0_hdr_match(lndskw1_ts0_hdr_match[13:0]),
2484 .lndskw_status_parity(lndskw1_status_parity[11:0]),
2485 .lndskw_idle_match(lndskw1_idle_match[13:0]),
2486 .lndskw_alert_match(lndskw1_alert_match[13:0]),
2487 .lndskw_alert_asserted(lndskw1_alert_asserted[11:0]),
2488 .lndskw_nbde(lndskw1_nbde[11:0]),
2489 .lndskw_thermal_trip(lndskw1_thermal_trip[23:0]),
2490 .fdout_idle_lfsr(wrdp_idle_lfsr[11:0]),
2491 .fdout_idle_lfsr_l_0(wrdp_idle_lfsr_l_0),
2492 .fdout_idle_lfsr_0(wrdp_idle_lfsr_0[3:2]),
2493 .tcu_scan_en(tcu_scan_en),
2494 .aclk_wmr(aclk_wmr)
2495);
2496
2497mcu_fbd_dp fbd0 (
2498 .scan_in(fbd0_scanin),
2499 .scan_out(fbd0_scanout),
2500 .drl2clk(drl2clk),
2501 .tcu_aclk(aclk),
2502 .tcu_bclk(bclk),
2503 .tcu_pce_ov(dr_pce_ov),
2504 .fbd_elect_idle(fbd0_elect_idle[13:0]),
2505 .fbd_frame_lock(fbd0_frame_lock[13:0]),
2506 .fbd_testfail(fbd0_testfail[13:0]),
2507 .fbd_data(fbd0_data[167:0]),
2508 .fsr_rxbclk(fsr0_mcu_rxbclk[13:0]),
2509 .fsr_data(fsr0_mcu_data[167:0]),
2510 .fsr_stsrx_sync(fsr0_mcu_stsrx_sync[13:0]),
2511 .fsr_stsrx_losdtct(fsr0_mcu_stsrx_losdtct[13:0]),
2512 .fsr_stsrx_testfail(fsr0_mcu_stsrx_testfail[13:0]),
2513 .fdout_rptr0(fdout0_rptr0[1:0]),
2514 .fdout_rptr1(fdout0_rptr1[1:0]),
2515 .fdout_rptr2(fdout0_rptr2[1:0]),
2516 .fdout_rptr3(fdout0_rptr3[1:0]),
2517 .fdout_rptr4(fdout0_rptr4[1:0]),
2518 .fdout_rptr5(fdout0_rptr5[1:0]),
2519 .fdout_rptr6(fdout0_rptr6[1:0]),
2520 .fdout_rptr7(fdout0_rptr7[1:0]),
2521 .fdout_rptr8(fdout0_rptr8[1:0]),
2522 .fdout_rptr9(fdout0_rptr9[1:0]),
2523 .fdout_rptr10(fdout0_rptr10[1:0]),
2524 .fdout_rptr11(fdout0_rptr11[1:0]),
2525 .fdout_rptr12(fdout0_rptr12[1:0]),
2526 .fdout_rptr13(fdout0_rptr13[1:0]),
2527 .fdout_frame_lock(fdout0_frame_lock_sync[13:0]),
2528 .fbdic_enable_sync_count(fbdic_enable_sync_count),
2529 .tcu_scan_en(tcu_scan_en),
2530 .tcu_mcu_fbd_clk_stop(tcu_mcu_fbd_clk_stop),
2531 .tcu_mcu_testmode(tcu_mcu_testmode),
2532 .tcu_atpg_mode(tcu_atpg_mode)
2533);
2534
2535mcu_fbd_dp fbd1 (
2536 .scan_in(fbd1_scanin),
2537 .scan_out(fbd1_scanout),
2538 .drl2clk(drl2clk),
2539 .tcu_aclk(aclk),
2540 .tcu_bclk(bclk),
2541 .tcu_pce_ov(dr_pce_ov),
2542 .fbd_elect_idle(fbd1_elect_idle[13:0]),
2543 .fbd_frame_lock(fbd1_frame_lock[13:0]),
2544 .fbd_testfail(fbd1_testfail[13:0]),
2545 .fbd_data(fbd1_data),
2546 .fsr_rxbclk(fsr1_mcu_rxbclk[13:0]),
2547 .fsr_data(fsr1_mcu_data[167:0]),
2548 .fsr_stsrx_sync(fsr1_mcu_stsrx_sync[13:0]),
2549 .fsr_stsrx_losdtct(fsr1_mcu_stsrx_losdtct[13:0]),
2550 .fsr_stsrx_testfail(fsr1_mcu_stsrx_testfail[13:0]),
2551 .fdout_rptr0(fdout1_rptr0[1:0]),
2552 .fdout_rptr1(fdout1_rptr1[1:0]),
2553 .fdout_rptr2(fdout1_rptr2[1:0]),
2554 .fdout_rptr3(fdout1_rptr3[1:0]),
2555 .fdout_rptr4(fdout1_rptr4[1:0]),
2556 .fdout_rptr5(fdout1_rptr5[1:0]),
2557 .fdout_rptr6(fdout1_rptr6[1:0]),
2558 .fdout_rptr7(fdout1_rptr7[1:0]),
2559 .fdout_rptr8(fdout1_rptr8[1:0]),
2560 .fdout_rptr9(fdout1_rptr9[1:0]),
2561 .fdout_rptr10(fdout1_rptr10[1:0]),
2562 .fdout_rptr11(fdout1_rptr11[1:0]),
2563 .fdout_rptr12(fdout1_rptr12[1:0]),
2564 .fdout_rptr13(fdout1_rptr13[1:0]),
2565 .fdout_frame_lock(fdout1_frame_lock_sync[13:0]),
2566 .fbdic_enable_sync_count(fbdic_enable_sync_count),
2567 .tcu_scan_en(tcu_scan_en),
2568 .tcu_mcu_fbd_clk_stop(tcu_mcu_fbd_clk_stop),
2569 .tcu_mcu_testmode(tcu_mcu_testmode),
2570 .tcu_atpg_mode(tcu_atpg_mode)
2571);
2572
2573n2_mcu_32x72async_dp_cust wdqrf00 (
2574 .scan_in(wdqrf00_scanin),
2575 .scan_out(wdqrf00_scanout),
2576 .wrclk(l2clk),
2577 .rdclk(drl2clk),
2578 .tcu_aclk(aclk),
2579 .tcu_bclk(bclk),
2580 .bist_clk_mux_sel(mbist_run),
2581 .tcu_array_wr_inhibit(array_wr_inhibit),
2582 .wr_pce(1'b1),
2583 .rd_pce(1'b1),
2584 .wr_en(l2if0_wdq_we[0]),
2585 .rd_en(rdata0_wdq_rd[0]),
2586 .wr_adr(l2if0_wdq_wadr[4:0]),
2587 .rd_adr(rdata_wdq_radr[4:0]),
2588 .din({l2rdmx0_l2wr_data[63:57], l2if0_mcu_data_mecc, l2rdmx0_l2wr_data[63:0]}),
2589 .dout({wdrqf00_data[6:0], wdqrf00_data_mecc, wdq0_wr_data[127:64]}),
2590 .tcu_pce_ov(tcu_pce_ov),
2591 .tcu_se_scancollar_in(tcu_se_scancollar_in)
2592);
2593
2594n2_mcu_32x72async_dp_cust wdqrf01 (
2595 .scan_in(wdqrf01_scanin),
2596 .scan_out(wdqrf01_scanout),
2597 .wrclk(l2clk),
2598 .rdclk(drl2clk),
2599 .tcu_aclk(aclk),
2600 .tcu_bclk(bclk),
2601 .bist_clk_mux_sel(mbist_run),
2602 .tcu_array_wr_inhibit(array_wr_inhibit),
2603 .wr_pce(1'b1),
2604 .rd_pce(1'b1),
2605 .wr_en(l2if0_wdq_we[1]),
2606 .rd_en(rdata0_wdq_rd[1]),
2607 .wr_adr(l2if0_wdq_wadr[4:0]),
2608 .rd_adr(rdata_wdq_radr[4:0]),
2609 .din({l2rdmx0_l2wr_data[63:57], l2if0_mcu_data_mecc, l2rdmx0_l2wr_data[63:0]}),
2610 .dout({wdrqf01_data[6:0], wdqrf01_data_mecc, wdq0_wr_data[63:0]}),
2611 .tcu_pce_ov(tcu_pce_ov),
2612 .tcu_se_scancollar_in(tcu_se_scancollar_in)
2613);
2614
2615n2_mcu_32x72async_dp_cust wdqrf10 (
2616 .scan_in(wdqrf10_scanin),
2617 .scan_out(wdqrf10_scanout),
2618 .wrclk(l2clk),
2619 .rdclk(drl2clk),
2620 .tcu_aclk(aclk),
2621 .tcu_bclk(bclk),
2622 .bist_clk_mux_sel(mbist_run),
2623 .tcu_array_wr_inhibit(array_wr_inhibit),
2624 .wr_pce(1'b1),
2625 .rd_pce(1'b1),
2626 .wr_en(l2if1_wdq_we[0]),
2627 .rd_en(rdata1_wdq_rd[0]),
2628 .wr_adr(l2if1_wdq_wadr[4:0]),
2629 .rd_adr(rdata_wdq_radr[4:0]),
2630 .din({l2rdmx1_l2wr_data[63:57], l2if1_mcu_data_mecc, l2rdmx1_l2wr_data[63:0]}),
2631 .dout({wdrqf10_data[6:0], wdqrf10_data_mecc, wdq1_wr_data[127:64]}),
2632 .tcu_pce_ov(tcu_pce_ov),
2633 .tcu_se_scancollar_in(tcu_se_scancollar_in)
2634);
2635
2636n2_mcu_32x72async_dp_cust wdqrf11 (
2637 .scan_in(wdqrf11_scanin),
2638 .scan_out(wdqrf11_scanout),
2639 .wrclk(l2clk),
2640 .rdclk(drl2clk),
2641 .tcu_aclk(aclk),
2642 .tcu_bclk(bclk),
2643 .bist_clk_mux_sel(mbist_run),
2644 .tcu_array_wr_inhibit(array_wr_inhibit),
2645 .wr_pce(1'b1),
2646 .rd_pce(1'b1),
2647 .wr_en(l2if1_wdq_we[1]),
2648 .rd_en(rdata1_wdq_rd[1]),
2649 .wr_adr(l2if1_wdq_wadr[4:0]),
2650 .rd_adr(rdata_wdq_radr[4:0]),
2651 .din({l2rdmx1_l2wr_data[63:57], l2if1_mcu_data_mecc, l2rdmx1_l2wr_data[63:0]}),
2652 .dout({wdrqf11_data[6:0], wdqrf11_data_mecc, wdq1_wr_data[63:0]}),
2653 .tcu_pce_ov(tcu_pce_ov),
2654 .tcu_se_scancollar_in(tcu_se_scancollar_in)
2655);
2656
2657mcu_bscan_ctl bscan (.mcu_fsr0_cfgtx_bstx(mcu_fsr0_cfgtx_bstx[9:0]),
2658 .mcu_fsr1_cfgtx_bstx(mcu_fsr1_cfgtx_bstx[9:0]),
2659 .fsr0_mcu_stsrx_bsrxp(fsr0_mcu_stsrx_bsrxp[13:0]),
2660 .fsr1_mcu_stsrx_bsrxp(fsr1_mcu_stsrx_bsrxp[13:0]),
2661 .fsr0_mcu_stsrx_bsrxn(fsr0_mcu_stsrx_bsrxn[13:0]),
2662 .fsr1_mcu_stsrx_bsrxn(fsr1_mcu_stsrx_bsrxn[13:0]),
2663 .mcu_sbs_scan_in(mcu_sbs_scan_in),
2664 .mcu_sbs_scan_out(mcu_sbs_scan_out),
2665 .tcu_sbs_scan_en(tcu_sbs_scan_en),
2666 .tcu_sbs_clk(tcu_sbs_clk),
2667 .tcu_sbs_uclk(tcu_sbs_uclk),
2668 .tcu_sbs_aclk(tcu_sbs_aclk),
2669 .tcu_sbs_bclk(tcu_sbs_bclk)
2670);
2671
2672mcu_mbist_ctl mbist (
2673 .scan_in(mbist_scanin),
2674 .scan_out(mbist_scanout),
2675 .l2clk (l2clk),
2676 .tcu_aclk (aclk),
2677 .tcu_bclk (bclk),
2678 .tcu_pce_ov (cmp_pce_ov),
2679 .mcu_mbist_done (mcu_tcu_mbist_done),
2680 .mcu_mbist_fail (mcu_tcu_mbist_fail),
2681 .mcu_mbist_start (tcu_mcu_mbist_start),
2682 .mcu_mbist_user_mode (tcu_mbist_user_mode),
2683 .mcu_mbist_bisi_mode (tcu_mbist_bisi_en),
2684 .mcu_mbist_run (mbist_run),
2685 .mcu_mbist_addr (mbist_addr[4:0]),
2686 .mcu_mbist_wdata (mbist_wdata[7:0]),
2687 .mcu_mbist_sel_bank0or1 (mbist_sel_bank0or1),
2688 .mcu_mbist_sel_hiorlo_72bits (mbist_sel_hiorlo_72bits),
2689 .mcu_mbist_wdqrf00_wr_en (mbist_wdqrf00_wr_en),
2690 .mcu_mbist_wdqrf00_rd_en (mbist_wdqrf00_rd_en),
2691 .mcu_mbist_wdqrf01_wr_en (mbist_wdqrf01_wr_en),
2692 .mcu_mbist_wdqrf01_rd_en (mbist_wdqrf01_rd_en),
2693 .mcu_mbist_wdqrf10_wr_en (mbist_wdqrf10_wr_en),
2694 .mcu_mbist_wdqrf10_rd_en (mbist_wdqrf10_rd_en),
2695 .mcu_mbist_wdqrf11_wr_en (mbist_wdqrf11_wr_en),
2696 .mcu_mbist_wdqrf11_rd_en (mbist_wdqrf11_rd_en),
2697 .read_data (mbist_read_data[71:0]),
2698 .tcu_scan_en(tcu_scan_en)
2699);
2700
2701////////////////////////////////////////////////////////////
2702// 0-IN checks
2703////////////////////////////////////////////////////////////
2704
2705// 0in bits_on -max 1 -var {mcu_l2t0_data_vld_r0,mcu_l2t1_data_vld_r0} -clock l2clk
2706
2707// 0in known_driven -var mcu_l2t0_data_vld_r0 -clock l2clk
2708// 0in known_driven -var mcu_l2t0_rd_ack -clock l2clk
2709// 0in known_driven -var mcu_l2t0_scb_mecc_err -clock l2clk
2710// 0in known_driven -var mcu_l2t0_scb_secc_err -clock l2clk
2711// 0in known_driven -var mcu_l2t0_wr_ack -clock l2clk
2712// 0in known_driven -var mcu_l2t0_qword_id_r0 -clock l2clk
2713// 0in known_driven -var mcu_l2t0_mecc_err_r3 -clock l2clk
2714// 0in known_driven -var mcu_l2t0_rd_req_id_r0 -clock l2clk
2715// 0in known_driven -var mcu_l2t0_secc_err_r3 -clock l2clk
2716// 0in known_driven -var mcu_l2t1_data_vld_r0 -clock l2clk
2717// 0in known_driven -var mcu_l2t1_rd_ack -clock l2clk
2718// 0in known_driven -var mcu_l2t1_scb_mecc_err -clock l2clk
2719// 0in known_driven -var mcu_l2t1_scb_secc_err -clock l2clk
2720// 0in known_driven -var mcu_l2t1_wr_ack -clock l2clk
2721// 0in known_driven -var mcu_l2t1_qword_id_r0 -clock l2clk
2722// 0in known_driven -var mcu_l2t1_mecc_err_r3 -clock l2clk
2723// 0in known_driven -var mcu_l2t1_rd_req_id_r0 -clock l2clk
2724// 0in known_driven -var mcu_l2t1_secc_err_r3 -clock l2clk
2725// 0in known_driven -var mcu_l2b_data_r3 -clock l2clk
2726// 0in known_driven -var mcu_l2b_ecc_r3 -clock l2clk
2727// 0in known_driven -var mcu_pt_sync_out -clock l2clk
2728// 0in known_driven -var mcu_ncu_data -clock iol2clk -active mcu_ncu_vld
2729// 0in known_driven -var mcu_ncu_stall -clock iol2clk
2730// 0in known_driven -var mcu_ncu_vld -clock iol2clk
2731// 0in known_driven -var mcu_ncu_ecc -clock iol2clk
2732// 0in known_driven -var mcu_ncu_fbr -clock iol2clk
2733// 0in known_driven -var ncu_mcu_data -clock iol2clk -active ncu_mcu_vld
2734// 0in known_driven -var ncu_mcu_stall -clock iol2clk
2735// 0in known_driven -var ncu_mcu_vld -clock iol2clk
2736// 0in known_driven -var ncu_mcu_ecci -clock iol2clk
2737// 0in known_driven -var ncu_mcu_fbui -clock iol2clk
2738// 0in known_driven -var ncu_mcu_fbri -clock iol2clk
2739// 0in known_driven -var ncu_mcu_pm -clock iol2clk
2740// 0in known_driven -var ncu_mcu_ba01 -clock iol2clk
2741// 0in known_driven -var ncu_mcu_ba23 -clock iol2clk
2742// 0in known_driven -var ncu_mcu_ba45 -clock iol2clk
2743// 0in known_driven -var ncu_mcu_ba67 -clock iol2clk
2744// 0in known_driven -var l2b0_mcu_data_mecc_r5 -clock l2clk -active l2b0_mcu_data_vld_r5
2745// 0in known_driven -var l2b0_mcu_data_vld_r5 -clock l2clk
2746// 0in known_driven -var l2b0_mcu_wr_data_r5 -clock l2clk -active l2b0_mcu_data_vld_r5
2747// 0in known_driven -var l2t0_mcu_addr_39to7 -clock l2clk -active (l2t0_mcu_rd_req | l2t0_mcu_wr_req)
2748// 0in known_driven -var l2t0_mcu_addr_5 -clock l2clk -active (l2t0_mcu_rd_req | l2t0_mcu_wr_req)
2749// 0in known_driven -var l2t0_mcu_rd_dummy_req -clock l2clk -active l2t0_mcu_rd_req
2750// 0in known_driven -var l2t0_mcu_rd_req -clock l2clk
2751// 0in known_driven -var l2t0_mcu_rd_req_id -clock l2clk -active l2t0_mcu_rd_req
2752// 0in known_driven -var l2t0_mcu_wr_req -clock l2clk
2753// 0in known_driven -var l2b1_mcu_data_mecc_r5 -clock l2clk -active l2b1_mcu_data_vld_r5
2754// 0in known_driven -var l2b1_mcu_data_vld_r5 -clock l2clk
2755// 0in known_driven -var l2b1_mcu_wr_data_r5 -clock l2clk -active l2b1_mcu_data_vld_r5
2756// 0in known_driven -var l2t1_mcu_addr_39to7 -clock l2clk -active (l2t1_mcu_rd_req | l2t1_mcu_wr_req)
2757// 0in known_driven -var l2t1_mcu_addr_5 -clock l2clk -active (l2t1_mcu_rd_req | l2t1_mcu_wr_req)
2758// 0in known_driven -var l2t1_mcu_rd_dummy_req -clock l2clk -active l2t1_mcu_rd_req
2759// 0in known_driven -var l2t1_mcu_rd_req -clock l2clk
2760// 0in known_driven -var l2t1_mcu_rd_req_id -clock l2clk -active l2t1_mcu_rd_req
2761// 0in known_driven -var l2t1_mcu_wr_req -clock l2clk
2762// 0in known_driven -var mcu_pt_sync_in0 -clock l2clk
2763// 0in known_driven -var mcu_pt_sync_in1 -clock l2clk
2764// 0in known_driven -var mcu_pt_sync_in2 -clock l2clk
2765// 0in known_driven -var mcu_id -clock l2clk
2766// 0in known_driven -var ccu_dr_sync_en -clock l2clk
2767// 0in known_driven -var ccu_io_cmp_sync_en -clock l2clk
2768// 0in known_driven -var ccu_cmp_io_sync_en -clock l2clk
2769// 0in known_driven -var rst_mcu_selfrsh -clock l2clk
2770// 0in known_driven -var rst_wmr_protect -clock l2clk
2771// 0in known_driven -var scan_in -clock l2clk
2772// 0in known_driven -var tcu_aclk -clock l2clk
2773// 0in known_driven -var tcu_bclk -clock l2clk
2774// 0in known_driven -var tcu_mcu_clk_stop -clock l2clk
2775// 0in known_driven -var tcu_mcu_dr_clk_stop -clock drl2clk
2776// 0in known_driven -var tcu_mcu_io_clk_stop -clock iol2clk
2777// 0in known_driven -var tcu_pce_ov -clock l2clk
2778// 0in known_driven -var tcu_muxtest -clock l2clk
2779// 0in known_driven -var tcu_dectest -clock l2clk
2780// 0in known_driven -var tcu_scan_en -clock l2clk
2781// 0in known_driven -var scan_out -clock l2clk
2782// 0in known_driven -var mcu_fsr0_data -clock drl2clk
2783// 0in known_driven -var mcu_fsr1_data -clock drl2clk
2784// 0in known_driven -var mcu_fsr0_cfgpll_enpll -clock drl2clk
2785// 0in known_driven -var mcu_fsr1_cfgpll_enpll -clock drl2clk
2786// 0in known_driven -var mcu_fsr01_cfgpll_lb -clock drl2clk
2787// 0in known_driven -var mcu_fsr01_cfgpll_mpy -clock drl2clk
2788// 0in known_driven -var mcu_fsr0_cfgrx_enrx -clock drl2clk
2789// 0in known_driven -var mcu_fsr1_cfgrx_enrx -clock drl2clk
2790// 0in known_driven -var mcu_fsr0_cfgrx_align -clock drl2clk
2791// 0in known_driven -var mcu_fsr1_cfgrx_align -clock drl2clk
2792// 0in known_driven -var mcu_fsr0_cfgrx_invpair -clock drl2clk
2793// 0in known_driven -var mcu_fsr1_cfgrx_invpair -clock drl2clk
2794// 0in known_driven -var mcu_fsr01_cfgrx_eq -clock drl2clk
2795// 0in known_driven -var mcu_fsr01_cfgrx_cdr -clock drl2clk
2796// 0in known_driven -var mcu_fsr01_cfgrx_term -clock drl2clk
2797// 0in known_driven -var mcu_fsr0_cfgtx_entx -clock drl2clk
2798// 0in known_driven -var mcu_fsr1_cfgtx_entx -clock drl2clk
2799// 0in known_driven -var mcu_fsr0_cfgtx_enidl -clock drl2clk
2800// 0in known_driven -var mcu_fsr1_cfgtx_enidl -clock drl2clk
2801// 0in known_driven -var mcu_fsr0_cfgtx_invpair -clock drl2clk
2802// 0in known_driven -var mcu_fsr1_cfgtx_invpair -clock drl2clk
2803// 0in known_driven -var mcu_fsr01_cfgtx_enftp -clock drl2clk
2804// 0in known_driven -var mcu_fsr01_cfgtx_de -clock drl2clk
2805// 0in known_driven -var mcu_fsr01_cfgtx_swing -clock drl2clk
2806// 0in known_driven -var mcu_fsr01_cfgtx_cm -clock drl2clk
2807// 0in known_driven -var fsr0_mcu_rxbclk -clock drl2clk -active (&fsr0_mcu_stspll_lock[2:0])
2808// 0in known_driven -var fsr1_mcu_rxbclk -clock drl2clk -active (&fsr1_mcu_stspll_lock[2:0])
2809// 0in known_driven -var fsr0_mcu_data -clock drl2clk -active (&fsr0_mcu_stspll_lock[2:0])
2810// 0in known_driven -var fsr1_mcu_data -clock drl2clk -active (&fsr1_mcu_stspll_lock[2:0])
2811// 0in known_driven -var fsr0_mcu_stspll_lock -clock drl2clk
2812// 0in known_driven -var fsr1_mcu_stspll_lock -clock drl2clk
2813// 0in known_driven -var fsr0_mcu_stsrx_sync -clock drl2clk -active (&fsr0_mcu_stspll_lock[2:0])
2814// 0in known_driven -var fsr1_mcu_stsrx_sync -clock drl2clk -active (&fsr1_mcu_stspll_lock[2:0])
2815// 0in known_driven -var fsr0_mcu_stsrx_losdtct -clock drl2clk -active (&fsr0_mcu_stspll_lock[2:0])
2816// 0in known_driven -var fsr1_mcu_stsrx_losdtct -clock drl2clk -active (&fsr1_mcu_stspll_lock[2:0])
2817// 0in known_driven -var mcu_dbg1_rd_req_in_0 -clock iol2clk
2818// 0in known_driven -var mcu_dbg1_rd_req_in_1 -clock iol2clk
2819// 0in known_driven -var mcu_dbg1_rd_req_out -clock iol2clk
2820// 0in known_driven -var mcu_dbg1_wr_req_in_0 -clock iol2clk
2821// 0in known_driven -var mcu_dbg1_wr_req_in_1 -clock iol2clk
2822// 0in known_driven -var mcu_dbg1_wr_req_out -clock iol2clk
2823// 0in known_driven -var mcu_dbg1_mecc_err -clock iol2clk
2824// 0in known_driven -var mcu_dbg1_secc_err -clock iol2clk
2825// 0in known_driven -var mcu_dbg1_fbd_err -clock iol2clk
2826// 0in known_driven -var mcu_dbg1_err_mode -clock iol2clk
2827// 0in known_driven -var mcu_dbg1_err_event -clock iol2clk
2828// 0in known_driven -var tcu_mbist_bisi_en -clock l2clk
2829// 0in known_driven -var tcu_mcu_mbist_start -clock l2clk
2830// 0in known_driven -var mcu_tcu_mbist_done -clock l2clk
2831// 0in known_driven -var mcu_tcu_mbist_fail -clock l2clk
2832// 0in known_driven -var tcu_mcu_mbist_scan_in -clock l2clk
2833// 0in known_driven -var mcu_tcu_mbist_scan_out -clock l2clk
2834
2835// 0in custom -fire (wdqrf00.array.wr_en & wdqrf00.array.rd_en & wdqrf00.array.rd_adr[4:0] == wdqrf00.array.wr_adr[4:0]) -clock l2clk
2836// 0in custom -fire (wdqrf01.array.wr_en & wdqrf01.array.rd_en & wdqrf01.array.rd_adr[4:0] == wdqrf01.array.wr_adr[4:0]) -clock l2clk
2837// 0in custom -fire (wdqrf10.array.wr_en & wdqrf10.array.rd_en & wdqrf10.array.rd_adr[4:0] == wdqrf10.array.wr_adr[4:0]) -clock l2clk
2838// 0in custom -fire (wdqrf11.array.wr_en & wdqrf11.array.rd_en & wdqrf11.array.rd_adr[4:0] == wdqrf11.array.wr_adr[4:0]) -clock l2clk
2839
2840assign mbist_scanin = tcu_mcu_mbist_scan_in ;
2841assign mcu_tcu_mbist_scan_out = mbist_scanout ;
2842
2843// fixscan start:
2844assign clkgen_cmp_scanin = scan_in ;
2845assign clkgen_dr_scanin = clkgen_cmp_scanout ;
2846assign clkgen_io_scanin = clkgen_dr_scanout ;
2847assign l2if0_scanin = clkgen_io_scanout ;
2848assign l2if1_scanin = l2if0_scanout ;
2849assign drif_scanin = l2if1_scanout ;
2850assign ucb_scanin = drif_scanout ;
2851assign rdata_scanin = ucb_scanout ;
2852assign rdpctl_scanin = rdata_scanout ;
2853assign fdout_scanin = rdpctl_scanout ;
2854assign fdoklu_scanin = fdout_scanout ;
2855assign fbdtm_scanin = fdoklu_scanout ;
2856assign fbdic_scanin = fbdtm_scanout ;
2857assign crcs_scanin = fbdic_scanout ;
2858assign crcn_scanin = crcs_scanout ;
2859assign addrdp_scanin = crcn_scanout ;
2860assign readdp0_scanin = addrdp_scanout ;
2861assign readdp1_scanin = readdp0_scanout ;
2862assign l2rdmx_scanin = readdp1_scanout ;
2863assign wrdp_scanin = l2rdmx_scanout ;
2864assign fbdiwr_scanin = wrdp_scanout ;
2865assign fbdird_scanin = fbdiwr_scanout ;
2866assign lndskw0_scanin = fbdird_scanout ;
2867assign lndskw1_scanin = lndskw0_scanout ;
2868assign fbd0_scanin = lndskw1_scanout ;
2869assign fbd1_scanin = fbd0_scanout ;
2870assign wdqrf00_scanin = fbd1_scanout ;
2871assign wdqrf01_scanin = wdqrf00_scanout ;
2872assign wdqrf10_scanin = wdqrf01_scanout ;
2873assign wdqrf11_scanin = wdqrf10_scanout ;
2874assign drif_wmr_scanin = wdqrf11_scanout ;
2875assign rdpctl_wmr_scanin = drif_wmr_scanout ;
2876assign lndskw0_wmr_scanin = rdpctl_wmr_scanout ;
2877assign lndskw1_wmr_scanin = lndskw0_wmr_scanout ;
2878assign fbdtm_wmr_scanin = lndskw1_wmr_scanout ;
2879assign fbdic_wmr_scanin = fbdtm_wmr_scanout ;
2880assign scan_out = fbdic_wmr_scanout ;
2881// fixscan end:
2882endmodule
2883