Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / niu / rtl / fflp_CRC16_D64.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: fflp_CRC16_D64.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35
36module fflp_CRC16_D64 (Data, CRC, NewCRC);
37
38 // polynomial: (0 5 12 16)
39 // data width: 64
40 // convention: the first serial data bit is D[63]
41 // function [15:0] nextCRC16_D64;
42
43 input [63:0] Data;
44 input [15:0] CRC;
45
46 output[15:0] NewCRC;
47
48 wire [63:0] D;
49 wire [15:0] C;
50 wire [15:0] NewCRC;
51
52assign D = Data;
53assign C = CRC;
54
55assign NewCRC[0] = D[63] ^ D[58] ^ D[56] ^ D[55] ^ D[52] ^ D[51] ^ D[49] ^
56 D[48] ^ D[42] ^ D[35] ^ D[33] ^ D[32] ^ D[28] ^ D[27] ^
57 D[26] ^ D[22] ^ D[20] ^ D[19] ^ D[12] ^ D[11] ^ D[8] ^
58 D[4] ^ D[0] ^ C[0] ^ C[1] ^ C[3] ^ C[4] ^ C[7] ^ C[8] ^
59 C[10] ^ C[15];
60assign NewCRC[1] = D[59] ^ D[57] ^ D[56] ^ D[53] ^ D[52] ^ D[50] ^ D[49] ^
61 D[43] ^ D[36] ^ D[34] ^ D[33] ^ D[29] ^ D[28] ^ D[27] ^
62 D[23] ^ D[21] ^ D[20] ^ D[13] ^ D[12] ^ D[9] ^ D[5] ^
63 D[1] ^ C[1] ^ C[2] ^ C[4] ^ C[5] ^ C[8] ^ C[9] ^ C[11];
64assign NewCRC[2] = D[60] ^ D[58] ^ D[57] ^ D[54] ^ D[53] ^ D[51] ^ D[50] ^
65 D[44] ^ D[37] ^ D[35] ^ D[34] ^ D[30] ^ D[29] ^ D[28] ^
66 D[24] ^ D[22] ^ D[21] ^ D[14] ^ D[13] ^ D[10] ^ D[6] ^
67 D[2] ^ C[2] ^ C[3] ^ C[5] ^ C[6] ^ C[9] ^ C[10] ^ C[12];
68assign NewCRC[3] = D[61] ^ D[59] ^ D[58] ^ D[55] ^ D[54] ^ D[52] ^ D[51] ^
69 D[45] ^ D[38] ^ D[36] ^ D[35] ^ D[31] ^ D[30] ^ D[29] ^
70 D[25] ^ D[23] ^ D[22] ^ D[15] ^ D[14] ^ D[11] ^ D[7] ^
71 D[3] ^ C[3] ^ C[4] ^ C[6] ^ C[7] ^ C[10] ^ C[11] ^
72 C[13];
73assign NewCRC[4] = D[62] ^ D[60] ^ D[59] ^ D[56] ^ D[55] ^ D[53] ^ D[52] ^
74 D[46] ^ D[39] ^ D[37] ^ D[36] ^ D[32] ^ D[31] ^ D[30] ^
75 D[26] ^ D[24] ^ D[23] ^ D[16] ^ D[15] ^ D[12] ^ D[8] ^
76 D[4] ^ C[4] ^ C[5] ^ C[7] ^ C[8] ^ C[11] ^ C[12] ^
77 C[14];
78assign NewCRC[5] = D[61] ^ D[60] ^ D[58] ^ D[57] ^ D[55] ^ D[54] ^ D[53] ^
79 D[52] ^ D[51] ^ D[49] ^ D[48] ^ D[47] ^ D[42] ^ D[40] ^
80 D[38] ^ D[37] ^ D[35] ^ D[31] ^ D[28] ^ D[26] ^ D[25] ^
81 D[24] ^ D[22] ^ D[20] ^ D[19] ^ D[17] ^ D[16] ^ D[13] ^
82 D[12] ^ D[11] ^ D[9] ^ D[8] ^ D[5] ^ D[4] ^ D[0] ^
83 C[0] ^ C[1] ^ C[3] ^ C[4] ^ C[5] ^ C[6] ^ C[7] ^ C[9] ^
84 C[10] ^ C[12] ^ C[13];
85assign NewCRC[6] = D[62] ^ D[61] ^ D[59] ^ D[58] ^ D[56] ^ D[55] ^ D[54] ^
86 D[53] ^ D[52] ^ D[50] ^ D[49] ^ D[48] ^ D[43] ^ D[41] ^
87 D[39] ^ D[38] ^ D[36] ^ D[32] ^ D[29] ^ D[27] ^ D[26] ^
88 D[25] ^ D[23] ^ D[21] ^ D[20] ^ D[18] ^ D[17] ^ D[14] ^
89 D[13] ^ D[12] ^ D[10] ^ D[9] ^ D[6] ^ D[5] ^ D[1] ^
90 C[0] ^ C[1] ^ C[2] ^ C[4] ^ C[5] ^ C[6] ^ C[7] ^ C[8] ^
91 C[10] ^ C[11] ^ C[13] ^ C[14];
92assign NewCRC[7] = D[63] ^ D[62] ^ D[60] ^ D[59] ^ D[57] ^ D[56] ^ D[55] ^
93 D[54] ^ D[53] ^ D[51] ^ D[50] ^ D[49] ^ D[44] ^ D[42] ^
94 D[40] ^ D[39] ^ D[37] ^ D[33] ^ D[30] ^ D[28] ^ D[27] ^
95 D[26] ^ D[24] ^ D[22] ^ D[21] ^ D[19] ^ D[18] ^ D[15] ^
96 D[14] ^ D[13] ^ D[11] ^ D[10] ^ D[7] ^ D[6] ^ D[2] ^
97 C[1] ^ C[2] ^ C[3] ^ C[5] ^ C[6] ^ C[7] ^ C[8] ^ C[9] ^
98 C[11] ^ C[12] ^ C[14] ^ C[15];
99assign NewCRC[8] = D[63] ^ D[61] ^ D[60] ^ D[58] ^ D[57] ^ D[56] ^ D[55] ^
100 D[54] ^ D[52] ^ D[51] ^ D[50] ^ D[45] ^ D[43] ^ D[41] ^
101 D[40] ^ D[38] ^ D[34] ^ D[31] ^ D[29] ^ D[28] ^ D[27] ^
102 D[25] ^ D[23] ^ D[22] ^ D[20] ^ D[19] ^ D[16] ^ D[15] ^
103 D[14] ^ D[12] ^ D[11] ^ D[8] ^ D[7] ^ D[3] ^ C[2] ^
104 C[3] ^ C[4] ^ C[6] ^ C[7] ^ C[8] ^ C[9] ^ C[10] ^ C[12] ^
105 C[13] ^ C[15];
106assign NewCRC[9] = D[62] ^ D[61] ^ D[59] ^ D[58] ^ D[57] ^ D[56] ^ D[55] ^
107 D[53] ^ D[52] ^ D[51] ^ D[46] ^ D[44] ^ D[42] ^ D[41] ^
108 D[39] ^ D[35] ^ D[32] ^ D[30] ^ D[29] ^ D[28] ^ D[26] ^
109 D[24] ^ D[23] ^ D[21] ^ D[20] ^ D[17] ^ D[16] ^ D[15] ^
110 D[13] ^ D[12] ^ D[9] ^ D[8] ^ D[4] ^ C[3] ^ C[4] ^
111 C[5] ^ C[7] ^ C[8] ^ C[9] ^ C[10] ^ C[11] ^ C[13] ^
112 C[14];
113assign NewCRC[10] = D[63] ^ D[62] ^ D[60] ^ D[59] ^ D[58] ^ D[57] ^ D[56] ^
114 D[54] ^ D[53] ^ D[52] ^ D[47] ^ D[45] ^ D[43] ^ D[42] ^
115 D[40] ^ D[36] ^ D[33] ^ D[31] ^ D[30] ^ D[29] ^ D[27] ^
116 D[25] ^ D[24] ^ D[22] ^ D[21] ^ D[18] ^ D[17] ^ D[16] ^
117 D[14] ^ D[13] ^ D[10] ^ D[9] ^ D[5] ^ C[4] ^ C[5] ^
118 C[6] ^ C[8] ^ C[9] ^ C[10] ^ C[11] ^ C[12] ^ C[14] ^
119 C[15];
120assign NewCRC[11] = D[63] ^ D[61] ^ D[60] ^ D[59] ^ D[58] ^ D[57] ^ D[55] ^
121 D[54] ^ D[53] ^ D[48] ^ D[46] ^ D[44] ^ D[43] ^ D[41] ^
122 D[37] ^ D[34] ^ D[32] ^ D[31] ^ D[30] ^ D[28] ^ D[26] ^
123 D[25] ^ D[23] ^ D[22] ^ D[19] ^ D[18] ^ D[17] ^ D[15] ^
124 D[14] ^ D[11] ^ D[10] ^ D[6] ^ C[0] ^ C[5] ^ C[6] ^
125 C[7] ^ C[9] ^ C[10] ^ C[11] ^ C[12] ^ C[13] ^ C[15];
126assign NewCRC[12] = D[63] ^ D[62] ^ D[61] ^ D[60] ^ D[59] ^ D[54] ^ D[52] ^
127 D[51] ^ D[48] ^ D[47] ^ D[45] ^ D[44] ^ D[38] ^ D[31] ^
128 D[29] ^ D[28] ^ D[24] ^ D[23] ^ D[22] ^ D[18] ^ D[16] ^
129 D[15] ^ D[8] ^ D[7] ^ D[4] ^ D[0] ^ C[0] ^ C[3] ^ C[4] ^
130 C[6] ^ C[11] ^ C[12] ^ C[13] ^ C[14] ^ C[15];
131assign NewCRC[13] = D[63] ^ D[62] ^ D[61] ^ D[60] ^ D[55] ^ D[53] ^ D[52] ^
132 D[49] ^ D[48] ^ D[46] ^ D[45] ^ D[39] ^ D[32] ^ D[30] ^
133 D[29] ^ D[25] ^ D[24] ^ D[23] ^ D[19] ^ D[17] ^ D[16] ^
134 D[9] ^ D[8] ^ D[5] ^ D[1] ^ C[0] ^ C[1] ^ C[4] ^ C[5] ^
135 C[7] ^ C[12] ^ C[13] ^ C[14] ^ C[15];
136assign NewCRC[14] = D[63] ^ D[62] ^ D[61] ^ D[56] ^ D[54] ^ D[53] ^ D[50] ^
137 D[49] ^ D[47] ^ D[46] ^ D[40] ^ D[33] ^ D[31] ^ D[30] ^
138 D[26] ^ D[25] ^ D[24] ^ D[20] ^ D[18] ^ D[17] ^ D[10] ^
139 D[9] ^ D[6] ^ D[2] ^ C[1] ^ C[2] ^ C[5] ^ C[6] ^ C[8] ^
140 C[13] ^ C[14] ^ C[15];
141assign NewCRC[15] = D[63] ^ D[62] ^ D[57] ^ D[55] ^ D[54] ^ D[51] ^ D[50] ^
142 D[48] ^ D[47] ^ D[41] ^ D[34] ^ D[32] ^ D[31] ^ D[27] ^
143 D[26] ^ D[25] ^ D[21] ^ D[19] ^ D[18] ^ D[11] ^ D[10] ^
144 D[7] ^ D[3] ^ C[0] ^ C[2] ^ C[3] ^ C[6] ^ C[7] ^ C[9] ^
145 C[14] ^ C[15];
146
147endmodule
148