Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / niu / rtl / niu.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: niu.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35`include "fc.vh"
36`include "defines.vh"
37
38`timescale 1ps / 1ps
39
40module niu (
41 XAUI0_REFCLK_N,
42 XAUI0_REFCLK_P,
43 XAUI0_RX_N,
44 XAUI0_RX_P,
45 XAUI1_RX_N,
46 XAUI1_RX_P,
47 cluster_arst_l,
48 cmp_gclk_c0_rdp,
49 cmp_gclk_c0_rtx,
50 cmp_gclk_c0_tds,
51 cmp_gclk_c1_mac,
52 dbg1_niu_dbg_sel,
53 dbg1_niu_resume,
54 dbg1_niu_stall,
55 efu_niu_4k_clr,
56 efu_niu_4k_data,
57 efu_niu_4k_xfer_en,
58 efu_niu_cfifo0_clr,
59 efu_niu_cfifo0_xfer_en,
60 efu_niu_cfifo1_clr,
61 efu_niu_cfifo1_xfer_en,
62 efu_niu_cfifo_data,
63 efu_niu_ipp0_clr,
64 efu_niu_ipp0_xfer_en,
65 efu_niu_ipp1_clr,
66 efu_niu_ipp1_xfer_en,
67 efu_niu_mac01_sfro_data,
68 efu_niu_mac0_ro_clr,
69 efu_niu_mac0_ro_xfer_en,
70 efu_niu_mac0_sf_clr,
71 efu_niu_mac0_sf_xfer_en,
72 efu_niu_mac1_ro_clr,
73 efu_niu_mac1_ro_xfer_en,
74 efu_niu_mac1_sf_clr,
75 efu_niu_mac1_sf_xfer_en,
76 efu_niu_ram0_clr,
77 efu_niu_ram0_xfer_en,
78 efu_niu_ram1_clr,
79 efu_niu_ram1_xfer_en,
80 efu_niu_ram_clr,
81 efu_niu_ram_data,
82 efu_niu_ram_xfer_en,
83 esr_atpgd,
84 gl_mac_io_clk_stop,
85 mac_125rx_test_clk,
86 mac_125tx_test_clk,
87 mac_156rx_test_clk,
88 mac_156tx_test_clk,
89 mac_312rx_test_clk,
90 mac_312tx_test_clk,
91 mdi,
92 ncu_niu_ctag_cei,
93 ncu_niu_ctag_uei,
94 ncu_niu_d_pei,
95 ncu_niu_data,
96 ncu_niu_stall,
97 ncu_niu_vld,
98 peu_mac_sbs_input,
99 rdp_rdmc_mbist_scan_in,
100 rtx_mbist_scan_in,
101 sii_niu_bqdq,
102 sii_niu_oqdq,
103 sio_niu_data,
104 sio_niu_datareq,
105 sio_niu_hdr_vld,
106 sio_niu_parity,
107 tcu_div_bypass,
108 tcu_mbist_bisi_en,
109 tcu_mbist_user_mode,
110 tcu_pce_ov,
111 tcu_rdp_rdmc_mbist_start,
112 tcu_rtx_dmo_ctl,
113 tcu_rtx_rxc_ipp0_mbist_start,
114 tcu_rtx_rxc_ipp1_mbist_start,
115 tcu_rtx_rxc_mb5_mbist_start,
116 tcu_rtx_rxc_mb6_mbist_start,
117 tcu_rtx_rxc_zcp0_mbist_start,
118 tcu_rtx_rxc_zcp1_mbist_start,
119 tcu_rtx_txc_txe0_mbist_start,
120 tcu_rtx_txc_txe1_mbist_start,
121 tcu_sbs_aclk,
122 tcu_sbs_acmode,
123 tcu_sbs_actestsignal,
124 tcu_sbs_bclk,
125 tcu_sbs_clk,
126 tcu_sbs_enbspt,
127 tcu_sbs_enbsrx,
128 tcu_sbs_enbstx,
129 tcu_sbs_scan_en,
130 tcu_sbs_uclk,
131 tcu_tds_smx_mbist_start,
132 tcu_tds_tdmc_mbist_start,
133 tds_mbist_scan_in,
134 XAUI0_AMUX,
135 XAUI0_TX_N,
136 XAUI0_TX_P,
137 XAUI1_AMUX,
138 XAUI1_TX_N,
139 XAUI1_TX_P,
140 arb0_rcr_data_req,
141 arb0_rcr_req_accept,
142 arb0_rdc_data_req,
143 arb0_rdc_req_accept,
144 arb1_rbr_req_accept,
145 arb1_rbr_req_errors,
146 esr_atpgq,
147 mac_mcu_3_sbs_output,
148 mdoe,
149 niu_dbg1_stall_ack,
150 niu_efu_4k_data,
151 niu_efu_4k_xfer_en,
152 niu_efu_cfifo0_data,
153 niu_efu_cfifo0_xfer_en,
154 niu_efu_cfifo1_data,
155 niu_efu_cfifo1_xfer_en,
156 niu_efu_ipp0_data,
157 niu_efu_ipp0_xfer_en,
158 niu_efu_ipp1_data,
159 niu_efu_ipp1_xfer_en,
160 niu_efu_mac0_ro_data,
161 niu_efu_mac0_ro_xfer_en,
162 niu_efu_mac0_sf_data,
163 niu_efu_mac0_sf_xfer_en,
164 niu_efu_mac1_ro_data,
165 niu_efu_mac1_ro_xfer_en,
166 niu_efu_mac1_sf_data,
167 niu_efu_mac1_sf_xfer_en,
168 niu_efu_ram0_data,
169 niu_efu_ram0_xfer_en,
170 niu_efu_ram1_data,
171 niu_efu_ram1_xfer_en,
172 niu_efu_ram_data,
173 niu_efu_ram_xfer_en,
174 niu_mio_debug_clock,
175 niu_mio_debug_data,
176 niu_ncu_ctag_ce,
177 niu_ncu_ctag_ue,
178 niu_ncu_d_pe,
179 niu_ncu_data,
180 niu_ncu_stall,
181 niu_ncu_vld,
182 niu_sii_data,
183 niu_sii_datareq,
184 niu_sii_hdr_vld,
185 niu_sii_parity,
186 niu_sii_reqbypass,
187 niu_sio_dq,
188 niu_txc_interrupts,
189 rdp_rdmc_mbist_scan_out,
190 rdp_rdmc_tcu_mbist_done,
191 rdp_rdmc_tcu_mbist_fail,
192 rdp_tcu_dmo_dout,
193 rtx_mbist_scan_out,
194 rtx_rxc_ipp0_tcu_mbist_done,
195 rtx_rxc_ipp0_tcu_mbist_fail,
196 rtx_rxc_ipp1_tcu_mbist_done,
197 rtx_rxc_ipp1_tcu_mbist_fail,
198 rtx_rxc_mb5_tcu_mbist_done,
199 rtx_rxc_mb5_tcu_mbist_fail,
200 rtx_rxc_mb6_tcu_mbist_done,
201 rtx_rxc_mb6_tcu_mbist_fail,
202 rtx_rxc_zcp0_tcu_mbist_done,
203 rtx_rxc_zcp0_tcu_mbist_fail,
204 rtx_rxc_zcp1_tcu_mbist_done,
205 rtx_rxc_zcp1_tcu_mbist_fail,
206 rtx_tcu_dmo_data_out,
207 rtx_txc_txe0_tcu_mbist_done,
208 rtx_txc_txe0_tcu_mbist_fail,
209 rtx_txc_txe1_tcu_mbist_done,
210 rtx_txc_txe1_tcu_mbist_fail,
211 tdmc_pio_intr,
212 tds_mbist_scan_out,
213 tds_smx_tcu_mbist_done,
214 tds_smx_tcu_mbist_fail,
215 tds_tcu_dmo_dout,
216 tds_tdmc_tcu_mbist_done,
217 tds_tdmc_tcu_mbist_fail,
218 xaui_act_led_0,
219 xaui_act_led_1,
220 xaui_link_led_0,
221 xaui_link_led_1 ,
222 VDDT_ESR,
223 VDDA_ESR,
224 VDDD_ESR,
225 VDDR_ESR,
226 VSSA_ESR,
227 gl_io2x_out_c1b,
228 gl_io_out_c1b,
229 gl_rst_niu_wmr_c1b,
230 tcu_asic_aclk,
231 tcu_asic_bclk,
232 tcu_asic_scan_en,
233 tcu_asic_se_scancollar_in,
234 tcu_asic_se_scancollar_out,
235 tcu_asic_array_wr_inhibit,
236 tcu_soce_scan_out,
237 gl_rdp_io_clk_stop,
238 tcu_soc4_scan_out,
239 gl_tds_io_clk_stop,
240 tcu_socf_scan_out,
241 gl_rtx_io_clk_stop,
242 gl_rst_mac_c1b,
243 tcu_soc5_scan_out,
244 tcu_mac_testmode,
245 tcu_stcicfg,
246 tcu_stciclk,
247 esr_stcid,
248 mio_esr_testclkr,
249 mio_esr_testclkt,
250 efu_niu_fclk,
251 efu_niu_fclrz,
252 efu_niu_fdi,
253 tcu_sbs_bsinitclk,
254 tcu_srd_atpgse,
255 tcu_srd_atpgmode,
256 rdp_scan_out,
257 tds_scan_out,
258 rtx_scan_out,
259 mac_scan_out,
260 mdc,
261 esr_stciq,
262 niu_efu_fdo
263 );
264
265
266input XAUI0_REFCLK_N;
267input XAUI0_REFCLK_P;
268input [3:0] XAUI0_RX_N;
269input [3:0] XAUI0_RX_P;
270input [3:0] XAUI1_RX_N;
271input [3:0] XAUI1_RX_P;
272input cluster_arst_l;
273input cmp_gclk_c0_rdp;
274input cmp_gclk_c0_rtx;
275input cmp_gclk_c0_tds;
276input cmp_gclk_c1_mac;
277input [4:0] dbg1_niu_dbg_sel;
278input dbg1_niu_resume;
279input dbg1_niu_stall;
280input efu_niu_4k_clr;
281input efu_niu_4k_data;
282input efu_niu_4k_xfer_en;
283input efu_niu_cfifo0_clr;
284input efu_niu_cfifo0_xfer_en;
285input efu_niu_cfifo1_clr;
286input efu_niu_cfifo1_xfer_en;
287input efu_niu_cfifo_data;
288input efu_niu_ipp0_clr;
289input efu_niu_ipp0_xfer_en;
290input efu_niu_ipp1_clr;
291input efu_niu_ipp1_xfer_en;
292input efu_niu_mac01_sfro_data;
293input efu_niu_mac0_ro_clr;
294input efu_niu_mac0_ro_xfer_en;
295input efu_niu_mac0_sf_clr;
296input efu_niu_mac0_sf_xfer_en;
297input efu_niu_mac1_ro_clr;
298input efu_niu_mac1_ro_xfer_en;
299input efu_niu_mac1_sf_clr;
300input efu_niu_mac1_sf_xfer_en;
301input efu_niu_ram0_clr;
302input efu_niu_ram0_xfer_en;
303input efu_niu_ram1_clr;
304input efu_niu_ram1_xfer_en;
305input efu_niu_ram_clr;
306input efu_niu_ram_data;
307input efu_niu_ram_xfer_en;
308input esr_atpgd;
309input gl_mac_io_clk_stop;
310input mac_125rx_test_clk;
311input mac_125tx_test_clk;
312input mac_156rx_test_clk;
313input mac_156tx_test_clk;
314input mac_312rx_test_clk;
315input mac_312tx_test_clk;
316input mdi;
317input ncu_niu_ctag_cei;
318input ncu_niu_ctag_uei;
319input ncu_niu_d_pei;
320input [31:0] ncu_niu_data;
321input ncu_niu_stall;
322input ncu_niu_vld;
323input peu_mac_sbs_input;
324input rdp_rdmc_mbist_scan_in;
325input rtx_mbist_scan_in;
326input sii_niu_bqdq;
327input sii_niu_oqdq;
328input [127:0] sio_niu_data;
329input sio_niu_datareq;
330input sio_niu_hdr_vld;
331input [7:0] sio_niu_parity;
332input tcu_div_bypass;
333input tcu_mbist_bisi_en;
334input tcu_mbist_user_mode;
335input tcu_pce_ov;
336input tcu_rdp_rdmc_mbist_start;
337input [2:0] tcu_rtx_dmo_ctl;
338input tcu_rtx_rxc_ipp0_mbist_start;
339input tcu_rtx_rxc_ipp1_mbist_start;
340input tcu_rtx_rxc_mb5_mbist_start;
341input tcu_rtx_rxc_mb6_mbist_start;
342input tcu_rtx_rxc_zcp0_mbist_start;
343input tcu_rtx_rxc_zcp1_mbist_start;
344input tcu_rtx_txc_txe0_mbist_start;
345input tcu_rtx_txc_txe1_mbist_start;
346input tcu_sbs_aclk;
347input tcu_sbs_acmode;
348input tcu_sbs_actestsignal;
349input tcu_sbs_bclk;
350input tcu_sbs_clk;
351input tcu_sbs_enbspt;
352input tcu_sbs_enbsrx;
353input tcu_sbs_enbstx;
354input tcu_sbs_scan_en;
355input tcu_sbs_uclk;
356input tcu_tds_smx_mbist_start;
357input tcu_tds_tdmc_mbist_start;
358input tds_mbist_scan_in;
359output XAUI0_AMUX;
360output [3:0] XAUI0_TX_N;
361output [3:0] XAUI0_TX_P;
362output XAUI1_AMUX;
363output [3:0] XAUI1_TX_N;
364output [3:0] XAUI1_TX_P;
365output arb0_rcr_data_req;
366output arb0_rcr_req_accept;
367output arb0_rdc_data_req;
368output arb0_rdc_req_accept;
369output arb1_rbr_req_accept;
370output arb1_rbr_req_errors;
371output esr_atpgq;
372output mac_mcu_3_sbs_output;
373output mdoe;
374output niu_dbg1_stall_ack;
375output niu_efu_4k_data;
376output niu_efu_4k_xfer_en;
377output niu_efu_cfifo0_data;
378output niu_efu_cfifo0_xfer_en;
379output niu_efu_cfifo1_data;
380output niu_efu_cfifo1_xfer_en;
381output niu_efu_ipp0_data;
382output niu_efu_ipp0_xfer_en;
383output niu_efu_ipp1_data;
384output niu_efu_ipp1_xfer_en;
385output niu_efu_mac0_ro_data;
386output niu_efu_mac0_ro_xfer_en;
387output niu_efu_mac0_sf_data;
388output niu_efu_mac0_sf_xfer_en;
389output niu_efu_mac1_ro_data;
390output niu_efu_mac1_ro_xfer_en;
391output niu_efu_mac1_sf_data;
392output niu_efu_mac1_sf_xfer_en;
393output niu_efu_ram0_data;
394output niu_efu_ram0_xfer_en;
395output niu_efu_ram1_data;
396output niu_efu_ram1_xfer_en;
397output niu_efu_ram_data;
398output niu_efu_ram_xfer_en;
399output [1:0] niu_mio_debug_clock;
400output [31:0] niu_mio_debug_data;
401output niu_ncu_ctag_ce;
402output niu_ncu_ctag_ue;
403output niu_ncu_d_pe;
404output [31:0] niu_ncu_data;
405output niu_ncu_stall;
406output niu_ncu_vld;
407output [127:0] niu_sii_data;
408output niu_sii_datareq;
409output niu_sii_hdr_vld;
410output [7:0] niu_sii_parity;
411output niu_sii_reqbypass;
412output niu_sio_dq;
413output niu_txc_interrupts;
414output rdp_rdmc_mbist_scan_out;
415output rdp_rdmc_tcu_mbist_done;
416output rdp_rdmc_tcu_mbist_fail;
417output [39:0] rdp_tcu_dmo_dout;
418output rtx_mbist_scan_out;
419output rtx_rxc_ipp0_tcu_mbist_done;
420output rtx_rxc_ipp0_tcu_mbist_fail;
421output rtx_rxc_ipp1_tcu_mbist_done;
422output rtx_rxc_ipp1_tcu_mbist_fail;
423output rtx_rxc_mb5_tcu_mbist_done;
424output rtx_rxc_mb5_tcu_mbist_fail;
425output rtx_rxc_mb6_tcu_mbist_done;
426output rtx_rxc_mb6_tcu_mbist_fail;
427output rtx_rxc_zcp0_tcu_mbist_done;
428output rtx_rxc_zcp0_tcu_mbist_fail;
429output rtx_rxc_zcp1_tcu_mbist_done;
430output rtx_rxc_zcp1_tcu_mbist_fail;
431output [39:0] rtx_tcu_dmo_data_out;
432output rtx_txc_txe0_tcu_mbist_done;
433output rtx_txc_txe0_tcu_mbist_fail;
434output rtx_txc_txe1_tcu_mbist_done;
435output rtx_txc_txe1_tcu_mbist_fail;
436output [63:0] tdmc_pio_intr;
437output tds_mbist_scan_out;
438output tds_smx_tcu_mbist_done;
439output tds_smx_tcu_mbist_fail;
440output [39:0] tds_tcu_dmo_dout;
441output tds_tdmc_tcu_mbist_done;
442output tds_tdmc_tcu_mbist_fail;
443output xaui_act_led_0;
444output xaui_act_led_1;
445output xaui_link_led_0;
446output xaui_link_led_1;
447input VDDT_ESR;
448input VDDA_ESR;
449input VDDD_ESR;
450input VDDR_ESR;
451input VSSA_ESR;
452
453input gl_io2x_out_c1b;
454input gl_io_out_c1b;
455input gl_rst_niu_wmr_c1b;
456input tcu_asic_aclk;
457input tcu_asic_bclk;
458input tcu_asic_scan_en;
459input tcu_asic_se_scancollar_in;
460input tcu_asic_se_scancollar_out;
461input tcu_asic_array_wr_inhibit;
462input tcu_soce_scan_out;
463input gl_rdp_io_clk_stop;
464input tcu_soc4_scan_out;
465input gl_tds_io_clk_stop;
466input tcu_socf_scan_out;
467input gl_rtx_io_clk_stop;
468input gl_rst_mac_c1b;
469input tcu_soc5_scan_out;
470input tcu_mac_testmode;
471input [1:0] tcu_stcicfg;
472input tcu_stciclk;
473input esr_stcid;
474input mio_esr_testclkr;
475input mio_esr_testclkt;
476input efu_niu_fclk;
477input efu_niu_fclrz;
478input efu_niu_fdi;
479input tcu_sbs_bsinitclk;
480input tcu_srd_atpgse;
481input [2:0] tcu_srd_atpgmode;
482output rdp_scan_out;
483output tds_scan_out;
484output rtx_scan_out;
485output mac_scan_out;
486output mdc;
487output esr_stciq;
488output niu_efu_fdo;
489
490wire [9:0] esr_mac_rxd0_0;
491wire [9:0] esr_mac_rxd0_1;
492wire [9:0] esr_mac_rxd1_0;
493wire [9:0] esr_mac_rxd1_1;
494wire [9:0] esr_mac_rxd2_0;
495wire [9:0] esr_mac_rxd2_1;
496wire [9:0] esr_mac_rxd3_0;
497wire [9:0] esr_mac_rxd3_1;
498wire [9:0] mac_esr_txd0_0;
499wire [9:0] mac_esr_txd0_1;
500wire [9:0] mac_esr_txd1_0;
501wire [9:0] mac_esr_txd1_1;
502wire [9:0] mac_esr_txd2_0;
503wire [9:0] mac_esr_txd2_1;
504wire [9:0] mac_esr_txd3_0;
505wire [9:0] mac_esr_txd3_1;
506wire xaui_clk;
507
508
509wire [23:0] dummy;
510
511
512reg rdp_niu_pio_ucb_niu_clk;
513reg rtx_txc_niu_clk;
514reg tds_niu_smx_niu_clk;
515reg tds_niu_smx_niu_reset_l;
516
517initial begin
518 rdp_niu_pio_ucb_niu_clk = 1'b0;
519 rtx_txc_niu_clk = 1'b0;
520 tds_niu_smx_niu_clk = 1'b0;
521 tds_niu_smx_niu_reset_l = 1'b0;
522end
523
524always @(posedge cmp_gclk_c0_rdp)
525 rdp_niu_pio_ucb_niu_clk = #1 gl_io_out_c1b;
526
527always @(posedge cmp_gclk_c0_tds)
528 tds_niu_smx_niu_clk = #1 gl_io_out_c1b;
529
530always @(posedge cmp_gclk_c0_rtx)
531 rtx_txc_niu_clk = #1 gl_io_out_c1b;
532
533
534always @(posedge tds_niu_smx_niu_clk)
535 tds_niu_smx_niu_reset_l <= cluster_arst_l;
536
537
538integer shmem_key;
539integer status;
540initial shmem_key = 0;
541
542integer live_interval;
543initial begin
544 live_interval = 0;
545 if ($test$plusargs("live_interval="))
546 status = $value$plusargs("live_interval=%d", live_interval);
547 if(live_interval != 0)
548 forever begin
549 $display($time, " SYSTEMC: live");
550 #live_interval;
551 end
552end
553
554initial begin
555 if ($test$plusargs("dump_niu=")) begin
556 $fsdbDumpvars(0, tb_top.cpu.niu);
557 $fsdbDumpvars(0, tb_top.enet_model);
558 end
559end
560
561
562
563niu_setup niu_setup ();
564
565
566wire niu_rd;
567wire [26:0] niu_rd_addr;
568wire [63:0] niu_rd_data;
569
570niu_ncu_interface niu_ncu_interface(
571 .niu_ncu_data (niu_ncu_data),
572 .niu_ncu_vld (niu_ncu_vld),
573 .niu_ncu_stall (niu_ncu_stall),
574 .ncu_niu_data (ncu_niu_data),
575 .ncu_niu_vld (ncu_niu_vld),
576 .ncu_niu_stall (ncu_niu_stall),
577 .clk (rdp_niu_pio_ucb_niu_clk),
578 .niu_rd (niu_rd),
579 .niu_rd_addr (niu_rd_addr),
580 .niu_rd_data (niu_rd_data));
581
582integer niu_csr;
583
584always @(niu_rd) begin
585 if(niu_rd) begin
586 $display("NIU_READ to sas: %h %h", {8'h81, 5'h0, niu_rd_addr}, niu_rd_data);
587 if (`PARGS.nas_check_on )
588 niu_csr = $sim_send(`PLI_CSR_READ, {24'h0, 8'h81, 5'h0, niu_rd_addr}, niu_rd_data, 8'h01);
589 end
590end
591
592
593niu_siu_interface niu_siu_interface(
594 .clk (rdp_niu_pio_ucb_niu_clk),
595 .niu_sii_hdr_vld (niu_sii_hdr_vld),
596 .niu_sii_reqbypass (niu_sii_reqbypass),
597 .sio_niu_data (sio_niu_data),
598 .sio_niu_datareq (sio_niu_datareq),
599 .sio_niu_parity (sio_niu_parity),
600 .niu_sio_dq (niu_sio_dq),
601 .niu_sii_data (niu_sii_data),
602 .niu_sii_parity (niu_sii_parity),
603 .niu_sii_datareq (niu_sii_datareq),
604 .sio_niu_hdr_vld (sio_niu_hdr_vld),
605 .sii_niu_bqdq (sii_niu_bqdq),
606 .sii_niu_oqdq (sii_niu_oqdq));
607
608xaui xaui0 (
609 .XAUI_RX_N (XAUI0_RX_N),
610 .XAUI_RX_P (XAUI0_RX_P),
611 .XAUI_AMUX (XAUI0_AMUX),
612 .XAUI_TX_N (XAUI0_TX_N),
613 .XAUI_TX_P (XAUI0_TX_P),
614 .esr_mac_rxd0 (esr_mac_rxd0_0),
615 .esr_mac_rxd1 (esr_mac_rxd1_0),
616 .esr_mac_rxd2 (esr_mac_rxd2_0),
617 .esr_mac_rxd3 (esr_mac_rxd3_0),
618 .mac_esr_txd0 (mac_esr_txd0_0),
619 .mac_esr_txd1 (mac_esr_txd1_0),
620 .mac_esr_txd2 (mac_esr_txd2_0),
621 .mac_esr_txd3 (mac_esr_txd3_0),
622 .xaui_clk (xaui_clk),
623 .mac_clk (XAUI0_REFCLK_P),
624 .reset (~gl_rst_mac_c1b));
625
626xaui xaui1 (
627 .XAUI_RX_N (XAUI1_RX_N),
628 .XAUI_RX_P (XAUI1_RX_P),
629 .XAUI_AMUX (XAUI1_AMUX),
630 .XAUI_TX_N (XAUI1_TX_N),
631 .XAUI_TX_P (XAUI1_TX_P),
632 .esr_mac_rxd0 (esr_mac_rxd0_1),
633 .esr_mac_rxd1 (esr_mac_rxd1_1),
634 .esr_mac_rxd2 (esr_mac_rxd2_1),
635 .esr_mac_rxd3 (esr_mac_rxd3_1),
636 .mac_esr_txd0 (mac_esr_txd0_1),
637 .mac_esr_txd1 (mac_esr_txd1_1),
638 .mac_esr_txd2 (mac_esr_txd2_1),
639 .mac_esr_txd3 (mac_esr_txd3_1),
640 .xaui_clk (xaui_clk),
641 .mac_clk (XAUI0_REFCLK_P),
642 .reset (~gl_rst_mac_c1b));
643
644niu_mac_interface niu_mac_interface (
645 .reset (~gl_rst_mac_c1b),
646 .mac_clk (XAUI0_REFCLK_P),
647 .esr_mac_rxd0_0 (esr_mac_rxd0_0),
648 .esr_mac_rxd0_1 (esr_mac_rxd0_1),
649 .esr_mac_rxd1_0 (esr_mac_rxd1_0),
650 .esr_mac_rxd1_1 (esr_mac_rxd1_1),
651 .esr_mac_rxd2_0 (esr_mac_rxd2_0),
652 .esr_mac_rxd2_1 (esr_mac_rxd2_1),
653 .esr_mac_rxd3_0 (esr_mac_rxd3_0),
654 .esr_mac_rxd3_1 (esr_mac_rxd3_1),
655 .mac_esr_txd0_0 (mac_esr_txd0_0),
656 .mac_esr_txd0_1 (mac_esr_txd0_1),
657 .mac_esr_txd1_0 (mac_esr_txd1_0),
658 .mac_esr_txd1_1 (mac_esr_txd1_1),
659 .mac_esr_txd2_0 (mac_esr_txd2_0),
660 .mac_esr_txd2_1 (mac_esr_txd2_1),
661 .mac_esr_txd3_0 (mac_esr_txd3_0),
662 .mac_esr_txd3_1 (mac_esr_txd3_1)
663 );
664
665clock_multiplier_10x clock_multiplier_10x(XAUI0_REFCLK_P, xaui_clk);
666
667assign arb0_rcr_data_req = 1'b0;
668assign arb0_rcr_req_accept = 1'b0;
669assign arb0_rdc_data_req = 1'b0;
670assign arb0_rdc_req_accept = 1'b0;
671assign arb1_rbr_req_accept = 1'b0;
672assign arb1_rbr_req_errors = 1'b0;
673assign esr_atpgq = 1'b0;
674assign esr_stciq = 1'b0;
675assign mac_mcu_3_sbs_output = 1'b0;
676assign mac_scan_out = 1'b0;
677assign mdc = 1'b1;
678assign mdoe = 1'b1;
679assign niu_efu_4k_data = 1'b0;
680assign niu_efu_4k_xfer_en = 1'b0;
681assign niu_efu_cfifo0_data = 1'b0;
682assign niu_efu_cfifo0_xfer_en = 1'b0;
683assign niu_efu_cfifo1_data = 1'b0;
684assign niu_efu_cfifo1_xfer_en = 1'b0;
685assign niu_efu_fdo = 1'b0;
686assign niu_efu_ipp0_data = 1'b0;
687assign niu_efu_ipp0_xfer_en = 1'b0;
688assign niu_efu_ipp1_data = 1'b0;
689assign niu_efu_ipp1_xfer_en = 1'b0;
690assign niu_efu_mac0_ro_data = 1'b0;
691assign niu_efu_mac0_ro_xfer_en = 1'b0;
692assign niu_efu_mac0_sf_data = 1'b0;
693assign niu_efu_mac0_sf_xfer_en = 1'b0;
694assign niu_efu_mac1_ro_data = 1'b0;
695assign niu_efu_mac1_ro_xfer_en = 1'b0;
696assign niu_efu_mac1_sf_data = 1'b0;
697assign niu_efu_mac1_sf_xfer_en = 1'b0;
698assign niu_efu_ram0_data = 1'b0;
699assign niu_efu_ram0_xfer_en = 1'b0;
700assign niu_efu_ram1_data = 1'b0;
701assign niu_efu_ram1_xfer_en = 1'b0;
702assign niu_efu_ram_data = 1'b0;
703assign niu_efu_ram_xfer_en = 1'b0;
704assign niu_mio_debug_clock = 2'b00;
705assign niu_mio_debug_data = 32'h00000000;
706assign niu_dbg1_stall_ack = 1'b0;
707assign niu_ncu_ctag_ce = 1'b0;
708assign niu_ncu_ctag_ue = 1'b0;
709assign niu_ncu_d_pe = 1'b0;
710
711//assign niu_ncu_data = [31:0]
712//assign niu_ncu_stall =
713//assign niu_ncu_vld =
714
715assign niu_txc_interrupts = 1'b0;
716assign rdp_rdmc_mbist_scan_out = 1'b0;
717assign rdp_rdmc_tcu_mbist_done = 1'b0;
718assign rdp_rdmc_tcu_mbist_fail = 1'b0;
719assign rdp_scan_out = 1'b0;
720assign rdp_tcu_dmo_dout = 1'b0;
721assign rtx_mbist_scan_out = 1'b0;
722assign rtx_rxc_ipp0_tcu_mbist_done = 1'b0;
723assign rtx_rxc_ipp0_tcu_mbist_fail = 1'b0;
724assign rtx_rxc_ipp1_tcu_mbist_done = 1'b0;
725assign rtx_rxc_ipp1_tcu_mbist_fail = 1'b0;
726assign rtx_rxc_mb5_tcu_mbist_done = 1'b0;
727assign rtx_rxc_mb5_tcu_mbist_fail = 1'b0;
728assign rtx_rxc_mb6_tcu_mbist_done = 1'b0;
729assign rtx_rxc_mb6_tcu_mbist_fail = 1'b0;
730assign rtx_rxc_zcp0_tcu_mbist_done = 1'b0;
731assign rtx_rxc_zcp0_tcu_mbist_fail = 1'b0;
732assign rtx_rxc_zcp1_tcu_mbist_done = 1'b0;
733assign rtx_rxc_zcp1_tcu_mbist_fail = 1'b0;
734assign rtx_txc_txe0_tcu_mbist_done = 1'b0;
735assign rtx_txc_txe0_tcu_mbist_fail = 1'b0;
736assign rtx_txc_txe1_tcu_mbist_done = 1'b0;
737assign rtx_txc_txe1_tcu_mbist_fail = 1'b0;
738assign rtx_scan_out = 1'b0;
739assign rtx_tcu_dmo_data_out = 40'h0000000000;
740assign tdmc_pio_intr = 64'h0000000000000000;
741assign tds_mbist_scan_out = 1'b0;
742assign tds_scan_out = 1'b0;
743assign tds_smx_tcu_mbist_done = 1'b0;
744assign tds_smx_tcu_mbist_fail = 1'b0;
745assign tds_tcu_dmo_dout = 40'h0000000000;
746assign tds_tdmc_tcu_mbist_done = 1'b0;
747assign tds_tdmc_tcu_mbist_fail = 1'b0;
748assign xaui_act_led_0 = 1'b0;
749assign xaui_act_led_1 = 1'b0;
750assign xaui_link_led_0 = 1'b0;
751assign xaui_link_led_1 = 1'b0;
752
753endmodule
754
755