Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / niu / rtl / niu_pio_regs.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: niu_pio_regs.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35/*%W% %G%*/
36
37/*****************************************************************
38 *
39 * File Name : niu_pio_regs.v
40 * Author Name : John Lo
41 * Description : It contains PIO registers,
42 *
43 * Parent Module: niu_pio.v
44 * Child Module: many decoders.
45 * Interface Mod:
46 * Date Created : 3/12/04
47 *
48 * Copyright (c) 2020, Sun Microsystems, Inc.
49 * Sun Proprietary and Confidential
50 *
51 * Modification :
52 *
53 ****************************************************************/
54
55module niu_pio_regs(/*AUTOARG*/
56 // Outputs
57 slv_ack, slv_rdata, slv_err, fzc_slv_ack, fzc_slv_rdata,
58 fzc_slv_err, vdmc_addr, vdmc_sel_ok, ldsv_ack, ldsv_rdata,
59 ldsv_err, ldgim_ack, ldgim_rdata, ldgim_err, intr_reg_sel,
60 imask0_ack, imask0_rdata, imask0_err, imask1_ack, imask1_rdata,
61 imask1_err,
62 mpc, ack_TO_en, ack_TO_value,
63 mac_reset0, mac_reset1,
64 mac_reset2, mac_reset3, msi_data, ldgn0, ldgn1, ldgn2, ldgn3,
65 ldgn4, ldgn5, ldgn6, ldgn7, ldgn8, ldgn9, ldgn10, ldgn11, ldgn12,
66 ldgn13, ldgn14, ldgn15, ldgn16, ldgn17, ldgn18, ldgn19, ldgn20,
67 ldgn21, ldgn22, ldgn23, ldgn24, ldgn25, ldgn26, ldgn27, ldgn28,
68 ldgn29, ldgn30, ldgn31, ldgn32, ldgn33, ldgn34, ldgn35, ldgn36,
69 ldgn37, ldgn38, ldgn39, ldgn40, ldgn41, ldgn42, ldgn43, ldgn44,
70 ldgn45, ldgn46, ldgn47, ldgn48, ldgn49, ldgn50, ldgn51, ldgn52,
71 ldgn53, ldgn54, ldgn55, ldgn56, ldgn57, ldgn58, ldgn59, ldgn60,
72 ldgn61, ldgn62, ldgn63, ldgn64, ldgn65, ldgn66, ldgn67, ldgn68,
73 arm0, arm1, arm2, arm3, arm4, arm5, arm6, arm7, arm8, arm9, arm10,
74 arm11, arm12, arm13, arm14, arm15, arm16, arm17, arm18, arm19,
75 arm20, arm21, arm22, arm23, arm24, arm25, arm26, arm27, arm28,
76 arm29, arm30, arm31, arm32, arm33, arm34, arm35, arm36, arm37,
77 arm38, arm39, arm40, arm41, arm42, arm43, arm44, arm45, arm46,
78 arm47, arm48, arm49, arm50, arm51, arm52, arm53, arm54, arm55,
79 arm56, arm57, arm58, arm59, arm60, arm61, arm62, arm63, ldf_mask0,
80 ldf_mask1, ldf_mask2, ldf_mask3, ldf_mask4, ldf_mask5, ldf_mask6,
81 ldf_mask7, ldf_mask8, ldf_mask9, ldf_mask10, ldf_mask11,
82 ldf_mask12, ldf_mask13, ldf_mask14, ldf_mask15, ldf_mask16,
83 ldf_mask17, ldf_mask18, ldf_mask19, ldf_mask20, ldf_mask21,
84 ldf_mask22, ldf_mask23, ldf_mask24, ldf_mask25, ldf_mask26,
85 ldf_mask27, ldf_mask28, ldf_mask29, ldf_mask30, ldf_mask31,
86 ldf_mask32, ldf_mask33, ldf_mask34, ldf_mask35, ldf_mask36,
87 ldf_mask37, ldf_mask38, ldf_mask39, ldf_mask40, ldf_mask41,
88 ldf_mask42, ldf_mask43, ldf_mask44, ldf_mask45, ldf_mask46,
89 ldf_mask47, ldf_mask48, ldf_mask49, ldf_mask50, ldf_mask51,
90 ldf_mask52, ldf_mask53, ldf_mask54, ldf_mask55, ldf_mask56,
91 ldf_mask57, ldf_mask58, ldf_mask59, ldf_mask60, ldf_mask61,
92 ldf_mask62, ldf_mask63, ldf_mask64, ldf_mask65, ldf_mask66,
93 ldf_mask67, ldf_mask68, timer0, timer1, timer2, timer3, timer4,
94 timer5, timer6, timer7, timer8, timer9, timer10, timer11, timer12,
95 timer13, timer14, timer15, timer16, timer17, timer18, timer19,
96 timer20, timer21, timer22, timer23, timer24, timer25, timer26,
97 timer27, timer28, timer29, timer30, timer31, timer32, timer33,
98 timer34, timer35, timer36, timer37, timer38, timer39, timer40,
99 timer41, timer42, timer43, timer44, timer45, timer46, timer47,
100 timer48, timer49, timer50, timer51, timer52, timer53, timer54,
101 timer55, timer56, timer57, timer58, timer59, timer60, timer61,
102 timer62, timer63,
103 debug_select, TrainingVector, arb_ctrl, arb_debug_vector,
104 arb_dirtid_en, arb_dirtid_clr, arb_np_threshold, arb_rd_threshold,
105 sys_err_mask, smx_meta_intr_hld ,
106`ifdef NEPTUNE
107 gpio_dout, gpio_en,
108`else
109 smx_ctrl, smx_debug_vector, smx_config_data, smx_intr_clr,
110`endif
111 // Inputs
112 clk, reset, niu_reset, addr, rd, slv_sel_reg,
113 fzc_slv_sel_reg, ldsv_sel_reg, ldgim_sel_reg, imask0_sel_reg,
114 imask1_sel_reg, group, memship_group0, memship_group1,
115 memship_group2, memship_group3, memship_group4, memship_group5,
116 memship_group6, memship_group7, memship_group8, memship_group9,
117 memship_group10, memship_group11, memship_group12,
118 memship_group13, memship_group14, memship_group15,
119 memship_group16, memship_group17, memship_group18,
120 memship_group19, memship_group20, memship_group21,
121 memship_group22, memship_group23, memship_group24,
122 memship_group25, memship_group26, memship_group27,
123 memship_group28, memship_group29, memship_group30,
124 memship_group31, memship_group32, memship_group33,
125 memship_group34, memship_group35, memship_group36,
126 memship_group37, memship_group38, memship_group39,
127 memship_group40, memship_group41, memship_group42,
128 memship_group43, memship_group44, memship_group45,
129 memship_group46, memship_group47, memship_group48,
130 memship_group49, memship_group50, memship_group51,
131 memship_group52, memship_group53, memship_group54,
132 memship_group55, memship_group56, memship_group57,
133 memship_group58, memship_group59, memship_group60,
134 memship_group61, memship_group62, memship_group63,
135 rst_at, ldfi, ldfj, pio_32b_wr,
136 arb_rdstat, arb_wrstat,
137 ipp_intr, fflp_intr, zcp_intr, txc_intr, rdmc_intr,
138 meta_intr1, meta_intr2, mif_intr,
139`ifdef NEPTUNE
140 pim_intr,
141 gpio_din,
142 wr_data
143`else
144 smx_intr, wr_data, smx_status
145`endif
146 );
147
148 input [31:0] wr_data;
149 input clk;
150 input reset;
151 input niu_reset;
152 // pio broadcast signals
153 input [26:0] addr;
154 input rd;
155 input slv_sel_reg;
156 input fzc_slv_sel_reg;
157 input ldsv_sel_reg;
158 input ldgim_sel_reg;
159 input imask0_sel_reg;
160 input imask1_sel_reg;
161
162 output [10:0] sys_err_mask;
163 output smx_meta_intr_hld;
164
165 output slv_ack;
166 output [63:0] slv_rdata;
167 output slv_err;
168
169 output fzc_slv_ack;
170 output [63:0] fzc_slv_rdata;
171 output fzc_slv_err;
172
173 output [19:0] vdmc_addr;
174 output vdmc_sel_ok;
175
176 output ldsv_ack;
177 output [63:0] ldsv_rdata;
178 output ldsv_err;
179
180 output ldgim_ack;
181 output [63:0] ldgim_rdata;
182 output ldgim_err;
183 output intr_reg_sel;
184
185 output imask0_ack;
186 output [63:0] imask0_rdata;
187 output imask0_err;
188
189 output imask1_ack;
190 output [63:0] imask1_rdata;
191 output imask1_err;
192
193 output mpc;
194 output ack_TO_en;
195 output [9:0] ack_TO_value;
196 output mac_reset0;
197 output mac_reset1;
198 output mac_reset2;
199 output mac_reset3;
200 //
201 input [5:0] group;
202
203 input [68:0] memship_group0 ;
204 input [68:0] memship_group1 ;
205 input [68:0] memship_group2 ;
206 input [68:0] memship_group3 ;
207 input [68:0] memship_group4 ;
208 input [68:0] memship_group5 ;
209 input [68:0] memship_group6 ;
210 input [68:0] memship_group7 ;
211 input [68:0] memship_group8 ;
212 input [68:0] memship_group9 ;
213 input [68:0] memship_group10;
214 input [68:0] memship_group11;
215 input [68:0] memship_group12;
216 input [68:0] memship_group13;
217 input [68:0] memship_group14;
218 input [68:0] memship_group15;
219 input [68:0] memship_group16;
220 input [68:0] memship_group17;
221 input [68:0] memship_group18;
222 input [68:0] memship_group19;
223 input [68:0] memship_group20;
224 input [68:0] memship_group21;
225 input [68:0] memship_group22;
226 input [68:0] memship_group23;
227 input [68:0] memship_group24;
228 input [68:0] memship_group25;
229 input [68:0] memship_group26;
230 input [68:0] memship_group27;
231 input [68:0] memship_group28;
232 input [68:0] memship_group29;
233 input [68:0] memship_group30;
234 input [68:0] memship_group31;
235 input [68:0] memship_group32;
236 input [68:0] memship_group33;
237 input [68:0] memship_group34;
238 input [68:0] memship_group35;
239 input [68:0] memship_group36;
240 input [68:0] memship_group37;
241 input [68:0] memship_group38;
242 input [68:0] memship_group39;
243 input [68:0] memship_group40;
244 input [68:0] memship_group41;
245 input [68:0] memship_group42;
246 input [68:0] memship_group43;
247 input [68:0] memship_group44;
248 input [68:0] memship_group45;
249 input [68:0] memship_group46;
250 input [68:0] memship_group47;
251 input [68:0] memship_group48;
252 input [68:0] memship_group49;
253 input [68:0] memship_group50;
254 input [68:0] memship_group51;
255 input [68:0] memship_group52;
256 input [68:0] memship_group53;
257 input [68:0] memship_group54;
258 input [68:0] memship_group55;
259 input [68:0] memship_group56;
260 input [68:0] memship_group57;
261 input [68:0] memship_group58;
262 input [68:0] memship_group59;
263 input [68:0] memship_group60;
264 input [68:0] memship_group61;
265 input [68:0] memship_group62;
266 input [68:0] memship_group63;
267
268 input rst_at;
269 input [68:0] ldfi;
270 input [68:0] ldfj;
271
272 output [6:0] msi_data;
273 output [5:0] ldgn0 ;
274 output [5:0] ldgn1 ;
275 output [5:0] ldgn2 ;
276 output [5:0] ldgn3 ;
277 output [5:0] ldgn4 ;
278 output [5:0] ldgn5 ;
279 output [5:0] ldgn6 ;
280 output [5:0] ldgn7 ;
281 output [5:0] ldgn8 ;
282 output [5:0] ldgn9 ;
283 output [5:0] ldgn10;
284 output [5:0] ldgn11;
285 output [5:0] ldgn12;
286 output [5:0] ldgn13;
287 output [5:0] ldgn14;
288 output [5:0] ldgn15;
289 output [5:0] ldgn16;
290 output [5:0] ldgn17;
291 output [5:0] ldgn18;
292 output [5:0] ldgn19;
293 output [5:0] ldgn20;
294 output [5:0] ldgn21;
295 output [5:0] ldgn22;
296 output [5:0] ldgn23;
297 output [5:0] ldgn24;
298 output [5:0] ldgn25;
299 output [5:0] ldgn26;
300 output [5:0] ldgn27;
301 output [5:0] ldgn28;
302 output [5:0] ldgn29;
303 output [5:0] ldgn30;
304 output [5:0] ldgn31;
305 output [5:0] ldgn32;
306 output [5:0] ldgn33;
307 output [5:0] ldgn34;
308 output [5:0] ldgn35;
309 output [5:0] ldgn36;
310 output [5:0] ldgn37;
311 output [5:0] ldgn38;
312 output [5:0] ldgn39;
313 output [5:0] ldgn40;
314 output [5:0] ldgn41;
315 output [5:0] ldgn42;
316 output [5:0] ldgn43;
317 output [5:0] ldgn44;
318 output [5:0] ldgn45;
319 output [5:0] ldgn46;
320 output [5:0] ldgn47;
321 output [5:0] ldgn48;
322 output [5:0] ldgn49;
323 output [5:0] ldgn50;
324 output [5:0] ldgn51;
325 output [5:0] ldgn52;
326 output [5:0] ldgn53;
327 output [5:0] ldgn54;
328 output [5:0] ldgn55;
329 output [5:0] ldgn56;
330 output [5:0] ldgn57;
331 output [5:0] ldgn58;
332 output [5:0] ldgn59;
333 output [5:0] ldgn60;
334 output [5:0] ldgn61;
335 output [5:0] ldgn62;
336 output [5:0] ldgn63;
337 output [5:0] ldgn64;
338 output [5:0] ldgn65;
339 output [5:0] ldgn66;
340 output [5:0] ldgn67;
341 output [5:0] ldgn68;
342
343 output arm0 ;
344 output arm1 ;
345 output arm2 ;
346 output arm3 ;
347 output arm4 ;
348 output arm5 ;
349 output arm6 ;
350 output arm7 ;
351 output arm8 ;
352 output arm9 ;
353 output arm10;
354 output arm11;
355 output arm12;
356 output arm13;
357 output arm14;
358 output arm15;
359 output arm16;
360 output arm17;
361 output arm18;
362 output arm19;
363 output arm20;
364 output arm21;
365 output arm22;
366 output arm23;
367 output arm24;
368 output arm25;
369 output arm26;
370 output arm27;
371 output arm28;
372 output arm29;
373 output arm30;
374 output arm31;
375 output arm32;
376 output arm33;
377 output arm34;
378 output arm35;
379 output arm36;
380 output arm37;
381 output arm38;
382 output arm39;
383 output arm40;
384 output arm41;
385 output arm42;
386 output arm43;
387 output arm44;
388 output arm45;
389 output arm46;
390 output arm47;
391 output arm48;
392 output arm49;
393 output arm50;
394 output arm51;
395 output arm52;
396 output arm53;
397 output arm54;
398 output arm55;
399 output arm56;
400 output arm57;
401 output arm58;
402 output arm59;
403 output arm60;
404 output arm61;
405 output arm62;
406 output arm63;
407
408 output [1:0] ldf_mask0 ;
409 output [1:0] ldf_mask1 ;
410 output [1:0] ldf_mask2 ;
411 output [1:0] ldf_mask3 ;
412 output [1:0] ldf_mask4 ;
413 output [1:0] ldf_mask5 ;
414 output [1:0] ldf_mask6 ;
415 output [1:0] ldf_mask7 ;
416 output [1:0] ldf_mask8 ;
417 output [1:0] ldf_mask9 ;
418 output [1:0] ldf_mask10;
419 output [1:0] ldf_mask11;
420 output [1:0] ldf_mask12;
421 output [1:0] ldf_mask13;
422 output [1:0] ldf_mask14;
423 output [1:0] ldf_mask15;
424 output [1:0] ldf_mask16;
425 output [1:0] ldf_mask17;
426 output [1:0] ldf_mask18;
427 output [1:0] ldf_mask19;
428 output [1:0] ldf_mask20;
429 output [1:0] ldf_mask21;
430 output [1:0] ldf_mask22;
431 output [1:0] ldf_mask23;
432 output [1:0] ldf_mask24;
433 output [1:0] ldf_mask25;
434 output [1:0] ldf_mask26;
435 output [1:0] ldf_mask27;
436 output [1:0] ldf_mask28;
437 output [1:0] ldf_mask29;
438 output [1:0] ldf_mask30;
439 output [1:0] ldf_mask31;
440 output [1:0] ldf_mask32;
441 output [1:0] ldf_mask33;
442 output [1:0] ldf_mask34;
443 output [1:0] ldf_mask35;
444 output [1:0] ldf_mask36;
445 output [1:0] ldf_mask37;
446 output [1:0] ldf_mask38;
447 output [1:0] ldf_mask39;
448 output [1:0] ldf_mask40;
449 output [1:0] ldf_mask41;
450 output [1:0] ldf_mask42;
451 output [1:0] ldf_mask43;
452 output [1:0] ldf_mask44;
453 output [1:0] ldf_mask45;
454 output [1:0] ldf_mask46;
455 output [1:0] ldf_mask47;
456 output [1:0] ldf_mask48;
457 output [1:0] ldf_mask49;
458 output [1:0] ldf_mask50;
459 output [1:0] ldf_mask51;
460 output [1:0] ldf_mask52;
461 output [1:0] ldf_mask53;
462 output [1:0] ldf_mask54;
463 output [1:0] ldf_mask55;
464 output [1:0] ldf_mask56;
465 output [1:0] ldf_mask57;
466 output [1:0] ldf_mask58;
467 output [1:0] ldf_mask59;
468 output [1:0] ldf_mask60;
469 output [1:0] ldf_mask61;
470 output [1:0] ldf_mask62;
471 output [1:0] ldf_mask63;
472 output [1:0] ldf_mask64;
473 output [1:0] ldf_mask65;
474 output [1:0] ldf_mask66;
475 output [1:0] ldf_mask67;
476 output [1:0] ldf_mask68;
477
478 output [5:0] timer0 ;
479 output [5:0] timer1 ;
480 output [5:0] timer2 ;
481 output [5:0] timer3 ;
482 output [5:0] timer4 ;
483 output [5:0] timer5 ;
484 output [5:0] timer6 ;
485 output [5:0] timer7 ;
486 output [5:0] timer8 ;
487 output [5:0] timer9 ;
488 output [5:0] timer10;
489 output [5:0] timer11;
490 output [5:0] timer12;
491 output [5:0] timer13;
492 output [5:0] timer14;
493 output [5:0] timer15;
494 output [5:0] timer16;
495 output [5:0] timer17;
496 output [5:0] timer18;
497 output [5:0] timer19;
498 output [5:0] timer20;
499 output [5:0] timer21;
500 output [5:0] timer22;
501 output [5:0] timer23;
502 output [5:0] timer24;
503 output [5:0] timer25;
504 output [5:0] timer26;
505 output [5:0] timer27;
506 output [5:0] timer28;
507 output [5:0] timer29;
508 output [5:0] timer30;
509 output [5:0] timer31;
510 output [5:0] timer32;
511 output [5:0] timer33;
512 output [5:0] timer34;
513 output [5:0] timer35;
514 output [5:0] timer36;
515 output [5:0] timer37;
516 output [5:0] timer38;
517 output [5:0] timer39;
518 output [5:0] timer40;
519 output [5:0] timer41;
520 output [5:0] timer42;
521 output [5:0] timer43;
522 output [5:0] timer44;
523 output [5:0] timer45;
524 output [5:0] timer46;
525 output [5:0] timer47;
526 output [5:0] timer48;
527 output [5:0] timer49;
528 output [5:0] timer50;
529 output [5:0] timer51;
530 output [5:0] timer52;
531 output [5:0] timer53;
532 output [5:0] timer54;
533 output [5:0] timer55;
534 output [5:0] timer56;
535 output [5:0] timer57;
536 output [5:0] timer58;
537 output [5:0] timer59;
538 output [5:0] timer60;
539 output [5:0] timer61;
540 output [5:0] timer62;
541 output [5:0] timer63;
542 output [5:0] debug_select;
543 output [31:0] TrainingVector;
544
545 output [31:0] arb_ctrl;
546 output [31:0] arb_debug_vector;
547 output arb_dirtid_en;
548 output arb_dirtid_clr;
549 output [5:0] arb_np_threshold;
550 output [5:0] arb_rd_threshold;
551 input [5:0] arb_rdstat;
552 input [5:0] arb_wrstat;
553
554 input pio_32b_wr;
555 input mif_intr;
556 input ipp_intr;
557 input fflp_intr;
558 input zcp_intr;
559 input txc_intr;
560 input rdmc_intr;
561 input meta_intr1;
562 input meta_intr2;
563`ifdef NEPTUNE
564 input pim_intr;
565 output [15:0] gpio_dout;
566 output [15:0] gpio_en;
567 input [15:0] gpio_din;
568`else
569 input smx_intr;
570 input [31:0] smx_status;
571 output [31:0] smx_config_data;
572 output [31:0] smx_ctrl;
573 output [31:0] smx_debug_vector;
574 output smx_intr_clr;
575`endif
576
577/*AUTOWIRE*/
578// Beginning of automatic wires (for undeclared instantiated-module outputs)
579wire ld_config1; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
580wire ld_sys_err_mask; //
581wire ld_sys_err_stat; //
582wire ld_rst_ctl; //
583wire ld_dev_func_share; // From niu_pio_slv_decoder of niu_pio_slv_decoder.v
584wire rd_dev_func_share; // From niu_pio_slv_decoder of niu_pio_slv_decoder.v
585wire ld_dma_bind0; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
586wire ld_dma_bind1; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
587wire ld_dma_bind10; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
588wire ld_dma_bind11; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
589wire ld_dma_bind12; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
590wire ld_dma_bind13; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
591wire ld_dma_bind14; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
592wire ld_dma_bind15; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
593wire ld_dma_bind16; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
594wire ld_dma_bind17; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
595wire ld_dma_bind18; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
596wire ld_dma_bind19; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
597wire ld_dma_bind2; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
598wire ld_dma_bind20; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
599wire ld_dma_bind21; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
600wire ld_dma_bind22; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
601wire ld_dma_bind23; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
602wire ld_dma_bind24; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
603wire ld_dma_bind25; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
604wire ld_dma_bind26; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
605wire ld_dma_bind27; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
606wire ld_dma_bind28; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
607wire ld_dma_bind29; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
608wire ld_dma_bind3; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
609wire ld_dma_bind30; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
610wire ld_dma_bind31; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
611wire ld_dma_bind32; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
612wire ld_dma_bind33; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
613wire ld_dma_bind34; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
614wire ld_dma_bind35; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
615wire ld_dma_bind36; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
616wire ld_dma_bind37; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
617wire ld_dma_bind38; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
618wire ld_dma_bind39; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
619wire ld_dma_bind4; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
620wire ld_dma_bind40; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
621wire ld_dma_bind41; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
622wire ld_dma_bind42; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
623wire ld_dma_bind43; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
624wire ld_dma_bind44; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
625wire ld_dma_bind45; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
626wire ld_dma_bind46; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
627wire ld_dma_bind47; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
628wire ld_dma_bind48; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
629wire ld_dma_bind49; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
630wire ld_dma_bind5; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
631wire ld_dma_bind50; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
632wire ld_dma_bind51; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
633wire ld_dma_bind52; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
634wire ld_dma_bind53; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
635wire ld_dma_bind54; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
636wire ld_dma_bind55; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
637wire ld_dma_bind56; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
638wire ld_dma_bind57; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
639wire ld_dma_bind58; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
640wire ld_dma_bind59; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
641wire ld_dma_bind6; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
642wire ld_dma_bind60; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
643wire ld_dma_bind61; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
644wire ld_dma_bind62; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
645wire ld_dma_bind63; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
646wire ld_dma_bind7; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
647wire ld_dma_bind8; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
648wire ld_dma_bind9; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
649wire ld_ldf_mask0; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
650wire ld_ldf_mask1; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
651wire ld_ldf_mask10; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
652wire ld_ldf_mask11; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
653wire ld_ldf_mask12; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
654wire ld_ldf_mask13; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
655wire ld_ldf_mask14; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
656wire ld_ldf_mask15; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
657wire ld_ldf_mask16; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
658wire ld_ldf_mask17; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
659wire ld_ldf_mask18; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
660wire ld_ldf_mask19; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
661wire ld_ldf_mask2; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
662wire ld_ldf_mask20; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
663wire ld_ldf_mask21; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
664wire ld_ldf_mask22; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
665wire ld_ldf_mask23; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
666wire ld_ldf_mask24; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
667wire ld_ldf_mask25; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
668wire ld_ldf_mask26; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
669wire ld_ldf_mask27; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
670wire ld_ldf_mask28; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
671wire ld_ldf_mask29; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
672wire ld_ldf_mask3; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
673wire ld_ldf_mask30; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
674wire ld_ldf_mask31; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
675wire ld_ldf_mask32; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
676wire ld_ldf_mask33; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
677wire ld_ldf_mask34; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
678wire ld_ldf_mask35; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
679wire ld_ldf_mask36; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
680wire ld_ldf_mask37; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
681wire ld_ldf_mask38; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
682wire ld_ldf_mask39; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
683wire ld_ldf_mask4; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
684wire ld_ldf_mask40; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
685wire ld_ldf_mask41; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
686wire ld_ldf_mask42; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
687wire ld_ldf_mask43; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
688wire ld_ldf_mask44; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
689wire ld_ldf_mask45; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
690wire ld_ldf_mask46; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
691wire ld_ldf_mask47; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
692wire ld_ldf_mask48; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
693wire ld_ldf_mask49; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
694wire ld_ldf_mask5; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
695wire ld_ldf_mask50; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
696wire ld_ldf_mask51; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
697wire ld_ldf_mask52; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
698wire ld_ldf_mask53; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
699wire ld_ldf_mask54; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
700wire ld_ldf_mask55; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
701wire ld_ldf_mask56; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
702wire ld_ldf_mask57; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
703wire ld_ldf_mask58; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
704wire ld_ldf_mask59; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
705wire ld_ldf_mask6; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
706wire ld_ldf_mask60; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
707wire ld_ldf_mask61; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
708wire ld_ldf_mask62; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
709wire ld_ldf_mask63; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
710wire ld_ldf_mask64; // From niu_pio_imask1_decoder of niu_pio_imask1_decoder.v
711wire ld_ldf_mask65; // From niu_pio_imask1_decoder of niu_pio_imask1_decoder.v
712wire ld_ldf_mask66; // From niu_pio_imask1_decoder of niu_pio_imask1_decoder.v
713wire ld_ldf_mask67; // From niu_pio_imask1_decoder of niu_pio_imask1_decoder.v
714wire ld_ldf_mask68; // From niu_pio_imask1_decoder of niu_pio_imask1_decoder.v
715wire ld_ldf_mask7; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
716wire ld_ldf_mask8; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
717wire ld_ldf_mask9; // From niu_pio_imask0_decoder of niu_pio_imask0_decoder.v
718wire ld_ldgim0; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
719wire ld_ldgim1; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
720wire ld_ldgim10; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
721wire ld_ldgim11; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
722wire ld_ldgim12; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
723wire ld_ldgim13; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
724wire ld_ldgim14; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
725wire ld_ldgim15; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
726wire ld_ldgim16; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
727wire ld_ldgim17; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
728wire ld_ldgim18; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
729wire ld_ldgim19; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
730wire ld_ldgim2; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
731wire ld_ldgim20; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
732wire ld_ldgim21; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
733wire ld_ldgim22; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
734wire ld_ldgim23; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
735wire ld_ldgim24; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
736wire ld_ldgim25; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
737wire ld_ldgim26; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
738wire ld_ldgim27; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
739wire ld_ldgim28; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
740wire ld_ldgim29; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
741wire ld_ldgim3; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
742wire ld_ldgim30; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
743wire ld_ldgim31; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
744wire ld_ldgim32; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
745wire ld_ldgim33; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
746wire ld_ldgim34; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
747wire ld_ldgim35; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
748wire ld_ldgim36; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
749wire ld_ldgim37; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
750wire ld_ldgim38; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
751wire ld_ldgim39; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
752wire ld_ldgim4; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
753wire ld_ldgim40; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
754wire ld_ldgim41; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
755wire ld_ldgim42; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
756wire ld_ldgim43; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
757wire ld_ldgim44; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
758wire ld_ldgim45; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
759wire ld_ldgim46; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
760wire ld_ldgim47; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
761wire ld_ldgim48; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
762wire ld_ldgim49; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
763wire ld_ldgim5; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
764wire ld_ldgim50; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
765wire ld_ldgim51; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
766wire ld_ldgim52; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
767wire ld_ldgim53; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
768wire ld_ldgim54; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
769wire ld_ldgim55; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
770wire ld_ldgim56; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
771wire ld_ldgim57; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
772wire ld_ldgim58; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
773wire ld_ldgim59; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
774wire ld_ldgim6; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
775wire ld_ldgim60; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
776wire ld_ldgim61; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
777wire ld_ldgim62; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
778wire ld_ldgim63; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
779wire ld_ldgim7; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
780wire ld_ldgim8; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
781wire ld_ldgim9; // From niu_pio_ldgim_decoder of niu_pio_ldgim_decoder.v
782wire ld_ldgn0; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
783wire ld_ldgn1; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
784wire ld_ldgn10; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
785wire ld_ldgn11; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
786wire ld_ldgn12; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
787wire ld_ldgn13; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
788wire ld_ldgn14; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
789wire ld_ldgn15; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
790wire ld_ldgn16; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
791wire ld_ldgn17; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
792wire ld_ldgn18; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
793wire ld_ldgn19; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
794wire ld_ldgn2; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
795wire ld_ldgn20; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
796wire ld_ldgn21; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
797wire ld_ldgn22; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
798wire ld_ldgn23; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
799wire ld_ldgn24; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
800wire ld_ldgn25; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
801wire ld_ldgn26; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
802wire ld_ldgn27; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
803wire ld_ldgn28; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
804wire ld_ldgn29; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
805wire ld_ldgn3; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
806wire ld_ldgn30; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
807wire ld_ldgn31; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
808wire ld_ldgn32; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
809wire ld_ldgn33; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
810wire ld_ldgn34; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
811wire ld_ldgn35; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
812wire ld_ldgn36; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
813wire ld_ldgn37; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
814wire ld_ldgn38; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
815wire ld_ldgn39; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
816wire ld_ldgn4; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
817wire ld_ldgn40; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
818wire ld_ldgn41; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
819wire ld_ldgn42; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
820wire ld_ldgn43; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
821wire ld_ldgn44; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
822wire ld_ldgn45; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
823wire ld_ldgn46; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
824wire ld_ldgn47; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
825wire ld_ldgn48; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
826wire ld_ldgn49; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
827wire ld_ldgn5; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
828wire ld_ldgn50; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
829wire ld_ldgn51; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
830wire ld_ldgn52; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
831wire ld_ldgn53; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
832wire ld_ldgn54; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
833wire ld_ldgn55; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
834wire ld_ldgn56; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
835wire ld_ldgn57; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
836wire ld_ldgn58; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
837wire ld_ldgn59; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
838wire ld_ldgn6; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
839wire ld_ldgn60; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
840wire ld_ldgn61; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
841wire ld_ldgn62; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
842wire ld_ldgn63; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
843wire ld_ldgn64; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
844wire ld_ldgn65; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
845wire ld_ldgn66; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
846wire ld_ldgn67; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
847wire ld_ldgn68; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
848wire ld_ldgn7; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
849wire ld_ldgn8; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
850wire ld_ldgn9; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
851wire ld_rtimer; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
852wire ld_sid0; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
853wire ld_sid1; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
854wire ld_sid10; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
855wire ld_sid11; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
856wire ld_sid12; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
857wire ld_sid13; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
858wire ld_sid14; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
859wire ld_sid15; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
860wire ld_sid16; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
861wire ld_sid17; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
862wire ld_sid18; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
863wire ld_sid19; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
864wire ld_sid2; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
865wire ld_sid20; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
866wire ld_sid21; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
867wire ld_sid22; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
868wire ld_sid23; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
869wire ld_sid24; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
870wire ld_sid25; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
871wire ld_sid26; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
872wire ld_sid27; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
873wire ld_sid28; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
874wire ld_sid29; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
875wire ld_sid3; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
876wire ld_sid30; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
877wire ld_sid31; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
878wire ld_sid32; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
879wire ld_sid33; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
880wire ld_sid34; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
881wire ld_sid35; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
882wire ld_sid36; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
883wire ld_sid37; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
884wire ld_sid38; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
885wire ld_sid39; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
886wire ld_sid4; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
887wire ld_sid40; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
888wire ld_sid41; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
889wire ld_sid42; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
890wire ld_sid43; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
891wire ld_sid44; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
892wire ld_sid45; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
893wire ld_sid46; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
894wire ld_sid47; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
895wire ld_sid48; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
896wire ld_sid49; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
897wire ld_sid5; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
898wire ld_sid50; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
899wire ld_sid51; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
900wire ld_sid52; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
901wire ld_sid53; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
902wire ld_sid54; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
903wire ld_sid55; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
904wire ld_sid56; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
905wire ld_sid57; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
906wire ld_sid58; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
907wire ld_sid59; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
908wire ld_sid6; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
909wire ld_sid60; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
910wire ld_sid61; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
911wire ld_sid62; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
912wire ld_sid63; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
913wire ld_sid7; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
914wire ld_sid8; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
915wire ld_sid9; // From niu_pio_fzc_slv_decoder of niu_pio_fzc_slv_decoder.v
916wire ras_dev_func_share; // From niu_pio_slv_decoder of niu_pio_slv_decoder.v
917// End of automatics
918
919 wire [13:0] dma_bind0 ;
920 wire [13:0] dma_bind1 ;
921 wire [13:0] dma_bind2 ;
922 wire [13:0] dma_bind3 ;
923 wire [13:0] dma_bind4 ;
924 wire [13:0] dma_bind5 ;
925 wire [13:0] dma_bind6 ;
926 wire [13:0] dma_bind7 ;
927 wire [13:0] dma_bind8 ;
928 wire [13:0] dma_bind9 ;
929 wire [13:0] dma_bind10;
930 wire [13:0] dma_bind11;
931 wire [13:0] dma_bind12;
932 wire [13:0] dma_bind13;
933 wire [13:0] dma_bind14;
934 wire [13:0] dma_bind15;
935 wire [13:0] dma_bind16;
936 wire [13:0] dma_bind17;
937 wire [13:0] dma_bind18;
938 wire [13:0] dma_bind19;
939 wire [13:0] dma_bind20;
940 wire [13:0] dma_bind21;
941 wire [13:0] dma_bind22;
942 wire [13:0] dma_bind23;
943 wire [13:0] dma_bind24;
944 wire [13:0] dma_bind25;
945 wire [13:0] dma_bind26;
946 wire [13:0] dma_bind27;
947 wire [13:0] dma_bind28;
948 wire [13:0] dma_bind29;
949 wire [13:0] dma_bind30;
950 wire [13:0] dma_bind31;
951 wire [13:0] dma_bind32;
952 wire [13:0] dma_bind33;
953 wire [13:0] dma_bind34;
954 wire [13:0] dma_bind35;
955 wire [13:0] dma_bind36;
956 wire [13:0] dma_bind37;
957 wire [13:0] dma_bind38;
958 wire [13:0] dma_bind39;
959 wire [13:0] dma_bind40;
960 wire [13:0] dma_bind41;
961 wire [13:0] dma_bind42;
962 wire [13:0] dma_bind43;
963 wire [13:0] dma_bind44;
964 wire [13:0] dma_bind45;
965 wire [13:0] dma_bind46;
966 wire [13:0] dma_bind47;
967 wire [13:0] dma_bind48;
968 wire [13:0] dma_bind49;
969 wire [13:0] dma_bind50;
970 wire [13:0] dma_bind51;
971 wire [13:0] dma_bind52;
972 wire [13:0] dma_bind53;
973 wire [13:0] dma_bind54;
974 wire [13:0] dma_bind55;
975 wire [13:0] dma_bind56;
976 wire [13:0] dma_bind57;
977 wire [13:0] dma_bind58;
978 wire [13:0] dma_bind59;
979 wire [13:0] dma_bind60;
980 wire [13:0] dma_bind61;
981 wire [13:0] dma_bind62;
982 wire [13:0] dma_bind63;
983
984 wire [6:0] sid0 ;
985 wire [6:0] sid1 ;
986 wire [6:0] sid2 ;
987 wire [6:0] sid3 ;
988 wire [6:0] sid4 ;
989 wire [6:0] sid5 ;
990 wire [6:0] sid6 ;
991 wire [6:0] sid7 ;
992 wire [6:0] sid8 ;
993 wire [6:0] sid9 ;
994 wire [6:0] sid10;
995 wire [6:0] sid11;
996 wire [6:0] sid12;
997 wire [6:0] sid13;
998 wire [6:0] sid14;
999 wire [6:0] sid15;
1000 wire [6:0] sid16;
1001 wire [6:0] sid17;
1002 wire [6:0] sid18;
1003 wire [6:0] sid19;
1004 wire [6:0] sid20;
1005 wire [6:0] sid21;
1006 wire [6:0] sid22;
1007 wire [6:0] sid23;
1008 wire [6:0] sid24;
1009 wire [6:0] sid25;
1010 wire [6:0] sid26;
1011 wire [6:0] sid27;
1012 wire [6:0] sid28;
1013 wire [6:0] sid29;
1014 wire [6:0] sid30;
1015 wire [6:0] sid31;
1016 wire [6:0] sid32;
1017 wire [6:0] sid33;
1018 wire [6:0] sid34;
1019 wire [6:0] sid35;
1020 wire [6:0] sid36;
1021 wire [6:0] sid37;
1022 wire [6:0] sid38;
1023 wire [6:0] sid39;
1024 wire [6:0] sid40;
1025 wire [6:0] sid41;
1026 wire [6:0] sid42;
1027 wire [6:0] sid43;
1028 wire [6:0] sid44;
1029 wire [6:0] sid45;
1030 wire [6:0] sid46;
1031 wire [6:0] sid47;
1032 wire [6:0] sid48;
1033 wire [6:0] sid49;
1034 wire [6:0] sid50;
1035 wire [6:0] sid51;
1036 wire [6:0] sid52;
1037 wire [6:0] sid53;
1038 wire [6:0] sid54;
1039 wire [6:0] sid55;
1040 wire [6:0] sid56;
1041 wire [6:0] sid57;
1042 wire [6:0] sid58;
1043 wire [6:0] sid59;
1044 wire [6:0] sid60;
1045 wire [6:0] sid61;
1046 wire [6:0] sid62;
1047 wire [6:0] sid63;
1048
1049 wire [63:0] fzc_slv_rdata;
1050 wire [63:0] ldsv_rdata;
1051 wire [63:0] ldgim_rdata;
1052 wire [63:0] imask0_rdata;
1053 wire [63:0] imask1_rdata;
1054
1055 wire config1 ;
1056 wire [22:0] rst_ctl ;
1057 wire rst_at ;
1058 wire rst_at0 ;
1059 wire rst_at1 ;
1060 wire rst_at2 ;
1061 wire rst_at3 ;
1062 wire rst_at4 ;
1063 wire rst_at5 ;
1064 wire rst_at6 ;
1065 wire rst_at7 ;
1066 wire rst_at8 ;
1067 wire rst_at9 ;
1068 wire rst_at10;
1069 wire rst_at11;
1070 wire rst_at12;
1071 wire rst_at13;
1072 wire rst_at14;
1073 wire rst_at15;
1074 wire rst_at16;
1075 wire rst_at17;
1076 wire rst_at18;
1077 wire rst_at19;
1078 wire rst_at20;
1079 wire rst_at21;
1080 wire rst_at22;
1081 wire rst_at23;
1082 wire rst_at24;
1083 wire rst_at25;
1084 wire rst_at26;
1085 wire rst_at27;
1086 wire rst_at28;
1087 wire rst_at29;
1088 wire rst_at30;
1089 wire rst_at31;
1090 wire rst_at32;
1091 wire rst_at33;
1092 wire rst_at34;
1093 wire rst_at35;
1094 wire rst_at36;
1095 wire rst_at37;
1096 wire rst_at38;
1097 wire rst_at39;
1098 wire rst_at40;
1099 wire rst_at41;
1100 wire rst_at42;
1101 wire rst_at43;
1102 wire rst_at44;
1103 wire rst_at45;
1104 wire rst_at46;
1105 wire rst_at47;
1106 wire rst_at48;
1107 wire rst_at49;
1108 wire rst_at50;
1109 wire rst_at51;
1110 wire rst_at52;
1111 wire rst_at53;
1112 wire rst_at54;
1113 wire rst_at55;
1114 wire rst_at56;
1115 wire rst_at57;
1116 wire rst_at58;
1117 wire rst_at59;
1118 wire rst_at60;
1119 wire rst_at61;
1120 wire rst_at62;
1121 wire rst_at63;
1122
1123 wire [63:0] ldsv0grp0 ;
1124 wire [63:0] ldsv1grp0 ;
1125 wire [63:0] ldsv2grp0 ;
1126 wire [63:0] ldsv0grp1 ;
1127 wire [63:0] ldsv1grp1 ;
1128 wire [63:0] ldsv2grp1 ;
1129 wire [63:0] ldsv0grp2 ;
1130 wire [63:0] ldsv1grp2 ;
1131 wire [63:0] ldsv2grp2 ;
1132 wire [63:0] ldsv0grp3 ;
1133 wire [63:0] ldsv1grp3 ;
1134 wire [63:0] ldsv2grp3 ;
1135 wire [63:0] ldsv0grp4 ;
1136 wire [63:0] ldsv1grp4 ;
1137 wire [63:0] ldsv2grp4 ;
1138 wire [63:0] ldsv0grp5 ;
1139 wire [63:0] ldsv1grp5 ;
1140 wire [63:0] ldsv2grp5 ;
1141 wire [63:0] ldsv0grp6 ;
1142 wire [63:0] ldsv1grp6 ;
1143 wire [63:0] ldsv2grp6 ;
1144 wire [63:0] ldsv0grp7 ;
1145 wire [63:0] ldsv1grp7 ;
1146 wire [63:0] ldsv2grp7 ;
1147 wire [63:0] ldsv0grp8 ;
1148 wire [63:0] ldsv1grp8 ;
1149 wire [63:0] ldsv2grp8 ;
1150 wire [63:0] ldsv0grp9 ;
1151 wire [63:0] ldsv1grp9 ;
1152 wire [63:0] ldsv2grp9 ;
1153 wire [63:0] ldsv0grp10;
1154 wire [63:0] ldsv1grp10;
1155 wire [63:0] ldsv2grp10;
1156 wire [63:0] ldsv0grp11;
1157 wire [63:0] ldsv1grp11;
1158 wire [63:0] ldsv2grp11;
1159 wire [63:0] ldsv0grp12;
1160 wire [63:0] ldsv1grp12;
1161 wire [63:0] ldsv2grp12;
1162 wire [63:0] ldsv0grp13;
1163 wire [63:0] ldsv1grp13;
1164 wire [63:0] ldsv2grp13;
1165 wire [63:0] ldsv0grp14;
1166 wire [63:0] ldsv1grp14;
1167 wire [63:0] ldsv2grp14;
1168 wire [63:0] ldsv0grp15;
1169 wire [63:0] ldsv1grp15;
1170 wire [63:0] ldsv2grp15;
1171 wire [63:0] ldsv0grp16;
1172 wire [63:0] ldsv1grp16;
1173 wire [63:0] ldsv2grp16;
1174 wire [63:0] ldsv0grp17;
1175 wire [63:0] ldsv1grp17;
1176 wire [63:0] ldsv2grp17;
1177 wire [63:0] ldsv0grp18;
1178 wire [63:0] ldsv1grp18;
1179 wire [63:0] ldsv2grp18;
1180 wire [63:0] ldsv0grp19;
1181 wire [63:0] ldsv1grp19;
1182 wire [63:0] ldsv2grp19;
1183 wire [63:0] ldsv0grp20;
1184 wire [63:0] ldsv1grp20;
1185 wire [63:0] ldsv2grp20;
1186 wire [63:0] ldsv0grp21;
1187 wire [63:0] ldsv1grp21;
1188 wire [63:0] ldsv2grp21;
1189 wire [63:0] ldsv0grp22;
1190 wire [63:0] ldsv1grp22;
1191 wire [63:0] ldsv2grp22;
1192 wire [63:0] ldsv0grp23;
1193 wire [63:0] ldsv1grp23;
1194 wire [63:0] ldsv2grp23;
1195 wire [63:0] ldsv0grp24;
1196 wire [63:0] ldsv1grp24;
1197 wire [63:0] ldsv2grp24;
1198 wire [63:0] ldsv0grp25;
1199 wire [63:0] ldsv1grp25;
1200 wire [63:0] ldsv2grp25;
1201 wire [63:0] ldsv0grp26;
1202 wire [63:0] ldsv1grp26;
1203 wire [63:0] ldsv2grp26;
1204 wire [63:0] ldsv0grp27;
1205 wire [63:0] ldsv1grp27;
1206 wire [63:0] ldsv2grp27;
1207 wire [63:0] ldsv0grp28;
1208 wire [63:0] ldsv1grp28;
1209 wire [63:0] ldsv2grp28;
1210 wire [63:0] ldsv0grp29;
1211 wire [63:0] ldsv1grp29;
1212 wire [63:0] ldsv2grp29;
1213 wire [63:0] ldsv0grp30;
1214 wire [63:0] ldsv1grp30;
1215 wire [63:0] ldsv2grp30;
1216 wire [63:0] ldsv0grp31;
1217 wire [63:0] ldsv1grp31;
1218 wire [63:0] ldsv2grp31;
1219 wire [63:0] ldsv0grp32;
1220 wire [63:0] ldsv1grp32;
1221 wire [63:0] ldsv2grp32;
1222 wire [63:0] ldsv0grp33;
1223 wire [63:0] ldsv1grp33;
1224 wire [63:0] ldsv2grp33;
1225 wire [63:0] ldsv0grp34;
1226 wire [63:0] ldsv1grp34;
1227 wire [63:0] ldsv2grp34;
1228 wire [63:0] ldsv0grp35;
1229 wire [63:0] ldsv1grp35;
1230 wire [63:0] ldsv2grp35;
1231 wire [63:0] ldsv0grp36;
1232 wire [63:0] ldsv1grp36;
1233 wire [63:0] ldsv2grp36;
1234 wire [63:0] ldsv0grp37;
1235 wire [63:0] ldsv1grp37;
1236 wire [63:0] ldsv2grp37;
1237 wire [63:0] ldsv0grp38;
1238 wire [63:0] ldsv1grp38;
1239 wire [63:0] ldsv2grp38;
1240 wire [63:0] ldsv0grp39;
1241 wire [63:0] ldsv1grp39;
1242 wire [63:0] ldsv2grp39;
1243 wire [63:0] ldsv0grp40;
1244 wire [63:0] ldsv1grp40;
1245 wire [63:0] ldsv2grp40;
1246 wire [63:0] ldsv0grp41;
1247 wire [63:0] ldsv1grp41;
1248 wire [63:0] ldsv2grp41;
1249 wire [63:0] ldsv0grp42;
1250 wire [63:0] ldsv1grp42;
1251 wire [63:0] ldsv2grp42;
1252 wire [63:0] ldsv0grp43;
1253 wire [63:0] ldsv1grp43;
1254 wire [63:0] ldsv2grp43;
1255 wire [63:0] ldsv0grp44;
1256 wire [63:0] ldsv1grp44;
1257 wire [63:0] ldsv2grp44;
1258 wire [63:0] ldsv0grp45;
1259 wire [63:0] ldsv1grp45;
1260 wire [63:0] ldsv2grp45;
1261 wire [63:0] ldsv0grp46;
1262 wire [63:0] ldsv1grp46;
1263 wire [63:0] ldsv2grp46;
1264 wire [63:0] ldsv0grp47;
1265 wire [63:0] ldsv1grp47;
1266 wire [63:0] ldsv2grp47;
1267 wire [63:0] ldsv0grp48;
1268 wire [63:0] ldsv1grp48;
1269 wire [63:0] ldsv2grp48;
1270 wire [63:0] ldsv0grp49;
1271 wire [63:0] ldsv1grp49;
1272 wire [63:0] ldsv2grp49;
1273 wire [63:0] ldsv0grp50;
1274 wire [63:0] ldsv1grp50;
1275 wire [63:0] ldsv2grp50;
1276 wire [63:0] ldsv0grp51;
1277 wire [63:0] ldsv1grp51;
1278 wire [63:0] ldsv2grp51;
1279 wire [63:0] ldsv0grp52;
1280 wire [63:0] ldsv1grp52;
1281 wire [63:0] ldsv2grp52;
1282 wire [63:0] ldsv0grp53;
1283 wire [63:0] ldsv1grp53;
1284 wire [63:0] ldsv2grp53;
1285 wire [63:0] ldsv0grp54;
1286 wire [63:0] ldsv1grp54;
1287 wire [63:0] ldsv2grp54;
1288 wire [63:0] ldsv0grp55;
1289 wire [63:0] ldsv1grp55;
1290 wire [63:0] ldsv2grp55;
1291 wire [63:0] ldsv0grp56;
1292 wire [63:0] ldsv1grp56;
1293 wire [63:0] ldsv2grp56;
1294 wire [63:0] ldsv0grp57;
1295 wire [63:0] ldsv1grp57;
1296 wire [63:0] ldsv2grp57;
1297 wire [63:0] ldsv0grp58;
1298 wire [63:0] ldsv1grp58;
1299 wire [63:0] ldsv2grp58;
1300 wire [63:0] ldsv0grp59;
1301 wire [63:0] ldsv1grp59;
1302 wire [63:0] ldsv2grp59;
1303 wire [63:0] ldsv0grp60;
1304 wire [63:0] ldsv1grp60;
1305 wire [63:0] ldsv2grp60;
1306 wire [63:0] ldsv0grp61;
1307 wire [63:0] ldsv1grp61;
1308 wire [63:0] ldsv2grp61;
1309 wire [63:0] ldsv0grp62;
1310 wire [63:0] ldsv1grp62;
1311 wire [63:0] ldsv2grp62;
1312 wire [63:0] ldsv0grp63;
1313 wire [63:0] ldsv1grp63;
1314 wire [63:0] ldsv2grp63;
1315
1316 wire [19:0] rtimer;
1317
1318 reg [6:0] msi_data;
1319
1320
1321 wire dec_timer ;
1322
1323 wire [31:0] arb_din;
1324 reg [31:0] arb_dout;
1325
1326 assign arb_din[31:0] = {18'b0,arb_wrstat[5:0],2'b0,arb_rdstat[5:0]};
1327 assign arb_dirtid_en = arb_dout[0];
1328 assign arb_dirtid_clr = arb_dout[1];
1329// assign arb_np_threshold[5:0] = arb_dout[9:4];
1330// assign arb_rd_threshold[5:0] = arb_dout[21:16];
1331
1332 assign arb_np_threshold[5:0] = arb_dout[21:16];
1333 assign arb_rd_threshold[5:0] = arb_dout[9:4];
1334
1335`ifdef NEPTUNE
1336/* ------------- GPIO registers -------------------------------- */
1337 reg [15:0] gpio_dout;
1338 reg [15:0] gpio_en;
1339 reg [15:0] gpio_din_int;
1340
1341 wire ld_gpio_dout;
1342 wire ld_gpio_en;
1343 wire ld_gpio_din;
1344
1345always @(posedge clk)
1346 if (reset)
1347 begin
1348 gpio_dout[15:0] <= 16'b0;
1349 gpio_en[15:0] <= 16'hffff;
1350 gpio_din_int[15:0] <= 16'b0 ;
1351 end
1352 else
1353 begin
1354 if (ld_gpio_dout & !pio_32b_wr )
1355 gpio_dout[15:0] <= wr_data[15:0];
1356 if (ld_gpio_en & !pio_32b_wr )
1357 gpio_en[15:0] <= wr_data[15:0];
1358 if (ld_gpio_din & !pio_32b_wr )
1359 gpio_din_int[15:0] <= gpio_din[15:0];
1360 end
1361
1362`else
1363/* ------------- SMx register -------------------------------- */
1364 reg [31:0] smx_intr_status;
1365 reg [31:0] smx_config_data;
1366 reg [31:0] smx_ctrl;
1367 reg smx_intr_clr;
1368 reg [31:0] smx_debug_vector;
1369 reg del_ld_smx_intr_clr;
1370
1371 wire ld_smx_config_data;
1372 wire ld_smx_ctrl ;
1373 wire ld_smx_debug_vector ;
1374 wire ld_smx_intr_status ;
1375 wire ld_smx_intr_clr ;
1376
1377always @(posedge clk)
1378begin
1379 if (reset)
1380 begin
1381// smx_config_data[31:0] <= 32'b0 ;
1382 smx_config_data[31:0] <= 32'hCFF0FFFF ;
1383 smx_intr_status[31:0] <= 32'b0 ;
1384 smx_intr_clr <= 1'b0 ;
1385 smx_ctrl[31:0] <= 32'b0 ;
1386 smx_debug_vector[31:0]<= 32'b0 ;
1387 del_ld_smx_intr_clr <= 1'b0 ;
1388 end
1389 else
1390 begin
1391 del_ld_smx_intr_clr <= ld_smx_intr_clr ;
1392 if (ld_smx_config_data)
1393 smx_config_data[31:0] <= ({wr_data[31:30],2'b00,wr_data[27:0]}) ;
1394 if (ld_smx_intr_status)
1395 smx_intr_status[31:0] <= smx_status[31:0] ;
1396 if (ld_smx_ctrl)
1397 smx_ctrl[31:0] <= wr_data[31:0] ;
1398 if (ld_smx_debug_vector)
1399 smx_debug_vector[31:0]<= wr_data[31:0] ;
1400 begin
1401 if (del_ld_smx_intr_clr)
1402 smx_intr_clr <= 1'b0 ;
1403 else
1404 if (ld_smx_intr_clr )
1405 smx_intr_clr <= wr_data[0] ;
1406 end
1407 end
1408
1409end
1410
1411`endif
1412/* ----------------------------------------------------------- */
1413
1414 reg [31:0] arb_din_int ;
1415 reg [31:0] arb_ctrl ;
1416 reg [31:0] arb_debug_vector ;
1417 reg [5:0] debug_select ;
1418 reg [31:0] TrainingVector ;
1419 reg [10:0] sys_err_mask ;
1420 reg [10:0] sys_err_stat ;
1421 reg smx_meta_intr_hld ;
1422 reg del_ld_arb_dout ;
1423
1424 wire ld_debug_select ;
1425 wire ld_TrainingVector ;
1426 wire ld_arb_dout ;
1427 wire ld_arb_din ;
1428 wire ld_arb_ctrl ;
1429 wire ld_arb_debug_vector ;
1430 wire ld_smx_meta_intr_hld ;
1431
1432/* ----------------------------------------------------------- */
1433always @(posedge clk)
1434begin
1435 if (reset)
1436 begin
1437 arb_dout[3:0] <= 4'b0000;
1438 arb_dout[9:4] <= 6'b100000;
1439 arb_dout[15:10] <= 6'b000000;
1440 arb_dout[21:16] <= 6'b011111;
1441 arb_dout[31:22] <= 10'b0000000000;
1442 del_ld_arb_dout <= 1'b0;
1443 end
1444 else
1445begin
1446 del_ld_arb_dout <= ld_arb_dout ;
1447 if (ld_arb_dout & !pio_32b_wr & !del_ld_arb_dout)
1448 begin
1449 arb_dout[31:2] <= ({10'b0,wr_data[21:16],6'b0,wr_data[9:4],2'b0}) ;
1450 arb_dout[1] <= wr_data[1] ;
1451 arb_dout[0] <= wr_data[0] ;
1452 end
1453 else
1454 if (del_ld_arb_dout)
1455 arb_dout[1] <= 1'b0 ;
1456end
1457end
1458/* ----------------------------------------------------------- */
1459always @(posedge clk)
1460begin
1461 if (reset)
1462 begin
1463 arb_din_int[31:0] <= 32'b0 ;
1464 arb_ctrl[31:0] <= 32'b0 ;
1465 arb_debug_vector[31:0] <= 32'b0 ;
1466 debug_select[5:0] <= 6'b0;
1467 TrainingVector[31:0] <= 32'b0;
1468 sys_err_mask[10:0] <= 11'b11111111111 ;
1469 sys_err_stat[10:0] <= 11'b0 ;
1470 smx_meta_intr_hld <= 1'b0 ;
1471 end
1472 else
1473begin
1474 if (ld_arb_din)
1475 arb_din_int[31:0] <= arb_din[31:0] ;
1476 if (ld_debug_select & !pio_32b_wr)
1477 debug_select[5:0] <= wr_data[5:0] ;
1478 if (ld_TrainingVector & !pio_32b_wr)
1479 TrainingVector[31:0] <= wr_data[31:0] ;
1480 if (ld_arb_ctrl & !pio_32b_wr)
1481 arb_ctrl[31:0] <= wr_data[31:0] ;
1482 if (ld_arb_debug_vector & !pio_32b_wr)
1483 arb_debug_vector[31:0] <= wr_data[31:0] ;
1484 if (ld_sys_err_mask & !pio_32b_wr)
1485 sys_err_mask[10:0] <= wr_data[10:0] ;
1486
1487`ifdef NEPTUNE
1488 if (ld_sys_err_stat & !pio_32b_wr)
1489 begin
1490 sys_err_stat[10] <= meta_intr2 ;// META
1491 sys_err_stat[9] <= meta_intr1 ;// META
1492 sys_err_stat[8] <= pim_intr ; // PEU
1493 sys_err_stat[7] <= txc_intr ; // TXC
1494 sys_err_stat[6] <= rdmc_intr ; // RDMC
1495 sys_err_stat[5] <= 1'b0 ; // TDMC
1496 sys_err_stat[4] <= zcp_intr ; // ZCP
1497 sys_err_stat[3] <= fflp_intr; // FFLP
1498 sys_err_stat[2] <= ipp_intr ; // IPP
1499 sys_err_stat[1] <= mif_intr ; // MAC
1500 sys_err_stat[0] <= 1'b0 ; // PIO
1501 end
1502`else
1503 if (ld_sys_err_stat & !pio_32b_wr)
1504 begin
1505 sys_err_stat[10] <= meta_intr2 ;// META
1506 sys_err_stat[9] <= meta_intr1 ;// META
1507 sys_err_stat[8] <= 1'b0 ; // PEU
1508 sys_err_stat[7] <= txc_intr ; // TXC
1509 sys_err_stat[6] <= rdmc_intr ; // RDMC
1510 sys_err_stat[5] <= 1'b0 ; // TDMC
1511 sys_err_stat[4] <= zcp_intr ; // ZCP
1512 sys_err_stat[3] <= fflp_intr; // FFLP
1513 sys_err_stat[2] <= ipp_intr ; // IPP
1514 sys_err_stat[1] <= mif_intr ; // MAC
1515 sys_err_stat[0] <= smx_intr ; // SMX
1516 end
1517`endif
1518
1519 if (ld_smx_meta_intr_hld & !pio_32b_wr)
1520 smx_meta_intr_hld <= wr_data[0] ;
1521 end
1522end
1523/* ----------------------------------------------------------- */
1524/* ------------- device function shared register ------------- */
1525
1526// dffre #(1) tas_dffe (.clk(clk),
1527// .reset(reset),
1528// .en(ld_dev_func_share | ras_dev_func_share),
1529// .d(wr_data[31] | ras_dev_func_share),
1530// .q(tas));
1531
1532
1533 reg dev_func_share_31 ;
1534 wire [63:0] dev_func_share;
1535 wire [15:0] dev_func_share_15_0;
1536 wire [1:0] dev_func_share_17_16;
1537
1538 assign dev_func_share[63:32] = 0;
1539 assign dev_func_share[31:0] = ({dev_func_share_31,13'b0,dev_func_share_17_16[1:0],dev_func_share_15_0[15:0]});
1540
1541 dffre #(16) dev_func_share0_dffe (.clk(clk),
1542 .reset(reset),
1543 .en(ld_dev_func_share & !pio_32b_wr),
1544 .d(wr_data[15:0]),
1545 .q(dev_func_share_15_0[15:0]));
1546
1547 dffre #(2) dev_func_share1_dffe (.clk(clk),
1548 .reset(reset),
1549 .en(rd_dev_func_share),
1550 .d(addr[26:25]),
1551 .q(dev_func_share_17_16[1:0]));
1552 always @(posedge clk)
1553 if (reset)
1554 begin
1555 dev_func_share_31 <= 1'b0;
1556 end
1557 else
1558 begin
1559 if (ld_dev_func_share & !pio_32b_wr & !rd_dev_func_share)
1560 dev_func_share_31 <= wr_data[31];
1561 else
1562 if (rd_dev_func_share)
1563 dev_func_share_31 <= 1'b1;
1564 end
1565
1566/* ------------- config1 register (mpc) ------------- */
1567// MULTI_PART_CTL (FZC_PIO+0x00000)
1568pio_xREG2 #(1) config1_xREG2(.clk(clk),
1569 .reset(reset),
1570 .reset_value(1'b0),
1571 .load(ld_config1 & !pio_32b_wr),
1572 .din(wr_data[0]),
1573 .qout(config1));
1574
1575 wire mpc = config1;
1576
1577// RST_CTL (FZC_PIO+0x00080)
1578pio_xREG2 #(23) config1_early_reset_xREG2(.clk(clk),
1579 .reset(niu_reset), // <- early clock
1580 .reset_value(23'b00000000000111111111110),
1581 .load(ld_rst_ctl & !pio_32b_wr),
1582 .din({wr_data[22:19],7'b0,wr_data[11:1], 1'b0}),
1583 .qout(rst_ctl[22:0]));
1584
1585 wire [9:0] ack_TO_value = rst_ctl[10:1];
1586 wire ack_TO_en = rst_ctl[11];
1587 wire mac_reset0 = rst_ctl[19];
1588 wire mac_reset1 = rst_ctl[20];
1589 wire mac_reset2 = rst_ctl[21];
1590 wire mac_reset3 = rst_ctl[22];
1591
1592
1593/* ------------- dma channel binding register ------------- */
1594
1595dffre #(14) dma_bind0_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind0 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind0 [13:0]));
1596dffre #(14) dma_bind1_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind1 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind1 [13:0]));
1597dffre #(14) dma_bind2_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind2 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind2 [13:0]));
1598dffre #(14) dma_bind3_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind3 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind3 [13:0]));
1599dffre #(14) dma_bind4_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind4 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind4 [13:0]));
1600dffre #(14) dma_bind5_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind5 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind5 [13:0]));
1601dffre #(14) dma_bind6_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind6 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind6 [13:0]));
1602dffre #(14) dma_bind7_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind7 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind7 [13:0]));
1603dffre #(14) dma_bind8_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind8 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind8 [13:0]));
1604dffre #(14) dma_bind9_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind9 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind9 [13:0]));
1605dffre #(14) dma_bind10_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind10 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind10[13:0]));
1606dffre #(14) dma_bind11_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind11 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind11[13:0]));
1607dffre #(14) dma_bind12_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind12 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind12[13:0]));
1608dffre #(14) dma_bind13_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind13 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind13[13:0]));
1609dffre #(14) dma_bind14_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind14 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind14[13:0]));
1610dffre #(14) dma_bind15_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind15 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind15[13:0]));
1611dffre #(14) dma_bind16_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind16 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind16[13:0]));
1612dffre #(14) dma_bind17_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind17 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind17[13:0]));
1613dffre #(14) dma_bind18_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind18 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind18[13:0]));
1614dffre #(14) dma_bind19_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind19 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind19[13:0]));
1615dffre #(14) dma_bind20_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind20 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind20[13:0]));
1616dffre #(14) dma_bind21_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind21 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind21[13:0]));
1617dffre #(14) dma_bind22_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind22 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind22[13:0]));
1618dffre #(14) dma_bind23_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind23 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind23[13:0]));
1619dffre #(14) dma_bind24_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind24 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind24[13:0]));
1620dffre #(14) dma_bind25_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind25 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind25[13:0]));
1621dffre #(14) dma_bind26_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind26 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind26[13:0]));
1622dffre #(14) dma_bind27_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind27 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind27[13:0]));
1623dffre #(14) dma_bind28_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind28 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind28[13:0]));
1624dffre #(14) dma_bind29_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind29 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind29[13:0]));
1625dffre #(14) dma_bind30_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind30 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind30[13:0]));
1626dffre #(14) dma_bind31_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind31 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind31[13:0]));
1627dffre #(14) dma_bind32_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind32 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind32[13:0]));
1628dffre #(14) dma_bind33_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind33 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind33[13:0]));
1629dffre #(14) dma_bind34_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind34 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind34[13:0]));
1630dffre #(14) dma_bind35_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind35 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind35[13:0]));
1631dffre #(14) dma_bind36_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind36 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind36[13:0]));
1632dffre #(14) dma_bind37_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind37 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind37[13:0]));
1633dffre #(14) dma_bind38_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind38 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind38[13:0]));
1634dffre #(14) dma_bind39_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind39 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind39[13:0]));
1635dffre #(14) dma_bind40_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind40 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind40[13:0]));
1636dffre #(14) dma_bind41_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind41 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind41[13:0]));
1637dffre #(14) dma_bind42_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind42 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind42[13:0]));
1638dffre #(14) dma_bind43_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind43 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind43[13:0]));
1639dffre #(14) dma_bind44_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind44 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind44[13:0]));
1640dffre #(14) dma_bind45_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind45 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind45[13:0]));
1641dffre #(14) dma_bind46_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind46 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind46[13:0]));
1642dffre #(14) dma_bind47_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind47 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind47[13:0]));
1643dffre #(14) dma_bind48_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind48 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind48[13:0]));
1644dffre #(14) dma_bind49_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind49 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind49[13:0]));
1645dffre #(14) dma_bind50_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind50 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind50[13:0]));
1646dffre #(14) dma_bind51_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind51 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind51[13:0]));
1647dffre #(14) dma_bind52_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind52 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind52[13:0]));
1648dffre #(14) dma_bind53_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind53 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind53[13:0]));
1649dffre #(14) dma_bind54_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind54 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind54[13:0]));
1650dffre #(14) dma_bind55_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind55 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind55[13:0]));
1651dffre #(14) dma_bind56_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind56 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind56[13:0]));
1652dffre #(14) dma_bind57_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind57 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind57[13:0]));
1653dffre #(14) dma_bind58_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind58 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind58[13:0]));
1654dffre #(14) dma_bind59_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind59 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind59[13:0]));
1655dffre #(14) dma_bind60_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind60 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind60[13:0]));
1656dffre #(14) dma_bind61_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind61 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind61[13:0]));
1657dffre #(14) dma_bind62_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind62 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind62[13:0]));
1658dffre #(14) dma_bind63_dffre (.clk(clk),.reset(reset),.en(ld_dma_bind63 & !pio_32b_wr ),.d({wr_data[13:8],2'b00,wr_data[5:0]}),.q(dma_bind63[13:0]));
1659
1660/* --------------- system interrupt ------------------ */
1661// logical device group number. count 69
1662dffre #(6) ldgn0_dffre (.clk(clk),.reset(reset),.en(ld_ldgn0 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn0 [5:0]));
1663dffre #(6) ldgn1_dffre (.clk(clk),.reset(reset),.en(ld_ldgn1 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn1 [5:0]));
1664dffre #(6) ldgn2_dffre (.clk(clk),.reset(reset),.en(ld_ldgn2 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn2 [5:0]));
1665dffre #(6) ldgn3_dffre (.clk(clk),.reset(reset),.en(ld_ldgn3 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn3 [5:0]));
1666dffre #(6) ldgn4_dffre (.clk(clk),.reset(reset),.en(ld_ldgn4 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn4 [5:0]));
1667dffre #(6) ldgn5_dffre (.clk(clk),.reset(reset),.en(ld_ldgn5 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn5 [5:0]));
1668dffre #(6) ldgn6_dffre (.clk(clk),.reset(reset),.en(ld_ldgn6 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn6 [5:0]));
1669dffre #(6) ldgn7_dffre (.clk(clk),.reset(reset),.en(ld_ldgn7 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn7 [5:0]));
1670dffre #(6) ldgn8_dffre (.clk(clk),.reset(reset),.en(ld_ldgn8 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn8 [5:0]));
1671dffre #(6) ldgn9_dffre (.clk(clk),.reset(reset),.en(ld_ldgn9 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn9 [5:0]));
1672dffre #(6) ldgn10_dffre (.clk(clk),.reset(reset),.en(ld_ldgn10 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn10[5:0]));
1673dffre #(6) ldgn11_dffre (.clk(clk),.reset(reset),.en(ld_ldgn11 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn11[5:0]));
1674dffre #(6) ldgn12_dffre (.clk(clk),.reset(reset),.en(ld_ldgn12 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn12[5:0]));
1675dffre #(6) ldgn13_dffre (.clk(clk),.reset(reset),.en(ld_ldgn13 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn13[5:0]));
1676dffre #(6) ldgn14_dffre (.clk(clk),.reset(reset),.en(ld_ldgn14 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn14[5:0]));
1677dffre #(6) ldgn15_dffre (.clk(clk),.reset(reset),.en(ld_ldgn15 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn15[5:0]));
1678dffre #(6) ldgn16_dffre (.clk(clk),.reset(reset),.en(ld_ldgn16 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn16[5:0]));
1679dffre #(6) ldgn17_dffre (.clk(clk),.reset(reset),.en(ld_ldgn17 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn17[5:0]));
1680dffre #(6) ldgn18_dffre (.clk(clk),.reset(reset),.en(ld_ldgn18 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn18[5:0]));
1681dffre #(6) ldgn19_dffre (.clk(clk),.reset(reset),.en(ld_ldgn19 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn19[5:0]));
1682dffre #(6) ldgn20_dffre (.clk(clk),.reset(reset),.en(ld_ldgn20 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn20[5:0]));
1683dffre #(6) ldgn21_dffre (.clk(clk),.reset(reset),.en(ld_ldgn21 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn21[5:0]));
1684dffre #(6) ldgn22_dffre (.clk(clk),.reset(reset),.en(ld_ldgn22 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn22[5:0]));
1685dffre #(6) ldgn23_dffre (.clk(clk),.reset(reset),.en(ld_ldgn23 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn23[5:0]));
1686dffre #(6) ldgn24_dffre (.clk(clk),.reset(reset),.en(ld_ldgn24 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn24[5:0]));
1687dffre #(6) ldgn25_dffre (.clk(clk),.reset(reset),.en(ld_ldgn25 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn25[5:0]));
1688dffre #(6) ldgn26_dffre (.clk(clk),.reset(reset),.en(ld_ldgn26 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn26[5:0]));
1689dffre #(6) ldgn27_dffre (.clk(clk),.reset(reset),.en(ld_ldgn27 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn27[5:0]));
1690dffre #(6) ldgn28_dffre (.clk(clk),.reset(reset),.en(ld_ldgn28 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn28[5:0]));
1691dffre #(6) ldgn29_dffre (.clk(clk),.reset(reset),.en(ld_ldgn29 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn29[5:0]));
1692dffre #(6) ldgn30_dffre (.clk(clk),.reset(reset),.en(ld_ldgn30 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn30[5:0]));
1693dffre #(6) ldgn31_dffre (.clk(clk),.reset(reset),.en(ld_ldgn31 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn31[5:0]));
1694dffre #(6) ldgn32_dffre (.clk(clk),.reset(reset),.en(ld_ldgn32 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn32[5:0]));
1695dffre #(6) ldgn33_dffre (.clk(clk),.reset(reset),.en(ld_ldgn33 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn33[5:0]));
1696dffre #(6) ldgn34_dffre (.clk(clk),.reset(reset),.en(ld_ldgn34 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn34[5:0]));
1697dffre #(6) ldgn35_dffre (.clk(clk),.reset(reset),.en(ld_ldgn35 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn35[5:0]));
1698dffre #(6) ldgn36_dffre (.clk(clk),.reset(reset),.en(ld_ldgn36 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn36[5:0]));
1699dffre #(6) ldgn37_dffre (.clk(clk),.reset(reset),.en(ld_ldgn37 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn37[5:0]));
1700dffre #(6) ldgn38_dffre (.clk(clk),.reset(reset),.en(ld_ldgn38 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn38[5:0]));
1701dffre #(6) ldgn39_dffre (.clk(clk),.reset(reset),.en(ld_ldgn39 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn39[5:0]));
1702dffre #(6) ldgn40_dffre (.clk(clk),.reset(reset),.en(ld_ldgn40 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn40[5:0]));
1703dffre #(6) ldgn41_dffre (.clk(clk),.reset(reset),.en(ld_ldgn41 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn41[5:0]));
1704dffre #(6) ldgn42_dffre (.clk(clk),.reset(reset),.en(ld_ldgn42 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn42[5:0]));
1705dffre #(6) ldgn43_dffre (.clk(clk),.reset(reset),.en(ld_ldgn43 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn43[5:0]));
1706dffre #(6) ldgn44_dffre (.clk(clk),.reset(reset),.en(ld_ldgn44 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn44[5:0]));
1707dffre #(6) ldgn45_dffre (.clk(clk),.reset(reset),.en(ld_ldgn45 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn45[5:0]));
1708dffre #(6) ldgn46_dffre (.clk(clk),.reset(reset),.en(ld_ldgn46 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn46[5:0]));
1709dffre #(6) ldgn47_dffre (.clk(clk),.reset(reset),.en(ld_ldgn47 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn47[5:0]));
1710dffre #(6) ldgn48_dffre (.clk(clk),.reset(reset),.en(ld_ldgn48 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn48[5:0]));
1711dffre #(6) ldgn49_dffre (.clk(clk),.reset(reset),.en(ld_ldgn49 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn49[5:0]));
1712dffre #(6) ldgn50_dffre (.clk(clk),.reset(reset),.en(ld_ldgn50 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn50[5:0]));
1713dffre #(6) ldgn51_dffre (.clk(clk),.reset(reset),.en(ld_ldgn51 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn51[5:0]));
1714dffre #(6) ldgn52_dffre (.clk(clk),.reset(reset),.en(ld_ldgn52 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn52[5:0]));
1715dffre #(6) ldgn53_dffre (.clk(clk),.reset(reset),.en(ld_ldgn53 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn53[5:0]));
1716dffre #(6) ldgn54_dffre (.clk(clk),.reset(reset),.en(ld_ldgn54 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn54[5:0]));
1717dffre #(6) ldgn55_dffre (.clk(clk),.reset(reset),.en(ld_ldgn55 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn55[5:0]));
1718dffre #(6) ldgn56_dffre (.clk(clk),.reset(reset),.en(ld_ldgn56 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn56[5:0]));
1719dffre #(6) ldgn57_dffre (.clk(clk),.reset(reset),.en(ld_ldgn57 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn57[5:0]));
1720dffre #(6) ldgn58_dffre (.clk(clk),.reset(reset),.en(ld_ldgn58 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn58[5:0]));
1721dffre #(6) ldgn59_dffre (.clk(clk),.reset(reset),.en(ld_ldgn59 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn59[5:0]));
1722dffre #(6) ldgn60_dffre (.clk(clk),.reset(reset),.en(ld_ldgn60 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn60[5:0]));
1723dffre #(6) ldgn61_dffre (.clk(clk),.reset(reset),.en(ld_ldgn61 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn61[5:0]));
1724dffre #(6) ldgn62_dffre (.clk(clk),.reset(reset),.en(ld_ldgn62 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn62[5:0]));
1725dffre #(6) ldgn63_dffre (.clk(clk),.reset(reset),.en(ld_ldgn63 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn63[5:0]));
1726dffre #(6) ldgn64_dffre (.clk(clk),.reset(reset),.en(ld_ldgn64 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn64[5:0]));
1727dffre #(6) ldgn65_dffre (.clk(clk),.reset(reset),.en(ld_ldgn65 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn65[5:0]));
1728dffre #(6) ldgn66_dffre (.clk(clk),.reset(reset),.en(ld_ldgn66 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn66[5:0]));
1729dffre #(6) ldgn67_dffre (.clk(clk),.reset(reset),.en(ld_ldgn67 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn67[5:0]));
1730dffre #(6) ldgn68_dffre (.clk(clk),.reset(reset),.en(ld_ldgn68 & !pio_32b_wr ),.d(wr_data[5:0]),.q(ldgn68[5:0]));
1731
1732// logical device state vectors
1733
1734/* ------------- logical device state vector (RO) ------------- */
1735/* This file is genetated from ldsv.c */
1736 assign ldsv0grp0 = memship_group0[63:0] & ldfj[63:0];
1737 assign ldsv1grp0 = memship_group0[63:0] & ldfi[63:0];
1738 assign ldsv2grp0 = {54'b0,{memship_group0[68:64] & ldfi[68:64]},{memship_group0[68:64] & ldfj[68:64]}};
1739 assign ldsv0grp1 = memship_group1[63:0] & ldfj[63:0];
1740 assign ldsv1grp1 = memship_group1[63:0] & ldfi[63:0];
1741 assign ldsv2grp1 = {54'b0,{memship_group1[68:64] & ldfi[68:64]},{memship_group1[68:64] & ldfj[68:64]}};
1742 assign ldsv0grp2 = memship_group2[63:0] & ldfj[63:0];
1743 assign ldsv1grp2 = memship_group2[63:0] & ldfi[63:0];
1744 assign ldsv2grp2 = {54'b0,{memship_group2[68:64] & ldfi[68:64]},{memship_group2[68:64] & ldfj[68:64]}};
1745 assign ldsv0grp3 = memship_group3[63:0] & ldfj[63:0];
1746 assign ldsv1grp3 = memship_group3[63:0] & ldfi[63:0];
1747 assign ldsv2grp3 = {54'b0,{memship_group3[68:64] & ldfi[68:64]},{memship_group3[68:64] & ldfj[68:64]}};
1748 assign ldsv0grp4 = memship_group4[63:0] & ldfj[63:0];
1749 assign ldsv1grp4 = memship_group4[63:0] & ldfi[63:0];
1750 assign ldsv2grp4 = {54'b0,{memship_group4[68:64] & ldfi[68:64]},{memship_group4[68:64] & ldfj[68:64]}};
1751 assign ldsv0grp5 = memship_group5[63:0] & ldfj[63:0];
1752 assign ldsv1grp5 = memship_group5[63:0] & ldfi[63:0];
1753 assign ldsv2grp5 = {54'b0,{memship_group5[68:64] & ldfi[68:64]},{memship_group5[68:64] & ldfj[68:64]}};
1754 assign ldsv0grp6 = memship_group6[63:0] & ldfj[63:0];
1755 assign ldsv1grp6 = memship_group6[63:0] & ldfi[63:0];
1756 assign ldsv2grp6 = {54'b0,{memship_group6[68:64] & ldfi[68:64]},{memship_group6[68:64] & ldfj[68:64]}};
1757 assign ldsv0grp7 = memship_group7[63:0] & ldfj[63:0];
1758 assign ldsv1grp7 = memship_group7[63:0] & ldfi[63:0];
1759 assign ldsv2grp7 = {54'b0,{memship_group7[68:64] & ldfi[68:64]},{memship_group7[68:64] & ldfj[68:64]}};
1760 assign ldsv0grp8 = memship_group8[63:0] & ldfj[63:0];
1761 assign ldsv1grp8 = memship_group8[63:0] & ldfi[63:0];
1762 assign ldsv2grp8 = {54'b0,{memship_group8[68:64] & ldfi[68:64]},{memship_group8[68:64] & ldfj[68:64]}};
1763 assign ldsv0grp9 = memship_group9[63:0] & ldfj[63:0];
1764 assign ldsv1grp9 = memship_group9[63:0] & ldfi[63:0];
1765 assign ldsv2grp9 = {54'b0,{memship_group9[68:64] & ldfi[68:64]},{memship_group9[68:64] & ldfj[68:64]}};
1766 assign ldsv0grp10 = memship_group10[63:0] & ldfj[63:0];
1767 assign ldsv1grp10 = memship_group10[63:0] & ldfi[63:0];
1768 assign ldsv2grp10 = {54'b0,{memship_group10[68:64] & ldfi[68:64]},{memship_group10[68:64] & ldfj[68:64]}};
1769 assign ldsv0grp11 = memship_group11[63:0] & ldfj[63:0];
1770 assign ldsv1grp11 = memship_group11[63:0] & ldfi[63:0];
1771 assign ldsv2grp11 = {54'b0,{memship_group11[68:64] & ldfi[68:64]},{memship_group11[68:64] & ldfj[68:64]}};
1772 assign ldsv0grp12 = memship_group12[63:0] & ldfj[63:0];
1773 assign ldsv1grp12 = memship_group12[63:0] & ldfi[63:0];
1774 assign ldsv2grp12 = {54'b0,{memship_group12[68:64] & ldfi[68:64]},{memship_group12[68:64] & ldfj[68:64]}};
1775 assign ldsv0grp13 = memship_group13[63:0] & ldfj[63:0];
1776 assign ldsv1grp13 = memship_group13[63:0] & ldfi[63:0];
1777 assign ldsv2grp13 = {54'b0,{memship_group13[68:64] & ldfi[68:64]},{memship_group13[68:64] & ldfj[68:64]}};
1778 assign ldsv0grp14 = memship_group14[63:0] & ldfj[63:0];
1779 assign ldsv1grp14 = memship_group14[63:0] & ldfi[63:0];
1780 assign ldsv2grp14 = {54'b0,{memship_group14[68:64] & ldfi[68:64]},{memship_group14[68:64] & ldfj[68:64]}};
1781 assign ldsv0grp15 = memship_group15[63:0] & ldfj[63:0];
1782 assign ldsv1grp15 = memship_group15[63:0] & ldfi[63:0];
1783 assign ldsv2grp15 = {54'b0,{memship_group15[68:64] & ldfi[68:64]},{memship_group15[68:64] & ldfj[68:64]}};
1784 assign ldsv0grp16 = memship_group16[63:0] & ldfj[63:0];
1785 assign ldsv1grp16 = memship_group16[63:0] & ldfi[63:0];
1786 assign ldsv2grp16 = {54'b0,{memship_group16[68:64] & ldfi[68:64]},{memship_group16[68:64] & ldfj[68:64]}};
1787 assign ldsv0grp17 = memship_group17[63:0] & ldfj[63:0];
1788 assign ldsv1grp17 = memship_group17[63:0] & ldfi[63:0];
1789 assign ldsv2grp17 = {54'b0,{memship_group17[68:64] & ldfi[68:64]},{memship_group17[68:64] & ldfj[68:64]}};
1790 assign ldsv0grp18 = memship_group18[63:0] & ldfj[63:0];
1791 assign ldsv1grp18 = memship_group18[63:0] & ldfi[63:0];
1792 assign ldsv2grp18 = {54'b0,{memship_group18[68:64] & ldfi[68:64]},{memship_group18[68:64] & ldfj[68:64]}};
1793 assign ldsv0grp19 = memship_group19[63:0] & ldfj[63:0];
1794 assign ldsv1grp19 = memship_group19[63:0] & ldfi[63:0];
1795 assign ldsv2grp19 = {54'b0,{memship_group19[68:64] & ldfi[68:64]},{memship_group19[68:64] & ldfj[68:64]}};
1796 assign ldsv0grp20 = memship_group20[63:0] & ldfj[63:0];
1797 assign ldsv1grp20 = memship_group20[63:0] & ldfi[63:0];
1798 assign ldsv2grp20 = {54'b0,{memship_group20[68:64] & ldfi[68:64]},{memship_group20[68:64] & ldfj[68:64]}};
1799 assign ldsv0grp21 = memship_group21[63:0] & ldfj[63:0];
1800 assign ldsv1grp21 = memship_group21[63:0] & ldfi[63:0];
1801 assign ldsv2grp21 = {54'b0,{memship_group21[68:64] & ldfi[68:64]},{memship_group21[68:64] & ldfj[68:64]}};
1802 assign ldsv0grp22 = memship_group22[63:0] & ldfj[63:0];
1803 assign ldsv1grp22 = memship_group22[63:0] & ldfi[63:0];
1804 assign ldsv2grp22 = {54'b0,{memship_group22[68:64] & ldfi[68:64]},{memship_group22[68:64] & ldfj[68:64]}};
1805 assign ldsv0grp23 = memship_group23[63:0] & ldfj[63:0];
1806 assign ldsv1grp23 = memship_group23[63:0] & ldfi[63:0];
1807 assign ldsv2grp23 = {54'b0,{memship_group23[68:64] & ldfi[68:64]},{memship_group23[68:64] & ldfj[68:64]}};
1808 assign ldsv0grp24 = memship_group24[63:0] & ldfj[63:0];
1809 assign ldsv1grp24 = memship_group24[63:0] & ldfi[63:0];
1810 assign ldsv2grp24 = {54'b0,{memship_group24[68:64] & ldfi[68:64]},{memship_group24[68:64] & ldfj[68:64]}};
1811 assign ldsv0grp25 = memship_group25[63:0] & ldfj[63:0];
1812 assign ldsv1grp25 = memship_group25[63:0] & ldfi[63:0];
1813 assign ldsv2grp25 = {54'b0,{memship_group25[68:64] & ldfi[68:64]},{memship_group25[68:64] & ldfj[68:64]}};
1814 assign ldsv0grp26 = memship_group26[63:0] & ldfj[63:0];
1815 assign ldsv1grp26 = memship_group26[63:0] & ldfi[63:0];
1816 assign ldsv2grp26 = {54'b0,{memship_group26[68:64] & ldfi[68:64]},{memship_group26[68:64] & ldfj[68:64]}};
1817 assign ldsv0grp27 = memship_group27[63:0] & ldfj[63:0];
1818 assign ldsv1grp27 = memship_group27[63:0] & ldfi[63:0];
1819 assign ldsv2grp27 = {54'b0,{memship_group27[68:64] & ldfi[68:64]},{memship_group27[68:64] & ldfj[68:64]}};
1820 assign ldsv0grp28 = memship_group28[63:0] & ldfj[63:0];
1821 assign ldsv1grp28 = memship_group28[63:0] & ldfi[63:0];
1822 assign ldsv2grp28 = {54'b0,{memship_group28[68:64] & ldfi[68:64]},{memship_group28[68:64] & ldfj[68:64]}};
1823 assign ldsv0grp29 = memship_group29[63:0] & ldfj[63:0];
1824 assign ldsv1grp29 = memship_group29[63:0] & ldfi[63:0];
1825 assign ldsv2grp29 = {54'b0,{memship_group29[68:64] & ldfi[68:64]},{memship_group29[68:64] & ldfj[68:64]}};
1826 assign ldsv0grp30 = memship_group30[63:0] & ldfj[63:0];
1827 assign ldsv1grp30 = memship_group30[63:0] & ldfi[63:0];
1828 assign ldsv2grp30 = {54'b0,{memship_group30[68:64] & ldfi[68:64]},{memship_group30[68:64] & ldfj[68:64]}};
1829 assign ldsv0grp31 = memship_group31[63:0] & ldfj[63:0];
1830 assign ldsv1grp31 = memship_group31[63:0] & ldfi[63:0];
1831 assign ldsv2grp31 = {54'b0,{memship_group31[68:64] & ldfi[68:64]},{memship_group31[68:64] & ldfj[68:64]}};
1832 assign ldsv0grp32 = memship_group32[63:0] & ldfj[63:0];
1833 assign ldsv1grp32 = memship_group32[63:0] & ldfi[63:0];
1834 assign ldsv2grp32 = {54'b0,{memship_group32[68:64] & ldfi[68:64]},{memship_group32[68:64] & ldfj[68:64]}};
1835 assign ldsv0grp33 = memship_group33[63:0] & ldfj[63:0];
1836 assign ldsv1grp33 = memship_group33[63:0] & ldfi[63:0];
1837 assign ldsv2grp33 = {54'b0,{memship_group33[68:64] & ldfi[68:64]},{memship_group33[68:64] & ldfj[68:64]}};
1838 assign ldsv0grp34 = memship_group34[63:0] & ldfj[63:0];
1839 assign ldsv1grp34 = memship_group34[63:0] & ldfi[63:0];
1840 assign ldsv2grp34 = {54'b0,{memship_group34[68:64] & ldfi[68:64]},{memship_group34[68:64] & ldfj[68:64]}};
1841 assign ldsv0grp35 = memship_group35[63:0] & ldfj[63:0];
1842 assign ldsv1grp35 = memship_group35[63:0] & ldfi[63:0];
1843 assign ldsv2grp35 = {54'b0,{memship_group35[68:64] & ldfi[68:64]},{memship_group35[68:64] & ldfj[68:64]}};
1844 assign ldsv0grp36 = memship_group36[63:0] & ldfj[63:0];
1845 assign ldsv1grp36 = memship_group36[63:0] & ldfi[63:0];
1846 assign ldsv2grp36 = {54'b0,{memship_group36[68:64] & ldfi[68:64]},{memship_group36[68:64] & ldfj[68:64]}};
1847 assign ldsv0grp37 = memship_group37[63:0] & ldfj[63:0];
1848 assign ldsv1grp37 = memship_group37[63:0] & ldfi[63:0];
1849 assign ldsv2grp37 = {54'b0,{memship_group37[68:64] & ldfi[68:64]},{memship_group37[68:64] & ldfj[68:64]}};
1850 assign ldsv0grp38 = memship_group38[63:0] & ldfj[63:0];
1851 assign ldsv1grp38 = memship_group38[63:0] & ldfi[63:0];
1852 assign ldsv2grp38 = {54'b0,{memship_group38[68:64] & ldfi[68:64]},{memship_group38[68:64] & ldfj[68:64]}};
1853 assign ldsv0grp39 = memship_group39[63:0] & ldfj[63:0];
1854 assign ldsv1grp39 = memship_group39[63:0] & ldfi[63:0];
1855 assign ldsv2grp39 = {54'b0,{memship_group39[68:64] & ldfi[68:64]},{memship_group39[68:64] & ldfj[68:64]}};
1856 assign ldsv0grp40 = memship_group40[63:0] & ldfj[63:0];
1857 assign ldsv1grp40 = memship_group40[63:0] & ldfi[63:0];
1858 assign ldsv2grp40 = {54'b0,{memship_group40[68:64] & ldfi[68:64]},{memship_group40[68:64] & ldfj[68:64]}};
1859 assign ldsv0grp41 = memship_group41[63:0] & ldfj[63:0];
1860 assign ldsv1grp41 = memship_group41[63:0] & ldfi[63:0];
1861 assign ldsv2grp41 = {54'b0,{memship_group41[68:64] & ldfi[68:64]},{memship_group41[68:64] & ldfj[68:64]}};
1862 assign ldsv0grp42 = memship_group42[63:0] & ldfj[63:0];
1863 assign ldsv1grp42 = memship_group42[63:0] & ldfi[63:0];
1864 assign ldsv2grp42 = {54'b0,{memship_group42[68:64] & ldfi[68:64]},{memship_group42[68:64] & ldfj[68:64]}};
1865 assign ldsv0grp43 = memship_group43[63:0] & ldfj[63:0];
1866 assign ldsv1grp43 = memship_group43[63:0] & ldfi[63:0];
1867 assign ldsv2grp43 = {54'b0,{memship_group43[68:64] & ldfi[68:64]},{memship_group43[68:64] & ldfj[68:64]}};
1868 assign ldsv0grp44 = memship_group44[63:0] & ldfj[63:0];
1869 assign ldsv1grp44 = memship_group44[63:0] & ldfi[63:0];
1870 assign ldsv2grp44 = {54'b0,{memship_group44[68:64] & ldfi[68:64]},{memship_group44[68:64] & ldfj[68:64]}};
1871 assign ldsv0grp45 = memship_group45[63:0] & ldfj[63:0];
1872 assign ldsv1grp45 = memship_group45[63:0] & ldfi[63:0];
1873 assign ldsv2grp45 = {54'b0,{memship_group45[68:64] & ldfi[68:64]},{memship_group45[68:64] & ldfj[68:64]}};
1874 assign ldsv0grp46 = memship_group46[63:0] & ldfj[63:0];
1875 assign ldsv1grp46 = memship_group46[63:0] & ldfi[63:0];
1876 assign ldsv2grp46 = {54'b0,{memship_group46[68:64] & ldfi[68:64]},{memship_group46[68:64] & ldfj[68:64]}};
1877 assign ldsv0grp47 = memship_group47[63:0] & ldfj[63:0];
1878 assign ldsv1grp47 = memship_group47[63:0] & ldfi[63:0];
1879 assign ldsv2grp47 = {54'b0,{memship_group47[68:64] & ldfi[68:64]},{memship_group47[68:64] & ldfj[68:64]}};
1880 assign ldsv0grp48 = memship_group48[63:0] & ldfj[63:0];
1881 assign ldsv1grp48 = memship_group48[63:0] & ldfi[63:0];
1882 assign ldsv2grp48 = {54'b0,{memship_group48[68:64] & ldfi[68:64]},{memship_group48[68:64] & ldfj[68:64]}};
1883 assign ldsv0grp49 = memship_group49[63:0] & ldfj[63:0];
1884 assign ldsv1grp49 = memship_group49[63:0] & ldfi[63:0];
1885 assign ldsv2grp49 = {54'b0,{memship_group49[68:64] & ldfi[68:64]},{memship_group49[68:64] & ldfj[68:64]}};
1886 assign ldsv0grp50 = memship_group50[63:0] & ldfj[63:0];
1887 assign ldsv1grp50 = memship_group50[63:0] & ldfi[63:0];
1888 assign ldsv2grp50 = {54'b0,{memship_group50[68:64] & ldfi[68:64]},{memship_group50[68:64] & ldfj[68:64]}};
1889 assign ldsv0grp51 = memship_group51[63:0] & ldfj[63:0];
1890 assign ldsv1grp51 = memship_group51[63:0] & ldfi[63:0];
1891 assign ldsv2grp51 = {54'b0,{memship_group51[68:64] & ldfi[68:64]},{memship_group51[68:64] & ldfj[68:64]}};
1892 assign ldsv0grp52 = memship_group52[63:0] & ldfj[63:0];
1893 assign ldsv1grp52 = memship_group52[63:0] & ldfi[63:0];
1894 assign ldsv2grp52 = {54'b0,{memship_group52[68:64] & ldfi[68:64]},{memship_group52[68:64] & ldfj[68:64]}};
1895 assign ldsv0grp53 = memship_group53[63:0] & ldfj[63:0];
1896 assign ldsv1grp53 = memship_group53[63:0] & ldfi[63:0];
1897 assign ldsv2grp53 = {54'b0,{memship_group53[68:64] & ldfi[68:64]},{memship_group53[68:64] & ldfj[68:64]}};
1898 assign ldsv0grp54 = memship_group54[63:0] & ldfj[63:0];
1899 assign ldsv1grp54 = memship_group54[63:0] & ldfi[63:0];
1900 assign ldsv2grp54 = {54'b0,{memship_group54[68:64] & ldfi[68:64]},{memship_group54[68:64] & ldfj[68:64]}};
1901 assign ldsv0grp55 = memship_group55[63:0] & ldfj[63:0];
1902 assign ldsv1grp55 = memship_group55[63:0] & ldfi[63:0];
1903 assign ldsv2grp55 = {54'b0,{memship_group55[68:64] & ldfi[68:64]},{memship_group55[68:64] & ldfj[68:64]}};
1904 assign ldsv0grp56 = memship_group56[63:0] & ldfj[63:0];
1905 assign ldsv1grp56 = memship_group56[63:0] & ldfi[63:0];
1906 assign ldsv2grp56 = {54'b0,{memship_group56[68:64] & ldfi[68:64]},{memship_group56[68:64] & ldfj[68:64]}};
1907 assign ldsv0grp57 = memship_group57[63:0] & ldfj[63:0];
1908 assign ldsv1grp57 = memship_group57[63:0] & ldfi[63:0];
1909 assign ldsv2grp57 = {54'b0,{memship_group57[68:64] & ldfi[68:64]},{memship_group57[68:64] & ldfj[68:64]}};
1910 assign ldsv0grp58 = memship_group58[63:0] & ldfj[63:0];
1911 assign ldsv1grp58 = memship_group58[63:0] & ldfi[63:0];
1912 assign ldsv2grp58 = {54'b0,{memship_group58[68:64] & ldfi[68:64]},{memship_group58[68:64] & ldfj[68:64]}};
1913 assign ldsv0grp59 = memship_group59[63:0] & ldfj[63:0];
1914 assign ldsv1grp59 = memship_group59[63:0] & ldfi[63:0];
1915 assign ldsv2grp59 = {54'b0,{memship_group59[68:64] & ldfi[68:64]},{memship_group59[68:64] & ldfj[68:64]}};
1916 assign ldsv0grp60 = memship_group60[63:0] & ldfj[63:0];
1917 assign ldsv1grp60 = memship_group60[63:0] & ldfi[63:0];
1918 assign ldsv2grp60 = {54'b0,{memship_group60[68:64] & ldfi[68:64]},{memship_group60[68:64] & ldfj[68:64]}};
1919 assign ldsv0grp61 = memship_group61[63:0] & ldfj[63:0];
1920 assign ldsv1grp61 = memship_group61[63:0] & ldfi[63:0];
1921 assign ldsv2grp61 = {54'b0,{memship_group61[68:64] & ldfi[68:64]},{memship_group61[68:64] & ldfj[68:64]}};
1922 assign ldsv0grp62 = memship_group62[63:0] & ldfj[63:0];
1923 assign ldsv1grp62 = memship_group62[63:0] & ldfi[63:0];
1924 assign ldsv2grp62 = {54'b0,{memship_group62[68:64] & ldfi[68:64]},{memship_group62[68:64] & ldfj[68:64]}};
1925 assign ldsv0grp63 = memship_group63[63:0] & ldfj[63:0];
1926 assign ldsv1grp63 = memship_group63[63:0] & ldfi[63:0];
1927 assign ldsv2grp63 = {54'b0,{memship_group63[68:64] & ldfi[68:64]},{memship_group63[68:64] & ldfj[68:64]}};
1928
1929// logical device interrupt mask register. 69 count
1930pio_xREG2 #(2) ldf_mask0_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask0 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask0 [1:0]));
1931pio_xREG2 #(2) ldf_mask1_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask1 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask1 [1:0]));
1932pio_xREG2 #(2) ldf_mask2_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask2 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask2 [1:0]));
1933pio_xREG2 #(2) ldf_mask3_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask3 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask3 [1:0]));
1934pio_xREG2 #(2) ldf_mask4_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask4 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask4 [1:0]));
1935pio_xREG2 #(2) ldf_mask5_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask5 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask5 [1:0]));
1936pio_xREG2 #(2) ldf_mask6_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask6 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask6 [1:0]));
1937pio_xREG2 #(2) ldf_mask7_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask7 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask7 [1:0]));
1938pio_xREG2 #(2) ldf_mask8_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask8 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask8 [1:0]));
1939pio_xREG2 #(2) ldf_mask9_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask9 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask9 [1:0]));
1940pio_xREG2 #(2) ldf_mask10_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask10 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask10[1:0]));
1941pio_xREG2 #(2) ldf_mask11_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask11 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask11[1:0]));
1942pio_xREG2 #(2) ldf_mask12_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask12 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask12[1:0]));
1943pio_xREG2 #(2) ldf_mask13_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask13 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask13[1:0]));
1944pio_xREG2 #(2) ldf_mask14_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask14 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask14[1:0]));
1945pio_xREG2 #(2) ldf_mask15_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask15 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask15[1:0]));
1946pio_xREG2 #(2) ldf_mask16_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask16 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask16[1:0]));
1947pio_xREG2 #(2) ldf_mask17_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask17 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask17[1:0]));
1948pio_xREG2 #(2) ldf_mask18_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask18 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask18[1:0]));
1949pio_xREG2 #(2) ldf_mask19_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask19 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask19[1:0]));
1950pio_xREG2 #(2) ldf_mask20_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask20 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask20[1:0]));
1951pio_xREG2 #(2) ldf_mask21_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask21 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask21[1:0]));
1952pio_xREG2 #(2) ldf_mask22_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask22 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask22[1:0]));
1953pio_xREG2 #(2) ldf_mask23_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask23 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask23[1:0]));
1954pio_xREG2 #(2) ldf_mask24_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask24 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask24[1:0]));
1955pio_xREG2 #(2) ldf_mask25_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask25 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask25[1:0]));
1956pio_xREG2 #(2) ldf_mask26_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask26 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask26[1:0]));
1957pio_xREG2 #(2) ldf_mask27_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask27 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask27[1:0]));
1958pio_xREG2 #(2) ldf_mask28_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask28 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask28[1:0]));
1959pio_xREG2 #(2) ldf_mask29_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask29 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask29[1:0]));
1960pio_xREG2 #(2) ldf_mask30_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask30 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask30[1:0]));
1961pio_xREG2 #(2) ldf_mask31_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask31 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask31[1:0]));
1962pio_xREG2 #(2) ldf_mask32_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask32 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask32[1:0]));
1963pio_xREG2 #(2) ldf_mask33_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask33 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask33[1:0]));
1964pio_xREG2 #(2) ldf_mask34_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask34 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask34[1:0]));
1965pio_xREG2 #(2) ldf_mask35_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask35 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask35[1:0]));
1966pio_xREG2 #(2) ldf_mask36_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask36 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask36[1:0]));
1967pio_xREG2 #(2) ldf_mask37_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask37 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask37[1:0]));
1968pio_xREG2 #(2) ldf_mask38_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask38 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask38[1:0]));
1969pio_xREG2 #(2) ldf_mask39_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask39 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask39[1:0]));
1970pio_xREG2 #(2) ldf_mask40_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask40 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask40[1:0]));
1971pio_xREG2 #(2) ldf_mask41_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask41 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask41[1:0]));
1972pio_xREG2 #(2) ldf_mask42_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask42 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask42[1:0]));
1973pio_xREG2 #(2) ldf_mask43_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask43 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask43[1:0]));
1974pio_xREG2 #(2) ldf_mask44_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask44 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask44[1:0]));
1975pio_xREG2 #(2) ldf_mask45_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask45 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask45[1:0]));
1976pio_xREG2 #(2) ldf_mask46_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask46 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask46[1:0]));
1977pio_xREG2 #(2) ldf_mask47_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask47 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask47[1:0]));
1978pio_xREG2 #(2) ldf_mask48_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask48 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask48[1:0]));
1979pio_xREG2 #(2) ldf_mask49_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask49 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask49[1:0]));
1980pio_xREG2 #(2) ldf_mask50_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask50 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask50[1:0]));
1981pio_xREG2 #(2) ldf_mask51_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask51 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask51[1:0]));
1982pio_xREG2 #(2) ldf_mask52_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask52 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask52[1:0]));
1983pio_xREG2 #(2) ldf_mask53_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask53 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask53[1:0]));
1984pio_xREG2 #(2) ldf_mask54_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask54 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask54[1:0]));
1985pio_xREG2 #(2) ldf_mask55_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask55 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask55[1:0]));
1986pio_xREG2 #(2) ldf_mask56_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask56 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask56[1:0]));
1987pio_xREG2 #(2) ldf_mask57_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask57 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask57[1:0]));
1988pio_xREG2 #(2) ldf_mask58_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask58 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask58[1:0]));
1989pio_xREG2 #(2) ldf_mask59_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask59 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask59[1:0]));
1990pio_xREG2 #(2) ldf_mask60_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask60 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask60[1:0]));
1991pio_xREG2 #(2) ldf_mask61_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask61 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask61[1:0]));
1992pio_xREG2 #(2) ldf_mask62_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask62 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask62[1:0]));
1993pio_xREG2 #(2) ldf_mask63_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask63 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask63[1:0]));
1994pio_xREG2 #(2) ldf_mask64_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask64 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask64[1:0]));
1995pio_xREG2 #(2) ldf_mask65_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask65 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask65[1:0]));
1996pio_xREG2 #(2) ldf_mask66_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask66 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask66[1:0]));
1997pio_xREG2 #(2) ldf_mask67_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask67 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask67[1:0]));
1998pio_xREG2 #(2) ldf_mask68_xREG2 (.clk(clk),.reset(reset),.reset_value(2'b11),.load(ld_ldf_mask68 & !pio_32b_wr),.din(wr_data[1:0]),.qout(ldf_mask68[1:0]));
1999
2000
2001// logical device group interrupt management. 64 count
2002rffre arm0_rffre (.clk(clk),.reset(reset),.rst(rst_at0 ),.en(ld_ldgim0 & !pio_32b_wr),.d(wr_data[31]),.q(arm0 ));
2003rffre arm1_rffre (.clk(clk),.reset(reset),.rst(rst_at1 ),.en(ld_ldgim1 & !pio_32b_wr),.d(wr_data[31]),.q(arm1 ));
2004rffre arm2_rffre (.clk(clk),.reset(reset),.rst(rst_at2 ),.en(ld_ldgim2 & !pio_32b_wr),.d(wr_data[31]),.q(arm2 ));
2005rffre arm3_rffre (.clk(clk),.reset(reset),.rst(rst_at3 ),.en(ld_ldgim3 & !pio_32b_wr),.d(wr_data[31]),.q(arm3 ));
2006rffre arm4_rffre (.clk(clk),.reset(reset),.rst(rst_at4 ),.en(ld_ldgim4 & !pio_32b_wr),.d(wr_data[31]),.q(arm4 ));
2007rffre arm5_rffre (.clk(clk),.reset(reset),.rst(rst_at5 ),.en(ld_ldgim5 & !pio_32b_wr),.d(wr_data[31]),.q(arm5 ));
2008rffre arm6_rffre (.clk(clk),.reset(reset),.rst(rst_at6 ),.en(ld_ldgim6 & !pio_32b_wr),.d(wr_data[31]),.q(arm6 ));
2009rffre arm7_rffre (.clk(clk),.reset(reset),.rst(rst_at7 ),.en(ld_ldgim7 & !pio_32b_wr),.d(wr_data[31]),.q(arm7 ));
2010rffre arm8_rffre (.clk(clk),.reset(reset),.rst(rst_at8 ),.en(ld_ldgim8 & !pio_32b_wr),.d(wr_data[31]),.q(arm8 ));
2011rffre arm9_rffre (.clk(clk),.reset(reset),.rst(rst_at9 ),.en(ld_ldgim9 & !pio_32b_wr),.d(wr_data[31]),.q(arm9 ));
2012rffre arm10_rffre (.clk(clk),.reset(reset),.rst(rst_at10),.en(ld_ldgim10 & !pio_32b_wr),.d(wr_data[31]),.q(arm10));
2013rffre arm11_rffre (.clk(clk),.reset(reset),.rst(rst_at11),.en(ld_ldgim11 & !pio_32b_wr),.d(wr_data[31]),.q(arm11));
2014rffre arm12_rffre (.clk(clk),.reset(reset),.rst(rst_at12),.en(ld_ldgim12 & !pio_32b_wr),.d(wr_data[31]),.q(arm12));
2015rffre arm13_rffre (.clk(clk),.reset(reset),.rst(rst_at13),.en(ld_ldgim13 & !pio_32b_wr),.d(wr_data[31]),.q(arm13));
2016rffre arm14_rffre (.clk(clk),.reset(reset),.rst(rst_at14),.en(ld_ldgim14 & !pio_32b_wr),.d(wr_data[31]),.q(arm14));
2017rffre arm15_rffre (.clk(clk),.reset(reset),.rst(rst_at15),.en(ld_ldgim15 & !pio_32b_wr),.d(wr_data[31]),.q(arm15));
2018rffre arm16_rffre (.clk(clk),.reset(reset),.rst(rst_at16),.en(ld_ldgim16 & !pio_32b_wr),.d(wr_data[31]),.q(arm16));
2019rffre arm17_rffre (.clk(clk),.reset(reset),.rst(rst_at17),.en(ld_ldgim17 & !pio_32b_wr),.d(wr_data[31]),.q(arm17));
2020rffre arm18_rffre (.clk(clk),.reset(reset),.rst(rst_at18),.en(ld_ldgim18 & !pio_32b_wr),.d(wr_data[31]),.q(arm18));
2021rffre arm19_rffre (.clk(clk),.reset(reset),.rst(rst_at19),.en(ld_ldgim19 & !pio_32b_wr),.d(wr_data[31]),.q(arm19));
2022rffre arm20_rffre (.clk(clk),.reset(reset),.rst(rst_at20),.en(ld_ldgim20 & !pio_32b_wr),.d(wr_data[31]),.q(arm20));
2023rffre arm21_rffre (.clk(clk),.reset(reset),.rst(rst_at21),.en(ld_ldgim21 & !pio_32b_wr),.d(wr_data[31]),.q(arm21));
2024rffre arm22_rffre (.clk(clk),.reset(reset),.rst(rst_at22),.en(ld_ldgim22 & !pio_32b_wr),.d(wr_data[31]),.q(arm22));
2025rffre arm23_rffre (.clk(clk),.reset(reset),.rst(rst_at23),.en(ld_ldgim23 & !pio_32b_wr),.d(wr_data[31]),.q(arm23));
2026rffre arm24_rffre (.clk(clk),.reset(reset),.rst(rst_at24),.en(ld_ldgim24 & !pio_32b_wr),.d(wr_data[31]),.q(arm24));
2027rffre arm25_rffre (.clk(clk),.reset(reset),.rst(rst_at25),.en(ld_ldgim25 & !pio_32b_wr),.d(wr_data[31]),.q(arm25));
2028rffre arm26_rffre (.clk(clk),.reset(reset),.rst(rst_at26),.en(ld_ldgim26 & !pio_32b_wr),.d(wr_data[31]),.q(arm26));
2029rffre arm27_rffre (.clk(clk),.reset(reset),.rst(rst_at27),.en(ld_ldgim27 & !pio_32b_wr),.d(wr_data[31]),.q(arm27));
2030rffre arm28_rffre (.clk(clk),.reset(reset),.rst(rst_at28),.en(ld_ldgim28 & !pio_32b_wr),.d(wr_data[31]),.q(arm28));
2031rffre arm29_rffre (.clk(clk),.reset(reset),.rst(rst_at29),.en(ld_ldgim29 & !pio_32b_wr),.d(wr_data[31]),.q(arm29));
2032rffre arm30_rffre (.clk(clk),.reset(reset),.rst(rst_at30),.en(ld_ldgim30 & !pio_32b_wr),.d(wr_data[31]),.q(arm30));
2033rffre arm31_rffre (.clk(clk),.reset(reset),.rst(rst_at31),.en(ld_ldgim31 & !pio_32b_wr),.d(wr_data[31]),.q(arm31));
2034rffre arm32_rffre (.clk(clk),.reset(reset),.rst(rst_at32),.en(ld_ldgim32 & !pio_32b_wr),.d(wr_data[31]),.q(arm32));
2035rffre arm33_rffre (.clk(clk),.reset(reset),.rst(rst_at33),.en(ld_ldgim33 & !pio_32b_wr),.d(wr_data[31]),.q(arm33));
2036rffre arm34_rffre (.clk(clk),.reset(reset),.rst(rst_at34),.en(ld_ldgim34 & !pio_32b_wr),.d(wr_data[31]),.q(arm34));
2037rffre arm35_rffre (.clk(clk),.reset(reset),.rst(rst_at35),.en(ld_ldgim35 & !pio_32b_wr),.d(wr_data[31]),.q(arm35));
2038rffre arm36_rffre (.clk(clk),.reset(reset),.rst(rst_at36),.en(ld_ldgim36 & !pio_32b_wr),.d(wr_data[31]),.q(arm36));
2039rffre arm37_rffre (.clk(clk),.reset(reset),.rst(rst_at37),.en(ld_ldgim37 & !pio_32b_wr),.d(wr_data[31]),.q(arm37));
2040rffre arm38_rffre (.clk(clk),.reset(reset),.rst(rst_at38),.en(ld_ldgim38 & !pio_32b_wr),.d(wr_data[31]),.q(arm38));
2041rffre arm39_rffre (.clk(clk),.reset(reset),.rst(rst_at39),.en(ld_ldgim39 & !pio_32b_wr),.d(wr_data[31]),.q(arm39));
2042rffre arm40_rffre (.clk(clk),.reset(reset),.rst(rst_at40),.en(ld_ldgim40 & !pio_32b_wr),.d(wr_data[31]),.q(arm40));
2043rffre arm41_rffre (.clk(clk),.reset(reset),.rst(rst_at41),.en(ld_ldgim41 & !pio_32b_wr),.d(wr_data[31]),.q(arm41));
2044rffre arm42_rffre (.clk(clk),.reset(reset),.rst(rst_at42),.en(ld_ldgim42 & !pio_32b_wr),.d(wr_data[31]),.q(arm42));
2045rffre arm43_rffre (.clk(clk),.reset(reset),.rst(rst_at43),.en(ld_ldgim43 & !pio_32b_wr),.d(wr_data[31]),.q(arm43));
2046rffre arm44_rffre (.clk(clk),.reset(reset),.rst(rst_at44),.en(ld_ldgim44 & !pio_32b_wr),.d(wr_data[31]),.q(arm44));
2047rffre arm45_rffre (.clk(clk),.reset(reset),.rst(rst_at45),.en(ld_ldgim45 & !pio_32b_wr),.d(wr_data[31]),.q(arm45));
2048rffre arm46_rffre (.clk(clk),.reset(reset),.rst(rst_at46),.en(ld_ldgim46 & !pio_32b_wr),.d(wr_data[31]),.q(arm46));
2049rffre arm47_rffre (.clk(clk),.reset(reset),.rst(rst_at47),.en(ld_ldgim47 & !pio_32b_wr),.d(wr_data[31]),.q(arm47));
2050rffre arm48_rffre (.clk(clk),.reset(reset),.rst(rst_at48),.en(ld_ldgim48 & !pio_32b_wr),.d(wr_data[31]),.q(arm48));
2051rffre arm49_rffre (.clk(clk),.reset(reset),.rst(rst_at49),.en(ld_ldgim49 & !pio_32b_wr),.d(wr_data[31]),.q(arm49));
2052rffre arm50_rffre (.clk(clk),.reset(reset),.rst(rst_at50),.en(ld_ldgim50 & !pio_32b_wr),.d(wr_data[31]),.q(arm50));
2053rffre arm51_rffre (.clk(clk),.reset(reset),.rst(rst_at51),.en(ld_ldgim51 & !pio_32b_wr),.d(wr_data[31]),.q(arm51));
2054rffre arm52_rffre (.clk(clk),.reset(reset),.rst(rst_at52),.en(ld_ldgim52 & !pio_32b_wr),.d(wr_data[31]),.q(arm52));
2055rffre arm53_rffre (.clk(clk),.reset(reset),.rst(rst_at53),.en(ld_ldgim53 & !pio_32b_wr),.d(wr_data[31]),.q(arm53));
2056rffre arm54_rffre (.clk(clk),.reset(reset),.rst(rst_at54),.en(ld_ldgim54 & !pio_32b_wr),.d(wr_data[31]),.q(arm54));
2057rffre arm55_rffre (.clk(clk),.reset(reset),.rst(rst_at55),.en(ld_ldgim55 & !pio_32b_wr),.d(wr_data[31]),.q(arm55));
2058rffre arm56_rffre (.clk(clk),.reset(reset),.rst(rst_at56),.en(ld_ldgim56 & !pio_32b_wr),.d(wr_data[31]),.q(arm56));
2059rffre arm57_rffre (.clk(clk),.reset(reset),.rst(rst_at57),.en(ld_ldgim57 & !pio_32b_wr),.d(wr_data[31]),.q(arm57));
2060rffre arm58_rffre (.clk(clk),.reset(reset),.rst(rst_at58),.en(ld_ldgim58 & !pio_32b_wr),.d(wr_data[31]),.q(arm58));
2061rffre arm59_rffre (.clk(clk),.reset(reset),.rst(rst_at59),.en(ld_ldgim59 & !pio_32b_wr),.d(wr_data[31]),.q(arm59));
2062rffre arm60_rffre (.clk(clk),.reset(reset),.rst(rst_at60),.en(ld_ldgim60 & !pio_32b_wr),.d(wr_data[31]),.q(arm60));
2063rffre arm61_rffre (.clk(clk),.reset(reset),.rst(rst_at61),.en(ld_ldgim61 & !pio_32b_wr),.d(wr_data[31]),.q(arm61));
2064rffre arm62_rffre (.clk(clk),.reset(reset),.rst(rst_at62),.en(ld_ldgim62 & !pio_32b_wr),.d(wr_data[31]),.q(arm62));
2065rffre arm63_rffre (.clk(clk),.reset(reset),.rst(rst_at63),.en(ld_ldgim63 & !pio_32b_wr),.d(wr_data[31]),.q(arm63));
2066
2067timer_6bit timer0_6bit (.clk(clk),.reset(reset),.rst(rst_at0 ),.dec(dec_timer),.en(ld_ldgim0 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer0 [5:0]));
2068timer_6bit timer1_6bit (.clk(clk),.reset(reset),.rst(rst_at1 ),.dec(dec_timer),.en(ld_ldgim1 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer1 [5:0]));
2069timer_6bit timer2_6bit (.clk(clk),.reset(reset),.rst(rst_at2 ),.dec(dec_timer),.en(ld_ldgim2 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer2 [5:0]));
2070timer_6bit timer3_6bit (.clk(clk),.reset(reset),.rst(rst_at3 ),.dec(dec_timer),.en(ld_ldgim3 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer3 [5:0]));
2071timer_6bit timer4_6bit (.clk(clk),.reset(reset),.rst(rst_at4 ),.dec(dec_timer),.en(ld_ldgim4 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer4 [5:0]));
2072timer_6bit timer5_6bit (.clk(clk),.reset(reset),.rst(rst_at5 ),.dec(dec_timer),.en(ld_ldgim5 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer5 [5:0]));
2073timer_6bit timer6_6bit (.clk(clk),.reset(reset),.rst(rst_at6 ),.dec(dec_timer),.en(ld_ldgim6 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer6 [5:0]));
2074timer_6bit timer7_6bit (.clk(clk),.reset(reset),.rst(rst_at7 ),.dec(dec_timer),.en(ld_ldgim7 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer7 [5:0]));
2075timer_6bit timer8_6bit (.clk(clk),.reset(reset),.rst(rst_at8 ),.dec(dec_timer),.en(ld_ldgim8 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer8 [5:0]));
2076timer_6bit timer9_6bit (.clk(clk),.reset(reset),.rst(rst_at9 ),.dec(dec_timer),.en(ld_ldgim9 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer9 [5:0]));
2077timer_6bit timer10_6bit (.clk(clk),.reset(reset),.rst(rst_at10),.dec(dec_timer),.en(ld_ldgim10 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer10[5:0]));
2078timer_6bit timer11_6bit (.clk(clk),.reset(reset),.rst(rst_at11),.dec(dec_timer),.en(ld_ldgim11 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer11[5:0]));
2079timer_6bit timer12_6bit (.clk(clk),.reset(reset),.rst(rst_at12),.dec(dec_timer),.en(ld_ldgim12 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer12[5:0]));
2080timer_6bit timer13_6bit (.clk(clk),.reset(reset),.rst(rst_at13),.dec(dec_timer),.en(ld_ldgim13 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer13[5:0]));
2081timer_6bit timer14_6bit (.clk(clk),.reset(reset),.rst(rst_at14),.dec(dec_timer),.en(ld_ldgim14 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer14[5:0]));
2082timer_6bit timer15_6bit (.clk(clk),.reset(reset),.rst(rst_at15),.dec(dec_timer),.en(ld_ldgim15 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer15[5:0]));
2083timer_6bit timer16_6bit (.clk(clk),.reset(reset),.rst(rst_at16),.dec(dec_timer),.en(ld_ldgim16 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer16[5:0]));
2084timer_6bit timer17_6bit (.clk(clk),.reset(reset),.rst(rst_at17),.dec(dec_timer),.en(ld_ldgim17 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer17[5:0]));
2085timer_6bit timer18_6bit (.clk(clk),.reset(reset),.rst(rst_at18),.dec(dec_timer),.en(ld_ldgim18 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer18[5:0]));
2086timer_6bit timer19_6bit (.clk(clk),.reset(reset),.rst(rst_at19),.dec(dec_timer),.en(ld_ldgim19 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer19[5:0]));
2087timer_6bit timer20_6bit (.clk(clk),.reset(reset),.rst(rst_at20),.dec(dec_timer),.en(ld_ldgim20 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer20[5:0]));
2088timer_6bit timer21_6bit (.clk(clk),.reset(reset),.rst(rst_at21),.dec(dec_timer),.en(ld_ldgim21 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer21[5:0]));
2089timer_6bit timer22_6bit (.clk(clk),.reset(reset),.rst(rst_at22),.dec(dec_timer),.en(ld_ldgim22 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer22[5:0]));
2090timer_6bit timer23_6bit (.clk(clk),.reset(reset),.rst(rst_at23),.dec(dec_timer),.en(ld_ldgim23 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer23[5:0]));
2091timer_6bit timer24_6bit (.clk(clk),.reset(reset),.rst(rst_at24),.dec(dec_timer),.en(ld_ldgim24 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer24[5:0]));
2092timer_6bit timer25_6bit (.clk(clk),.reset(reset),.rst(rst_at25),.dec(dec_timer),.en(ld_ldgim25 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer25[5:0]));
2093timer_6bit timer26_6bit (.clk(clk),.reset(reset),.rst(rst_at26),.dec(dec_timer),.en(ld_ldgim26 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer26[5:0]));
2094timer_6bit timer27_6bit (.clk(clk),.reset(reset),.rst(rst_at27),.dec(dec_timer),.en(ld_ldgim27 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer27[5:0]));
2095timer_6bit timer28_6bit (.clk(clk),.reset(reset),.rst(rst_at28),.dec(dec_timer),.en(ld_ldgim28 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer28[5:0]));
2096timer_6bit timer29_6bit (.clk(clk),.reset(reset),.rst(rst_at29),.dec(dec_timer),.en(ld_ldgim29 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer29[5:0]));
2097timer_6bit timer30_6bit (.clk(clk),.reset(reset),.rst(rst_at30),.dec(dec_timer),.en(ld_ldgim30 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer30[5:0]));
2098timer_6bit timer31_6bit (.clk(clk),.reset(reset),.rst(rst_at31),.dec(dec_timer),.en(ld_ldgim31 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer31[5:0]));
2099timer_6bit timer32_6bit (.clk(clk),.reset(reset),.rst(rst_at32),.dec(dec_timer),.en(ld_ldgim32 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer32[5:0]));
2100timer_6bit timer33_6bit (.clk(clk),.reset(reset),.rst(rst_at33),.dec(dec_timer),.en(ld_ldgim33 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer33[5:0]));
2101timer_6bit timer34_6bit (.clk(clk),.reset(reset),.rst(rst_at34),.dec(dec_timer),.en(ld_ldgim34 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer34[5:0]));
2102timer_6bit timer35_6bit (.clk(clk),.reset(reset),.rst(rst_at35),.dec(dec_timer),.en(ld_ldgim35 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer35[5:0]));
2103timer_6bit timer36_6bit (.clk(clk),.reset(reset),.rst(rst_at36),.dec(dec_timer),.en(ld_ldgim36 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer36[5:0]));
2104timer_6bit timer37_6bit (.clk(clk),.reset(reset),.rst(rst_at37),.dec(dec_timer),.en(ld_ldgim37 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer37[5:0]));
2105timer_6bit timer38_6bit (.clk(clk),.reset(reset),.rst(rst_at38),.dec(dec_timer),.en(ld_ldgim38 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer38[5:0]));
2106timer_6bit timer39_6bit (.clk(clk),.reset(reset),.rst(rst_at39),.dec(dec_timer),.en(ld_ldgim39 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer39[5:0]));
2107timer_6bit timer40_6bit (.clk(clk),.reset(reset),.rst(rst_at40),.dec(dec_timer),.en(ld_ldgim40 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer40[5:0]));
2108timer_6bit timer41_6bit (.clk(clk),.reset(reset),.rst(rst_at41),.dec(dec_timer),.en(ld_ldgim41 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer41[5:0]));
2109timer_6bit timer42_6bit (.clk(clk),.reset(reset),.rst(rst_at42),.dec(dec_timer),.en(ld_ldgim42 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer42[5:0]));
2110timer_6bit timer43_6bit (.clk(clk),.reset(reset),.rst(rst_at43),.dec(dec_timer),.en(ld_ldgim43 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer43[5:0]));
2111timer_6bit timer44_6bit (.clk(clk),.reset(reset),.rst(rst_at44),.dec(dec_timer),.en(ld_ldgim44 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer44[5:0]));
2112timer_6bit timer45_6bit (.clk(clk),.reset(reset),.rst(rst_at45),.dec(dec_timer),.en(ld_ldgim45 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer45[5:0]));
2113timer_6bit timer46_6bit (.clk(clk),.reset(reset),.rst(rst_at46),.dec(dec_timer),.en(ld_ldgim46 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer46[5:0]));
2114timer_6bit timer47_6bit (.clk(clk),.reset(reset),.rst(rst_at47),.dec(dec_timer),.en(ld_ldgim47 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer47[5:0]));
2115timer_6bit timer48_6bit (.clk(clk),.reset(reset),.rst(rst_at48),.dec(dec_timer),.en(ld_ldgim48 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer48[5:0]));
2116timer_6bit timer49_6bit (.clk(clk),.reset(reset),.rst(rst_at49),.dec(dec_timer),.en(ld_ldgim49 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer49[5:0]));
2117timer_6bit timer50_6bit (.clk(clk),.reset(reset),.rst(rst_at50),.dec(dec_timer),.en(ld_ldgim50 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer50[5:0]));
2118timer_6bit timer51_6bit (.clk(clk),.reset(reset),.rst(rst_at51),.dec(dec_timer),.en(ld_ldgim51 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer51[5:0]));
2119timer_6bit timer52_6bit (.clk(clk),.reset(reset),.rst(rst_at52),.dec(dec_timer),.en(ld_ldgim52 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer52[5:0]));
2120timer_6bit timer53_6bit (.clk(clk),.reset(reset),.rst(rst_at53),.dec(dec_timer),.en(ld_ldgim53 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer53[5:0]));
2121timer_6bit timer54_6bit (.clk(clk),.reset(reset),.rst(rst_at54),.dec(dec_timer),.en(ld_ldgim54 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer54[5:0]));
2122timer_6bit timer55_6bit (.clk(clk),.reset(reset),.rst(rst_at55),.dec(dec_timer),.en(ld_ldgim55 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer55[5:0]));
2123timer_6bit timer56_6bit (.clk(clk),.reset(reset),.rst(rst_at56),.dec(dec_timer),.en(ld_ldgim56 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer56[5:0]));
2124timer_6bit timer57_6bit (.clk(clk),.reset(reset),.rst(rst_at57),.dec(dec_timer),.en(ld_ldgim57 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer57[5:0]));
2125timer_6bit timer58_6bit (.clk(clk),.reset(reset),.rst(rst_at58),.dec(dec_timer),.en(ld_ldgim58 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer58[5:0]));
2126timer_6bit timer59_6bit (.clk(clk),.reset(reset),.rst(rst_at59),.dec(dec_timer),.en(ld_ldgim59 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer59[5:0]));
2127timer_6bit timer60_6bit (.clk(clk),.reset(reset),.rst(rst_at60),.dec(dec_timer),.en(ld_ldgim60 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer60[5:0]));
2128timer_6bit timer61_6bit (.clk(clk),.reset(reset),.rst(rst_at61),.dec(dec_timer),.en(ld_ldgim61 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer61[5:0]));
2129timer_6bit timer62_6bit (.clk(clk),.reset(reset),.rst(rst_at62),.dec(dec_timer),.en(ld_ldgim62 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer62[5:0]));
2130timer_6bit timer63_6bit (.clk(clk),.reset(reset),.rst(rst_at63),.dec(dec_timer),.en(ld_ldgim63 & !pio_32b_wr),.d(wr_data[5:0]),.q(timer63[5:0]));
2131// timer resolution value
2132rtimer_20bit rtimer_20bit(.clk(clk),.reset(reset),.dec_timer(dec_timer),.en(ld_rtimer & !pio_32b_wr),.d(wr_data[19:0]),.q(rtimer[19:0]));
2133
2134// system interrupt data. count 64
2135dffre #(7) sid0_dffre (.clk(clk),.reset(reset),.en(ld_sid0 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid0 [6:0]));
2136dffre #(7) sid1_dffre (.clk(clk),.reset(reset),.en(ld_sid1 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid1 [6:0]));
2137dffre #(7) sid2_dffre (.clk(clk),.reset(reset),.en(ld_sid2 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid2 [6:0]));
2138dffre #(7) sid3_dffre (.clk(clk),.reset(reset),.en(ld_sid3 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid3 [6:0]));
2139dffre #(7) sid4_dffre (.clk(clk),.reset(reset),.en(ld_sid4 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid4 [6:0]));
2140dffre #(7) sid5_dffre (.clk(clk),.reset(reset),.en(ld_sid5 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid5 [6:0]));
2141dffre #(7) sid6_dffre (.clk(clk),.reset(reset),.en(ld_sid6 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid6 [6:0]));
2142dffre #(7) sid7_dffre (.clk(clk),.reset(reset),.en(ld_sid7 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid7 [6:0]));
2143dffre #(7) sid8_dffre (.clk(clk),.reset(reset),.en(ld_sid8 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid8 [6:0]));
2144dffre #(7) sid9_dffre (.clk(clk),.reset(reset),.en(ld_sid9 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid9 [6:0]));
2145dffre #(7) sid10_dffre (.clk(clk),.reset(reset),.en(ld_sid10 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid10[6:0]));
2146dffre #(7) sid11_dffre (.clk(clk),.reset(reset),.en(ld_sid11 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid11[6:0]));
2147dffre #(7) sid12_dffre (.clk(clk),.reset(reset),.en(ld_sid12 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid12[6:0]));
2148dffre #(7) sid13_dffre (.clk(clk),.reset(reset),.en(ld_sid13 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid13[6:0]));
2149dffre #(7) sid14_dffre (.clk(clk),.reset(reset),.en(ld_sid14 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid14[6:0]));
2150dffre #(7) sid15_dffre (.clk(clk),.reset(reset),.en(ld_sid15 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid15[6:0]));
2151dffre #(7) sid16_dffre (.clk(clk),.reset(reset),.en(ld_sid16 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid16[6:0]));
2152dffre #(7) sid17_dffre (.clk(clk),.reset(reset),.en(ld_sid17 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid17[6:0]));
2153dffre #(7) sid18_dffre (.clk(clk),.reset(reset),.en(ld_sid18 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid18[6:0]));
2154dffre #(7) sid19_dffre (.clk(clk),.reset(reset),.en(ld_sid19 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid19[6:0]));
2155dffre #(7) sid20_dffre (.clk(clk),.reset(reset),.en(ld_sid20 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid20[6:0]));
2156dffre #(7) sid21_dffre (.clk(clk),.reset(reset),.en(ld_sid21 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid21[6:0]));
2157dffre #(7) sid22_dffre (.clk(clk),.reset(reset),.en(ld_sid22 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid22[6:0]));
2158dffre #(7) sid23_dffre (.clk(clk),.reset(reset),.en(ld_sid23 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid23[6:0]));
2159dffre #(7) sid24_dffre (.clk(clk),.reset(reset),.en(ld_sid24 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid24[6:0]));
2160dffre #(7) sid25_dffre (.clk(clk),.reset(reset),.en(ld_sid25 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid25[6:0]));
2161dffre #(7) sid26_dffre (.clk(clk),.reset(reset),.en(ld_sid26 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid26[6:0]));
2162dffre #(7) sid27_dffre (.clk(clk),.reset(reset),.en(ld_sid27 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid27[6:0]));
2163dffre #(7) sid28_dffre (.clk(clk),.reset(reset),.en(ld_sid28 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid28[6:0]));
2164dffre #(7) sid29_dffre (.clk(clk),.reset(reset),.en(ld_sid29 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid29[6:0]));
2165dffre #(7) sid30_dffre (.clk(clk),.reset(reset),.en(ld_sid30 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid30[6:0]));
2166dffre #(7) sid31_dffre (.clk(clk),.reset(reset),.en(ld_sid31 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid31[6:0]));
2167dffre #(7) sid32_dffre (.clk(clk),.reset(reset),.en(ld_sid32 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid32[6:0]));
2168dffre #(7) sid33_dffre (.clk(clk),.reset(reset),.en(ld_sid33 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid33[6:0]));
2169dffre #(7) sid34_dffre (.clk(clk),.reset(reset),.en(ld_sid34 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid34[6:0]));
2170dffre #(7) sid35_dffre (.clk(clk),.reset(reset),.en(ld_sid35 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid35[6:0]));
2171dffre #(7) sid36_dffre (.clk(clk),.reset(reset),.en(ld_sid36 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid36[6:0]));
2172dffre #(7) sid37_dffre (.clk(clk),.reset(reset),.en(ld_sid37 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid37[6:0]));
2173dffre #(7) sid38_dffre (.clk(clk),.reset(reset),.en(ld_sid38 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid38[6:0]));
2174dffre #(7) sid39_dffre (.clk(clk),.reset(reset),.en(ld_sid39 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid39[6:0]));
2175dffre #(7) sid40_dffre (.clk(clk),.reset(reset),.en(ld_sid40 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid40[6:0]));
2176dffre #(7) sid41_dffre (.clk(clk),.reset(reset),.en(ld_sid41 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid41[6:0]));
2177dffre #(7) sid42_dffre (.clk(clk),.reset(reset),.en(ld_sid42 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid42[6:0]));
2178dffre #(7) sid43_dffre (.clk(clk),.reset(reset),.en(ld_sid43 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid43[6:0]));
2179dffre #(7) sid44_dffre (.clk(clk),.reset(reset),.en(ld_sid44 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid44[6:0]));
2180dffre #(7) sid45_dffre (.clk(clk),.reset(reset),.en(ld_sid45 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid45[6:0]));
2181dffre #(7) sid46_dffre (.clk(clk),.reset(reset),.en(ld_sid46 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid46[6:0]));
2182dffre #(7) sid47_dffre (.clk(clk),.reset(reset),.en(ld_sid47 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid47[6:0]));
2183dffre #(7) sid48_dffre (.clk(clk),.reset(reset),.en(ld_sid48 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid48[6:0]));
2184dffre #(7) sid49_dffre (.clk(clk),.reset(reset),.en(ld_sid49 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid49[6:0]));
2185dffre #(7) sid50_dffre (.clk(clk),.reset(reset),.en(ld_sid50 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid50[6:0]));
2186dffre #(7) sid51_dffre (.clk(clk),.reset(reset),.en(ld_sid51 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid51[6:0]));
2187dffre #(7) sid52_dffre (.clk(clk),.reset(reset),.en(ld_sid52 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid52[6:0]));
2188dffre #(7) sid53_dffre (.clk(clk),.reset(reset),.en(ld_sid53 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid53[6:0]));
2189dffre #(7) sid54_dffre (.clk(clk),.reset(reset),.en(ld_sid54 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid54[6:0]));
2190dffre #(7) sid55_dffre (.clk(clk),.reset(reset),.en(ld_sid55 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid55[6:0]));
2191dffre #(7) sid56_dffre (.clk(clk),.reset(reset),.en(ld_sid56 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid56[6:0]));
2192dffre #(7) sid57_dffre (.clk(clk),.reset(reset),.en(ld_sid57 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid57[6:0]));
2193dffre #(7) sid58_dffre (.clk(clk),.reset(reset),.en(ld_sid58 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid58[6:0]));
2194dffre #(7) sid59_dffre (.clk(clk),.reset(reset),.en(ld_sid59 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid59[6:0]));
2195dffre #(7) sid60_dffre (.clk(clk),.reset(reset),.en(ld_sid60 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid60[6:0]));
2196dffre #(7) sid61_dffre (.clk(clk),.reset(reset),.en(ld_sid61 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid61[6:0]));
2197dffre #(7) sid62_dffre (.clk(clk),.reset(reset),.en(ld_sid62 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid62[6:0]));
2198dffre #(7) sid63_dffre (.clk(clk),.reset(reset),.en(ld_sid63 & !pio_32b_wr),.d(wr_data[6:0]),.q(sid63[6:0]));
2199// sid selection
2200
2201always @ (/*AUTOSENSE*/group or sid0 or sid1 or sid10 or sid11
2202 or sid12 or sid13 or sid14 or sid15 or sid16 or sid17
2203 or sid18 or sid19 or sid2 or sid20 or sid21 or sid22
2204 or sid23 or sid24 or sid25 or sid26 or sid27 or sid28
2205 or sid29 or sid3 or sid30 or sid31 or sid32 or sid33
2206 or sid34 or sid35 or sid36 or sid37 or sid38 or sid39
2207 or sid4 or sid40 or sid41 or sid42 or sid43 or sid44
2208 or sid45 or sid46 or sid47 or sid48 or sid49 or sid5
2209 or sid50 or sid51 or sid52 or sid53 or sid54 or sid55
2210 or sid56 or sid57 or sid58 or sid59 or sid6 or sid60
2211 or sid61 or sid62 or sid63 or sid7 or sid8 or sid9)
2212 begin
2213 msi_data = sid0 [6:0];
2214 case(group[5:0])
2215 6'd0 : msi_data = sid0 [6:0];
2216 6'd1 : msi_data = sid1 [6:0];
2217 6'd2 : msi_data = sid2 [6:0];
2218 6'd3 : msi_data = sid3 [6:0];
2219 6'd4 : msi_data = sid4 [6:0];
2220 6'd5 : msi_data = sid5 [6:0];
2221 6'd6 : msi_data = sid6 [6:0];
2222 6'd7 : msi_data = sid7 [6:0];
2223 6'd8 : msi_data = sid8 [6:0];
2224 6'd9 : msi_data = sid9 [6:0];
2225 6'd10: msi_data = sid10[6:0];
2226 6'd11: msi_data = sid11[6:0];
2227 6'd12: msi_data = sid12[6:0];
2228 6'd13: msi_data = sid13[6:0];
2229 6'd14: msi_data = sid14[6:0];
2230 6'd15: msi_data = sid15[6:0];
2231 6'd16: msi_data = sid16[6:0];
2232 6'd17: msi_data = sid17[6:0];
2233 6'd18: msi_data = sid18[6:0];
2234 6'd19: msi_data = sid19[6:0];
2235 6'd20: msi_data = sid20[6:0];
2236 6'd21: msi_data = sid21[6:0];
2237 6'd22: msi_data = sid22[6:0];
2238 6'd23: msi_data = sid23[6:0];
2239 6'd24: msi_data = sid24[6:0];
2240 6'd25: msi_data = sid25[6:0];
2241 6'd26: msi_data = sid26[6:0];
2242 6'd27: msi_data = sid27[6:0];
2243 6'd28: msi_data = sid28[6:0];
2244 6'd29: msi_data = sid29[6:0];
2245 6'd30: msi_data = sid30[6:0];
2246 6'd31: msi_data = sid31[6:0];
2247 6'd32: msi_data = sid32[6:0];
2248 6'd33: msi_data = sid33[6:0];
2249 6'd34: msi_data = sid34[6:0];
2250 6'd35: msi_data = sid35[6:0];
2251 6'd36: msi_data = sid36[6:0];
2252 6'd37: msi_data = sid37[6:0];
2253 6'd38: msi_data = sid38[6:0];
2254 6'd39: msi_data = sid39[6:0];
2255 6'd40: msi_data = sid40[6:0];
2256 6'd41: msi_data = sid41[6:0];
2257 6'd42: msi_data = sid42[6:0];
2258 6'd43: msi_data = sid43[6:0];
2259 6'd44: msi_data = sid44[6:0];
2260 6'd45: msi_data = sid45[6:0];
2261 6'd46: msi_data = sid46[6:0];
2262 6'd47: msi_data = sid47[6:0];
2263 6'd48: msi_data = sid48[6:0];
2264 6'd49: msi_data = sid49[6:0];
2265 6'd50: msi_data = sid50[6:0];
2266 6'd51: msi_data = sid51[6:0];
2267 6'd52: msi_data = sid52[6:0];
2268 6'd53: msi_data = sid53[6:0];
2269 6'd54: msi_data = sid54[6:0];
2270 6'd55: msi_data = sid55[6:0];
2271 6'd56: msi_data = sid56[6:0];
2272 6'd57: msi_data = sid57[6:0];
2273 6'd58: msi_data = sid58[6:0];
2274 6'd59: msi_data = sid59[6:0];
2275 6'd60: msi_data = sid60[6:0];
2276 6'd61: msi_data = sid61[6:0];
2277 6'd62: msi_data = sid62[6:0];
2278 6'd63: msi_data = sid63[6:0];
2279 endcase
2280 end
2281
2282// arm timer reset generation
2283
2284 assign rst_at0 = rst_at & (group[5:0] == 6'd0 );
2285 assign rst_at1 = rst_at & (group[5:0] == 6'd1 );
2286 assign rst_at2 = rst_at & (group[5:0] == 6'd2 );
2287 assign rst_at3 = rst_at & (group[5:0] == 6'd3 );
2288 assign rst_at4 = rst_at & (group[5:0] == 6'd4 );
2289 assign rst_at5 = rst_at & (group[5:0] == 6'd5 );
2290 assign rst_at6 = rst_at & (group[5:0] == 6'd6 );
2291 assign rst_at7 = rst_at & (group[5:0] == 6'd7 );
2292 assign rst_at8 = rst_at & (group[5:0] == 6'd8 );
2293 assign rst_at9 = rst_at & (group[5:0] == 6'd9 );
2294 assign rst_at10 = rst_at & (group[5:0] == 6'd10);
2295 assign rst_at11 = rst_at & (group[5:0] == 6'd11);
2296 assign rst_at12 = rst_at & (group[5:0] == 6'd12);
2297 assign rst_at13 = rst_at & (group[5:0] == 6'd13);
2298 assign rst_at14 = rst_at & (group[5:0] == 6'd14);
2299 assign rst_at15 = rst_at & (group[5:0] == 6'd15);
2300 assign rst_at16 = rst_at & (group[5:0] == 6'd16);
2301 assign rst_at17 = rst_at & (group[5:0] == 6'd17);
2302 assign rst_at18 = rst_at & (group[5:0] == 6'd18);
2303 assign rst_at19 = rst_at & (group[5:0] == 6'd19);
2304 assign rst_at20 = rst_at & (group[5:0] == 6'd20);
2305 assign rst_at21 = rst_at & (group[5:0] == 6'd21);
2306 assign rst_at22 = rst_at & (group[5:0] == 6'd22);
2307 assign rst_at23 = rst_at & (group[5:0] == 6'd23);
2308 assign rst_at24 = rst_at & (group[5:0] == 6'd24);
2309 assign rst_at25 = rst_at & (group[5:0] == 6'd25);
2310 assign rst_at26 = rst_at & (group[5:0] == 6'd26);
2311 assign rst_at27 = rst_at & (group[5:0] == 6'd27);
2312 assign rst_at28 = rst_at & (group[5:0] == 6'd28);
2313 assign rst_at29 = rst_at & (group[5:0] == 6'd29);
2314 assign rst_at30 = rst_at & (group[5:0] == 6'd30);
2315 assign rst_at31 = rst_at & (group[5:0] == 6'd31);
2316 assign rst_at32 = rst_at & (group[5:0] == 6'd32);
2317 assign rst_at33 = rst_at & (group[5:0] == 6'd33);
2318 assign rst_at34 = rst_at & (group[5:0] == 6'd34);
2319 assign rst_at35 = rst_at & (group[5:0] == 6'd35);
2320 assign rst_at36 = rst_at & (group[5:0] == 6'd36);
2321 assign rst_at37 = rst_at & (group[5:0] == 6'd37);
2322 assign rst_at38 = rst_at & (group[5:0] == 6'd38);
2323 assign rst_at39 = rst_at & (group[5:0] == 6'd39);
2324 assign rst_at40 = rst_at & (group[5:0] == 6'd40);
2325 assign rst_at41 = rst_at & (group[5:0] == 6'd41);
2326 assign rst_at42 = rst_at & (group[5:0] == 6'd42);
2327 assign rst_at43 = rst_at & (group[5:0] == 6'd43);
2328 assign rst_at44 = rst_at & (group[5:0] == 6'd44);
2329 assign rst_at45 = rst_at & (group[5:0] == 6'd45);
2330 assign rst_at46 = rst_at & (group[5:0] == 6'd46);
2331 assign rst_at47 = rst_at & (group[5:0] == 6'd47);
2332 assign rst_at48 = rst_at & (group[5:0] == 6'd48);
2333 assign rst_at49 = rst_at & (group[5:0] == 6'd49);
2334 assign rst_at50 = rst_at & (group[5:0] == 6'd50);
2335 assign rst_at51 = rst_at & (group[5:0] == 6'd51);
2336 assign rst_at52 = rst_at & (group[5:0] == 6'd52);
2337 assign rst_at53 = rst_at & (group[5:0] == 6'd53);
2338 assign rst_at54 = rst_at & (group[5:0] == 6'd54);
2339 assign rst_at55 = rst_at & (group[5:0] == 6'd55);
2340 assign rst_at56 = rst_at & (group[5:0] == 6'd56);
2341 assign rst_at57 = rst_at & (group[5:0] == 6'd57);
2342 assign rst_at58 = rst_at & (group[5:0] == 6'd58);
2343 assign rst_at59 = rst_at & (group[5:0] == 6'd59);
2344 assign rst_at60 = rst_at & (group[5:0] == 6'd60);
2345 assign rst_at61 = rst_at & (group[5:0] == 6'd61);
2346 assign rst_at62 = rst_at & (group[5:0] == 6'd62);
2347 assign rst_at63 = rst_at & (group[5:0] == 6'd63);
2348
2349
2350niu_pio_slv_decoder niu_pio_slv_decoder
2351(/*AUTOINST*/
2352 // Outputs
2353 .slv_ack (slv_ack),
2354 .slv_rdata (slv_rdata[63:0]),
2355 .slv_err (slv_err),
2356 .ras_dev_func_share (ras_dev_func_share),
2357 .ld_dev_func_share (ld_dev_func_share),
2358 .rd_dev_func_share (rd_dev_func_share),
2359 // Inputs
2360 .clk (clk),
2361 .reset (reset),
2362 .slv_sel_reg (slv_sel_reg),
2363 .addr (addr[18:0]),
2364 .rd (rd),
2365 .dev_func_share (dev_func_share[63:0]));
2366
2367niu_pio_fzc_slv_decoder niu_pio_fzc_slv_decoder
2368(/*AUTOINST*/
2369 // Outputs
2370 .fzc_slv_ack (fzc_slv_ack),
2371 .fzc_slv_rdata (fzc_slv_rdata[63:0]),
2372 .fzc_slv_err (fzc_slv_err),
2373 .ld_config1 (ld_config1),
2374 .ld_sys_err_mask (ld_sys_err_mask),
2375 .ld_sys_err_stat (ld_sys_err_stat),
2376 .ld_rst_ctl (ld_rst_ctl),
2377 .ld_rtimer (ld_rtimer),
2378 .ld_dma_bind0 (ld_dma_bind0),
2379 .ld_dma_bind1 (ld_dma_bind1),
2380 .ld_dma_bind2 (ld_dma_bind2),
2381 .ld_dma_bind3 (ld_dma_bind3),
2382 .ld_dma_bind4 (ld_dma_bind4),
2383 .ld_dma_bind5 (ld_dma_bind5),
2384 .ld_dma_bind6 (ld_dma_bind6),
2385 .ld_dma_bind7 (ld_dma_bind7),
2386 .ld_dma_bind8 (ld_dma_bind8),
2387 .ld_dma_bind9 (ld_dma_bind9),
2388 .ld_dma_bind10 (ld_dma_bind10),
2389 .ld_dma_bind11 (ld_dma_bind11),
2390 .ld_dma_bind12 (ld_dma_bind12),
2391 .ld_dma_bind13 (ld_dma_bind13),
2392 .ld_dma_bind14 (ld_dma_bind14),
2393 .ld_dma_bind15 (ld_dma_bind15),
2394 .ld_dma_bind16 (ld_dma_bind16),
2395 .ld_dma_bind17 (ld_dma_bind17),
2396 .ld_dma_bind18 (ld_dma_bind18),
2397 .ld_dma_bind19 (ld_dma_bind19),
2398 .ld_dma_bind20 (ld_dma_bind20),
2399 .ld_dma_bind21 (ld_dma_bind21),
2400 .ld_dma_bind22 (ld_dma_bind22),
2401 .ld_dma_bind23 (ld_dma_bind23),
2402 .ld_dma_bind24 (ld_dma_bind24),
2403 .ld_dma_bind25 (ld_dma_bind25),
2404 .ld_dma_bind26 (ld_dma_bind26),
2405 .ld_dma_bind27 (ld_dma_bind27),
2406 .ld_dma_bind28 (ld_dma_bind28),
2407 .ld_dma_bind29 (ld_dma_bind29),
2408 .ld_dma_bind30 (ld_dma_bind30),
2409 .ld_dma_bind31 (ld_dma_bind31),
2410 .ld_dma_bind32 (ld_dma_bind32),
2411 .ld_dma_bind33 (ld_dma_bind33),
2412 .ld_dma_bind34 (ld_dma_bind34),
2413 .ld_dma_bind35 (ld_dma_bind35),
2414 .ld_dma_bind36 (ld_dma_bind36),
2415 .ld_dma_bind37 (ld_dma_bind37),
2416 .ld_dma_bind38 (ld_dma_bind38),
2417 .ld_dma_bind39 (ld_dma_bind39),
2418 .ld_dma_bind40 (ld_dma_bind40),
2419 .ld_dma_bind41 (ld_dma_bind41),
2420 .ld_dma_bind42 (ld_dma_bind42),
2421 .ld_dma_bind43 (ld_dma_bind43),
2422 .ld_dma_bind44 (ld_dma_bind44),
2423 .ld_dma_bind45 (ld_dma_bind45),
2424 .ld_dma_bind46 (ld_dma_bind46),
2425 .ld_dma_bind47 (ld_dma_bind47),
2426 .ld_dma_bind48 (ld_dma_bind48),
2427 .ld_dma_bind49 (ld_dma_bind49),
2428 .ld_dma_bind50 (ld_dma_bind50),
2429 .ld_dma_bind51 (ld_dma_bind51),
2430 .ld_dma_bind52 (ld_dma_bind52),
2431 .ld_dma_bind53 (ld_dma_bind53),
2432 .ld_dma_bind54 (ld_dma_bind54),
2433 .ld_dma_bind55 (ld_dma_bind55),
2434 .ld_dma_bind56 (ld_dma_bind56),
2435 .ld_dma_bind57 (ld_dma_bind57),
2436 .ld_dma_bind58 (ld_dma_bind58),
2437 .ld_dma_bind59 (ld_dma_bind59),
2438 .ld_dma_bind60 (ld_dma_bind60),
2439 .ld_dma_bind61 (ld_dma_bind61),
2440 .ld_dma_bind62 (ld_dma_bind62),
2441 .ld_dma_bind63 (ld_dma_bind63),
2442 .ld_sid0 (ld_sid0),
2443 .ld_sid1 (ld_sid1),
2444 .ld_sid2 (ld_sid2),
2445 .ld_sid3 (ld_sid3),
2446 .ld_sid4 (ld_sid4),
2447 .ld_sid5 (ld_sid5),
2448 .ld_sid6 (ld_sid6),
2449 .ld_sid7 (ld_sid7),
2450 .ld_sid8 (ld_sid8),
2451 .ld_sid9 (ld_sid9),
2452 .ld_sid10 (ld_sid10),
2453 .ld_sid11 (ld_sid11),
2454 .ld_sid12 (ld_sid12),
2455 .ld_sid13 (ld_sid13),
2456 .ld_sid14 (ld_sid14),
2457 .ld_sid15 (ld_sid15),
2458 .ld_sid16 (ld_sid16),
2459 .ld_sid17 (ld_sid17),
2460 .ld_sid18 (ld_sid18),
2461 .ld_sid19 (ld_sid19),
2462 .ld_sid20 (ld_sid20),
2463 .ld_sid21 (ld_sid21),
2464 .ld_sid22 (ld_sid22),
2465 .ld_sid23 (ld_sid23),
2466 .ld_sid24 (ld_sid24),
2467 .ld_sid25 (ld_sid25),
2468 .ld_sid26 (ld_sid26),
2469 .ld_sid27 (ld_sid27),
2470 .ld_sid28 (ld_sid28),
2471 .ld_sid29 (ld_sid29),
2472 .ld_sid30 (ld_sid30),
2473 .ld_sid31 (ld_sid31),
2474 .ld_sid32 (ld_sid32),
2475 .ld_sid33 (ld_sid33),
2476 .ld_sid34 (ld_sid34),
2477 .ld_sid35 (ld_sid35),
2478 .ld_sid36 (ld_sid36),
2479 .ld_sid37 (ld_sid37),
2480 .ld_sid38 (ld_sid38),
2481 .ld_sid39 (ld_sid39),
2482 .ld_sid40 (ld_sid40),
2483 .ld_sid41 (ld_sid41),
2484 .ld_sid42 (ld_sid42),
2485 .ld_sid43 (ld_sid43),
2486 .ld_sid44 (ld_sid44),
2487 .ld_sid45 (ld_sid45),
2488 .ld_sid46 (ld_sid46),
2489 .ld_sid47 (ld_sid47),
2490 .ld_sid48 (ld_sid48),
2491 .ld_sid49 (ld_sid49),
2492 .ld_sid50 (ld_sid50),
2493 .ld_sid51 (ld_sid51),
2494 .ld_sid52 (ld_sid52),
2495 .ld_sid53 (ld_sid53),
2496 .ld_sid54 (ld_sid54),
2497 .ld_sid55 (ld_sid55),
2498 .ld_sid56 (ld_sid56),
2499 .ld_sid57 (ld_sid57),
2500 .ld_sid58 (ld_sid58),
2501 .ld_sid59 (ld_sid59),
2502 .ld_sid60 (ld_sid60),
2503 .ld_sid61 (ld_sid61),
2504 .ld_sid62 (ld_sid62),
2505 .ld_sid63 (ld_sid63),
2506 .ld_ldgn0 (ld_ldgn0),
2507 .ld_ldgn1 (ld_ldgn1),
2508 .ld_ldgn2 (ld_ldgn2),
2509 .ld_ldgn3 (ld_ldgn3),
2510 .ld_ldgn4 (ld_ldgn4),
2511 .ld_ldgn5 (ld_ldgn5),
2512 .ld_ldgn6 (ld_ldgn6),
2513 .ld_ldgn7 (ld_ldgn7),
2514 .ld_ldgn8 (ld_ldgn8),
2515 .ld_ldgn9 (ld_ldgn9),
2516 .ld_ldgn10 (ld_ldgn10),
2517 .ld_ldgn11 (ld_ldgn11),
2518 .ld_ldgn12 (ld_ldgn12),
2519 .ld_ldgn13 (ld_ldgn13),
2520 .ld_ldgn14 (ld_ldgn14),
2521 .ld_ldgn15 (ld_ldgn15),
2522 .ld_ldgn16 (ld_ldgn16),
2523 .ld_ldgn17 (ld_ldgn17),
2524 .ld_ldgn18 (ld_ldgn18),
2525 .ld_ldgn19 (ld_ldgn19),
2526 .ld_ldgn20 (ld_ldgn20),
2527 .ld_ldgn21 (ld_ldgn21),
2528 .ld_ldgn22 (ld_ldgn22),
2529 .ld_ldgn23 (ld_ldgn23),
2530 .ld_ldgn24 (ld_ldgn24),
2531 .ld_ldgn25 (ld_ldgn25),
2532 .ld_ldgn26 (ld_ldgn26),
2533 .ld_ldgn27 (ld_ldgn27),
2534 .ld_ldgn28 (ld_ldgn28),
2535 .ld_ldgn29 (ld_ldgn29),
2536 .ld_ldgn30 (ld_ldgn30),
2537 .ld_ldgn31 (ld_ldgn31),
2538 .ld_ldgn32 (ld_ldgn32),
2539 .ld_ldgn33 (ld_ldgn33),
2540 .ld_ldgn34 (ld_ldgn34),
2541 .ld_ldgn35 (ld_ldgn35),
2542 .ld_ldgn36 (ld_ldgn36),
2543 .ld_ldgn37 (ld_ldgn37),
2544 .ld_ldgn38 (ld_ldgn38),
2545 .ld_ldgn39 (ld_ldgn39),
2546 .ld_ldgn40 (ld_ldgn40),
2547 .ld_ldgn41 (ld_ldgn41),
2548 .ld_ldgn42 (ld_ldgn42),
2549 .ld_ldgn43 (ld_ldgn43),
2550 .ld_ldgn44 (ld_ldgn44),
2551 .ld_ldgn45 (ld_ldgn45),
2552 .ld_ldgn46 (ld_ldgn46),
2553 .ld_ldgn47 (ld_ldgn47),
2554 .ld_ldgn48 (ld_ldgn48),
2555 .ld_ldgn49 (ld_ldgn49),
2556 .ld_ldgn50 (ld_ldgn50),
2557 .ld_ldgn51 (ld_ldgn51),
2558 .ld_ldgn52 (ld_ldgn52),
2559 .ld_ldgn53 (ld_ldgn53),
2560 .ld_ldgn54 (ld_ldgn54),
2561 .ld_ldgn55 (ld_ldgn55),
2562 .ld_ldgn56 (ld_ldgn56),
2563 .ld_ldgn57 (ld_ldgn57),
2564 .ld_ldgn58 (ld_ldgn58),
2565 .ld_ldgn59 (ld_ldgn59),
2566 .ld_ldgn60 (ld_ldgn60),
2567 .ld_ldgn61 (ld_ldgn61),
2568 .ld_ldgn62 (ld_ldgn62),
2569 .ld_ldgn63 (ld_ldgn63),
2570 .ld_ldgn64 (ld_ldgn64),
2571 .ld_ldgn65 (ld_ldgn65),
2572 .ld_ldgn66 (ld_ldgn66),
2573 .ld_ldgn67 (ld_ldgn67),
2574 .ld_ldgn68 (ld_ldgn68),
2575 .ld_debug_select (ld_debug_select),
2576 .ld_arb_dout (ld_arb_dout),
2577 .ld_arb_din (ld_arb_din),
2578 .ld_TrainingVector (ld_TrainingVector),
2579 .ld_arb_ctrl (ld_arb_ctrl),
2580 .ld_arb_debug_vector (ld_arb_debug_vector),
2581 .ld_smx_meta_intr_hld (ld_smx_meta_intr_hld),
2582`ifdef NEPTUNE
2583 .ld_gpio_dout (ld_gpio_dout),
2584 .ld_gpio_en (ld_gpio_en),
2585 .ld_gpio_din (ld_gpio_din),
2586`else
2587 .ld_smx_config_data (ld_smx_config_data),
2588 .ld_smx_ctrl (ld_smx_ctrl),
2589 .ld_smx_debug_vector (ld_smx_debug_vector),
2590 .ld_smx_intr_status (ld_smx_intr_status),
2591 .ld_smx_intr_clr (ld_smx_intr_clr),
2592`endif
2593 // Inputs
2594 .niu_clk (clk),
2595 .reset (reset),
2596 .fzc_slv_sel_reg (fzc_slv_sel_reg),
2597 .addr (addr[18:0]),
2598 .rd (rd),
2599 .config1 (config1),
2600 .rst_ctl (rst_ctl[22:0]),
2601 .sys_err_mask (sys_err_mask[10:0]),
2602 .sys_err_stat (sys_err_stat[10:0]),
2603 .rtimer (rtimer[19:0]),
2604 .dma_bind0 (dma_bind0[13:0]),
2605 .dma_bind1 (dma_bind1[13:0]),
2606 .dma_bind2 (dma_bind2[13:0]),
2607 .dma_bind3 (dma_bind3[13:0]),
2608 .dma_bind4 (dma_bind4[13:0]),
2609 .dma_bind5 (dma_bind5[13:0]),
2610 .dma_bind6 (dma_bind6[13:0]),
2611 .dma_bind7 (dma_bind7[13:0]),
2612 .dma_bind8 (dma_bind8[13:0]),
2613 .dma_bind9 (dma_bind9[13:0]),
2614 .dma_bind10 (dma_bind10[13:0]),
2615 .dma_bind11 (dma_bind11[13:0]),
2616 .dma_bind12 (dma_bind12[13:0]),
2617 .dma_bind13 (dma_bind13[13:0]),
2618 .dma_bind14 (dma_bind14[13:0]),
2619 .dma_bind15 (dma_bind15[13:0]),
2620 .dma_bind16 (dma_bind16[13:0]),
2621 .dma_bind17 (dma_bind17[13:0]),
2622 .dma_bind18 (dma_bind18[13:0]),
2623 .dma_bind19 (dma_bind19[13:0]),
2624 .dma_bind20 (dma_bind20[13:0]),
2625 .dma_bind21 (dma_bind21[13:0]),
2626 .dma_bind22 (dma_bind22[13:0]),
2627 .dma_bind23 (dma_bind23[13:0]),
2628 .dma_bind24 (dma_bind24[13:0]),
2629 .dma_bind25 (dma_bind25[13:0]),
2630 .dma_bind26 (dma_bind26[13:0]),
2631 .dma_bind27 (dma_bind27[13:0]),
2632 .dma_bind28 (dma_bind28[13:0]),
2633 .dma_bind29 (dma_bind29[13:0]),
2634 .dma_bind30 (dma_bind30[13:0]),
2635 .dma_bind31 (dma_bind31[13:0]),
2636 .dma_bind32 (dma_bind32[13:0]),
2637 .dma_bind33 (dma_bind33[13:0]),
2638 .dma_bind34 (dma_bind34[13:0]),
2639 .dma_bind35 (dma_bind35[13:0]),
2640 .dma_bind36 (dma_bind36[13:0]),
2641 .dma_bind37 (dma_bind37[13:0]),
2642 .dma_bind38 (dma_bind38[13:0]),
2643 .dma_bind39 (dma_bind39[13:0]),
2644 .dma_bind40 (dma_bind40[13:0]),
2645 .dma_bind41 (dma_bind41[13:0]),
2646 .dma_bind42 (dma_bind42[13:0]),
2647 .dma_bind43 (dma_bind43[13:0]),
2648 .dma_bind44 (dma_bind44[13:0]),
2649 .dma_bind45 (dma_bind45[13:0]),
2650 .dma_bind46 (dma_bind46[13:0]),
2651 .dma_bind47 (dma_bind47[13:0]),
2652 .dma_bind48 (dma_bind48[13:0]),
2653 .dma_bind49 (dma_bind49[13:0]),
2654 .dma_bind50 (dma_bind50[13:0]),
2655 .dma_bind51 (dma_bind51[13:0]),
2656 .dma_bind52 (dma_bind52[13:0]),
2657 .dma_bind53 (dma_bind53[13:0]),
2658 .dma_bind54 (dma_bind54[13:0]),
2659 .dma_bind55 (dma_bind55[13:0]),
2660 .dma_bind56 (dma_bind56[13:0]),
2661 .dma_bind57 (dma_bind57[13:0]),
2662 .dma_bind58 (dma_bind58[13:0]),
2663 .dma_bind59 (dma_bind59[13:0]),
2664 .dma_bind60 (dma_bind60[13:0]),
2665 .dma_bind61 (dma_bind61[13:0]),
2666 .dma_bind62 (dma_bind62[13:0]),
2667 .dma_bind63 (dma_bind63[13:0]),
2668 .sid0 (sid0[6:0]),
2669 .sid1 (sid1[6:0]),
2670 .sid2 (sid2[6:0]),
2671 .sid3 (sid3[6:0]),
2672 .sid4 (sid4[6:0]),
2673 .sid5 (sid5[6:0]),
2674 .sid6 (sid6[6:0]),
2675 .sid7 (sid7[6:0]),
2676 .sid8 (sid8[6:0]),
2677 .sid9 (sid9[6:0]),
2678 .sid10 (sid10[6:0]),
2679 .sid11 (sid11[6:0]),
2680 .sid12 (sid12[6:0]),
2681 .sid13 (sid13[6:0]),
2682 .sid14 (sid14[6:0]),
2683 .sid15 (sid15[6:0]),
2684 .sid16 (sid16[6:0]),
2685 .sid17 (sid17[6:0]),
2686 .sid18 (sid18[6:0]),
2687 .sid19 (sid19[6:0]),
2688 .sid20 (sid20[6:0]),
2689 .sid21 (sid21[6:0]),
2690 .sid22 (sid22[6:0]),
2691 .sid23 (sid23[6:0]),
2692 .sid24 (sid24[6:0]),
2693 .sid25 (sid25[6:0]),
2694 .sid26 (sid26[6:0]),
2695 .sid27 (sid27[6:0]),
2696 .sid28 (sid28[6:0]),
2697 .sid29 (sid29[6:0]),
2698 .sid30 (sid30[6:0]),
2699 .sid31 (sid31[6:0]),
2700 .sid32 (sid32[6:0]),
2701 .sid33 (sid33[6:0]),
2702 .sid34 (sid34[6:0]),
2703 .sid35 (sid35[6:0]),
2704 .sid36 (sid36[6:0]),
2705 .sid37 (sid37[6:0]),
2706 .sid38 (sid38[6:0]),
2707 .sid39 (sid39[6:0]),
2708 .sid40 (sid40[6:0]),
2709 .sid41 (sid41[6:0]),
2710 .sid42 (sid42[6:0]),
2711 .sid43 (sid43[6:0]),
2712 .sid44 (sid44[6:0]),
2713 .sid45 (sid45[6:0]),
2714 .sid46 (sid46[6:0]),
2715 .sid47 (sid47[6:0]),
2716 .sid48 (sid48[6:0]),
2717 .sid49 (sid49[6:0]),
2718 .sid50 (sid50[6:0]),
2719 .sid51 (sid51[6:0]),
2720 .sid52 (sid52[6:0]),
2721 .sid53 (sid53[6:0]),
2722 .sid54 (sid54[6:0]),
2723 .sid55 (sid55[6:0]),
2724 .sid56 (sid56[6:0]),
2725 .sid57 (sid57[6:0]),
2726 .sid58 (sid58[6:0]),
2727 .sid59 (sid59[6:0]),
2728 .sid60 (sid60[6:0]),
2729 .sid61 (sid61[6:0]),
2730 .sid62 (sid62[6:0]),
2731 .sid63 (sid63[6:0]),
2732 .ldgn0 (ldgn0[5:0]),
2733 .ldgn1 (ldgn1[5:0]),
2734 .ldgn2 (ldgn2[5:0]),
2735 .ldgn3 (ldgn3[5:0]),
2736 .ldgn4 (ldgn4[5:0]),
2737 .ldgn5 (ldgn5[5:0]),
2738 .ldgn6 (ldgn6[5:0]),
2739 .ldgn7 (ldgn7[5:0]),
2740 .ldgn8 (ldgn8[5:0]),
2741 .ldgn9 (ldgn9[5:0]),
2742 .ldgn10 (ldgn10[5:0]),
2743 .ldgn11 (ldgn11[5:0]),
2744 .ldgn12 (ldgn12[5:0]),
2745 .ldgn13 (ldgn13[5:0]),
2746 .ldgn14 (ldgn14[5:0]),
2747 .ldgn15 (ldgn15[5:0]),
2748 .ldgn16 (ldgn16[5:0]),
2749 .ldgn17 (ldgn17[5:0]),
2750 .ldgn18 (ldgn18[5:0]),
2751 .ldgn19 (ldgn19[5:0]),
2752 .ldgn20 (ldgn20[5:0]),
2753 .ldgn21 (ldgn21[5:0]),
2754 .ldgn22 (ldgn22[5:0]),
2755 .ldgn23 (ldgn23[5:0]),
2756 .ldgn24 (ldgn24[5:0]),
2757 .ldgn25 (ldgn25[5:0]),
2758 .ldgn26 (ldgn26[5:0]),
2759 .ldgn27 (ldgn27[5:0]),
2760 .ldgn28 (ldgn28[5:0]),
2761 .ldgn29 (ldgn29[5:0]),
2762 .ldgn30 (ldgn30[5:0]),
2763 .ldgn31 (ldgn31[5:0]),
2764 .ldgn32 (ldgn32[5:0]),
2765 .ldgn33 (ldgn33[5:0]),
2766 .ldgn34 (ldgn34[5:0]),
2767 .ldgn35 (ldgn35[5:0]),
2768 .ldgn36 (ldgn36[5:0]),
2769 .ldgn37 (ldgn37[5:0]),
2770 .ldgn38 (ldgn38[5:0]),
2771 .ldgn39 (ldgn39[5:0]),
2772 .ldgn40 (ldgn40[5:0]),
2773 .ldgn41 (ldgn41[5:0]),
2774 .ldgn42 (ldgn42[5:0]),
2775 .ldgn43 (ldgn43[5:0]),
2776 .ldgn44 (ldgn44[5:0]),
2777 .ldgn45 (ldgn45[5:0]),
2778 .ldgn46 (ldgn46[5:0]),
2779 .ldgn47 (ldgn47[5:0]),
2780 .ldgn48 (ldgn48[5:0]),
2781 .ldgn49 (ldgn49[5:0]),
2782 .ldgn50 (ldgn50[5:0]),
2783 .ldgn51 (ldgn51[5:0]),
2784 .ldgn52 (ldgn52[5:0]),
2785 .ldgn53 (ldgn53[5:0]),
2786 .ldgn54 (ldgn54[5:0]),
2787 .ldgn55 (ldgn55[5:0]),
2788 .ldgn56 (ldgn56[5:0]),
2789 .ldgn57 (ldgn57[5:0]),
2790 .ldgn58 (ldgn58[5:0]),
2791 .ldgn59 (ldgn59[5:0]),
2792 .ldgn60 (ldgn60[5:0]),
2793 .ldgn61 (ldgn61[5:0]),
2794 .ldgn62 (ldgn62[5:0]),
2795 .ldgn63 (ldgn63[5:0]),
2796 .ldgn64 (ldgn64[5:0]),
2797 .ldgn65 (ldgn65[5:0]),
2798 .ldgn66 (ldgn66[5:0]),
2799 .ldgn67 (ldgn67[5:0]),
2800 .ldgn68 (ldgn68[5:0]),
2801 .debug_select (debug_select[5:0]),
2802 .TrainingVector (TrainingVector[31:0]),
2803 .arb_dout (arb_dout[31:0]),
2804 .arb_din_int (arb_din_int[31:0]),
2805 .arb_ctrl (arb_ctrl[31:0]),
2806 .arb_debug_vector (arb_debug_vector[31:0]),
2807 .smx_meta_intr_hld (smx_meta_intr_hld),
2808`ifdef NEPTUNE
2809 .gpio_dout (gpio_dout[15:0]),
2810 .gpio_en (gpio_en[15:0]),
2811 .gpio_din_int (gpio_din_int[15:0]));
2812`else
2813 .smx_config_data (smx_config_data[31:0]),
2814 .smx_ctrl (smx_ctrl[31:0]),
2815 .smx_intr_clr (smx_intr_clr),
2816 .smx_debug_vector (smx_debug_vector[31:0]),
2817 .smx_intr_status (smx_intr_status[31:0]));
2818`endif
2819
2820niu_pio_ldgim_decoder niu_pio_ldgim_decoder
2821(/*AUTOINST*/
2822 // Outputs
2823 .ldgim_ack (ldgim_ack),
2824 .ldgim_rdata (ldgim_rdata[63:0]),
2825 .ldgim_err (ldgim_err),
2826 .ld_ldgim0 (ld_ldgim0),
2827 .ld_ldgim1 (ld_ldgim1),
2828 .ld_ldgim2 (ld_ldgim2),
2829 .ld_ldgim3 (ld_ldgim3),
2830 .ld_ldgim4 (ld_ldgim4),
2831 .ld_ldgim5 (ld_ldgim5),
2832 .ld_ldgim6 (ld_ldgim6),
2833 .ld_ldgim7 (ld_ldgim7),
2834 .ld_ldgim8 (ld_ldgim8),
2835 .ld_ldgim9 (ld_ldgim9),
2836 .ld_ldgim10 (ld_ldgim10),
2837 .ld_ldgim11 (ld_ldgim11),
2838 .ld_ldgim12 (ld_ldgim12),
2839 .ld_ldgim13 (ld_ldgim13),
2840 .ld_ldgim14 (ld_ldgim14),
2841 .ld_ldgim15 (ld_ldgim15),
2842 .ld_ldgim16 (ld_ldgim16),
2843 .ld_ldgim17 (ld_ldgim17),
2844 .ld_ldgim18 (ld_ldgim18),
2845 .ld_ldgim19 (ld_ldgim19),
2846 .ld_ldgim20 (ld_ldgim20),
2847 .ld_ldgim21 (ld_ldgim21),
2848 .ld_ldgim22 (ld_ldgim22),
2849 .ld_ldgim23 (ld_ldgim23),
2850 .ld_ldgim24 (ld_ldgim24),
2851 .ld_ldgim25 (ld_ldgim25),
2852 .ld_ldgim26 (ld_ldgim26),
2853 .ld_ldgim27 (ld_ldgim27),
2854 .ld_ldgim28 (ld_ldgim28),
2855 .ld_ldgim29 (ld_ldgim29),
2856 .ld_ldgim30 (ld_ldgim30),
2857 .ld_ldgim31 (ld_ldgim31),
2858 .ld_ldgim32 (ld_ldgim32),
2859 .ld_ldgim33 (ld_ldgim33),
2860 .ld_ldgim34 (ld_ldgim34),
2861 .ld_ldgim35 (ld_ldgim35),
2862 .ld_ldgim36 (ld_ldgim36),
2863 .ld_ldgim37 (ld_ldgim37),
2864 .ld_ldgim38 (ld_ldgim38),
2865 .ld_ldgim39 (ld_ldgim39),
2866 .ld_ldgim40 (ld_ldgim40),
2867 .ld_ldgim41 (ld_ldgim41),
2868 .ld_ldgim42 (ld_ldgim42),
2869 .ld_ldgim43 (ld_ldgim43),
2870 .ld_ldgim44 (ld_ldgim44),
2871 .ld_ldgim45 (ld_ldgim45),
2872 .ld_ldgim46 (ld_ldgim46),
2873 .ld_ldgim47 (ld_ldgim47),
2874 .ld_ldgim48 (ld_ldgim48),
2875 .ld_ldgim49 (ld_ldgim49),
2876 .ld_ldgim50 (ld_ldgim50),
2877 .ld_ldgim51 (ld_ldgim51),
2878 .ld_ldgim52 (ld_ldgim52),
2879 .ld_ldgim53 (ld_ldgim53),
2880 .ld_ldgim54 (ld_ldgim54),
2881 .ld_ldgim55 (ld_ldgim55),
2882 .ld_ldgim56 (ld_ldgim56),
2883 .ld_ldgim57 (ld_ldgim57),
2884 .ld_ldgim58 (ld_ldgim58),
2885 .ld_ldgim59 (ld_ldgim59),
2886 .ld_ldgim60 (ld_ldgim60),
2887 .ld_ldgim61 (ld_ldgim61),
2888 .ld_ldgim62 (ld_ldgim62),
2889 .ld_ldgim63 (ld_ldgim63),
2890 .intr_reg_sel (intr_reg_sel),
2891 // Inputs
2892 .clk (clk),
2893 .reset (reset),
2894 .ldgim_sel_reg (ldsv_sel_reg),
2895 .addr (addr[18:0]),
2896 .rd (rd),
2897 .arm0 (arm0),
2898 .arm1 (arm1),
2899 .arm2 (arm2),
2900 .arm3 (arm3),
2901 .arm4 (arm4),
2902 .arm5 (arm5),
2903 .arm6 (arm6),
2904 .arm7 (arm7),
2905 .arm8 (arm8),
2906 .arm9 (arm9),
2907 .arm10 (arm10),
2908 .arm11 (arm11),
2909 .arm12 (arm12),
2910 .arm13 (arm13),
2911 .arm14 (arm14),
2912 .arm15 (arm15),
2913 .arm16 (arm16),
2914 .arm17 (arm17),
2915 .arm18 (arm18),
2916 .arm19 (arm19),
2917 .arm20 (arm20),
2918 .arm21 (arm21),
2919 .arm22 (arm22),
2920 .arm23 (arm23),
2921 .arm24 (arm24),
2922 .arm25 (arm25),
2923 .arm26 (arm26),
2924 .arm27 (arm27),
2925 .arm28 (arm28),
2926 .arm29 (arm29),
2927 .arm30 (arm30),
2928 .arm31 (arm31),
2929 .arm32 (arm32),
2930 .arm33 (arm33),
2931 .arm34 (arm34),
2932 .arm35 (arm35),
2933 .arm36 (arm36),
2934 .arm37 (arm37),
2935 .arm38 (arm38),
2936 .arm39 (arm39),
2937 .arm40 (arm40),
2938 .arm41 (arm41),
2939 .arm42 (arm42),
2940 .arm43 (arm43),
2941 .arm44 (arm44),
2942 .arm45 (arm45),
2943 .arm46 (arm46),
2944 .arm47 (arm47),
2945 .arm48 (arm48),
2946 .arm49 (arm49),
2947 .arm50 (arm50),
2948 .arm51 (arm51),
2949 .arm52 (arm52),
2950 .arm53 (arm53),
2951 .arm54 (arm54),
2952 .arm55 (arm55),
2953 .arm56 (arm56),
2954 .arm57 (arm57),
2955 .arm58 (arm58),
2956 .arm59 (arm59),
2957 .arm60 (arm60),
2958 .arm61 (arm61),
2959 .arm62 (arm62),
2960 .arm63 (arm63),
2961 .timer0 (timer0[5:0]),
2962 .timer1 (timer1[5:0]),
2963 .timer2 (timer2[5:0]),
2964 .timer3 (timer3[5:0]),
2965 .timer4 (timer4[5:0]),
2966 .timer5 (timer5[5:0]),
2967 .timer6 (timer6[5:0]),
2968 .timer7 (timer7[5:0]),
2969 .timer8 (timer8[5:0]),
2970 .timer9 (timer9[5:0]),
2971 .timer10 (timer10[5:0]),
2972 .timer11 (timer11[5:0]),
2973 .timer12 (timer12[5:0]),
2974 .timer13 (timer13[5:0]),
2975 .timer14 (timer14[5:0]),
2976 .timer15 (timer15[5:0]),
2977 .timer16 (timer16[5:0]),
2978 .timer17 (timer17[5:0]),
2979 .timer18 (timer18[5:0]),
2980 .timer19 (timer19[5:0]),
2981 .timer20 (timer20[5:0]),
2982 .timer21 (timer21[5:0]),
2983 .timer22 (timer22[5:0]),
2984 .timer23 (timer23[5:0]),
2985 .timer24 (timer24[5:0]),
2986 .timer25 (timer25[5:0]),
2987 .timer26 (timer26[5:0]),
2988 .timer27 (timer27[5:0]),
2989 .timer28 (timer28[5:0]),
2990 .timer29 (timer29[5:0]),
2991 .timer30 (timer30[5:0]),
2992 .timer31 (timer31[5:0]),
2993 .timer32 (timer32[5:0]),
2994 .timer33 (timer33[5:0]),
2995 .timer34 (timer34[5:0]),
2996 .timer35 (timer35[5:0]),
2997 .timer36 (timer36[5:0]),
2998 .timer37 (timer37[5:0]),
2999 .timer38 (timer38[5:0]),
3000 .timer39 (timer39[5:0]),
3001 .timer40 (timer40[5:0]),
3002 .timer41 (timer41[5:0]),
3003 .timer42 (timer42[5:0]),
3004 .timer43 (timer43[5:0]),
3005 .timer44 (timer44[5:0]),
3006 .timer45 (timer45[5:0]),
3007 .timer46 (timer46[5:0]),
3008 .timer47 (timer47[5:0]),
3009 .timer48 (timer48[5:0]),
3010 .timer49 (timer49[5:0]),
3011 .timer50 (timer50[5:0]),
3012 .timer51 (timer51[5:0]),
3013 .timer52 (timer52[5:0]),
3014 .timer53 (timer53[5:0]),
3015 .timer54 (timer54[5:0]),
3016 .timer55 (timer55[5:0]),
3017 .timer56 (timer56[5:0]),
3018 .timer57 (timer57[5:0]),
3019 .timer58 (timer58[5:0]),
3020 .timer59 (timer59[5:0]),
3021 .timer60 (timer60[5:0]),
3022 .timer61 (timer61[5:0]),
3023 .timer62 (timer62[5:0]),
3024 .timer63 (timer63[5:0]));
3025
3026niu_pio_ldsv_decoder niu_pio_ldsv_decoder
3027(/*AUTOINST*/
3028 // Outputs
3029 .ldsv_ack (ldsv_ack),
3030 .ldsv_rdata (ldsv_rdata[63:0]),
3031 .ldsv_err (ldsv_err),
3032 // Inputs
3033 .clk (clk),
3034`ifdef NEPTUNE
3035 .reset (reset),
3036`else
3037`endif
3038 .ldsv_sel_reg (ldsv_sel_reg),
3039 .addr (addr[18:0]),
3040 .rd (rd),
3041 .ldsv0grp0 (ldsv0grp0[63:0]),
3042 .ldsv1grp0 (ldsv1grp0[63:0]),
3043 .ldsv2grp0 (ldsv2grp0[63:0]),
3044 .ldsv0grp1 (ldsv0grp1[63:0]),
3045 .ldsv1grp1 (ldsv1grp1[63:0]),
3046 .ldsv2grp1 (ldsv2grp1[63:0]),
3047 .ldsv0grp2 (ldsv0grp2[63:0]),
3048 .ldsv1grp2 (ldsv1grp2[63:0]),
3049 .ldsv2grp2 (ldsv2grp2[63:0]),
3050 .ldsv0grp3 (ldsv0grp3[63:0]),
3051 .ldsv1grp3 (ldsv1grp3[63:0]),
3052 .ldsv2grp3 (ldsv2grp3[63:0]),
3053 .ldsv0grp4 (ldsv0grp4[63:0]),
3054 .ldsv1grp4 (ldsv1grp4[63:0]),
3055 .ldsv2grp4 (ldsv2grp4[63:0]),
3056 .ldsv0grp5 (ldsv0grp5[63:0]),
3057 .ldsv1grp5 (ldsv1grp5[63:0]),
3058 .ldsv2grp5 (ldsv2grp5[63:0]),
3059 .ldsv0grp6 (ldsv0grp6[63:0]),
3060 .ldsv1grp6 (ldsv1grp6[63:0]),
3061 .ldsv2grp6 (ldsv2grp6[63:0]),
3062 .ldsv0grp7 (ldsv0grp7[63:0]),
3063 .ldsv1grp7 (ldsv1grp7[63:0]),
3064 .ldsv2grp7 (ldsv2grp7[63:0]),
3065 .ldsv0grp8 (ldsv0grp8[63:0]),
3066 .ldsv1grp8 (ldsv1grp8[63:0]),
3067 .ldsv2grp8 (ldsv2grp8[63:0]),
3068 .ldsv0grp9 (ldsv0grp9[63:0]),
3069 .ldsv1grp9 (ldsv1grp9[63:0]),
3070 .ldsv2grp9 (ldsv2grp9[63:0]),
3071 .ldsv0grp10 (ldsv0grp10[63:0]),
3072 .ldsv1grp10 (ldsv1grp10[63:0]),
3073 .ldsv2grp10 (ldsv2grp10[63:0]),
3074 .ldsv0grp11 (ldsv0grp11[63:0]),
3075 .ldsv1grp11 (ldsv1grp11[63:0]),
3076 .ldsv2grp11 (ldsv2grp11[63:0]),
3077 .ldsv0grp12 (ldsv0grp12[63:0]),
3078 .ldsv1grp12 (ldsv1grp12[63:0]),
3079 .ldsv2grp12 (ldsv2grp12[63:0]),
3080 .ldsv0grp13 (ldsv0grp13[63:0]),
3081 .ldsv1grp13 (ldsv1grp13[63:0]),
3082 .ldsv2grp13 (ldsv2grp13[63:0]),
3083 .ldsv0grp14 (ldsv0grp14[63:0]),
3084 .ldsv1grp14 (ldsv1grp14[63:0]),
3085 .ldsv2grp14 (ldsv2grp14[63:0]),
3086 .ldsv0grp15 (ldsv0grp15[63:0]),
3087 .ldsv1grp15 (ldsv1grp15[63:0]),
3088 .ldsv2grp15 (ldsv2grp15[63:0]),
3089 .ldsv0grp16 (ldsv0grp16[63:0]),
3090 .ldsv1grp16 (ldsv1grp16[63:0]),
3091 .ldsv2grp16 (ldsv2grp16[63:0]),
3092 .ldsv0grp17 (ldsv0grp17[63:0]),
3093 .ldsv1grp17 (ldsv1grp17[63:0]),
3094 .ldsv2grp17 (ldsv2grp17[63:0]),
3095 .ldsv0grp18 (ldsv0grp18[63:0]),
3096 .ldsv1grp18 (ldsv1grp18[63:0]),
3097 .ldsv2grp18 (ldsv2grp18[63:0]),
3098 .ldsv0grp19 (ldsv0grp19[63:0]),
3099 .ldsv1grp19 (ldsv1grp19[63:0]),
3100 .ldsv2grp19 (ldsv2grp19[63:0]),
3101 .ldsv0grp20 (ldsv0grp20[63:0]),
3102 .ldsv1grp20 (ldsv1grp20[63:0]),
3103 .ldsv2grp20 (ldsv2grp20[63:0]),
3104 .ldsv0grp21 (ldsv0grp21[63:0]),
3105 .ldsv1grp21 (ldsv1grp21[63:0]),
3106 .ldsv2grp21 (ldsv2grp21[63:0]),
3107 .ldsv0grp22 (ldsv0grp22[63:0]),
3108 .ldsv1grp22 (ldsv1grp22[63:0]),
3109 .ldsv2grp22 (ldsv2grp22[63:0]),
3110 .ldsv0grp23 (ldsv0grp23[63:0]),
3111 .ldsv1grp23 (ldsv1grp23[63:0]),
3112 .ldsv2grp23 (ldsv2grp23[63:0]),
3113 .ldsv0grp24 (ldsv0grp24[63:0]),
3114 .ldsv1grp24 (ldsv1grp24[63:0]),
3115 .ldsv2grp24 (ldsv2grp24[63:0]),
3116 .ldsv0grp25 (ldsv0grp25[63:0]),
3117 .ldsv1grp25 (ldsv1grp25[63:0]),
3118 .ldsv2grp25 (ldsv2grp25[63:0]),
3119 .ldsv0grp26 (ldsv0grp26[63:0]),
3120 .ldsv1grp26 (ldsv1grp26[63:0]),
3121 .ldsv2grp26 (ldsv2grp26[63:0]),
3122 .ldsv0grp27 (ldsv0grp27[63:0]),
3123 .ldsv1grp27 (ldsv1grp27[63:0]),
3124 .ldsv2grp27 (ldsv2grp27[63:0]),
3125 .ldsv0grp28 (ldsv0grp28[63:0]),
3126 .ldsv1grp28 (ldsv1grp28[63:0]),
3127 .ldsv2grp28 (ldsv2grp28[63:0]),
3128 .ldsv0grp29 (ldsv0grp29[63:0]),
3129 .ldsv1grp29 (ldsv1grp29[63:0]),
3130 .ldsv2grp29 (ldsv2grp29[63:0]),
3131 .ldsv0grp30 (ldsv0grp30[63:0]),
3132 .ldsv1grp30 (ldsv1grp30[63:0]),
3133 .ldsv2grp30 (ldsv2grp30[63:0]),
3134 .ldsv0grp31 (ldsv0grp31[63:0]),
3135 .ldsv1grp31 (ldsv1grp31[63:0]),
3136 .ldsv2grp31 (ldsv2grp31[63:0]),
3137 .ldsv0grp32 (ldsv0grp32[63:0]),
3138 .ldsv1grp32 (ldsv1grp32[63:0]),
3139 .ldsv2grp32 (ldsv2grp32[63:0]),
3140 .ldsv0grp33 (ldsv0grp33[63:0]),
3141 .ldsv1grp33 (ldsv1grp33[63:0]),
3142 .ldsv2grp33 (ldsv2grp33[63:0]),
3143 .ldsv0grp34 (ldsv0grp34[63:0]),
3144 .ldsv1grp34 (ldsv1grp34[63:0]),
3145 .ldsv2grp34 (ldsv2grp34[63:0]),
3146 .ldsv0grp35 (ldsv0grp35[63:0]),
3147 .ldsv1grp35 (ldsv1grp35[63:0]),
3148 .ldsv2grp35 (ldsv2grp35[63:0]),
3149 .ldsv0grp36 (ldsv0grp36[63:0]),
3150 .ldsv1grp36 (ldsv1grp36[63:0]),
3151 .ldsv2grp36 (ldsv2grp36[63:0]),
3152 .ldsv0grp37 (ldsv0grp37[63:0]),
3153 .ldsv1grp37 (ldsv1grp37[63:0]),
3154 .ldsv2grp37 (ldsv2grp37[63:0]),
3155 .ldsv0grp38 (ldsv0grp38[63:0]),
3156 .ldsv1grp38 (ldsv1grp38[63:0]),
3157 .ldsv2grp38 (ldsv2grp38[63:0]),
3158 .ldsv0grp39 (ldsv0grp39[63:0]),
3159 .ldsv1grp39 (ldsv1grp39[63:0]),
3160 .ldsv2grp39 (ldsv2grp39[63:0]),
3161 .ldsv0grp40 (ldsv0grp40[63:0]),
3162 .ldsv1grp40 (ldsv1grp40[63:0]),
3163 .ldsv2grp40 (ldsv2grp40[63:0]),
3164 .ldsv0grp41 (ldsv0grp41[63:0]),
3165 .ldsv1grp41 (ldsv1grp41[63:0]),
3166 .ldsv2grp41 (ldsv2grp41[63:0]),
3167 .ldsv0grp42 (ldsv0grp42[63:0]),
3168 .ldsv1grp42 (ldsv1grp42[63:0]),
3169 .ldsv2grp42 (ldsv2grp42[63:0]),
3170 .ldsv0grp43 (ldsv0grp43[63:0]),
3171 .ldsv1grp43 (ldsv1grp43[63:0]),
3172 .ldsv2grp43 (ldsv2grp43[63:0]),
3173 .ldsv0grp44 (ldsv0grp44[63:0]),
3174 .ldsv1grp44 (ldsv1grp44[63:0]),
3175 .ldsv2grp44 (ldsv2grp44[63:0]),
3176 .ldsv0grp45 (ldsv0grp45[63:0]),
3177 .ldsv1grp45 (ldsv1grp45[63:0]),
3178 .ldsv2grp45 (ldsv2grp45[63:0]),
3179 .ldsv0grp46 (ldsv0grp46[63:0]),
3180 .ldsv1grp46 (ldsv1grp46[63:0]),
3181 .ldsv2grp46 (ldsv2grp46[63:0]),
3182 .ldsv0grp47 (ldsv0grp47[63:0]),
3183 .ldsv1grp47 (ldsv1grp47[63:0]),
3184 .ldsv2grp47 (ldsv2grp47[63:0]),
3185 .ldsv0grp48 (ldsv0grp48[63:0]),
3186 .ldsv1grp48 (ldsv1grp48[63:0]),
3187 .ldsv2grp48 (ldsv2grp48[63:0]),
3188 .ldsv0grp49 (ldsv0grp49[63:0]),
3189 .ldsv1grp49 (ldsv1grp49[63:0]),
3190 .ldsv2grp49 (ldsv2grp49[63:0]),
3191 .ldsv0grp50 (ldsv0grp50[63:0]),
3192 .ldsv1grp50 (ldsv1grp50[63:0]),
3193 .ldsv2grp50 (ldsv2grp50[63:0]),
3194 .ldsv0grp51 (ldsv0grp51[63:0]),
3195 .ldsv1grp51 (ldsv1grp51[63:0]),
3196 .ldsv2grp51 (ldsv2grp51[63:0]),
3197 .ldsv0grp52 (ldsv0grp52[63:0]),
3198 .ldsv1grp52 (ldsv1grp52[63:0]),
3199 .ldsv2grp52 (ldsv2grp52[63:0]),
3200 .ldsv0grp53 (ldsv0grp53[63:0]),
3201 .ldsv1grp53 (ldsv1grp53[63:0]),
3202 .ldsv2grp53 (ldsv2grp53[63:0]),
3203 .ldsv0grp54 (ldsv0grp54[63:0]),
3204 .ldsv1grp54 (ldsv1grp54[63:0]),
3205 .ldsv2grp54 (ldsv2grp54[63:0]),
3206 .ldsv0grp55 (ldsv0grp55[63:0]),
3207 .ldsv1grp55 (ldsv1grp55[63:0]),
3208 .ldsv2grp55 (ldsv2grp55[63:0]),
3209 .ldsv0grp56 (ldsv0grp56[63:0]),
3210 .ldsv1grp56 (ldsv1grp56[63:0]),
3211 .ldsv2grp56 (ldsv2grp56[63:0]),
3212 .ldsv0grp57 (ldsv0grp57[63:0]),
3213 .ldsv1grp57 (ldsv1grp57[63:0]),
3214 .ldsv2grp57 (ldsv2grp57[63:0]),
3215 .ldsv0grp58 (ldsv0grp58[63:0]),
3216 .ldsv1grp58 (ldsv1grp58[63:0]),
3217 .ldsv2grp58 (ldsv2grp58[63:0]),
3218 .ldsv0grp59 (ldsv0grp59[63:0]),
3219 .ldsv1grp59 (ldsv1grp59[63:0]),
3220 .ldsv2grp59 (ldsv2grp59[63:0]),
3221 .ldsv0grp60 (ldsv0grp60[63:0]),
3222 .ldsv1grp60 (ldsv1grp60[63:0]),
3223 .ldsv2grp60 (ldsv2grp60[63:0]),
3224 .ldsv0grp61 (ldsv0grp61[63:0]),
3225 .ldsv1grp61 (ldsv1grp61[63:0]),
3226 .ldsv2grp61 (ldsv2grp61[63:0]),
3227 .ldsv0grp62 (ldsv0grp62[63:0]),
3228 .ldsv1grp62 (ldsv1grp62[63:0]),
3229 .ldsv2grp62 (ldsv2grp62[63:0]),
3230 .ldsv0grp63 (ldsv0grp63[63:0]),
3231 .ldsv1grp63 (ldsv1grp63[63:0]),
3232 .ldsv2grp63 (ldsv2grp63[63:0]));
3233
3234niu_pio_imask0_decoder niu_pio_imask0_decoder
3235(/*AUTOINST*/
3236 // Outputs
3237 .imask0_ack (imask0_ack),
3238 .imask0_rdata (imask0_rdata[63:0]),
3239 .imask0_err (imask0_err),
3240 .ld_ldf_mask0 (ld_ldf_mask0),
3241 .ld_ldf_mask1 (ld_ldf_mask1),
3242 .ld_ldf_mask2 (ld_ldf_mask2),
3243 .ld_ldf_mask3 (ld_ldf_mask3),
3244 .ld_ldf_mask4 (ld_ldf_mask4),
3245 .ld_ldf_mask5 (ld_ldf_mask5),
3246 .ld_ldf_mask6 (ld_ldf_mask6),
3247 .ld_ldf_mask7 (ld_ldf_mask7),
3248 .ld_ldf_mask8 (ld_ldf_mask8),
3249 .ld_ldf_mask9 (ld_ldf_mask9),
3250 .ld_ldf_mask10 (ld_ldf_mask10),
3251 .ld_ldf_mask11 (ld_ldf_mask11),
3252 .ld_ldf_mask12 (ld_ldf_mask12),
3253 .ld_ldf_mask13 (ld_ldf_mask13),
3254 .ld_ldf_mask14 (ld_ldf_mask14),
3255 .ld_ldf_mask15 (ld_ldf_mask15),
3256 .ld_ldf_mask16 (ld_ldf_mask16),
3257 .ld_ldf_mask17 (ld_ldf_mask17),
3258 .ld_ldf_mask18 (ld_ldf_mask18),
3259 .ld_ldf_mask19 (ld_ldf_mask19),
3260 .ld_ldf_mask20 (ld_ldf_mask20),
3261 .ld_ldf_mask21 (ld_ldf_mask21),
3262 .ld_ldf_mask22 (ld_ldf_mask22),
3263 .ld_ldf_mask23 (ld_ldf_mask23),
3264 .ld_ldf_mask24 (ld_ldf_mask24),
3265 .ld_ldf_mask25 (ld_ldf_mask25),
3266 .ld_ldf_mask26 (ld_ldf_mask26),
3267 .ld_ldf_mask27 (ld_ldf_mask27),
3268 .ld_ldf_mask28 (ld_ldf_mask28),
3269 .ld_ldf_mask29 (ld_ldf_mask29),
3270 .ld_ldf_mask30 (ld_ldf_mask30),
3271 .ld_ldf_mask31 (ld_ldf_mask31),
3272 .ld_ldf_mask32 (ld_ldf_mask32),
3273 .ld_ldf_mask33 (ld_ldf_mask33),
3274 .ld_ldf_mask34 (ld_ldf_mask34),
3275 .ld_ldf_mask35 (ld_ldf_mask35),
3276 .ld_ldf_mask36 (ld_ldf_mask36),
3277 .ld_ldf_mask37 (ld_ldf_mask37),
3278 .ld_ldf_mask38 (ld_ldf_mask38),
3279 .ld_ldf_mask39 (ld_ldf_mask39),
3280 .ld_ldf_mask40 (ld_ldf_mask40),
3281 .ld_ldf_mask41 (ld_ldf_mask41),
3282 .ld_ldf_mask42 (ld_ldf_mask42),
3283 .ld_ldf_mask43 (ld_ldf_mask43),
3284 .ld_ldf_mask44 (ld_ldf_mask44),
3285 .ld_ldf_mask45 (ld_ldf_mask45),
3286 .ld_ldf_mask46 (ld_ldf_mask46),
3287 .ld_ldf_mask47 (ld_ldf_mask47),
3288 .ld_ldf_mask48 (ld_ldf_mask48),
3289 .ld_ldf_mask49 (ld_ldf_mask49),
3290 .ld_ldf_mask50 (ld_ldf_mask50),
3291 .ld_ldf_mask51 (ld_ldf_mask51),
3292 .ld_ldf_mask52 (ld_ldf_mask52),
3293 .ld_ldf_mask53 (ld_ldf_mask53),
3294 .ld_ldf_mask54 (ld_ldf_mask54),
3295 .ld_ldf_mask55 (ld_ldf_mask55),
3296 .ld_ldf_mask56 (ld_ldf_mask56),
3297 .ld_ldf_mask57 (ld_ldf_mask57),
3298 .ld_ldf_mask58 (ld_ldf_mask58),
3299 .ld_ldf_mask59 (ld_ldf_mask59),
3300 .ld_ldf_mask60 (ld_ldf_mask60),
3301 .ld_ldf_mask61 (ld_ldf_mask61),
3302 .ld_ldf_mask62 (ld_ldf_mask62),
3303 .ld_ldf_mask63 (ld_ldf_mask63),
3304 // Inputs
3305 .clk (clk),
3306 .reset (reset),
3307 .imask0_sel_reg (imask0_sel_reg),
3308 .addr (addr[18:0]),
3309 .rd (rd),
3310 .ldf_mask0 (ldf_mask0[1:0]),
3311 .ldf_mask1 (ldf_mask1[1:0]),
3312 .ldf_mask2 (ldf_mask2[1:0]),
3313 .ldf_mask3 (ldf_mask3[1:0]),
3314 .ldf_mask4 (ldf_mask4[1:0]),
3315 .ldf_mask5 (ldf_mask5[1:0]),
3316 .ldf_mask6 (ldf_mask6[1:0]),
3317 .ldf_mask7 (ldf_mask7[1:0]),
3318 .ldf_mask8 (ldf_mask8[1:0]),
3319 .ldf_mask9 (ldf_mask9[1:0]),
3320 .ldf_mask10 (ldf_mask10[1:0]),
3321 .ldf_mask11 (ldf_mask11[1:0]),
3322 .ldf_mask12 (ldf_mask12[1:0]),
3323 .ldf_mask13 (ldf_mask13[1:0]),
3324 .ldf_mask14 (ldf_mask14[1:0]),
3325 .ldf_mask15 (ldf_mask15[1:0]),
3326 .ldf_mask16 (ldf_mask16[1:0]),
3327 .ldf_mask17 (ldf_mask17[1:0]),
3328 .ldf_mask18 (ldf_mask18[1:0]),
3329 .ldf_mask19 (ldf_mask19[1:0]),
3330 .ldf_mask20 (ldf_mask20[1:0]),
3331 .ldf_mask21 (ldf_mask21[1:0]),
3332 .ldf_mask22 (ldf_mask22[1:0]),
3333 .ldf_mask23 (ldf_mask23[1:0]),
3334 .ldf_mask24 (ldf_mask24[1:0]),
3335 .ldf_mask25 (ldf_mask25[1:0]),
3336 .ldf_mask26 (ldf_mask26[1:0]),
3337 .ldf_mask27 (ldf_mask27[1:0]),
3338 .ldf_mask28 (ldf_mask28[1:0]),
3339 .ldf_mask29 (ldf_mask29[1:0]),
3340 .ldf_mask30 (ldf_mask30[1:0]),
3341 .ldf_mask31 (ldf_mask31[1:0]),
3342 .ldf_mask32 (ldf_mask32[1:0]),
3343 .ldf_mask33 (ldf_mask33[1:0]),
3344 .ldf_mask34 (ldf_mask34[1:0]),
3345 .ldf_mask35 (ldf_mask35[1:0]),
3346 .ldf_mask36 (ldf_mask36[1:0]),
3347 .ldf_mask37 (ldf_mask37[1:0]),
3348 .ldf_mask38 (ldf_mask38[1:0]),
3349 .ldf_mask39 (ldf_mask39[1:0]),
3350 .ldf_mask40 (ldf_mask40[1:0]),
3351 .ldf_mask41 (ldf_mask41[1:0]),
3352 .ldf_mask42 (ldf_mask42[1:0]),
3353 .ldf_mask43 (ldf_mask43[1:0]),
3354 .ldf_mask44 (ldf_mask44[1:0]),
3355 .ldf_mask45 (ldf_mask45[1:0]),
3356 .ldf_mask46 (ldf_mask46[1:0]),
3357 .ldf_mask47 (ldf_mask47[1:0]),
3358 .ldf_mask48 (ldf_mask48[1:0]),
3359 .ldf_mask49 (ldf_mask49[1:0]),
3360 .ldf_mask50 (ldf_mask50[1:0]),
3361 .ldf_mask51 (ldf_mask51[1:0]),
3362 .ldf_mask52 (ldf_mask52[1:0]),
3363 .ldf_mask53 (ldf_mask53[1:0]),
3364 .ldf_mask54 (ldf_mask54[1:0]),
3365 .ldf_mask55 (ldf_mask55[1:0]),
3366 .ldf_mask56 (ldf_mask56[1:0]),
3367 .ldf_mask57 (ldf_mask57[1:0]),
3368 .ldf_mask58 (ldf_mask58[1:0]),
3369 .ldf_mask59 (ldf_mask59[1:0]),
3370 .ldf_mask60 (ldf_mask60[1:0]),
3371 .ldf_mask61 (ldf_mask61[1:0]),
3372 .ldf_mask62 (ldf_mask62[1:0]),
3373 .ldf_mask63 (ldf_mask63[1:0]));
3374
3375niu_pio_imask1_decoder niu_pio_imask1_decoder
3376(/*AUTOINST*/
3377 // Outputs
3378 .imask1_ack (imask1_ack),
3379 .imask1_rdata (imask1_rdata[63:0]),
3380 .imask1_err (imask1_err),
3381 .ld_ldf_mask64 (ld_ldf_mask64),
3382 .ld_ldf_mask65 (ld_ldf_mask65),
3383 .ld_ldf_mask66 (ld_ldf_mask66),
3384 .ld_ldf_mask67 (ld_ldf_mask67),
3385 .ld_ldf_mask68 (ld_ldf_mask68),
3386 // Inputs
3387 .clk (clk),
3388 .reset (reset),
3389 .imask1_sel_reg (imask1_sel_reg),
3390 .addr (addr[18:0]),
3391 .rd (rd),
3392 .ldf_mask64 (ldf_mask64[1:0]),
3393 .ldf_mask65 (ldf_mask65[1:0]),
3394 .ldf_mask66 (ldf_mask66[1:0]),
3395 .ldf_mask67 (ldf_mask67[1:0]),
3396 .ldf_mask68 (ldf_mask68[1:0]));
3397
3398
3399niu_pio_vdmc_decoder niu_pio_vdmc_decoder
3400(/*AUTOINST*/
3401 // Outputs
3402 .vdmc_addr (vdmc_addr[19:0]),
3403 .vdmc_sel_ok (vdmc_sel_ok),
3404 // Inputs
3405 .addr (addr[26:0]),
3406 .dma_bind0 (dma_bind0[13:0]),
3407 .dma_bind1 (dma_bind1[13:0]),
3408 .dma_bind2 (dma_bind2[13:0]),
3409 .dma_bind3 (dma_bind3[13:0]),
3410 .dma_bind4 (dma_bind4[13:0]),
3411 .dma_bind5 (dma_bind5[13:0]),
3412 .dma_bind6 (dma_bind6[13:0]),
3413 .dma_bind7 (dma_bind7[13:0]),
3414 .dma_bind8 (dma_bind8[13:0]),
3415 .dma_bind9 (dma_bind9[13:0]),
3416 .dma_bind10 (dma_bind10[13:0]),
3417 .dma_bind11 (dma_bind11[13:0]),
3418 .dma_bind12 (dma_bind12[13:0]),
3419 .dma_bind13 (dma_bind13[13:0]),
3420 .dma_bind14 (dma_bind14[13:0]),
3421 .dma_bind15 (dma_bind15[13:0]),
3422 .dma_bind16 (dma_bind16[13:0]),
3423 .dma_bind17 (dma_bind17[13:0]),
3424 .dma_bind18 (dma_bind18[13:0]),
3425 .dma_bind19 (dma_bind19[13:0]),
3426 .dma_bind20 (dma_bind20[13:0]),
3427 .dma_bind21 (dma_bind21[13:0]),
3428 .dma_bind22 (dma_bind22[13:0]),
3429 .dma_bind23 (dma_bind23[13:0]),
3430 .dma_bind24 (dma_bind24[13:0]),
3431 .dma_bind25 (dma_bind25[13:0]),
3432 .dma_bind26 (dma_bind26[13:0]),
3433 .dma_bind27 (dma_bind27[13:0]),
3434 .dma_bind28 (dma_bind28[13:0]),
3435 .dma_bind29 (dma_bind29[13:0]),
3436 .dma_bind30 (dma_bind30[13:0]),
3437 .dma_bind31 (dma_bind31[13:0]),
3438 .dma_bind32 (dma_bind32[13:0]),
3439 .dma_bind33 (dma_bind33[13:0]),
3440 .dma_bind34 (dma_bind34[13:0]),
3441 .dma_bind35 (dma_bind35[13:0]),
3442 .dma_bind36 (dma_bind36[13:0]),
3443 .dma_bind37 (dma_bind37[13:0]),
3444 .dma_bind38 (dma_bind38[13:0]),
3445 .dma_bind39 (dma_bind39[13:0]),
3446 .dma_bind40 (dma_bind40[13:0]),
3447 .dma_bind41 (dma_bind41[13:0]),
3448 .dma_bind42 (dma_bind42[13:0]),
3449 .dma_bind43 (dma_bind43[13:0]),
3450 .dma_bind44 (dma_bind44[13:0]),
3451 .dma_bind45 (dma_bind45[13:0]),
3452 .dma_bind46 (dma_bind46[13:0]),
3453 .dma_bind47 (dma_bind47[13:0]),
3454 .dma_bind48 (dma_bind48[13:0]),
3455 .dma_bind49 (dma_bind49[13:0]),
3456 .dma_bind50 (dma_bind50[13:0]),
3457 .dma_bind51 (dma_bind51[13:0]),
3458 .dma_bind52 (dma_bind52[13:0]),
3459 .dma_bind53 (dma_bind53[13:0]),
3460 .dma_bind54 (dma_bind54[13:0]),
3461 .dma_bind55 (dma_bind55[13:0]),
3462 .dma_bind56 (dma_bind56[13:0]),
3463 .dma_bind57 (dma_bind57[13:0]),
3464 .dma_bind58 (dma_bind58[13:0]),
3465 .dma_bind59 (dma_bind59[13:0]),
3466 .dma_bind60 (dma_bind60[13:0]),
3467 .dma_bind61 (dma_bind61[13:0]),
3468 .dma_bind62 (dma_bind62[13:0]),
3469 .dma_bind63 (dma_bind63[13:0]));
3470
3471endmodule // niu_pio_regs