Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / niu / rtl / niu_rdmc.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: niu_rdmc.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35`include "niu_rdmc.h"
36module niu_rdmc (
37 niu_clk,
38 niu_reset_l,
39`ifdef NEPTUNE
40 pio_client_32b,
41`endif
42 pio_rdmc_wdata,
43 pio_rdmc_rd,
44 pio_rdmc_sel,
45 pio_rdmc_addr,
46
47 ipp_dmc_ful_pkt0,
48 ipp_dmc_dat_ack0,
49 ipp_dmc_dat_err0,
50 ipp_dmc_data0,
51 ipp_dmc_ful_pkt1,
52 ipp_dmc_dat_ack1,
53 ipp_dmc_dat_err1,
54 ipp_dmc_data1,
55
56`ifdef NEPTUNE
57 ipp_dmc_ful_pkt2,
58 ipp_dmc_dat_ack2,
59 ipp_dmc_dat_err2,
60 ipp_dmc_data2,
61 ipp_dmc_ful_pkt3,
62 ipp_dmc_dat_ack3,
63 ipp_dmc_dat_err3,
64 ipp_dmc_data3,
65`endif
66 zcp_dmc_ful_pkt0,
67 zcp_dmc_ack0,
68 zcp_dmc_dat0,
69 zcp_dmc_dat_err0,
70 zcp_dmc_ful_pkt1,
71 zcp_dmc_ack1,
72 zcp_dmc_dat1,
73 zcp_dmc_dat_err1,
74
75`ifdef NEPTUNE
76 zcp_dmc_ful_pkt2,
77 zcp_dmc_ack2,
78 zcp_dmc_dat2,
79 zcp_dmc_dat_err2,
80 zcp_dmc_ful_pkt3,
81 zcp_dmc_ack3,
82 zcp_dmc_dat3,
83 zcp_dmc_dat_err3,
84`endif
85 meta0_rdmc_wr_req_accept,
86 meta0_rdmc_wr_data_req,
87
88 meta0_rdmc_rcr_req_accept, //RCR write back
89 meta0_rdmc_rcr_data_req, //RCR write back
90 meta0_rdmc_rcr_ack_ready,
91 meta0_rdmc_rcr_ack_cmd,
92 meta0_rdmc_rcr_ack_cmd_status,
93 meta0_rdmc_rcr_ack_client,
94 meta0_rdmc_rcr_ack_dma_num,
95
96 meta1_rdmc_rbr_req_accept,
97 meta1_rdmc_rbr_req_error,
98 meta1_rdmc_rbr_resp_ready,
99 meta1_rdmc_rbr_resp_cmd,
100 meta1_rdmc_rbr_resp_cmd_status,
101 meta1_rdmc_rbr_resp_dma_num,
102 meta1_rdmc_rbr_resp_client,
103 meta1_rdmc_rbr_resp_comp,
104 meta1_rdmc_rbr_resp_trans_comp,
105 meta1_rdmc_rbr_resp_data_valid,
106 meta1_rdmc_rbr_resp_data,
107 meta1_rdmc_rbr_resp_byteenable,
108 meta1_rdmc_rbr_resp_data_status,
109
110
111 //Outputs
112 rdmc_pio_rdata,
113 rdmc_pio_ack,
114 rdmc_pio_err,
115 rdmc_pio_port_int,
116 rdmc_pio_intr_ldf_a,
117 rdmc_pio_intr_ldf_b,
118
119 dmc_ipp_dat_req0,
120 dmc_ipp_dat_req1,
121 dmc_zcp_req0,
122 dmc_zcp_req1,
123`ifdef NEPTUNE
124 dmc_ipp_dat_req2,
125 dmc_ipp_dat_req3,
126 dmc_zcp_req2,
127 dmc_zcp_req3,
128
129 page_handle,
130 rdmc_zcp_func_num,
131`endif
132
133 rdmc_meta0_wr_req,
134 rdmc_meta0_wr_req_cmd,
135 rdmc_meta0_wr_req_address,
136 rdmc_meta0_wr_req_length,
137 rdmc_meta0_wr_req_port_num,
138 rdmc_meta0_wr_req_dma_num,
139 rdmc_meta0_wr_req_func_num,
140
141 rdmc_meta0_wr_data_valid,
142 rdmc_meta0_wr_data,
143 rdmc_meta0_wr_req_byteenable,
144 rdmc_meta0_wr_transfer_comp,
145 rdmc_meta0_wr_status,
146
147 rdmc_meta0_rcr_req, //RCR write back
148 rdmc_meta0_rcr_req_cmd, //RCR write back
149 rdmc_meta0_rcr_req_address, //RCR write back
150 rdmc_meta0_rcr_req_length, //RCR write back
151 rdmc_meta0_rcr_req_port_num, //RCR write back
152 rdmc_meta0_rcr_req_dma_num, //RCR write back
153 rdmc_meta0_rcr_req_func_num,
154
155 rdmc_meta0_rcr_data_valid, //RCR write back
156 rdmc_meta0_rcr_data, //RCR write back
157 rdmc_meta0_rcr_req_byteenable, //RCR write back
158 rdmc_meta0_rcr_transfer_comp, //RCR write back
159 rdmc_meta0_rcr_status, //RCR write back
160 rdmc_meta0_rcr_ack_accept,
161
162 rdmc_meta1_rbr_req,
163 rdmc_meta1_rbr_req_cmd,
164 rdmc_meta1_rbr_req_address,
165 rdmc_meta1_rbr_req_length,
166 rdmc_meta1_rbr_req_dma_num,
167 rdmc_meta1_rbr_req_port_num,
168 rdmc_meta1_rbr_req_func_num,
169 rdmc_meta1_rbr_resp_accept,
170
171
172`ifdef NEPTUNE
173`else
174 tcu_aclk,
175 tcu_bclk,
176 tcu_scan_en,
177 tcu_se_scancollar_in,
178 tcu_se_scancollar_out,
179 tcu_mbist_bisi_en,
180 tcu_array_wr_inhibit,
181 tcu_mbist_user_mode,
182 l2clk_2x,
183 iol2clk,
184 hdr_sram_rvalue_rdmc0,
185 hdr_sram_rid_rdmc0,
186 hdr_sram_wr_en_rdmc0,
187 hdr_sram_red_clr_rdmc0,
188 sram_hdr_read_data_rdmc0,
189
190 hdr_sram_rvalue_rdmc1,
191 hdr_sram_rid_rdmc1,
192 hdr_sram_wr_en_rdmc1,
193 hdr_sram_red_clr_rdmc1,
194 sram_hdr_read_data_rdmc1,
195
196 tcu_rdp_rdmc_mbist_start,
197 rdp_rdmc_tcu_mbist_fail,
198 rdp_rdmc_tcu_mbist_done,
199 rdp_rdmc_mbist_scan_in,
200 rdp_rdmc_mbist_scan_out,
201 rdp_tcu_dmo_data_out,
202
203`endif
204
205 rdmc_debug_port
206
207 );
208
209input niu_clk;
210input niu_reset_l;
211
212`ifdef NEPTUNE
213input pio_client_32b;
214`endif
215
216input[63:0] pio_rdmc_wdata;
217input pio_rdmc_rd;
218input pio_rdmc_sel;
219input[19:0] pio_rdmc_addr;
220
221input ipp_dmc_ful_pkt0;
222input ipp_dmc_dat_ack0;
223input ipp_dmc_dat_err0;
224input[129:0] ipp_dmc_data0;
225input ipp_dmc_ful_pkt1;
226input ipp_dmc_dat_ack1;
227input ipp_dmc_dat_err1;
228input[129:0] ipp_dmc_data1;
229
230`ifdef NEPTUNE
231input ipp_dmc_ful_pkt2;
232input ipp_dmc_dat_ack2;
233input ipp_dmc_dat_err2;
234input[129:0] ipp_dmc_data2;
235input ipp_dmc_ful_pkt3;
236input ipp_dmc_dat_ack3;
237input ipp_dmc_dat_err3;
238input[129:0] ipp_dmc_data3;
239`endif
240
241input zcp_dmc_ful_pkt0;
242input zcp_dmc_ack0;
243input[129:0] zcp_dmc_dat0;
244input zcp_dmc_dat_err0;
245input zcp_dmc_ful_pkt1;
246input zcp_dmc_ack1;
247input[129:0] zcp_dmc_dat1;
248input zcp_dmc_dat_err1;
249
250`ifdef NEPTUNE
251input zcp_dmc_ful_pkt2;
252input zcp_dmc_ack2;
253input[129:0] zcp_dmc_dat2;
254input zcp_dmc_dat_err2;
255input zcp_dmc_ful_pkt3;
256input zcp_dmc_ack3;
257input[129:0] zcp_dmc_dat3;
258input zcp_dmc_dat_err3;
259`endif
260
261input meta0_rdmc_wr_req_accept;
262input meta0_rdmc_wr_data_req;
263
264input meta0_rdmc_rcr_req_accept;
265input meta0_rdmc_rcr_data_req;
266input meta0_rdmc_rcr_ack_ready;
267input[7:0] meta0_rdmc_rcr_ack_cmd;
268input[3:0] meta0_rdmc_rcr_ack_cmd_status;
269input meta0_rdmc_rcr_ack_client;
270input[4:0] meta0_rdmc_rcr_ack_dma_num;
271
272input meta1_rdmc_rbr_req_accept;
273input meta1_rdmc_rbr_req_error;
274input meta1_rdmc_rbr_resp_ready;
275input[7:0] meta1_rdmc_rbr_resp_cmd;
276input[3:0] meta1_rdmc_rbr_resp_cmd_status;
277input[4:0] meta1_rdmc_rbr_resp_dma_num;
278input meta1_rdmc_rbr_resp_client;
279input meta1_rdmc_rbr_resp_comp;
280input meta1_rdmc_rbr_resp_trans_comp;
281input meta1_rdmc_rbr_resp_data_valid;
282input[127:0] meta1_rdmc_rbr_resp_data;
283input[15:0] meta1_rdmc_rbr_resp_byteenable;
284input[3:0] meta1_rdmc_rbr_resp_data_status;
285
286output[63:0] rdmc_pio_rdata;
287output rdmc_pio_ack;
288output rdmc_pio_err;
289output rdmc_pio_port_int;
290output[31:0] rdmc_pio_intr_ldf_a;
291output[31:0] rdmc_pio_intr_ldf_b;
292
293output dmc_ipp_dat_req0;
294output dmc_ipp_dat_req1;
295output dmc_zcp_req0;
296output dmc_zcp_req1;
297
298`ifdef NEPTUNE
299output dmc_ipp_dat_req2;
300output dmc_ipp_dat_req3;
301output dmc_zcp_req2;
302output dmc_zcp_req3;
303
304output[319:0] page_handle;
305output[31:0] rdmc_zcp_func_num;
306
307`endif
308
309output rdmc_meta0_wr_req;
310output[7:0] rdmc_meta0_wr_req_cmd;
311output[63:0] rdmc_meta0_wr_req_address;
312output[13:0] rdmc_meta0_wr_req_length;
313output[1:0] rdmc_meta0_wr_req_port_num;
314output[4:0] rdmc_meta0_wr_req_dma_num;
315output[1:0] rdmc_meta0_wr_req_func_num;
316output rdmc_meta0_wr_data_valid;
317output[127:0] rdmc_meta0_wr_data;
318output[15:0] rdmc_meta0_wr_req_byteenable;
319output rdmc_meta0_wr_transfer_comp;
320output[3:0] rdmc_meta0_wr_status;
321
322output rdmc_meta0_rcr_req;
323output[7:0] rdmc_meta0_rcr_req_cmd;
324output[63:0] rdmc_meta0_rcr_req_address;
325output[13:0] rdmc_meta0_rcr_req_length;
326output[1:0] rdmc_meta0_rcr_req_port_num;
327output[4:0] rdmc_meta0_rcr_req_dma_num;
328output[1:0] rdmc_meta0_rcr_req_func_num;
329output rdmc_meta0_rcr_data_valid;
330output[127:0] rdmc_meta0_rcr_data;
331output[15:0] rdmc_meta0_rcr_req_byteenable;
332output rdmc_meta0_rcr_transfer_comp;
333output[3:0] rdmc_meta0_rcr_status;
334
335output rdmc_meta1_rbr_req;
336output[7:0] rdmc_meta1_rbr_req_cmd;
337output[63:0] rdmc_meta1_rbr_req_address;
338output[13:0] rdmc_meta1_rbr_req_length;
339output[4:0] rdmc_meta1_rbr_req_dma_num;
340output[1:0] rdmc_meta1_rbr_req_port_num;
341output[1:0] rdmc_meta1_rbr_req_func_num;
342output rdmc_meta1_rbr_resp_accept;
343output rdmc_meta0_rcr_ack_accept;
344output[31:0] rdmc_debug_port;
345
346wire[147:0] cache_rd_data;
347wire[147:0] shadw_rd_data;
348
349
350`ifdef NEPTUNE
351`else
352
353input tcu_aclk;
354input tcu_bclk;
355input tcu_scan_en;
356input tcu_se_scancollar_in;
357input tcu_se_scancollar_out;
358input tcu_mbist_bisi_en;
359input tcu_array_wr_inhibit;
360input tcu_mbist_user_mode;
361input l2clk_2x;
362input iol2clk;
363
364input tcu_rdp_rdmc_mbist_start;
365output rdp_rdmc_tcu_mbist_fail;
366output rdp_rdmc_tcu_mbist_done;
367
368input rdp_rdmc_mbist_scan_in;
369output rdp_rdmc_mbist_scan_out;
370output[39:0] rdp_tcu_dmo_data_out;
371
372input[6:0] hdr_sram_rvalue_rdmc0; // <-- 512x152,256x152 1 bit less, i.e., [5:0]
373input[1:0] hdr_sram_rid_rdmc0; // <-- 512x152,256x152 1 bit less, i.e., [1:0]
374input hdr_sram_wr_en_rdmc0;
375input hdr_sram_red_clr_rdmc0;
376output[6:0] sram_hdr_read_data_rdmc0; // <-- 512x152,256x152 1 bit less, i.e., [5:0]
377
378input[6:0] hdr_sram_rvalue_rdmc1; // <-- 512x152,256x152 1 bit less, i.e., [5:0]
379input[1:0] hdr_sram_rid_rdmc1; // <-- 512x152,256x152 1 bit less, i.e., [1:0]
380input hdr_sram_wr_en_rdmc1;
381input hdr_sram_red_clr_rdmc1;
382output[6:0] sram_hdr_read_data_rdmc1; // <-- 512x152,256x152 1 bit less, i.e., [5:0]
383
384wire[6:0] sram_hdr_read_data_rdmc0;
385wire[6:0] sram_hdr_read_data_rdmc1;
386
387//wire rdp_rdmc_mbist_scan_out_int0;
388//wire rdp_rdmc_mbist_scan_out_int1;
389wire[39:0] rdp_tcu_dmo_data_out;
390
391wire[147:0] niu_mb4_rdmc_desc_data_out = cache_rd_data;
392wire[147:0] niu_mb4_rdmc_comp_data_out = shadw_rd_data;
393
394wire niu_mb4_run;
395wire niu_mb4_desc_rd_en;
396wire niu_mb4_desc_wr_en;
397wire niu_mb4_comp_rd_en;
398wire niu_mb4_comp_wr_en;
399wire[7:0] niu_mb4_wdata;
400wire[7:0] niu_mb4_addr;
401wire[147:0] niu_mb4_wdata_full = {niu_mb4_wdata[3:0], niu_mb4_wdata, niu_mb4_wdata,
402 niu_mb4_wdata, niu_mb4_wdata, niu_mb4_wdata, niu_mb4_wdata,
403 niu_mb4_wdata, niu_mb4_wdata, niu_mb4_wdata, niu_mb4_wdata,
404 niu_mb4_wdata, niu_mb4_wdata, niu_mb4_wdata, niu_mb4_wdata,
405 niu_mb4_wdata, niu_mb4_wdata, niu_mb4_wdata, niu_mb4_wdata};
406
407`endif
408
409
410wire reset;
411
412wire pio_32b_mode;
413wire pio_wen;
414wire[19:0] pio_addr;
415wire pio_wen_a;
416wire[19:0] pio_addr_a;
417wire pio_wen_b;
418wire[19:0] pio_addr_b;
419wire pio_wen_c;
420wire[19:0] pio_addr_c;
421
422wire[63:0] pio_wdata;
423wire rx_addr_32b_mode;
424wire wred_enable;
425wire[15:0] random_num;
426wire[15:0] clk_div_value;
427wire[15:0] pt_drr_wt0_reg;
428wire[15:0] pt_drr_wt1_reg;
429wire[15:0] pt_drr_wt2_reg;
430wire[15:0] pt_drr_wt3_reg;
431
432wire muxed_cache_rd_strobe;
433wire muxed_cache_wr_strobe;
434wire[7:0] muxed_cache_rd_addr;
435wire[7:0] muxed_cache_wr_addr;
436wire[147:0] muxed_cache_wr_data;
437wire muxed_shadw_rd_strobe;
438wire muxed_shadw_wr_strobe;
439wire[7:0] muxed_shadw_rd_addr;
440wire[7:0] muxed_shadw_wr_addr;
441wire[147:0] muxed_shadw_wr_data;
442wire muxed_cache_rd_strobe_r;
443wire muxed_shadw_rd_strobe_r;
444wire cache_parity_err;
445wire shadw_parity_err;
446
447wire[3:0] port_err_status;
448wire[4:0] muxed_port_rdc_num;
449wire[31:0] rdmc_debug_port;
450wire rdmc_pio_ack;
451wire rdmc_pio_err;
452wire[63:0] rdmc_pio_rdata;
453
454wire[3:0] port_gnt;
455wire[13:0] muxed_pkt_len;
456wire[4:0] muxed_rdc_num_r;
457wire muxed_drop_pkt_r;
458wire muxed_s_event_r;
459wire stage1_en_r;
460wire sel_buf_en;
461wire pkt_req_cnt_e_done_mod;
462wire pkt_buf_done;
463wire is_hdr_wr_data;
464wire is_jmb1_wr_data;
465wire wr_last_pkt_data;
466wire update_rcr_shadw;
467wire[63:0] rcr_wrbk_data;
468wire muxed_data_err_r2;
469wire[4:0] rdmc_wr_data_dma_num;
470
471wire dmc_ipp_dat_req0;
472wire dmc_ipp_dat_req1;
473wire dmc_ipp_dat_req2;
474wire dmc_ipp_dat_req3;
475wire dmc_zcp_req0;
476wire dmc_zcp_req1;
477wire dmc_zcp_req2;
478wire dmc_zcp_req3;
479wire rdmc_meta0_wr_req;
480wire[7:0] rdmc_meta0_wr_req_cmd;
481wire[63:0] rdmc_meta0_wr_req_address;
482wire[13:0] rdmc_meta0_wr_req_length;
483wire[1:0] rdmc_meta0_wr_req_port_num;
484wire[4:0] rdmc_meta0_wr_req_dma_num;
485wire[1:0] rdmc_meta0_wr_req_func_num;
486wire rdmc_meta0_wr_data_valid;
487wire[127:0] rdmc_meta0_wr_data;
488wire[15:0] rdmc_meta0_wr_req_byteenable;
489wire rdmc_meta0_wr_transfer_comp;
490wire rdmc_meta0_wr_transfer_comp_int;
491wire[3:0] rdmc_meta0_wr_status;
492
493wire[8:0] port_err_event;
494wire ipp_dat_req0_data;
495wire ipp_dat_req1_data;
496wire ipp_dat_req2_data;
497wire ipp_dat_req3_data;
498wire[31:0] wr_debug_data;
499
500wire rdmc_meta1_rbr_req;
501wire[7:0] rdmc_meta1_rbr_req_cmd;
502wire[63:0] rdmc_meta1_rbr_req_address;
503wire[13:0] rdmc_meta1_rbr_req_length;
504wire[4:0] rdmc_meta1_rbr_req_dma_num;
505wire[1:0] rdmc_meta1_rbr_req_port_num;
506wire[1:0] rdmc_meta1_rbr_req_func_num;
507wire rdmc_meta1_rbr_resp_accept;
508wire[15:0] fetch_desp_gnt;
509wire resp_data_in_process;
510wire rdmc_resp_rdy_valid;
511wire rdmc_req_err;
512wire[4:0] rdmc_resp_cmd;
513wire[3:0] rdmc_resp_cmd_status;
514wire[4:0] rdmc_resp_dma_num;
515wire rdmc_resp_data_valid;
516wire[15:0] rdmc_resp_byteenable;
517wire rdmc_resp_comp;
518wire rdmc_resp_trans_comp;
519wire[3:0] rdmc_resp_data_status;
520wire[147:0] cache_wr_data;
521
522wire cache_wr_strobe;
523wire[7:0] cache_wr_addr;
524wire cache_rd_strobe;
525wire[7:0] cache_rd_addr;
526wire[15:0] cache_buf_rd_gnt;
527wire[147:0] cache_rd_data_reg;
528wire[131:0] cache_buf_rd_data = cache_rd_data_reg[131:0];
529
530wire data_err_event;
531wire shadw_wr_strobe;
532wire[7:0] shadw_wr_addr;
533wire[147:0] shadw_wr_data;
534wire[7:0] shadw_rd_addr;
535
536wire[15:0] rcr_wrbk_gnt;
537wire rcr_wrbk_done;
538wire[3:0] rcr_wrbk_pkt_num;
539wire rdmc_rcr_req_accept;
540wire rdmc_rcr_ack_valid;
541wire rdmc_rcr_ack_err;
542wire[4:0] rdmc_rcr_ack_dma_num;
543wire shadw_rd_strobe;
544wire[147:0] shadw_rd_data_reg;
545wire rdmc_meta0_rcr_req;
546wire[7:0] rdmc_meta0_rcr_req_cmd;
547wire[63:0] rdmc_meta0_rcr_req_address;
548wire[13:0] rdmc_meta0_rcr_req_length;
549wire[1:0] rdmc_meta0_rcr_req_port_num;
550wire[4:0] rdmc_meta0_rcr_req_dma_num;
551wire[1:0] rdmc_meta0_rcr_req_func_num;
552
553wire rdmc_meta0_rcr_data_valid;
554wire[127:0] rdmc_meta0_rcr_data;
555wire[15:0] rdmc_meta0_rcr_req_byteenable;
556wire rdmc_meta0_rcr_transfer_comp;
557wire[3:0] rdmc_meta0_rcr_status;
558wire rdmc_meta0_rcr_ack_accept;
559
560
561/**************************************/
562/**************************************/
563wire fetch_desp_req0;
564wire[63:0] fetch_desp_addr0;
565wire[4:0] fetch_desp_numb0;
566wire rdmc_resp_accept_sm0;
567wire fetch_desp_resp_vld0;
568wire cache_read_req0;
569wire[7:0] cache_rd_ptr0;
570wire[7:0] cache_wr_ptr0;
571wire full_header0;
572wire pkt_buf_gnt0;
573wire[63:0] pkt_buf_addr0;
574
575wire shadw_wr_en0;
576wire shadw_wr_even0;
577wire[7:0] shadw_wr_ptr0;
578wire[7:0] shadw_rd_ptr0;
579wire[168:0] mailbox_data0;
580wire ldf_a0;
581wire ldf_b0;
582wire rcr_wrbk_sched0;
583wire rcr_wrbk_req0;
584wire[63:0] rcr_wrbk_addr0;
585wire[3:0] rcr_wrbk_numb0;
586wire rcr_wrbk_data_type0;
587wire rcr_ack_accept0;
588
589wire fetch_desp_req1;
590wire[63:0] fetch_desp_addr1;
591wire[4:0] fetch_desp_numb1;
592wire rdmc_resp_accept_sm1;
593wire fetch_desp_resp_vld1;
594wire cache_read_req1;
595wire[7:0] cache_rd_ptr1;
596wire[7:0] cache_wr_ptr1;
597wire full_header1;
598wire pkt_buf_gnt1;
599wire[63:0] pkt_buf_addr1;
600
601wire shadw_wr_en1;
602wire shadw_wr_even1;
603wire[7:0] shadw_wr_ptr1;
604wire[7:0] shadw_rd_ptr1;
605wire[168:0] mailbox_data1;
606wire ldf_a1;
607wire ldf_b1;
608wire rcr_wrbk_sched1;
609wire rcr_wrbk_req1;
610wire[63:0] rcr_wrbk_addr1;
611wire[3:0] rcr_wrbk_numb1;
612wire rcr_wrbk_data_type1;
613wire rcr_ack_accept1;
614
615wire fetch_desp_req2;
616wire[63:0] fetch_desp_addr2;
617wire[4:0] fetch_desp_numb2;
618wire rdmc_resp_accept_sm2;
619wire fetch_desp_resp_vld2;
620wire cache_read_req2;
621wire[7:0] cache_rd_ptr2;
622wire[7:0] cache_wr_ptr2;
623wire full_header2;
624wire pkt_buf_gnt2;
625wire[63:0] pkt_buf_addr2;
626
627wire shadw_wr_en2;
628wire shadw_wr_even2;
629wire[7:0] shadw_wr_ptr2;
630wire[7:0] shadw_rd_ptr2;
631wire[168:0] mailbox_data2;
632wire ldf_a2;
633wire ldf_b2;
634wire rcr_wrbk_sched2;
635wire rcr_wrbk_req2;
636wire[63:0] rcr_wrbk_addr2;
637wire[3:0] rcr_wrbk_numb2;
638wire rcr_wrbk_data_type2;
639wire rcr_ack_accept2;
640
641wire fetch_desp_req3;
642wire[63:0] fetch_desp_addr3;
643wire[4:0] fetch_desp_numb3;
644wire rdmc_resp_accept_sm3;
645wire fetch_desp_resp_vld3;
646wire cache_read_req3;
647wire[7:0] cache_rd_ptr3;
648wire[7:0] cache_wr_ptr3;
649wire full_header3;
650wire pkt_buf_gnt3;
651wire[63:0] pkt_buf_addr3;
652
653wire shadw_wr_en3;
654wire shadw_wr_even3;
655wire[7:0] shadw_wr_ptr3;
656wire[7:0] shadw_rd_ptr3;
657wire[168:0] mailbox_data3;
658wire ldf_a3;
659wire ldf_b3;
660wire rcr_wrbk_sched3;
661wire rcr_wrbk_req3;
662wire[63:0] rcr_wrbk_addr3;
663wire[3:0] rcr_wrbk_numb3;
664wire rcr_wrbk_data_type3;
665wire rcr_ack_accept3;
666
667wire fetch_desp_req4;
668wire[63:0] fetch_desp_addr4;
669wire[4:0] fetch_desp_numb4;
670wire rdmc_resp_accept_sm4;
671wire fetch_desp_resp_vld4;
672wire cache_read_req4;
673wire[7:0] cache_rd_ptr4;
674wire[7:0] cache_wr_ptr4;
675wire full_header4;
676wire pkt_buf_gnt4;
677wire[63:0] pkt_buf_addr4;
678
679wire shadw_wr_en4;
680wire shadw_wr_even4;
681wire[7:0] shadw_wr_ptr4;
682wire[7:0] shadw_rd_ptr4;
683wire[168:0] mailbox_data4;
684wire ldf_a4;
685wire ldf_b4;
686wire rcr_wrbk_sched4;
687wire rcr_wrbk_req4;
688wire[63:0] rcr_wrbk_addr4;
689wire[3:0] rcr_wrbk_numb4;
690wire rcr_wrbk_data_type4;
691wire rcr_ack_accept4;
692
693wire fetch_desp_req5;
694wire[63:0] fetch_desp_addr5;
695wire[4:0] fetch_desp_numb5;
696wire rdmc_resp_accept_sm5;
697wire fetch_desp_resp_vld5;
698wire cache_read_req5;
699wire[7:0] cache_rd_ptr5;
700wire[7:0] cache_wr_ptr5;
701wire full_header5;
702wire pkt_buf_gnt5;
703wire[63:0] pkt_buf_addr5;
704
705wire shadw_wr_en5;
706wire shadw_wr_even5;
707wire[7:0] shadw_wr_ptr5;
708wire[7:0] shadw_rd_ptr5;
709wire[168:0] mailbox_data5;
710wire ldf_a5;
711wire ldf_b5;
712wire rcr_wrbk_sched5;
713wire rcr_wrbk_req5;
714wire[63:0] rcr_wrbk_addr5;
715wire[3:0] rcr_wrbk_numb5;
716wire rcr_wrbk_data_type5;
717wire rcr_ack_accept5;
718
719wire fetch_desp_req6;
720wire[63:0] fetch_desp_addr6;
721wire[4:0] fetch_desp_numb6;
722wire rdmc_resp_accept_sm6;
723wire fetch_desp_resp_vld6;
724wire cache_read_req6;
725wire[7:0] cache_rd_ptr6;
726wire[7:0] cache_wr_ptr6;
727wire full_header6;
728wire pkt_buf_gnt6;
729wire[63:0] pkt_buf_addr6;
730
731wire shadw_wr_en6;
732wire shadw_wr_even6;
733wire[7:0] shadw_wr_ptr6;
734wire[7:0] shadw_rd_ptr6;
735wire[168:0] mailbox_data6;
736wire ldf_a6;
737wire ldf_b6;
738wire rcr_wrbk_sched6;
739wire rcr_wrbk_req6;
740wire[63:0] rcr_wrbk_addr6;
741wire[3:0] rcr_wrbk_numb6;
742wire rcr_wrbk_data_type6;
743wire rcr_ack_accept6;
744
745wire fetch_desp_req7;
746wire[63:0] fetch_desp_addr7;
747wire[4:0] fetch_desp_numb7;
748wire rdmc_resp_accept_sm7;
749wire fetch_desp_resp_vld7;
750wire cache_read_req7;
751wire[7:0] cache_rd_ptr7;
752wire[7:0] cache_wr_ptr7;
753wire full_header7;
754wire pkt_buf_gnt7;
755wire[63:0] pkt_buf_addr7;
756
757wire shadw_wr_en7;
758wire shadw_wr_even7;
759wire[7:0] shadw_wr_ptr7;
760wire[7:0] shadw_rd_ptr7;
761wire[168:0] mailbox_data7;
762wire ldf_a7;
763wire ldf_b7;
764wire rcr_wrbk_sched7;
765wire rcr_wrbk_req7;
766wire[63:0] rcr_wrbk_addr7;
767wire[3:0] rcr_wrbk_numb7;
768wire rcr_wrbk_data_type7;
769wire rcr_ack_accept7;
770
771wire fetch_desp_req8;
772wire[63:0] fetch_desp_addr8;
773wire[4:0] fetch_desp_numb8;
774wire rdmc_resp_accept_sm8;
775wire fetch_desp_resp_vld8;
776wire cache_read_req8;
777wire[7:0] cache_rd_ptr8;
778wire[7:0] cache_wr_ptr8;
779wire full_header8;
780wire pkt_buf_gnt8;
781wire[63:0] pkt_buf_addr8;
782
783wire shadw_wr_en8;
784wire shadw_wr_even8;
785wire[7:0] shadw_wr_ptr8;
786wire[7:0] shadw_rd_ptr8;
787wire[168:0] mailbox_data8;
788wire ldf_a8;
789wire ldf_b8;
790wire rcr_wrbk_sched8;
791wire rcr_wrbk_req8;
792wire[63:0] rcr_wrbk_addr8;
793wire[3:0] rcr_wrbk_numb8;
794wire rcr_wrbk_data_type8;
795wire rcr_ack_accept8;
796
797wire fetch_desp_req9;
798wire[63:0] fetch_desp_addr9;
799wire[4:0] fetch_desp_numb9;
800wire rdmc_resp_accept_sm9;
801wire fetch_desp_resp_vld9;
802wire cache_read_req9;
803wire[7:0] cache_rd_ptr9;
804wire[7:0] cache_wr_ptr9;
805wire full_header9;
806wire pkt_buf_gnt9;
807wire[63:0] pkt_buf_addr9;
808
809wire shadw_wr_en9;
810wire shadw_wr_even9;
811wire[7:0] shadw_wr_ptr9;
812wire[7:0] shadw_rd_ptr9;
813wire[168:0] mailbox_data9;
814wire ldf_a9;
815wire ldf_b9;
816wire rcr_wrbk_sched9;
817wire rcr_wrbk_req9;
818wire[63:0] rcr_wrbk_addr9;
819wire[3:0] rcr_wrbk_numb9;
820wire rcr_wrbk_data_type9;
821wire rcr_ack_accept9;
822
823wire fetch_desp_req10;
824wire[63:0] fetch_desp_addr10;
825wire[4:0] fetch_desp_numb10;
826wire rdmc_resp_accept_sm10;
827wire fetch_desp_resp_vld10;
828wire cache_read_req10;
829wire[7:0] cache_rd_ptr10;
830wire[7:0] cache_wr_ptr10;
831wire full_header10;
832wire pkt_buf_gnt10;
833wire[63:0] pkt_buf_addr10;
834
835wire shadw_wr_en10;
836wire shadw_wr_even10;
837wire[7:0] shadw_wr_ptr10;
838wire[7:0] shadw_rd_ptr10;
839wire[168:0] mailbox_data10;
840wire ldf_a10;
841wire ldf_b10;
842wire rcr_wrbk_sched10;
843wire rcr_wrbk_req10;
844wire[63:0] rcr_wrbk_addr10;
845wire[3:0] rcr_wrbk_numb10;
846wire rcr_wrbk_data_type10;
847wire rcr_ack_accept10;
848
849wire fetch_desp_req11;
850wire[63:0] fetch_desp_addr11;
851wire[4:0] fetch_desp_numb11;
852wire rdmc_resp_accept_sm11;
853wire fetch_desp_resp_vld11;
854wire cache_read_req11;
855wire[7:0] cache_rd_ptr11;
856wire[7:0] cache_wr_ptr11;
857wire full_header11;
858wire pkt_buf_gnt11;
859wire[63:0] pkt_buf_addr11;
860
861wire shadw_wr_en11;
862wire shadw_wr_even11;
863wire[7:0] shadw_wr_ptr11;
864wire[7:0] shadw_rd_ptr11;
865wire[168:0] mailbox_data11;
866wire ldf_a11;
867wire ldf_b11;
868wire rcr_wrbk_sched11;
869wire rcr_wrbk_req11;
870wire[63:0] rcr_wrbk_addr11;
871wire[3:0] rcr_wrbk_numb11;
872wire rcr_wrbk_data_type11;
873wire rcr_ack_accept11;
874
875wire fetch_desp_req12;
876wire[63:0] fetch_desp_addr12;
877wire[4:0] fetch_desp_numb12;
878wire rdmc_resp_accept_sm12;
879wire fetch_desp_resp_vld12;
880wire cache_read_req12;
881wire[7:0] cache_rd_ptr12;
882wire[7:0] cache_wr_ptr12;
883wire full_header12;
884wire pkt_buf_gnt12;
885wire[63:0] pkt_buf_addr12;
886
887wire shadw_wr_en12;
888wire shadw_wr_even12;
889wire[7:0] shadw_wr_ptr12;
890wire[7:0] shadw_rd_ptr12;
891wire[168:0] mailbox_data12;
892wire ldf_a12;
893wire ldf_b12;
894wire rcr_wrbk_sched12;
895wire rcr_wrbk_req12;
896wire[63:0] rcr_wrbk_addr12;
897wire[3:0] rcr_wrbk_numb12;
898wire rcr_wrbk_data_type12;
899wire rcr_ack_accept12;
900
901wire fetch_desp_req13;
902wire[63:0] fetch_desp_addr13;
903wire[4:0] fetch_desp_numb13;
904wire rdmc_resp_accept_sm13;
905wire fetch_desp_resp_vld13;
906wire cache_read_req13;
907wire[7:0] cache_rd_ptr13;
908wire[7:0] cache_wr_ptr13;
909wire full_header13;
910wire pkt_buf_gnt13;
911wire[63:0] pkt_buf_addr13;
912
913wire shadw_wr_en13;
914wire shadw_wr_even13;
915wire[7:0] shadw_wr_ptr13;
916wire[7:0] shadw_rd_ptr13;
917wire[168:0] mailbox_data13;
918wire ldf_a13;
919wire ldf_b13;
920wire rcr_wrbk_sched13;
921wire rcr_wrbk_req13;
922wire[63:0] rcr_wrbk_addr13;
923wire[3:0] rcr_wrbk_numb13;
924wire rcr_wrbk_data_type13;
925wire rcr_ack_accept13;
926
927wire fetch_desp_req14;
928wire[63:0] fetch_desp_addr14;
929wire[4:0] fetch_desp_numb14;
930wire rdmc_resp_accept_sm14;
931wire fetch_desp_resp_vld14;
932wire cache_read_req14;
933wire[7:0] cache_rd_ptr14;
934wire[7:0] cache_wr_ptr14;
935wire full_header14;
936wire pkt_buf_gnt14;
937wire[63:0] pkt_buf_addr14;
938
939wire shadw_wr_en14;
940wire shadw_wr_even14;
941wire[7:0] shadw_wr_ptr14;
942wire[7:0] shadw_rd_ptr14;
943wire[168:0] mailbox_data14;
944wire ldf_a14;
945wire ldf_b14;
946wire rcr_wrbk_sched14;
947wire rcr_wrbk_req14;
948wire[63:0] rcr_wrbk_addr14;
949wire[3:0] rcr_wrbk_numb14;
950wire rcr_wrbk_data_type14;
951wire rcr_ack_accept14;
952
953wire fetch_desp_req15;
954wire[63:0] fetch_desp_addr15;
955wire[4:0] fetch_desp_numb15;
956wire rdmc_resp_accept_sm15;
957wire fetch_desp_resp_vld15;
958wire cache_read_req15;
959wire[7:0] cache_rd_ptr15;
960wire[7:0] cache_wr_ptr15;
961wire full_header15;
962wire pkt_buf_gnt15;
963wire[63:0] pkt_buf_addr15;
964
965wire shadw_wr_en15;
966wire shadw_wr_even15;
967wire[7:0] shadw_wr_ptr15;
968wire[7:0] shadw_rd_ptr15;
969wire[168:0] mailbox_data15;
970wire ldf_a15;
971wire ldf_b15;
972wire rcr_wrbk_sched15;
973wire rcr_wrbk_req15;
974wire[63:0] rcr_wrbk_addr15;
975wire[3:0] rcr_wrbk_numb15;
976wire rcr_wrbk_data_type15;
977wire rcr_ack_accept15;
978
979wire drop_pkt0;
980wire drop_pkt1;
981wire drop_pkt2;
982wire drop_pkt3;
983wire drop_pkt4;
984wire drop_pkt5;
985wire drop_pkt6;
986wire drop_pkt7;
987wire drop_pkt8;
988wire drop_pkt9;
989wire drop_pkt10;
990wire drop_pkt11;
991wire drop_pkt12;
992wire drop_pkt13;
993wire drop_pkt14;
994wire drop_pkt15;
995
996wire[1:0] pkt_buf_size0;
997wire[1:0] pkt_buf_size1;
998wire[1:0] pkt_buf_size2;
999wire[1:0] pkt_buf_size3;
1000wire[1:0] pkt_buf_size4;
1001wire[1:0] pkt_buf_size5;
1002wire[1:0] pkt_buf_size6;
1003wire[1:0] pkt_buf_size7;
1004wire[1:0] pkt_buf_size8;
1005wire[1:0] pkt_buf_size9;
1006wire[1:0] pkt_buf_size10;
1007wire[1:0] pkt_buf_size11;
1008wire[1:0] pkt_buf_size12;
1009wire[1:0] pkt_buf_size13;
1010wire[1:0] pkt_buf_size14;
1011wire[1:0] pkt_buf_size15;
1012
1013wire[35:0] orig_buf_addr0;
1014wire[35:0] orig_buf_addr1;
1015wire[35:0] orig_buf_addr2;
1016wire[35:0] orig_buf_addr3;
1017wire[35:0] orig_buf_addr4;
1018wire[35:0] orig_buf_addr5;
1019wire[35:0] orig_buf_addr6;
1020wire[35:0] orig_buf_addr7;
1021wire[35:0] orig_buf_addr8;
1022wire[35:0] orig_buf_addr9;
1023wire[35:0] orig_buf_addr10;
1024wire[35:0] orig_buf_addr11;
1025wire[35:0] orig_buf_addr12;
1026wire[35:0] orig_buf_addr13;
1027wire[35:0] orig_buf_addr14;
1028wire[35:0] orig_buf_addr15;
1029
1030wire[1:0] pref_buf_used_num0;
1031wire[1:0] pref_buf_used_num1;
1032wire[1:0] pref_buf_used_num2;
1033wire[1:0] pref_buf_used_num3;
1034wire[1:0] pref_buf_used_num4;
1035wire[1:0] pref_buf_used_num5;
1036wire[1:0] pref_buf_used_num6;
1037wire[1:0] pref_buf_used_num7;
1038wire[1:0] pref_buf_used_num8;
1039wire[1:0] pref_buf_used_num9;
1040wire[1:0] pref_buf_used_num10;
1041wire[1:0] pref_buf_used_num11;
1042wire[1:0] pref_buf_used_num12;
1043wire[1:0] pref_buf_used_num13;
1044wire[1:0] pref_buf_used_num14;
1045wire[1:0] pref_buf_used_num15;
1046
1047wire[13:0] pkt_trans_len0;
1048wire[13:0] pkt_trans_len1;
1049wire[13:0] pkt_trans_len2;
1050wire[13:0] pkt_trans_len3;
1051wire[13:0] pkt_trans_len4;
1052wire[13:0] pkt_trans_len5;
1053wire[13:0] pkt_trans_len6;
1054wire[13:0] pkt_trans_len7;
1055wire[13:0] pkt_trans_len8;
1056wire[13:0] pkt_trans_len9;
1057wire[13:0] pkt_trans_len10;
1058wire[13:0] pkt_trans_len11;
1059wire[13:0] pkt_trans_len12;
1060wire[13:0] pkt_trans_len13;
1061wire[13:0] pkt_trans_len14;
1062wire[13:0] pkt_trans_len15;
1063
1064wire[19:0] rx_log_page_hdl_reg0;
1065wire[19:0] rx_log_page_hdl_reg1;
1066wire[19:0] rx_log_page_hdl_reg2;
1067wire[19:0] rx_log_page_hdl_reg3;
1068wire[19:0] rx_log_page_hdl_reg4;
1069wire[19:0] rx_log_page_hdl_reg5;
1070wire[19:0] rx_log_page_hdl_reg6;
1071wire[19:0] rx_log_page_hdl_reg7;
1072wire[19:0] rx_log_page_hdl_reg8;
1073wire[19:0] rx_log_page_hdl_reg9;
1074wire[19:0] rx_log_page_hdl_reg10;
1075wire[19:0] rx_log_page_hdl_reg11;
1076wire[19:0] rx_log_page_hdl_reg12;
1077wire[19:0] rx_log_page_hdl_reg13;
1078wire[19:0] rx_log_page_hdl_reg14;
1079wire[19:0] rx_log_page_hdl_reg15;
1080
1081wire[1:0] dma_func_num0;
1082wire[1:0] dma_func_num1;
1083wire[1:0] dma_func_num2;
1084wire[1:0] dma_func_num3;
1085wire[1:0] dma_func_num4;
1086wire[1:0] dma_func_num5;
1087wire[1:0] dma_func_num6;
1088wire[1:0] dma_func_num7;
1089wire[1:0] dma_func_num8;
1090wire[1:0] dma_func_num9;
1091wire[1:0] dma_func_num10;
1092wire[1:0] dma_func_num11;
1093wire[1:0] dma_func_num12;
1094wire[1:0] dma_func_num13;
1095wire[1:0] dma_func_num14;
1096wire[1:0] dma_func_num15;
1097
1098wire pio_rd_gnt0;
1099wire pio_rd_gnt1;
1100wire pio_rd_gnt2;
1101wire pio_rd_gnt3;
1102wire pio_rd_gnt4;
1103wire pio_rd_gnt5;
1104wire pio_rd_gnt6;
1105wire pio_rd_gnt7;
1106wire pio_rd_gnt8;
1107wire pio_rd_gnt9;
1108wire pio_rd_gnt10;
1109wire pio_rd_gnt11;
1110wire pio_rd_gnt12;
1111wire pio_rd_gnt13;
1112wire pio_rd_gnt14;
1113wire pio_rd_gnt15;
1114
1115wire[63:0] chnl_pio_rd_data0;
1116wire[63:0] chnl_pio_rd_data1;
1117wire[63:0] chnl_pio_rd_data2;
1118wire[63:0] chnl_pio_rd_data3;
1119wire[63:0] chnl_pio_rd_data4;
1120wire[63:0] chnl_pio_rd_data5;
1121wire[63:0] chnl_pio_rd_data6;
1122wire[63:0] chnl_pio_rd_data7;
1123wire[63:0] chnl_pio_rd_data8;
1124wire[63:0] chnl_pio_rd_data9;
1125wire[63:0] chnl_pio_rd_data10;
1126wire[63:0] chnl_pio_rd_data11;
1127wire[63:0] chnl_pio_rd_data12;
1128wire[63:0] chnl_pio_rd_data13;
1129wire[63:0] chnl_pio_rd_data14;
1130wire[63:0] chnl_pio_rd_data15;
1131
1132
1133wire[15:0] pio_rd_gnt = {
1134 pio_rd_gnt15,
1135 pio_rd_gnt14, pio_rd_gnt13, pio_rd_gnt12, pio_rd_gnt11, pio_rd_gnt10,
1136 pio_rd_gnt9, pio_rd_gnt8, pio_rd_gnt7, pio_rd_gnt6, pio_rd_gnt5,
1137 pio_rd_gnt4, pio_rd_gnt3, pio_rd_gnt2, pio_rd_gnt1, pio_rd_gnt0};
1138
1139wire[319:0] page_handle = {
1140 rx_log_page_hdl_reg15,
1141 rx_log_page_hdl_reg14, rx_log_page_hdl_reg13, rx_log_page_hdl_reg12, rx_log_page_hdl_reg11, rx_log_page_hdl_reg10,
1142 rx_log_page_hdl_reg9, rx_log_page_hdl_reg8, rx_log_page_hdl_reg7, rx_log_page_hdl_reg6, rx_log_page_hdl_reg5,
1143 rx_log_page_hdl_reg4, rx_log_page_hdl_reg3, rx_log_page_hdl_reg2, rx_log_page_hdl_reg1, rx_log_page_hdl_reg0};
1144
1145wire[31:0] rdmc_zcp_func_num = {
1146 dma_func_num15,
1147 dma_func_num14, dma_func_num13, dma_func_num12, dma_func_num11, dma_func_num10,
1148 dma_func_num9, dma_func_num8, dma_func_num7, dma_func_num6, dma_func_num5,
1149 dma_func_num4, dma_func_num3, dma_func_num2, dma_func_num1, dma_func_num0};
1150
1151wire[15:0] desp_rd_req = {
1152 fetch_desp_req15,
1153 fetch_desp_req14, fetch_desp_req13, fetch_desp_req12, fetch_desp_req11, fetch_desp_req10,
1154 fetch_desp_req9, fetch_desp_req8, fetch_desp_req7, fetch_desp_req6, fetch_desp_req5,
1155 fetch_desp_req4, fetch_desp_req3, fetch_desp_req2, fetch_desp_req1, fetch_desp_req0};
1156
1157wire[15:0] cache_rd_req = {
1158 cache_read_req15,
1159 cache_read_req14, cache_read_req13, cache_read_req12, cache_read_req11, cache_read_req10,
1160 cache_read_req9, cache_read_req8, cache_read_req7, cache_read_req6, cache_read_req5,
1161 cache_read_req4, cache_read_req3, cache_read_req2, cache_read_req1, cache_read_req0};
1162
1163wire[15:0] rdmc_resp_accept_array = {
1164 rdmc_resp_accept_sm15,
1165 rdmc_resp_accept_sm14, rdmc_resp_accept_sm13, rdmc_resp_accept_sm12, rdmc_resp_accept_sm11, rdmc_resp_accept_sm10,
1166 rdmc_resp_accept_sm9, rdmc_resp_accept_sm8, rdmc_resp_accept_sm7, rdmc_resp_accept_sm6, rdmc_resp_accept_sm5,
1167 rdmc_resp_accept_sm4, rdmc_resp_accept_sm3, rdmc_resp_accept_sm2, rdmc_resp_accept_sm1, rdmc_resp_accept_sm0};
1168
1169wire[15:0] fetch_desp_resp_valid_array = {
1170 fetch_desp_resp_vld15,
1171 fetch_desp_resp_vld14, fetch_desp_resp_vld13, fetch_desp_resp_vld12, fetch_desp_resp_vld11, fetch_desp_resp_vld10,
1172 fetch_desp_resp_vld9, fetch_desp_resp_vld8, fetch_desp_resp_vld7, fetch_desp_resp_vld6, fetch_desp_resp_vld5,
1173 fetch_desp_resp_vld4, fetch_desp_resp_vld3, fetch_desp_resp_vld2, fetch_desp_resp_vld1, fetch_desp_resp_vld0};
1174
1175
1176wire[15:0] full_hdr_bits = {
1177 full_header15,
1178 full_header14, full_header13, full_header12, full_header11, full_header10,
1179 full_header9, full_header8, full_header7, full_header6, full_header5,
1180 full_header4, full_header3, full_header2, full_header1, full_header0};
1181
1182wire[15:0] drop_pkt = {
1183 drop_pkt15,
1184 drop_pkt14, drop_pkt13, drop_pkt12, drop_pkt11, drop_pkt10,
1185 drop_pkt9, drop_pkt8, drop_pkt7, drop_pkt6, drop_pkt5,
1186 drop_pkt4, drop_pkt3, drop_pkt2, drop_pkt1, drop_pkt0};
1187
1188wire[15:0] pkt_buf_gnt = {
1189 pkt_buf_gnt15,
1190 pkt_buf_gnt14, pkt_buf_gnt13, pkt_buf_gnt12, pkt_buf_gnt11, pkt_buf_gnt10,
1191 pkt_buf_gnt9, pkt_buf_gnt8, pkt_buf_gnt7, pkt_buf_gnt6, pkt_buf_gnt5,
1192 pkt_buf_gnt4, pkt_buf_gnt3, pkt_buf_gnt2, pkt_buf_gnt1, pkt_buf_gnt0};
1193
1194wire[15:0] shadw_wr_en = {
1195 shadw_wr_en15,
1196 shadw_wr_en14, shadw_wr_en13, shadw_wr_en12, shadw_wr_en11, shadw_wr_en10,
1197 shadw_wr_en9, shadw_wr_en8, shadw_wr_en7, shadw_wr_en6, shadw_wr_en5,
1198 shadw_wr_en4, shadw_wr_en3, shadw_wr_en2, shadw_wr_en1, shadw_wr_en0};
1199
1200wire[15:0] shadw_wr_even = {
1201 shadw_wr_even15,
1202 shadw_wr_even14, shadw_wr_even13, shadw_wr_even12, shadw_wr_even11, shadw_wr_even10,
1203 shadw_wr_even9, shadw_wr_even8, shadw_wr_even7, shadw_wr_even6, shadw_wr_even5,
1204 shadw_wr_even4, shadw_wr_even3, shadw_wr_even2, shadw_wr_even1, shadw_wr_even0};
1205
1206wire[15:0] rcr_wrbk_sched = {
1207 rcr_wrbk_sched15,
1208 rcr_wrbk_sched14, rcr_wrbk_sched13, rcr_wrbk_sched12, rcr_wrbk_sched11, rcr_wrbk_sched10,
1209 rcr_wrbk_sched9, rcr_wrbk_sched8, rcr_wrbk_sched7, rcr_wrbk_sched6, rcr_wrbk_sched5,
1210 rcr_wrbk_sched4, rcr_wrbk_sched3, rcr_wrbk_sched2, rcr_wrbk_sched1, rcr_wrbk_sched0};
1211
1212wire[15:0] rcr_wrbk_req = {
1213 rcr_wrbk_req15,
1214 rcr_wrbk_req14, rcr_wrbk_req13, rcr_wrbk_req12, rcr_wrbk_req11, rcr_wrbk_req10,
1215 rcr_wrbk_req9, rcr_wrbk_req8, rcr_wrbk_req7, rcr_wrbk_req6, rcr_wrbk_req5,
1216 rcr_wrbk_req4, rcr_wrbk_req3, rcr_wrbk_req2, rcr_wrbk_req1, rcr_wrbk_req0};
1217
1218wire[15:0] rcr_wrbk_data_type = {
1219 rcr_wrbk_data_type15,
1220 rcr_wrbk_data_type14, rcr_wrbk_data_type13, rcr_wrbk_data_type12, rcr_wrbk_data_type11, rcr_wrbk_data_type10,
1221 rcr_wrbk_data_type9, rcr_wrbk_data_type8, rcr_wrbk_data_type7, rcr_wrbk_data_type6, rcr_wrbk_data_type5,
1222 rcr_wrbk_data_type4, rcr_wrbk_data_type3, rcr_wrbk_data_type2, rcr_wrbk_data_type1, rcr_wrbk_data_type0};
1223
1224wire[15:0] rcr_ack_accept = {
1225 rcr_ack_accept15,
1226 rcr_ack_accept14, rcr_ack_accept13, rcr_ack_accept12, rcr_ack_accept11, rcr_ack_accept10,
1227 rcr_ack_accept9, rcr_ack_accept8, rcr_ack_accept7, rcr_ack_accept6, rcr_ack_accept5,
1228 rcr_ack_accept4, rcr_ack_accept3, rcr_ack_accept2, rcr_ack_accept1, rcr_ack_accept0};
1229
1230wire[31:0] rdmc_pio_intr_ldf_a = {16'b0,
1231 ldf_a15,
1232 ldf_a14, ldf_a13, ldf_a12, ldf_a11, ldf_a10,
1233 ldf_a9, ldf_a8, ldf_a7, ldf_a6, ldf_a5,
1234 ldf_a4, ldf_a3, ldf_a2, ldf_a1, ldf_a0};
1235
1236wire[31:0] rdmc_pio_intr_ldf_b = {16'b0,
1237 ldf_b15,
1238 ldf_b14, ldf_b13, ldf_b12, ldf_b11, ldf_b10,
1239 ldf_b9, ldf_b8, ldf_b7, ldf_b6, ldf_b5,
1240 ldf_b4, ldf_b3, ldf_b2, ldf_b1, ldf_b0};
1241
1242
1243`ifdef NEPTUNE
1244`else
1245wire pio_client_32b = 1'b0;
1246`endif
1247
1248
1249/*********************************************************************************************************/
1250/*********************************************************************************************************/
1251
1252`ifdef NEPTUNE
1253wire [3:0] do_nad;
1254wire [3:0] do_nor;
1255wire [3:0] do_inv;
1256wire [3:0] do_mux;
1257wire [3:0] do_q;
1258wire so;
1259
1260nep_spare_rdmc spare_rdmc_0 (
1261 .di_nd3 ({1'h1, 1'h1, do_q[3]}),
1262 .di_nd2 ({1'h1, 1'h1, do_q[2]}),
1263 .di_nd1 ({1'h1, 1'h1, do_q[1]}),
1264 .di_nd0 ({1'h1, 1'h1, do_q[0]}),
1265 .di_nr3 ({1'h0, 1'h0}),
1266 .di_nr2 ({1'h0, 1'h0}),
1267 .di_nr1 ({1'h0, 1'h0}),
1268 .di_nr0 ({1'h0, 1'h0}),
1269 .di_inv (do_nad[3:0]),
1270 .di_mx3 ({1'h0, 1'h0}),
1271 .di_mx2 ({1'h0, 1'h0}),
1272 .di_mx1 ({1'h0, 1'h0}),
1273 .di_mx0 ({1'h0, 1'h0}),
1274 .mx_sel (do_nor[3:0]),
1275 .di_reg (do_inv[3:0]),
1276 .wt_ena (do_mux[3:0]),
1277 .rst ({reset,reset,reset,reset}),
1278 .si (1'h0),
1279 .se (1'h0),
1280 .clk (niu_clk),
1281 .do_nad (do_nad[3:0]),
1282 .do_nor (do_nor[3:0]),
1283 .do_inv (do_inv[3:0]),
1284 .do_mux (do_mux[3:0]),
1285 .do_q (do_q[3:0]),
1286 .so (so)
1287 );
1288`endif
1289
1290
1291niu_rdmc_clk_buf niu_rdmc_clk_buf0 (
1292 .clk (niu_clk),
1293 .niu_reset_l (niu_reset_l),
1294
1295 .reset (reset)
1296
1297 );
1298
1299
1300niu_rdmc_pio_if niu_rdmc_pio_if0 (
1301 .clk (niu_clk),
1302 .reset (reset),
1303 .pio_rdmc_sel (pio_rdmc_sel),
1304 .pio_rdmc_rd (pio_rdmc_rd),
1305 .pio_rdmc_addr (pio_rdmc_addr),
1306 .pio_rdmc_wdata (pio_rdmc_wdata),
1307 .pio_client_32b (pio_client_32b),
1308 .stage1_en_r (stage1_en_r),
1309 .port_gnt (port_gnt),
1310 .port_err_event (port_err_event),
1311 .ipp_dat_req0_data (ipp_dat_req0_data),
1312 .ipp_dat_req1_data (ipp_dat_req1_data),
1313 .ipp_dat_req2_data (ipp_dat_req2_data),
1314 .ipp_dat_req3_data (ipp_dat_req3_data),
1315 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
1316 .wr_debug_data (wr_debug_data),
1317 .pio_rd_gnt (pio_rd_gnt),
1318 .chnl_pio_rd_data0 (chnl_pio_rd_data0),
1319 .chnl_pio_rd_data1 (chnl_pio_rd_data1),
1320 .chnl_pio_rd_data2 (chnl_pio_rd_data2),
1321 .chnl_pio_rd_data3 (chnl_pio_rd_data3),
1322 .chnl_pio_rd_data4 (chnl_pio_rd_data4),
1323 .chnl_pio_rd_data5 (chnl_pio_rd_data5),
1324 .chnl_pio_rd_data6 (chnl_pio_rd_data6),
1325 .chnl_pio_rd_data7 (chnl_pio_rd_data7),
1326 .chnl_pio_rd_data8 (chnl_pio_rd_data8),
1327 .chnl_pio_rd_data9 (chnl_pio_rd_data9),
1328 .chnl_pio_rd_data10 (chnl_pio_rd_data10),
1329 .chnl_pio_rd_data11 (chnl_pio_rd_data11),
1330 .chnl_pio_rd_data12 (chnl_pio_rd_data12),
1331 .chnl_pio_rd_data13 (chnl_pio_rd_data13),
1332 .chnl_pio_rd_data14 (chnl_pio_rd_data14),
1333 .chnl_pio_rd_data15 (chnl_pio_rd_data15),
1334 .cache_rd_strobe (cache_rd_strobe),
1335 .cache_wr_strobe (cache_wr_strobe),
1336 .cache_rd_addr (cache_rd_addr),
1337 .cache_wr_addr (cache_wr_addr),
1338 .cache_wr_data (cache_wr_data),
1339 .cache_rd_data_reg (cache_rd_data_reg),
1340 .shadw_rd_strobe (shadw_rd_strobe),
1341 .shadw_wr_strobe (shadw_wr_strobe),
1342 .shadw_rd_addr (shadw_rd_addr),
1343 .shadw_wr_addr (shadw_wr_addr),
1344 .shadw_wr_data (shadw_wr_data),
1345 .shadw_rd_data_reg (shadw_rd_data_reg),
1346 .pkt_buf_gnt (pkt_buf_gnt),
1347
1348 .pio_32b_mode (pio_32b_mode),
1349 .pio_wen (pio_wen),
1350 .pio_addr (pio_addr),
1351 .pio_wen_a (pio_wen_a),
1352 .pio_addr_a (pio_addr_a),
1353 .pio_wen_b (pio_wen_b),
1354 .pio_addr_b (pio_addr_b),
1355 .pio_wen_c (pio_wen_c),
1356 .pio_addr_c (pio_addr_c),
1357 .pio_wdata (pio_wdata),
1358 .rx_addr_32b_mode (rx_addr_32b_mode),
1359 .wred_enable (wred_enable),
1360 .random_num (random_num),
1361 .clk_div_value (clk_div_value),
1362 .port_err_status (port_err_status),
1363 .muxed_port_rdc_num (muxed_port_rdc_num),
1364 .pt_drr_wt0_reg (pt_drr_wt0_reg),
1365 .pt_drr_wt1_reg (pt_drr_wt1_reg),
1366 .pt_drr_wt2_reg (pt_drr_wt2_reg),
1367 .pt_drr_wt3_reg (pt_drr_wt3_reg),
1368 .muxed_cache_rd_strobe (muxed_cache_rd_strobe),
1369 .muxed_cache_wr_strobe (muxed_cache_wr_strobe),
1370 .muxed_cache_rd_addr (muxed_cache_rd_addr),
1371 .muxed_cache_wr_addr (muxed_cache_wr_addr),
1372 .muxed_cache_wr_data (muxed_cache_wr_data),
1373 .muxed_shadw_rd_strobe (muxed_shadw_rd_strobe),
1374 .muxed_shadw_wr_strobe (muxed_shadw_wr_strobe),
1375 .muxed_shadw_rd_addr (muxed_shadw_rd_addr),
1376 .muxed_shadw_wr_addr (muxed_shadw_wr_addr),
1377 .muxed_shadw_wr_data (muxed_shadw_wr_data),
1378 .muxed_cache_rd_strobe_r(muxed_cache_rd_strobe_r),
1379 .muxed_shadw_rd_strobe_r(muxed_shadw_rd_strobe_r),
1380 .cache_parity_err (cache_parity_err),
1381 .shadw_parity_err (shadw_parity_err),
1382 .rdmc_debug_port (rdmc_debug_port),
1383 .rdmc_pio_port_int (rdmc_pio_port_int),
1384 .rdmc_pio_ack (rdmc_pio_ack),
1385 .rdmc_pio_err (rdmc_pio_err),
1386 .rdmc_pio_rdata (rdmc_pio_rdata)
1387
1388 );
1389
1390
1391niu_rdmc_dp_master niu_rdmc_dp_master0 (
1392 .clk (niu_clk),
1393 .reset (reset),
1394 .rx_addr_32b_mode (rx_addr_32b_mode),
1395 .pt_drr_wt0_reg (pt_drr_wt0_reg),
1396 .pt_drr_wt1_reg (pt_drr_wt1_reg),
1397 .pt_drr_wt2_reg (pt_drr_wt2_reg),
1398 .pt_drr_wt3_reg (pt_drr_wt3_reg),
1399 .port_err_status (port_err_status),
1400 .muxed_port_rdc_num (muxed_port_rdc_num),
1401 .full_hdr_bits (full_hdr_bits),
1402 .drop_pkt (drop_pkt),
1403 .pkt_buf_gnt (pkt_buf_gnt),
1404 .pkt_buf_addr0 (pkt_buf_addr0),
1405 .pkt_buf_addr1 (pkt_buf_addr1),
1406 .pkt_buf_addr2 (pkt_buf_addr2),
1407 .pkt_buf_addr3 (pkt_buf_addr3),
1408 .pkt_buf_addr4 (pkt_buf_addr4),
1409 .pkt_buf_addr5 (pkt_buf_addr5),
1410 .pkt_buf_addr6 (pkt_buf_addr6),
1411 .pkt_buf_addr7 (pkt_buf_addr7),
1412 .pkt_buf_addr8 (pkt_buf_addr8),
1413 .pkt_buf_addr9 (pkt_buf_addr9),
1414 .pkt_buf_addr10 (pkt_buf_addr10),
1415 .pkt_buf_addr11 (pkt_buf_addr11),
1416 .pkt_buf_addr12 (pkt_buf_addr12),
1417 .pkt_buf_addr13 (pkt_buf_addr13),
1418 .pkt_buf_addr14 (pkt_buf_addr14),
1419 .pkt_buf_addr15 (pkt_buf_addr15),
1420 .pkt_buf_size0 (pkt_buf_size0),
1421 .pkt_buf_size1 (pkt_buf_size1),
1422 .pkt_buf_size2 (pkt_buf_size2),
1423 .pkt_buf_size3 (pkt_buf_size3),
1424 .pkt_buf_size4 (pkt_buf_size4),
1425 .pkt_buf_size5 (pkt_buf_size5),
1426 .pkt_buf_size6 (pkt_buf_size6),
1427 .pkt_buf_size7 (pkt_buf_size7),
1428 .pkt_buf_size8 (pkt_buf_size8),
1429 .pkt_buf_size9 (pkt_buf_size9),
1430 .pkt_buf_size10 (pkt_buf_size10),
1431 .pkt_buf_size11 (pkt_buf_size11),
1432 .pkt_buf_size12 (pkt_buf_size12),
1433 .pkt_buf_size13 (pkt_buf_size13),
1434 .pkt_buf_size14 (pkt_buf_size14),
1435 .pkt_buf_size15 (pkt_buf_size15),
1436 .orig_buf_addr0 (orig_buf_addr0),
1437 .orig_buf_addr1 (orig_buf_addr1),
1438 .orig_buf_addr2 (orig_buf_addr2),
1439 .orig_buf_addr3 (orig_buf_addr3),
1440 .orig_buf_addr4 (orig_buf_addr4),
1441 .orig_buf_addr5 (orig_buf_addr5),
1442 .orig_buf_addr6 (orig_buf_addr6),
1443 .orig_buf_addr7 (orig_buf_addr7),
1444 .orig_buf_addr8 (orig_buf_addr8),
1445 .orig_buf_addr9 (orig_buf_addr9),
1446 .orig_buf_addr10 (orig_buf_addr10),
1447 .orig_buf_addr11 (orig_buf_addr11),
1448 .orig_buf_addr12 (orig_buf_addr12),
1449 .orig_buf_addr13 (orig_buf_addr13),
1450 .orig_buf_addr14 (orig_buf_addr14),
1451 .orig_buf_addr15 (orig_buf_addr15),
1452 .dma_func_num0 (dma_func_num0),
1453 .dma_func_num1 (dma_func_num1),
1454 .dma_func_num2 (dma_func_num2),
1455 .dma_func_num3 (dma_func_num3),
1456 .dma_func_num4 (dma_func_num4),
1457 .dma_func_num5 (dma_func_num5),
1458 .dma_func_num6 (dma_func_num6),
1459 .dma_func_num7 (dma_func_num7),
1460 .dma_func_num8 (dma_func_num8),
1461 .dma_func_num9 (dma_func_num9),
1462 .dma_func_num10 (dma_func_num10),
1463 .dma_func_num11 (dma_func_num11),
1464 .dma_func_num12 (dma_func_num12),
1465 .dma_func_num13 (dma_func_num13),
1466 .dma_func_num14 (dma_func_num14),
1467 .dma_func_num15 (dma_func_num15),
1468 .pref_buf_used_num0 (pref_buf_used_num0),
1469 .pref_buf_used_num1 (pref_buf_used_num1),
1470 .pref_buf_used_num2 (pref_buf_used_num2),
1471 .pref_buf_used_num3 (pref_buf_used_num3),
1472 .pref_buf_used_num4 (pref_buf_used_num4),
1473 .pref_buf_used_num5 (pref_buf_used_num5),
1474 .pref_buf_used_num6 (pref_buf_used_num6),
1475 .pref_buf_used_num7 (pref_buf_used_num7),
1476 .pref_buf_used_num8 (pref_buf_used_num8),
1477 .pref_buf_used_num9 (pref_buf_used_num9),
1478 .pref_buf_used_num10 (pref_buf_used_num10),
1479 .pref_buf_used_num11 (pref_buf_used_num11),
1480 .pref_buf_used_num12 (pref_buf_used_num12),
1481 .pref_buf_used_num13 (pref_buf_used_num13),
1482 .pref_buf_used_num14 (pref_buf_used_num14),
1483 .pref_buf_used_num15 (pref_buf_used_num15),
1484 .pkt_trans_len0 (pkt_trans_len0),
1485 .pkt_trans_len1 (pkt_trans_len1),
1486 .pkt_trans_len2 (pkt_trans_len2),
1487 .pkt_trans_len3 (pkt_trans_len3),
1488 .pkt_trans_len4 (pkt_trans_len4),
1489 .pkt_trans_len5 (pkt_trans_len5),
1490 .pkt_trans_len6 (pkt_trans_len6),
1491 .pkt_trans_len7 (pkt_trans_len7),
1492 .pkt_trans_len8 (pkt_trans_len8),
1493 .pkt_trans_len9 (pkt_trans_len9),
1494 .pkt_trans_len10 (pkt_trans_len10),
1495 .pkt_trans_len11 (pkt_trans_len11),
1496 .pkt_trans_len12 (pkt_trans_len12),
1497 .pkt_trans_len13 (pkt_trans_len13),
1498 .pkt_trans_len14 (pkt_trans_len14),
1499 .pkt_trans_len15 (pkt_trans_len15),
1500 .ipp_dmc_ful_pkt0 (ipp_dmc_ful_pkt0),
1501 .ipp_dmc_dat_ack0 (ipp_dmc_dat_ack0),
1502 .ipp_dmc_dat_err0 (ipp_dmc_dat_err0),
1503 .ipp_dmc_data0 (ipp_dmc_data0),
1504 .ipp_dmc_ful_pkt1 (ipp_dmc_ful_pkt1),
1505 .ipp_dmc_dat_ack1 (ipp_dmc_dat_ack1),
1506 .ipp_dmc_dat_err1 (ipp_dmc_dat_err1),
1507 .ipp_dmc_data1 (ipp_dmc_data1),
1508
1509`ifdef NEPTUNE
1510 .ipp_dmc_ful_pkt2 (ipp_dmc_ful_pkt2),
1511 .ipp_dmc_dat_ack2 (ipp_dmc_dat_ack2),
1512 .ipp_dmc_dat_err2 (ipp_dmc_dat_err2),
1513 .ipp_dmc_data2 (ipp_dmc_data2),
1514 .ipp_dmc_ful_pkt3 (ipp_dmc_ful_pkt3),
1515 .ipp_dmc_dat_ack3 (ipp_dmc_dat_ack3),
1516 .ipp_dmc_dat_err3 (ipp_dmc_dat_err3),
1517 .ipp_dmc_data3 (ipp_dmc_data3),
1518`else
1519 .ipp_dmc_ful_pkt2 (1'b0),
1520 .ipp_dmc_dat_ack2 (1'b0),
1521 .ipp_dmc_dat_err2 (1'b0),
1522 .ipp_dmc_data2 (130'b0),
1523 .ipp_dmc_ful_pkt3 (1'b0),
1524 .ipp_dmc_dat_ack3 (1'b0),
1525 .ipp_dmc_dat_err3 (1'b0),
1526 .ipp_dmc_data3 (130'b0),
1527`endif
1528
1529 .zcp_dmc_ful_pkt0 (zcp_dmc_ful_pkt0),
1530 .zcp_dmc_ack0 (zcp_dmc_ack0),
1531 .zcp_dmc_dat0 (zcp_dmc_dat0),
1532 .zcp_dmc_dat_err0 (zcp_dmc_dat_err0),
1533 .zcp_dmc_ful_pkt1 (zcp_dmc_ful_pkt1),
1534 .zcp_dmc_ack1 (zcp_dmc_ack1),
1535 .zcp_dmc_dat1 (zcp_dmc_dat1),
1536 .zcp_dmc_dat_err1 (zcp_dmc_dat_err1),
1537
1538`ifdef NEPTUNE
1539 .zcp_dmc_ful_pkt2 (zcp_dmc_ful_pkt2),
1540 .zcp_dmc_ack2 (zcp_dmc_ack2),
1541 .zcp_dmc_dat2 (zcp_dmc_dat2),
1542 .zcp_dmc_dat_err2 (zcp_dmc_dat_err2),
1543 .zcp_dmc_ful_pkt3 (zcp_dmc_ful_pkt3),
1544 .zcp_dmc_ack3 (zcp_dmc_ack3),
1545 .zcp_dmc_dat3 (zcp_dmc_dat3),
1546 .zcp_dmc_dat_err3 (zcp_dmc_dat_err3),
1547`else
1548 .zcp_dmc_ful_pkt2 (1'b0),
1549 .zcp_dmc_ack2 (1'b0),
1550 .zcp_dmc_dat2 (130'b0),
1551 .zcp_dmc_dat_err2 (1'b0),
1552 .zcp_dmc_ful_pkt3 (1'b0),
1553 .zcp_dmc_ack3 (1'b0),
1554 .zcp_dmc_dat3 (130'b0),
1555 .zcp_dmc_dat_err3 (1'b0),
1556`endif
1557 .meta0_rdmc_wr_req_accept (meta0_rdmc_wr_req_accept),
1558 .meta0_rdmc_wr_data_req (meta0_rdmc_wr_data_req),
1559
1560 //output
1561 .port_gnt (port_gnt),
1562 .muxed_pkt_len (muxed_pkt_len),
1563 .muxed_rdc_num_r (muxed_rdc_num_r),
1564 .muxed_drop_pkt_r (muxed_drop_pkt_r),
1565 .muxed_s_event_r (muxed_s_event_r),
1566 .stage1_en_r (stage1_en_r),
1567 .sel_buf_en (sel_buf_en),
1568 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
1569 .pkt_buf_done (pkt_buf_done),
1570 .is_hdr_wr_data (is_hdr_wr_data),
1571 .is_jmb1_wr_data (is_jmb1_wr_data),
1572 .wr_last_pkt_data (wr_last_pkt_data),
1573 .update_rcr_shadw (update_rcr_shadw),
1574 .rcr_wrbk_data (rcr_wrbk_data),
1575 .muxed_data_err_r2 (muxed_data_err_r2),
1576 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
1577 .dmc_ipp_dat_req0 (dmc_ipp_dat_req0),
1578 .dmc_ipp_dat_req1 (dmc_ipp_dat_req1),
1579 .dmc_ipp_dat_req2 (dmc_ipp_dat_req2),
1580 .dmc_ipp_dat_req3 (dmc_ipp_dat_req3),
1581 .dmc_zcp_req0 (dmc_zcp_req0),
1582 .dmc_zcp_req1 (dmc_zcp_req1),
1583 .dmc_zcp_req2 (dmc_zcp_req2),
1584 .dmc_zcp_req3 (dmc_zcp_req3),
1585
1586 .rdmc_meta0_wr_req (rdmc_meta0_wr_req),
1587 .rdmc_meta0_wr_req_cmd (rdmc_meta0_wr_req_cmd),
1588 .rdmc_meta0_wr_req_address (rdmc_meta0_wr_req_address),
1589 .rdmc_meta0_wr_req_length (rdmc_meta0_wr_req_length),
1590 .rdmc_meta0_wr_req_port_num (rdmc_meta0_wr_req_port_num),
1591 .rdmc_meta0_wr_req_dma_num (rdmc_meta0_wr_req_dma_num),
1592 .rdmc_meta0_wr_req_func_num (rdmc_meta0_wr_req_func_num),
1593
1594 .rdmc_meta0_wr_data_valid (rdmc_meta0_wr_data_valid),
1595 .rdmc_meta0_wr_data (rdmc_meta0_wr_data),
1596 .rdmc_meta0_wr_req_byteenable (rdmc_meta0_wr_req_byteenable),
1597 .rdmc_meta0_wr_transfer_comp (rdmc_meta0_wr_transfer_comp),
1598 .rdmc_meta0_wr_transfer_comp_int(rdmc_meta0_wr_transfer_comp_int),
1599 .rdmc_meta0_wr_status (rdmc_meta0_wr_status),
1600
1601 .port_err_event (port_err_event),
1602 .ipp_dat_req0_data (ipp_dat_req0_data),
1603 .ipp_dat_req1_data (ipp_dat_req1_data),
1604 .ipp_dat_req2_data (ipp_dat_req2_data),
1605 .ipp_dat_req3_data (ipp_dat_req3_data),
1606 .wr_debug_data (wr_debug_data)
1607
1608 );
1609
1610niu_rdmc_cache_acc_ctrl niu_rdmc_cache_acc_ctrl0 (
1611 .clk (niu_clk),
1612 .reset (reset),
1613 .cache_rd_req (cache_rd_req),
1614 .cache_rd_ptr0 (cache_rd_ptr0),
1615 .cache_rd_ptr1 (cache_rd_ptr1),
1616 .cache_rd_ptr2 (cache_rd_ptr2),
1617 .cache_rd_ptr3 (cache_rd_ptr3),
1618 .cache_rd_ptr4 (cache_rd_ptr4),
1619 .cache_rd_ptr5 (cache_rd_ptr5),
1620 .cache_rd_ptr6 (cache_rd_ptr6),
1621 .cache_rd_ptr7 (cache_rd_ptr7),
1622 .cache_rd_ptr8 (cache_rd_ptr8),
1623 .cache_rd_ptr9 (cache_rd_ptr9),
1624 .cache_rd_ptr10 (cache_rd_ptr10),
1625 .cache_rd_ptr11 (cache_rd_ptr11),
1626 .cache_rd_ptr12 (cache_rd_ptr12),
1627 .cache_rd_ptr13 (cache_rd_ptr13),
1628 .cache_rd_ptr14 (cache_rd_ptr14),
1629 .cache_rd_ptr15 (cache_rd_ptr15),
1630 .muxed_cache_rd_strobe_r (muxed_cache_rd_strobe_r),
1631 .cache_rd_data (cache_rd_data),
1632 .cache_wr_ptr0 (cache_wr_ptr0),
1633 .cache_wr_ptr1 (cache_wr_ptr1),
1634 .cache_wr_ptr2 (cache_wr_ptr2),
1635 .cache_wr_ptr3 (cache_wr_ptr3),
1636 .cache_wr_ptr4 (cache_wr_ptr4),
1637 .cache_wr_ptr5 (cache_wr_ptr5),
1638 .cache_wr_ptr6 (cache_wr_ptr6),
1639 .cache_wr_ptr7 (cache_wr_ptr7),
1640 .cache_wr_ptr8 (cache_wr_ptr8),
1641 .cache_wr_ptr9 (cache_wr_ptr9),
1642 .cache_wr_ptr10 (cache_wr_ptr10),
1643 .cache_wr_ptr11 (cache_wr_ptr11),
1644 .cache_wr_ptr12 (cache_wr_ptr12),
1645 .cache_wr_ptr13 (cache_wr_ptr13),
1646 .cache_wr_ptr14 (cache_wr_ptr14),
1647 .cache_wr_ptr15 (cache_wr_ptr15),
1648 .fetch_desp_resp_valid_array (fetch_desp_resp_valid_array),
1649 .rdmc_resp_data_valid (rdmc_resp_data_valid),
1650
1651 .cache_wr_strobe (cache_wr_strobe),
1652 .cache_wr_addr (cache_wr_addr),
1653 .cache_rd_strobe (cache_rd_strobe),
1654 .cache_rd_addr (cache_rd_addr),
1655 .cache_buf_rd_gnt (cache_buf_rd_gnt),
1656 .cache_rd_data_reg (cache_rd_data_reg)
1657
1658 );
1659
1660
1661niu_rdmc_shadow_ram_ctrl niu_rdmc_shadow_ram_ctrl0 (
1662 .clk (niu_clk),
1663 .reset (reset),
1664 .shadw_wr_en (shadw_wr_en),
1665 .shadw_wr_even (shadw_wr_even),
1666 .shadw_wr_ptr0 (shadw_wr_ptr0),
1667 .shadw_wr_ptr1 (shadw_wr_ptr1),
1668 .shadw_wr_ptr2 (shadw_wr_ptr2),
1669 .shadw_wr_ptr3 (shadw_wr_ptr3),
1670 .shadw_wr_ptr4 (shadw_wr_ptr4),
1671 .shadw_wr_ptr5 (shadw_wr_ptr5),
1672 .shadw_wr_ptr6 (shadw_wr_ptr6),
1673 .shadw_wr_ptr7 (shadw_wr_ptr7),
1674 .shadw_wr_ptr8 (shadw_wr_ptr8),
1675 .shadw_wr_ptr9 (shadw_wr_ptr9),
1676 .shadw_wr_ptr10 (shadw_wr_ptr10),
1677 .shadw_wr_ptr11 (shadw_wr_ptr11),
1678 .shadw_wr_ptr12 (shadw_wr_ptr12),
1679 .shadw_wr_ptr13 (shadw_wr_ptr13),
1680 .shadw_wr_ptr14 (shadw_wr_ptr14),
1681 .shadw_wr_ptr15 (shadw_wr_ptr15),
1682 .shadw_rd_ptr0 (shadw_rd_ptr0),
1683 .shadw_rd_ptr1 (shadw_rd_ptr1),
1684 .shadw_rd_ptr2 (shadw_rd_ptr2),
1685 .shadw_rd_ptr3 (shadw_rd_ptr3),
1686 .shadw_rd_ptr4 (shadw_rd_ptr4),
1687 .shadw_rd_ptr5 (shadw_rd_ptr5),
1688 .shadw_rd_ptr6 (shadw_rd_ptr6),
1689 .shadw_rd_ptr7 (shadw_rd_ptr7),
1690 .shadw_rd_ptr8 (shadw_rd_ptr8),
1691 .shadw_rd_ptr9 (shadw_rd_ptr9),
1692 .shadw_rd_ptr10 (shadw_rd_ptr10),
1693 .shadw_rd_ptr11 (shadw_rd_ptr11),
1694 .shadw_rd_ptr12 (shadw_rd_ptr12),
1695 .shadw_rd_ptr13 (shadw_rd_ptr13),
1696 .shadw_rd_ptr14 (shadw_rd_ptr14),
1697 .shadw_rd_ptr15 (shadw_rd_ptr15),
1698 .muxed_data_err_r2 (muxed_data_err_r2),
1699 .rdmc_meta0_wr_transfer_comp_int(rdmc_meta0_wr_transfer_comp_int),
1700 .rcr_wrbk_data (rcr_wrbk_data),
1701 .rcr_wrbk_sched (rcr_wrbk_sched),
1702 .rdmc_rcr_req_accept (rdmc_rcr_req_accept),
1703 .shadw_rd_strobe (shadw_rd_strobe),
1704
1705 .data_err_event (data_err_event),
1706 .shadw_wr_strobe (shadw_wr_strobe),
1707 .shadw_wr_addr (shadw_wr_addr),
1708 .shadw_wr_data (shadw_wr_data),
1709 .shadw_rd_addr (shadw_rd_addr)
1710
1711 );
1712
1713
1714`ifdef NEPTUNE
1715`else
1716 niu_mb4 niu_mb4 (
1717 .l1clk (niu_clk),
1718 .rst (reset),
1719 .mb4_scan_in (rdp_rdmc_mbist_scan_in),
1720 .tcu_aclk (tcu_aclk),
1721 .tcu_bclk (tcu_bclk),
1722 .tcu_niu_mbist_start_4 (tcu_rdp_rdmc_mbist_start),
1723 .tcu_mbist_bisi_en (tcu_mbist_bisi_en),
1724 .tcu_mbist_user_mode (tcu_mbist_user_mode),
1725 .niu_mb4_rdmc_desc_data_out (niu_mb4_rdmc_desc_data_out[147:0]),
1726 .niu_mb4_rdmc_comp_data_out (niu_mb4_rdmc_comp_data_out[147:0]),
1727 .niu_mb4_desc_rd_en (niu_mb4_desc_rd_en),
1728 .niu_mb4_desc_wr_en (niu_mb4_desc_wr_en),
1729 .niu_mb4_comp_rd_en (niu_mb4_comp_rd_en),
1730 .niu_mb4_comp_wr_en (niu_mb4_comp_wr_en),
1731 .niu_mb4_addr (niu_mb4_addr[7:0]),
1732 .niu_mb4_wdata (niu_mb4_wdata[7:0]),
1733 .niu_mb4_run (niu_mb4_run),
1734 .niu_tcu_mbist_fail_4 (rdp_rdmc_tcu_mbist_fail),
1735 .niu_tcu_mbist_done_4 (rdp_rdmc_tcu_mbist_done),
1736 .mb4_scan_out (rdp_rdmc_mbist_scan_out),
1737 .mb4_dmo_dout (rdp_tcu_dmo_data_out));
1738`endif
1739
1740niu_ram_256_148 niu_ram_256_148_inst0 (
1741`ifdef NEPTUNE
1742 .clk (niu_clk),
1743`else
1744 .reset (reset),
1745 .clk (iol2clk),
1746 .tcu_aclk (tcu_aclk),
1747 .tcu_bclk (tcu_bclk),
1748 .tcu_scan_en (tcu_scan_en),
1749 .tcu_se_scancollar_in (tcu_se_scancollar_in),
1750 .tcu_se_scancollar_out (tcu_se_scancollar_out),
1751 .tcu_array_wr_inhibit (tcu_array_wr_inhibit),
1752 .scan_in (1'b0),
1753 .scan_out (),
1754 .hdr_sram_rvalue (hdr_sram_rvalue_rdmc0),
1755 .hdr_sram_rid (hdr_sram_rid_rdmc0),
1756 .hdr_sram_wr_en (hdr_sram_wr_en_rdmc0),
1757 .hdr_sram_red_clr (hdr_sram_red_clr_rdmc0),
1758 .sram_hdr_read_data (sram_hdr_read_data_rdmc0),
1759
1760 .l2clk_2x (l2clk_2x),
1761 .mbi_wdata (niu_mb4_wdata_full),
1762 .mbi_rd_adr (niu_mb4_addr[7:0]),
1763 .mbi_wr_adr (niu_mb4_addr[7:0]),
1764 .mbi_wr_en (niu_mb4_desc_wr_en),
1765 .mbi_rd_en (niu_mb4_desc_rd_en),
1766 .mbi_run (niu_mb4_run),
1767`endif
1768 .data_inp (muxed_cache_wr_data),
1769 .addr_rd (muxed_cache_rd_addr),
1770 .addr_wt (muxed_cache_wr_addr),
1771 .wt_enable (muxed_cache_wr_strobe),
1772 .cs_rd (muxed_cache_rd_strobe),
1773
1774 .data_out (cache_rd_data)
1775
1776 );
1777
1778niu_ram_256_148 niu_ram_256_148_inst1 (
1779`ifdef NEPTUNE
1780 .clk (niu_clk),
1781`else
1782 .reset (reset),
1783 .clk (iol2clk),
1784 .tcu_aclk (tcu_aclk),
1785 .tcu_bclk (tcu_bclk),
1786 .tcu_scan_en (tcu_scan_en),
1787 .tcu_se_scancollar_in (tcu_se_scancollar_in),
1788 .tcu_se_scancollar_out (tcu_se_scancollar_out),
1789 .tcu_array_wr_inhibit (tcu_array_wr_inhibit),
1790 .scan_in (1'b0),
1791 .scan_out (),
1792 .hdr_sram_rvalue (hdr_sram_rvalue_rdmc1),
1793 .hdr_sram_rid (hdr_sram_rid_rdmc1),
1794 .hdr_sram_wr_en (hdr_sram_wr_en_rdmc1),
1795 .hdr_sram_red_clr (hdr_sram_red_clr_rdmc1),
1796 .sram_hdr_read_data (sram_hdr_read_data_rdmc1),
1797
1798 .l2clk_2x (l2clk_2x),
1799 .mbi_wdata (niu_mb4_wdata_full),
1800 .mbi_rd_adr (niu_mb4_addr[7:0]),
1801 .mbi_wr_adr (niu_mb4_addr[7:0]),
1802 .mbi_wr_en (niu_mb4_comp_wr_en),
1803 .mbi_rd_en (niu_mb4_comp_rd_en),
1804 .mbi_run (niu_mb4_run),
1805`endif
1806 .data_inp (muxed_shadw_wr_data),
1807 .addr_rd (muxed_shadw_rd_addr),
1808 .addr_wt (muxed_shadw_wr_addr),
1809 .wt_enable (muxed_shadw_wr_strobe),
1810 .cs_rd (muxed_shadw_rd_strobe),
1811
1812 .data_out (shadw_rd_data)
1813
1814 );
1815
1816
1817niu_rdmc_desp_acc_ctrl niu_rdmc_desp_acc_ctrl0 (
1818 .clk (niu_clk),
1819 .reset (reset),
1820 .rx_addr_32b_mode (rx_addr_32b_mode),
1821 .desp_rd_req (desp_rd_req),
1822 .fetch_desp_addr0 (fetch_desp_addr0),
1823 .fetch_desp_addr1 (fetch_desp_addr1),
1824 .fetch_desp_addr2 (fetch_desp_addr2),
1825 .fetch_desp_addr3 (fetch_desp_addr3),
1826 .fetch_desp_addr4 (fetch_desp_addr4),
1827 .fetch_desp_addr5 (fetch_desp_addr5),
1828 .fetch_desp_addr6 (fetch_desp_addr6),
1829 .fetch_desp_addr7 (fetch_desp_addr7),
1830 .fetch_desp_addr8 (fetch_desp_addr8),
1831 .fetch_desp_addr9 (fetch_desp_addr9),
1832 .fetch_desp_addr10 (fetch_desp_addr10),
1833 .fetch_desp_addr11 (fetch_desp_addr11),
1834 .fetch_desp_addr12 (fetch_desp_addr12),
1835 .fetch_desp_addr13 (fetch_desp_addr13),
1836 .fetch_desp_addr14 (fetch_desp_addr14),
1837 .fetch_desp_addr15 (fetch_desp_addr15),
1838 .fetch_desp_numb0 (fetch_desp_numb0),
1839 .fetch_desp_numb1 (fetch_desp_numb1),
1840 .fetch_desp_numb2 (fetch_desp_numb2),
1841 .fetch_desp_numb3 (fetch_desp_numb3),
1842 .fetch_desp_numb4 (fetch_desp_numb4),
1843 .fetch_desp_numb5 (fetch_desp_numb5),
1844 .fetch_desp_numb6 (fetch_desp_numb6),
1845 .fetch_desp_numb7 (fetch_desp_numb7),
1846 .fetch_desp_numb8 (fetch_desp_numb8),
1847 .fetch_desp_numb9 (fetch_desp_numb9),
1848 .fetch_desp_numb10 (fetch_desp_numb10),
1849 .fetch_desp_numb11 (fetch_desp_numb11),
1850 .fetch_desp_numb12 (fetch_desp_numb12),
1851 .fetch_desp_numb13 (fetch_desp_numb13),
1852 .fetch_desp_numb14 (fetch_desp_numb14),
1853 .fetch_desp_numb15 (fetch_desp_numb15),
1854 .dma_func_num0 (dma_func_num0),
1855 .dma_func_num1 (dma_func_num1),
1856 .dma_func_num2 (dma_func_num2),
1857 .dma_func_num3 (dma_func_num3),
1858 .dma_func_num4 (dma_func_num4),
1859 .dma_func_num5 (dma_func_num5),
1860 .dma_func_num6 (dma_func_num6),
1861 .dma_func_num7 (dma_func_num7),
1862 .dma_func_num8 (dma_func_num8),
1863 .dma_func_num9 (dma_func_num9),
1864 .dma_func_num10 (dma_func_num10),
1865 .dma_func_num11 (dma_func_num11),
1866 .dma_func_num12 (dma_func_num12),
1867 .dma_func_num13 (dma_func_num13),
1868 .dma_func_num14 (dma_func_num14),
1869 .dma_func_num15 (dma_func_num15),
1870 .rdmc_resp_accept_array (rdmc_resp_accept_array),
1871 .fetch_desp_resp_valid_array (fetch_desp_resp_valid_array),
1872 .meta1_rdmc_rbr_req_accept (meta1_rdmc_rbr_req_accept),
1873 .meta1_rdmc_rbr_req_error (meta1_rdmc_rbr_req_error),
1874 .meta1_rdmc_rbr_resp_ready (meta1_rdmc_rbr_resp_ready),
1875 .meta1_rdmc_rbr_resp_cmd (meta1_rdmc_rbr_resp_cmd),
1876 .meta1_rdmc_rbr_resp_cmd_status (meta1_rdmc_rbr_resp_cmd_status),
1877 .meta1_rdmc_rbr_resp_dma_num (meta1_rdmc_rbr_resp_dma_num),
1878 .meta1_rdmc_rbr_resp_client (meta1_rdmc_rbr_resp_client),
1879 .meta1_rdmc_rbr_resp_comp (meta1_rdmc_rbr_resp_comp),
1880 .meta1_rdmc_rbr_resp_trans_comp (meta1_rdmc_rbr_resp_trans_comp),
1881 .meta1_rdmc_rbr_resp_data_valid (meta1_rdmc_rbr_resp_data_valid),
1882 .meta1_rdmc_rbr_resp_data (meta1_rdmc_rbr_resp_data),
1883 .meta1_rdmc_rbr_resp_byteenable (meta1_rdmc_rbr_resp_byteenable),
1884 .meta1_rdmc_rbr_resp_data_status (meta1_rdmc_rbr_resp_data_status),
1885
1886 .rdmc_meta1_rbr_req (rdmc_meta1_rbr_req),
1887 .rdmc_meta1_rbr_req_cmd (rdmc_meta1_rbr_req_cmd),
1888 .rdmc_meta1_rbr_req_address (rdmc_meta1_rbr_req_address),
1889 .rdmc_meta1_rbr_req_length (rdmc_meta1_rbr_req_length),
1890 .rdmc_meta1_rbr_req_dma_num (rdmc_meta1_rbr_req_dma_num),
1891 .rdmc_meta1_rbr_req_port_num (rdmc_meta1_rbr_req_port_num),
1892 .rdmc_meta1_rbr_req_func_num (rdmc_meta1_rbr_req_func_num),
1893 .rdmc_meta1_rbr_resp_accept (rdmc_meta1_rbr_resp_accept),
1894 .fetch_desp_gnt (fetch_desp_gnt),
1895 .resp_data_in_process (resp_data_in_process),
1896 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid),
1897 .rdmc_req_err (rdmc_req_err),
1898 .rdmc_resp_cmd (rdmc_resp_cmd),
1899 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
1900 .rdmc_resp_dma_num (rdmc_resp_dma_num),
1901 .rdmc_resp_data_valid (rdmc_resp_data_valid),
1902 .rdmc_resp_byteenable (rdmc_resp_byteenable),
1903 .rdmc_resp_comp (rdmc_resp_comp),
1904 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
1905 .rdmc_resp_data_status (rdmc_resp_data_status),
1906 .cache_wr_data (cache_wr_data)
1907
1908 );
1909
1910
1911niu_rdmc_rcr_acc_ctrl niu_rdmc_rcr_acc_ctrl0 (
1912 .clk (niu_clk),
1913 .reset (reset),
1914 .rx_addr_32b_mode (rx_addr_32b_mode),
1915 .rcr_ack_accept (rcr_ack_accept),
1916 .rcr_wrbk_req (rcr_wrbk_req),
1917 .rcr_wrbk_data_type (rcr_wrbk_data_type),
1918 .rcr_wrbk_addr0 (rcr_wrbk_addr0),
1919 .rcr_wrbk_addr1 (rcr_wrbk_addr1),
1920 .rcr_wrbk_addr2 (rcr_wrbk_addr2),
1921 .rcr_wrbk_addr3 (rcr_wrbk_addr3),
1922 .rcr_wrbk_addr4 (rcr_wrbk_addr4),
1923 .rcr_wrbk_addr5 (rcr_wrbk_addr5),
1924 .rcr_wrbk_addr6 (rcr_wrbk_addr6),
1925 .rcr_wrbk_addr7 (rcr_wrbk_addr7),
1926 .rcr_wrbk_addr8 (rcr_wrbk_addr8),
1927 .rcr_wrbk_addr9 (rcr_wrbk_addr9),
1928 .rcr_wrbk_addr10 (rcr_wrbk_addr10),
1929 .rcr_wrbk_addr11 (rcr_wrbk_addr11),
1930 .rcr_wrbk_addr12 (rcr_wrbk_addr12),
1931 .rcr_wrbk_addr13 (rcr_wrbk_addr13),
1932 .rcr_wrbk_addr14 (rcr_wrbk_addr14),
1933 .rcr_wrbk_addr15 (rcr_wrbk_addr15),
1934 .rcr_wrbk_numb0 (rcr_wrbk_numb0),
1935 .rcr_wrbk_numb1 (rcr_wrbk_numb1),
1936 .rcr_wrbk_numb2 (rcr_wrbk_numb2),
1937 .rcr_wrbk_numb3 (rcr_wrbk_numb3),
1938 .rcr_wrbk_numb4 (rcr_wrbk_numb4),
1939 .rcr_wrbk_numb5 (rcr_wrbk_numb5),
1940 .rcr_wrbk_numb6 (rcr_wrbk_numb6),
1941 .rcr_wrbk_numb7 (rcr_wrbk_numb7),
1942 .rcr_wrbk_numb8 (rcr_wrbk_numb8),
1943 .rcr_wrbk_numb9 (rcr_wrbk_numb9),
1944 .rcr_wrbk_numb10 (rcr_wrbk_numb10),
1945 .rcr_wrbk_numb11 (rcr_wrbk_numb11),
1946 .rcr_wrbk_numb12 (rcr_wrbk_numb12),
1947 .rcr_wrbk_numb13 (rcr_wrbk_numb13),
1948 .rcr_wrbk_numb14 (rcr_wrbk_numb14),
1949 .rcr_wrbk_numb15 (rcr_wrbk_numb15),
1950 .dma_func_num0 (dma_func_num0),
1951 .dma_func_num1 (dma_func_num1),
1952 .dma_func_num2 (dma_func_num2),
1953 .dma_func_num3 (dma_func_num3),
1954 .dma_func_num4 (dma_func_num4),
1955 .dma_func_num5 (dma_func_num5),
1956 .dma_func_num6 (dma_func_num6),
1957 .dma_func_num7 (dma_func_num7),
1958 .dma_func_num8 (dma_func_num8),
1959 .dma_func_num9 (dma_func_num9),
1960 .dma_func_num10 (dma_func_num10),
1961 .dma_func_num11 (dma_func_num11),
1962 .dma_func_num12 (dma_func_num12),
1963 .dma_func_num13 (dma_func_num13),
1964 .dma_func_num14 (dma_func_num14),
1965 .dma_func_num15 (dma_func_num15),
1966 .shadw_rd_data (shadw_rd_data),
1967 .mailbox_data0 (mailbox_data0),
1968 .mailbox_data1 (mailbox_data1),
1969 .mailbox_data2 (mailbox_data2),
1970 .mailbox_data3 (mailbox_data3),
1971 .mailbox_data4 (mailbox_data4),
1972 .mailbox_data5 (mailbox_data5),
1973 .mailbox_data6 (mailbox_data6),
1974 .mailbox_data7 (mailbox_data7),
1975 .mailbox_data8 (mailbox_data8),
1976 .mailbox_data9 (mailbox_data9),
1977 .mailbox_data10 (mailbox_data10),
1978 .mailbox_data11 (mailbox_data11),
1979 .mailbox_data12 (mailbox_data12),
1980 .mailbox_data13 (mailbox_data13),
1981 .mailbox_data14 (mailbox_data14),
1982 .mailbox_data15 (mailbox_data15),
1983 .meta0_rdmc_rcr_req_accept (meta0_rdmc_rcr_req_accept),
1984 .meta0_rdmc_rcr_data_req (meta0_rdmc_rcr_data_req),
1985 .meta0_rdmc_rcr_ack_ready (meta0_rdmc_rcr_ack_ready),
1986 .meta0_rdmc_rcr_ack_cmd (meta0_rdmc_rcr_ack_cmd),
1987 .meta0_rdmc_rcr_ack_cmd_status (meta0_rdmc_rcr_ack_cmd_status),
1988 .meta0_rdmc_rcr_ack_client (meta0_rdmc_rcr_ack_client),
1989 .meta0_rdmc_rcr_ack_dma_num (meta0_rdmc_rcr_ack_dma_num),
1990 .muxed_shadw_rd_strobe_r (muxed_shadw_rd_strobe_r),
1991
1992 .rcr_wrbk_gnt (rcr_wrbk_gnt),
1993 .rcr_wrbk_done (rcr_wrbk_done),
1994 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
1995 .rdmc_rcr_req_accept (rdmc_rcr_req_accept),
1996 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
1997 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
1998 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
1999 .shadw_rd_strobe (shadw_rd_strobe),
2000 .shadw_rd_data_reg (shadw_rd_data_reg),
2001 .rdmc_meta0_rcr_req (rdmc_meta0_rcr_req),
2002 .rdmc_meta0_rcr_req_cmd (rdmc_meta0_rcr_req_cmd),
2003 .rdmc_meta0_rcr_req_address (rdmc_meta0_rcr_req_address),
2004 .rdmc_meta0_rcr_req_length (rdmc_meta0_rcr_req_length),
2005 .rdmc_meta0_rcr_req_port_num (rdmc_meta0_rcr_req_port_num),
2006 .rdmc_meta0_rcr_req_dma_num (rdmc_meta0_rcr_req_dma_num),
2007 .rdmc_meta0_rcr_req_func_num (rdmc_meta0_rcr_req_func_num),
2008
2009 .rdmc_meta0_rcr_data_valid (rdmc_meta0_rcr_data_valid),
2010 .rdmc_meta0_rcr_data (rdmc_meta0_rcr_data),
2011 .rdmc_meta0_rcr_req_byteenable (rdmc_meta0_rcr_req_byteenable),
2012 .rdmc_meta0_rcr_transfer_comp (rdmc_meta0_rcr_transfer_comp),
2013 .rdmc_meta0_rcr_status (rdmc_meta0_rcr_status),
2014 .rdmc_meta0_rcr_ack_accept (rdmc_meta0_rcr_ack_accept)
2015
2016 );
2017
2018
2019niu_rdmc_chnl_master niu_rdmc_chnl_master0 (
2020 .clk (niu_clk),
2021 .reset (reset),
2022 .wred_enable (wred_enable),
2023 .random_num (random_num),
2024 .clk_div_value (clk_div_value),
2025 .dma_chnl_grp_id (`CHNL0),
2026 .pio_wen (pio_wen),
2027 .pio_addr (pio_addr),
2028 .pio_wdata (pio_wdata),
2029 .pio_32b_mode (pio_32b_mode),
2030 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
2031 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
2032 .muxed_drop_pkt_r (muxed_drop_pkt_r),
2033 .muxed_s_event_r (muxed_s_event_r),
2034 .sel_buf_en (sel_buf_en), //from wr_sched
2035 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
2036 .pkt_buf_done (pkt_buf_done),
2037 .is_hdr_wr_data (is_hdr_wr_data),
2038 .is_jmb1_wr_data (is_jmb1_wr_data),
2039 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
2040 .wr_last_pkt_data (wr_last_pkt_data),
2041 .update_rcr_shadw (update_rcr_shadw),
2042 .cache_start_addr (`CHNL0_CACHE_START_ADDR),
2043 .cache_end_addr (`CHNL0_CACHE_END_ADDR),
2044 .cache_buf_rd_gnt (cache_buf_rd_gnt[0]), //from cache_acc_ctrl
2045 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
2046 .fetch_desp_gnt (fetch_desp_gnt[0]), //from desp_acc_ctrl
2047 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
2048 .rdmc_req_err (rdmc_req_err),
2049 .rdmc_resp_cmd (rdmc_resp_cmd),
2050 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
2051 .rdmc_resp_data_status (rdmc_resp_data_status),
2052 .rdmc_resp_dma_num (rdmc_resp_dma_num),
2053 .rdmc_resp_data_valid (rdmc_resp_data_valid),
2054 .rdmc_resp_byteenable (rdmc_resp_byteenable),
2055 .rdmc_resp_comp (rdmc_resp_comp),
2056 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
2057 .resp_data_in_process (resp_data_in_process),
2058 .shadw_start_addr (`CHNL0_SHADW_START_ADDR),
2059 .shadw_rd_end_addr (`CHNL0_SHADW_RD_END_ADDR),
2060 .shadw_wr_end_addr (`CHNL0_SHADW_WR_END_ADDR),
2061 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
2062 .rcr_wrbk_gnt (rcr_wrbk_gnt[0]),
2063 .rcr_wrbk_done (rcr_wrbk_done),
2064 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
2065 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
2066 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
2067 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
2068 .cache_parity_err (cache_parity_err),
2069 .shadw_parity_err (shadw_parity_err),
2070 .data_err_event (data_err_event),
2071
2072 .pio_rd_gnt (pio_rd_gnt0),
2073 .chnl_pio_rd_data (chnl_pio_rd_data0),
2074 .rx_log_page_hdl_reg (rx_log_page_hdl_reg0),
2075 .dma_func_num (dma_func_num0),
2076 .fetch_desp_req (fetch_desp_req0), //to desp_acc_ctrl
2077 .fetch_desp_addr (fetch_desp_addr0), //to desp_acc_ctrl
2078 .fetch_desp_num (fetch_desp_numb0), //to desp_acc_ctrl
2079 .rdmc_resp_accept_sm (rdmc_resp_accept_sm0), //to desp_acc_ctrl
2080 .fetch_desp_resp_vld (fetch_desp_resp_vld0), //to desp_acc_ctrl
2081 .cache_read_req (cache_read_req0), //to cache_acc_ctrl
2082 .cache_rd_ptr (cache_rd_ptr0), //to cache_acc_ctrl
2083 .cache_wr_ptr (cache_wr_ptr0), //to cache_acc_ctrl
2084 .full_header (full_header0), //to wr_sched
2085 .drop_pkt (drop_pkt0),
2086 .pkt_buf_gnt (pkt_buf_gnt0), //to wr_sched
2087 .pkt_buf_size (pkt_buf_size0),
2088 .pkt_buf_addr (pkt_buf_addr0), //to wr_sched
2089 .orig_buf_addr (orig_buf_addr0),
2090 .pref_buf_used_num (pref_buf_used_num0),
2091 .pkt_trans_len (pkt_trans_len0),
2092 .shadw_wr_en (shadw_wr_en0),
2093 .shadw_wr_even (shadw_wr_even0),
2094 .shadw_wr_ptr (shadw_wr_ptr0),
2095 .shadw_rd_ptr (shadw_rd_ptr0),
2096 .mailbox_data (mailbox_data0),
2097 .ldf_a (ldf_a0),
2098 .ldf_b (ldf_b0),
2099 .rcr_wrbk_req (rcr_wrbk_req0),
2100 .rcr_wrbk_addr (rcr_wrbk_addr0),
2101 .rcr_wrbk_numb (rcr_wrbk_numb0),
2102 .rcr_wrbk_data_type (rcr_wrbk_data_type0),
2103 .rcr_wrbk_sched (rcr_wrbk_sched0),
2104 .rcr_ack_accept (rcr_ack_accept0)
2105
2106
2107 );
2108
2109niu_rdmc_chnl_master niu_rdmc_chnl_master1 (
2110 .clk (niu_clk),
2111 .reset (reset),
2112 .wred_enable (wred_enable),
2113 .random_num (random_num),
2114 .clk_div_value (clk_div_value),
2115 .dma_chnl_grp_id (`CHNL1),
2116 .pio_32b_mode (pio_32b_mode),
2117 .pio_wen (pio_wen),
2118 .pio_addr (pio_addr),
2119 .pio_wdata (pio_wdata),
2120 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
2121 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
2122 .muxed_drop_pkt_r (muxed_drop_pkt_r),
2123 .muxed_s_event_r (muxed_s_event_r),
2124 .sel_buf_en (sel_buf_en), //from wr_sched
2125 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
2126 .pkt_buf_done (pkt_buf_done),
2127 .is_hdr_wr_data (is_hdr_wr_data),
2128 .is_jmb1_wr_data (is_jmb1_wr_data),
2129 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
2130 .wr_last_pkt_data (wr_last_pkt_data),
2131 .update_rcr_shadw (update_rcr_shadw),
2132 .cache_start_addr (`CHNL1_CACHE_START_ADDR),
2133 .cache_end_addr (`CHNL1_CACHE_END_ADDR),
2134 .cache_buf_rd_gnt (cache_buf_rd_gnt[1]), //from cache_acc_ctrl
2135 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
2136 .fetch_desp_gnt (fetch_desp_gnt[1]), //from desp_acc_ctrl
2137 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
2138 .rdmc_req_err (rdmc_req_err),
2139 .rdmc_resp_cmd (rdmc_resp_cmd),
2140 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
2141 .rdmc_resp_data_status (rdmc_resp_data_status),
2142 .rdmc_resp_dma_num (rdmc_resp_dma_num),
2143 .rdmc_resp_data_valid (rdmc_resp_data_valid),
2144 .rdmc_resp_byteenable (rdmc_resp_byteenable),
2145 .rdmc_resp_comp (rdmc_resp_comp),
2146 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
2147 .resp_data_in_process (resp_data_in_process),
2148 .shadw_start_addr (`CHNL1_SHADW_START_ADDR),
2149 .shadw_rd_end_addr (`CHNL1_SHADW_RD_END_ADDR),
2150 .shadw_wr_end_addr (`CHNL1_SHADW_WR_END_ADDR),
2151 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
2152 .rcr_wrbk_gnt (rcr_wrbk_gnt[1]),
2153 .rcr_wrbk_done (rcr_wrbk_done),
2154 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
2155 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
2156 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
2157 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
2158 .cache_parity_err (cache_parity_err),
2159 .shadw_parity_err (shadw_parity_err),
2160 .data_err_event (data_err_event),
2161
2162 .pio_rd_gnt (pio_rd_gnt1),
2163 .chnl_pio_rd_data (chnl_pio_rd_data1),
2164 .rx_log_page_hdl_reg (rx_log_page_hdl_reg1),
2165 .dma_func_num (dma_func_num1),
2166 .fetch_desp_req (fetch_desp_req1), //to desp_acc_ctrl
2167 .fetch_desp_addr (fetch_desp_addr1), //to desp_acc_ctrl
2168 .fetch_desp_num (fetch_desp_numb1), //to desp_acc_ctrl
2169 .rdmc_resp_accept_sm (rdmc_resp_accept_sm1), //to desp_acc_ctrl
2170 .fetch_desp_resp_vld (fetch_desp_resp_vld1), //to desp_acc_ctrl
2171 .cache_read_req (cache_read_req1), //to cache_acc_ctrl
2172 .cache_rd_ptr (cache_rd_ptr1), //to cache_acc_ctrl
2173 .cache_wr_ptr (cache_wr_ptr1), //to cache_acc_ctrl
2174 .full_header (full_header1), //to wr_sched
2175 .drop_pkt (drop_pkt1),
2176 .pkt_buf_gnt (pkt_buf_gnt1), //to wr_sched
2177 .pkt_buf_size (pkt_buf_size1),
2178 .pkt_buf_addr (pkt_buf_addr1), //to wr_sched
2179 .orig_buf_addr (orig_buf_addr1),
2180 .pref_buf_used_num (pref_buf_used_num1),
2181 .pkt_trans_len (pkt_trans_len1),
2182 .shadw_wr_en (shadw_wr_en1),
2183 .shadw_wr_even (shadw_wr_even1),
2184 .shadw_wr_ptr (shadw_wr_ptr1),
2185 .shadw_rd_ptr (shadw_rd_ptr1),
2186 .mailbox_data (mailbox_data1),
2187 .ldf_a (ldf_a1),
2188 .ldf_b (ldf_b1),
2189 .rcr_wrbk_req (rcr_wrbk_req1),
2190 .rcr_wrbk_addr (rcr_wrbk_addr1),
2191 .rcr_wrbk_numb (rcr_wrbk_numb1),
2192 .rcr_wrbk_data_type (rcr_wrbk_data_type1),
2193 .rcr_wrbk_sched (rcr_wrbk_sched1),
2194 .rcr_ack_accept (rcr_ack_accept1)
2195
2196 );
2197
2198niu_rdmc_chnl_master niu_rdmc_chnl_master2 (
2199 .clk (niu_clk),
2200 .reset (reset),
2201 .wred_enable (wred_enable),
2202 .random_num (random_num),
2203 .clk_div_value (clk_div_value),
2204 .dma_chnl_grp_id (`CHNL2),
2205 .pio_32b_mode (pio_32b_mode),
2206 .pio_wen (pio_wen_a),
2207 .pio_addr (pio_addr_a),
2208 .pio_wdata (pio_wdata),
2209 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
2210 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
2211 .muxed_drop_pkt_r (muxed_drop_pkt_r),
2212 .muxed_s_event_r (muxed_s_event_r),
2213 .sel_buf_en (sel_buf_en), //from wr_sched
2214 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
2215 .pkt_buf_done (pkt_buf_done),
2216 .is_hdr_wr_data (is_hdr_wr_data),
2217 .is_jmb1_wr_data (is_jmb1_wr_data),
2218 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
2219 .wr_last_pkt_data (wr_last_pkt_data),
2220 .update_rcr_shadw (update_rcr_shadw),
2221 .cache_start_addr (`CHNL2_CACHE_START_ADDR),
2222 .cache_end_addr (`CHNL2_CACHE_END_ADDR),
2223 .cache_buf_rd_gnt (cache_buf_rd_gnt[2]), //from cache_acc_ctrl
2224 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
2225 .fetch_desp_gnt (fetch_desp_gnt[2]), //from desp_acc_ctrl
2226 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
2227 .rdmc_req_err (rdmc_req_err),
2228 .rdmc_resp_cmd (rdmc_resp_cmd),
2229 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
2230 .rdmc_resp_data_status (rdmc_resp_data_status),
2231 .rdmc_resp_dma_num (rdmc_resp_dma_num),
2232 .rdmc_resp_data_valid (rdmc_resp_data_valid),
2233 .rdmc_resp_byteenable (rdmc_resp_byteenable),
2234 .rdmc_resp_comp (rdmc_resp_comp),
2235 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
2236 .resp_data_in_process (resp_data_in_process),
2237 .shadw_start_addr (`CHNL2_SHADW_START_ADDR),
2238 .shadw_rd_end_addr (`CHNL2_SHADW_RD_END_ADDR),
2239 .shadw_wr_end_addr (`CHNL2_SHADW_WR_END_ADDR),
2240 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
2241 .rcr_wrbk_gnt (rcr_wrbk_gnt[2]),
2242 .rcr_wrbk_done (rcr_wrbk_done),
2243 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
2244 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
2245 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
2246 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
2247 .cache_parity_err (cache_parity_err),
2248 .shadw_parity_err (shadw_parity_err),
2249 .data_err_event (data_err_event),
2250
2251 .pio_rd_gnt (pio_rd_gnt2),
2252 .chnl_pio_rd_data (chnl_pio_rd_data2),
2253 .rx_log_page_hdl_reg (rx_log_page_hdl_reg2),
2254 .dma_func_num (dma_func_num2),
2255 .fetch_desp_req (fetch_desp_req2), //to desp_acc_ctrl
2256 .fetch_desp_addr (fetch_desp_addr2), //to desp_acc_ctrl
2257 .fetch_desp_num (fetch_desp_numb2), //to desp_acc_ctrl
2258 .rdmc_resp_accept_sm (rdmc_resp_accept_sm2), //to desp_acc_ctrl
2259 .fetch_desp_resp_vld (fetch_desp_resp_vld2), //to desp_acc_ctrl
2260 .cache_read_req (cache_read_req2), //to cache_acc_ctrl
2261 .cache_rd_ptr (cache_rd_ptr2), //to cache_acc_ctrl
2262 .cache_wr_ptr (cache_wr_ptr2), //to cache_acc_ctrl
2263 .full_header (full_header2), //to wr_sched
2264 .drop_pkt (drop_pkt2),
2265 .pkt_buf_gnt (pkt_buf_gnt2), //to wr_sched
2266 .pkt_buf_size (pkt_buf_size2),
2267 .pkt_buf_addr (pkt_buf_addr2), //to wr_sched
2268 .orig_buf_addr (orig_buf_addr2),
2269 .pref_buf_used_num (pref_buf_used_num2),
2270 .pkt_trans_len (pkt_trans_len2),
2271 .shadw_wr_en (shadw_wr_en2),
2272 .shadw_wr_even (shadw_wr_even2),
2273 .shadw_wr_ptr (shadw_wr_ptr2),
2274 .shadw_rd_ptr (shadw_rd_ptr2),
2275 .mailbox_data (mailbox_data2),
2276 .ldf_a (ldf_a2),
2277 .ldf_b (ldf_b2),
2278 .rcr_wrbk_req (rcr_wrbk_req2),
2279 .rcr_wrbk_addr (rcr_wrbk_addr2),
2280 .rcr_wrbk_numb (rcr_wrbk_numb2),
2281 .rcr_wrbk_data_type (rcr_wrbk_data_type2),
2282 .rcr_wrbk_sched (rcr_wrbk_sched2),
2283 .rcr_ack_accept (rcr_ack_accept2)
2284
2285 );
2286
2287niu_rdmc_chnl_master niu_rdmc_chnl_master3 (
2288 .clk (niu_clk),
2289 .reset (reset),
2290 .wred_enable (wred_enable),
2291 .random_num (random_num),
2292 .clk_div_value (clk_div_value),
2293 .dma_chnl_grp_id (`CHNL3),
2294 .pio_32b_mode (pio_32b_mode),
2295 .pio_wen (pio_wen_a),
2296 .pio_addr (pio_addr_a),
2297 .pio_wdata (pio_wdata),
2298 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
2299 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
2300 .muxed_drop_pkt_r (muxed_drop_pkt_r),
2301 .muxed_s_event_r (muxed_s_event_r),
2302 .sel_buf_en (sel_buf_en), //from wr_sched
2303 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
2304 .pkt_buf_done (pkt_buf_done),
2305 .is_hdr_wr_data (is_hdr_wr_data),
2306 .is_jmb1_wr_data (is_jmb1_wr_data),
2307 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
2308 .wr_last_pkt_data (wr_last_pkt_data),
2309 .update_rcr_shadw (update_rcr_shadw),
2310 .cache_start_addr (`CHNL3_CACHE_START_ADDR),
2311 .cache_end_addr (`CHNL3_CACHE_END_ADDR),
2312 .cache_buf_rd_gnt (cache_buf_rd_gnt[3]), //from cache_acc_ctrl
2313 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
2314 .fetch_desp_gnt (fetch_desp_gnt[3]), //from desp_acc_ctrl
2315 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
2316 .rdmc_req_err (rdmc_req_err),
2317 .rdmc_resp_cmd (rdmc_resp_cmd),
2318 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
2319 .rdmc_resp_data_status (rdmc_resp_data_status),
2320 .rdmc_resp_dma_num (rdmc_resp_dma_num),
2321 .rdmc_resp_data_valid (rdmc_resp_data_valid),
2322 .rdmc_resp_byteenable (rdmc_resp_byteenable),
2323 .rdmc_resp_comp (rdmc_resp_comp),
2324 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
2325 .resp_data_in_process (resp_data_in_process),
2326 .shadw_start_addr (`CHNL3_SHADW_START_ADDR),
2327 .shadw_rd_end_addr (`CHNL3_SHADW_RD_END_ADDR),
2328 .shadw_wr_end_addr (`CHNL3_SHADW_WR_END_ADDR),
2329 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
2330 .rcr_wrbk_gnt (rcr_wrbk_gnt[3]),
2331 .rcr_wrbk_done (rcr_wrbk_done),
2332 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
2333 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
2334 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
2335 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
2336 .cache_parity_err (cache_parity_err),
2337 .shadw_parity_err (shadw_parity_err),
2338 .data_err_event (data_err_event),
2339
2340 .pio_rd_gnt (pio_rd_gnt3),
2341 .chnl_pio_rd_data (chnl_pio_rd_data3),
2342 .rx_log_page_hdl_reg (rx_log_page_hdl_reg3),
2343 .dma_func_num (dma_func_num3),
2344 .fetch_desp_req (fetch_desp_req3), //to desp_acc_ctrl
2345 .fetch_desp_addr (fetch_desp_addr3), //to desp_acc_ctrl
2346 .fetch_desp_num (fetch_desp_numb3), //to desp_acc_ctrl
2347 .rdmc_resp_accept_sm (rdmc_resp_accept_sm3), //to desp_acc_ctrl
2348 .fetch_desp_resp_vld (fetch_desp_resp_vld3), //to desp_acc_ctrl
2349 .cache_read_req (cache_read_req3), //to cache_acc_ctrl
2350 .cache_rd_ptr (cache_rd_ptr3), //to cache_acc_ctrl
2351 .cache_wr_ptr (cache_wr_ptr3), //to cache_acc_ctrl
2352 .full_header (full_header3), //to wr_sched
2353 .drop_pkt (drop_pkt3),
2354 .pkt_buf_gnt (pkt_buf_gnt3), //to wr_sched
2355 .pkt_buf_size (pkt_buf_size3),
2356 .pkt_buf_addr (pkt_buf_addr3), //to wr_sched
2357 .orig_buf_addr (orig_buf_addr3),
2358 .pref_buf_used_num (pref_buf_used_num3),
2359 .pkt_trans_len (pkt_trans_len3),
2360 .shadw_wr_en (shadw_wr_en3),
2361 .shadw_wr_even (shadw_wr_even3),
2362 .shadw_wr_ptr (shadw_wr_ptr3),
2363 .shadw_rd_ptr (shadw_rd_ptr3),
2364 .mailbox_data (mailbox_data3),
2365 .ldf_a (ldf_a3),
2366 .ldf_b (ldf_b3),
2367 .rcr_wrbk_req (rcr_wrbk_req3),
2368 .rcr_wrbk_addr (rcr_wrbk_addr3),
2369 .rcr_wrbk_numb (rcr_wrbk_numb3),
2370 .rcr_wrbk_data_type (rcr_wrbk_data_type3),
2371 .rcr_wrbk_sched (rcr_wrbk_sched3),
2372 .rcr_ack_accept (rcr_ack_accept3)
2373
2374 );
2375
2376
2377niu_rdmc_chnl_master niu_rdmc_chnl_master4 (
2378 .clk (niu_clk),
2379 .reset (reset),
2380 .wred_enable (wred_enable),
2381 .random_num (random_num),
2382 .clk_div_value (clk_div_value),
2383 .dma_chnl_grp_id (`CHNL4),
2384 .pio_32b_mode (pio_32b_mode),
2385 .pio_wen (pio_wen_a),
2386 .pio_addr (pio_addr_a),
2387 .pio_wdata (pio_wdata),
2388 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
2389 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
2390 .muxed_drop_pkt_r (muxed_drop_pkt_r),
2391 .muxed_s_event_r (muxed_s_event_r),
2392 .sel_buf_en (sel_buf_en), //from wr_sched
2393 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
2394 .pkt_buf_done (pkt_buf_done),
2395 .is_hdr_wr_data (is_hdr_wr_data),
2396 .is_jmb1_wr_data (is_jmb1_wr_data),
2397 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
2398 .wr_last_pkt_data (wr_last_pkt_data),
2399 .update_rcr_shadw (update_rcr_shadw),
2400 .cache_start_addr (`CHNL4_CACHE_START_ADDR),
2401 .cache_end_addr (`CHNL4_CACHE_END_ADDR),
2402 .cache_buf_rd_gnt (cache_buf_rd_gnt[4]), //from cache_acc_ctrl
2403 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
2404 .fetch_desp_gnt (fetch_desp_gnt[4]), //from desp_acc_ctrl
2405 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
2406 .rdmc_req_err (rdmc_req_err),
2407 .rdmc_resp_cmd (rdmc_resp_cmd),
2408 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
2409 .rdmc_resp_data_status (rdmc_resp_data_status),
2410 .rdmc_resp_dma_num (rdmc_resp_dma_num),
2411 .rdmc_resp_data_valid (rdmc_resp_data_valid),
2412 .rdmc_resp_byteenable (rdmc_resp_byteenable),
2413 .rdmc_resp_comp (rdmc_resp_comp),
2414 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
2415 .resp_data_in_process (resp_data_in_process),
2416 .shadw_start_addr (`CHNL4_SHADW_START_ADDR),
2417 .shadw_rd_end_addr (`CHNL4_SHADW_RD_END_ADDR),
2418 .shadw_wr_end_addr (`CHNL4_SHADW_WR_END_ADDR),
2419 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
2420 .rcr_wrbk_gnt (rcr_wrbk_gnt[4]),
2421 .rcr_wrbk_done (rcr_wrbk_done),
2422 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
2423 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
2424 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
2425 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
2426 .cache_parity_err (cache_parity_err),
2427 .shadw_parity_err (shadw_parity_err),
2428 .data_err_event (data_err_event),
2429
2430 .pio_rd_gnt (pio_rd_gnt4),
2431 .chnl_pio_rd_data (chnl_pio_rd_data4),
2432 .rx_log_page_hdl_reg (rx_log_page_hdl_reg4),
2433 .dma_func_num (dma_func_num4),
2434 .fetch_desp_req (fetch_desp_req4), //to desp_acc_ctrl
2435 .fetch_desp_addr (fetch_desp_addr4), //to desp_acc_ctrl
2436 .fetch_desp_num (fetch_desp_numb4), //to desp_acc_ctrl
2437 .rdmc_resp_accept_sm (rdmc_resp_accept_sm4), //to desp_acc_ctrl
2438 .fetch_desp_resp_vld (fetch_desp_resp_vld4), //to desp_acc_ctrl
2439 .cache_read_req (cache_read_req4), //to cache_acc_ctrl
2440 .cache_rd_ptr (cache_rd_ptr4), //to cache_acc_ctrl
2441 .cache_wr_ptr (cache_wr_ptr4), //to cache_acc_ctrl
2442 .full_header (full_header4), //to wr_sched
2443 .drop_pkt (drop_pkt4),
2444 .pkt_buf_gnt (pkt_buf_gnt4), //to wr_sched
2445 .pkt_buf_size (pkt_buf_size4),
2446 .pkt_buf_addr (pkt_buf_addr4), //to wr_sched
2447 .orig_buf_addr (orig_buf_addr4),
2448 .pref_buf_used_num (pref_buf_used_num4),
2449 .pkt_trans_len (pkt_trans_len4),
2450 .shadw_wr_en (shadw_wr_en4),
2451 .shadw_wr_even (shadw_wr_even4),
2452 .shadw_wr_ptr (shadw_wr_ptr4),
2453 .shadw_rd_ptr (shadw_rd_ptr4),
2454 .mailbox_data (mailbox_data4),
2455 .ldf_a (ldf_a4),
2456 .ldf_b (ldf_b4),
2457 .rcr_wrbk_req (rcr_wrbk_req4),
2458 .rcr_wrbk_addr (rcr_wrbk_addr4),
2459 .rcr_wrbk_numb (rcr_wrbk_numb4),
2460 .rcr_wrbk_data_type (rcr_wrbk_data_type4),
2461 .rcr_wrbk_sched (rcr_wrbk_sched4),
2462 .rcr_ack_accept (rcr_ack_accept4)
2463
2464 );
2465
2466niu_rdmc_chnl_master niu_rdmc_chnl_master5 (
2467 .clk (niu_clk),
2468 .reset (reset),
2469 .wred_enable (wred_enable),
2470 .random_num (random_num),
2471 .clk_div_value (clk_div_value),
2472 .dma_chnl_grp_id (`CHNL5),
2473 .pio_32b_mode (pio_32b_mode),
2474 .pio_wen (pio_wen_a),
2475 .pio_addr (pio_addr_a),
2476 .pio_wdata (pio_wdata),
2477 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
2478 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
2479 .muxed_drop_pkt_r (muxed_drop_pkt_r),
2480 .muxed_s_event_r (muxed_s_event_r),
2481 .sel_buf_en (sel_buf_en), //from wr_sched
2482 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
2483 .pkt_buf_done (pkt_buf_done),
2484 .is_hdr_wr_data (is_hdr_wr_data),
2485 .is_jmb1_wr_data (is_jmb1_wr_data),
2486 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
2487 .wr_last_pkt_data (wr_last_pkt_data),
2488 .update_rcr_shadw (update_rcr_shadw),
2489 .cache_start_addr (`CHNL5_CACHE_START_ADDR),
2490 .cache_end_addr (`CHNL5_CACHE_END_ADDR),
2491 .cache_buf_rd_gnt (cache_buf_rd_gnt[5]), //from cache_acc_ctrl
2492 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
2493 .fetch_desp_gnt (fetch_desp_gnt[5]), //from desp_acc_ctrl
2494 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
2495 .rdmc_req_err (rdmc_req_err),
2496 .rdmc_resp_cmd (rdmc_resp_cmd),
2497 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
2498 .rdmc_resp_data_status (rdmc_resp_data_status),
2499 .rdmc_resp_dma_num (rdmc_resp_dma_num),
2500 .rdmc_resp_data_valid (rdmc_resp_data_valid),
2501 .rdmc_resp_byteenable (rdmc_resp_byteenable),
2502 .rdmc_resp_comp (rdmc_resp_comp),
2503 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
2504 .resp_data_in_process (resp_data_in_process),
2505 .shadw_start_addr (`CHNL5_SHADW_START_ADDR),
2506 .shadw_rd_end_addr (`CHNL5_SHADW_RD_END_ADDR),
2507 .shadw_wr_end_addr (`CHNL5_SHADW_WR_END_ADDR),
2508 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
2509 .rcr_wrbk_gnt (rcr_wrbk_gnt[5]),
2510 .rcr_wrbk_done (rcr_wrbk_done),
2511 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
2512 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
2513 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
2514 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
2515 .cache_parity_err (cache_parity_err),
2516 .shadw_parity_err (shadw_parity_err),
2517 .data_err_event (data_err_event),
2518
2519 .pio_rd_gnt (pio_rd_gnt5),
2520 .chnl_pio_rd_data (chnl_pio_rd_data5),
2521 .rx_log_page_hdl_reg (rx_log_page_hdl_reg5),
2522 .dma_func_num (dma_func_num5),
2523 .fetch_desp_req (fetch_desp_req5), //to desp_acc_ctrl
2524 .fetch_desp_addr (fetch_desp_addr5), //to desp_acc_ctrl
2525 .fetch_desp_num (fetch_desp_numb5), //to desp_acc_ctrl
2526 .rdmc_resp_accept_sm (rdmc_resp_accept_sm5), //to desp_acc_ctrl
2527 .fetch_desp_resp_vld (fetch_desp_resp_vld5), //to desp_acc_ctrl
2528 .cache_read_req (cache_read_req5), //to cache_acc_ctrl
2529 .cache_rd_ptr (cache_rd_ptr5), //to cache_acc_ctrl
2530 .cache_wr_ptr (cache_wr_ptr5), //to cache_acc_ctrl
2531 .full_header (full_header5), //to wr_sched
2532 .drop_pkt (drop_pkt5),
2533 .pkt_buf_gnt (pkt_buf_gnt5), //to wr_sched
2534 .pkt_buf_size (pkt_buf_size5),
2535 .pkt_buf_addr (pkt_buf_addr5), //to wr_sched
2536 .orig_buf_addr (orig_buf_addr5),
2537 .pref_buf_used_num (pref_buf_used_num5),
2538 .pkt_trans_len (pkt_trans_len5),
2539 .shadw_wr_en (shadw_wr_en5),
2540 .shadw_wr_even (shadw_wr_even5),
2541 .shadw_wr_ptr (shadw_wr_ptr5),
2542 .shadw_rd_ptr (shadw_rd_ptr5),
2543 .mailbox_data (mailbox_data5),
2544 .ldf_a (ldf_a5),
2545 .ldf_b (ldf_b5),
2546 .rcr_wrbk_req (rcr_wrbk_req5),
2547 .rcr_wrbk_addr (rcr_wrbk_addr5),
2548 .rcr_wrbk_numb (rcr_wrbk_numb5),
2549 .rcr_wrbk_data_type (rcr_wrbk_data_type5),
2550 .rcr_wrbk_sched (rcr_wrbk_sched5),
2551 .rcr_ack_accept (rcr_ack_accept5)
2552
2553 );
2554
2555niu_rdmc_chnl_master niu_rdmc_chnl_master6 (
2556 .clk (niu_clk),
2557 .reset (reset),
2558 .wred_enable (wred_enable),
2559 .random_num (random_num),
2560 .clk_div_value (clk_div_value),
2561 .dma_chnl_grp_id (`CHNL6),
2562 .pio_32b_mode (pio_32b_mode),
2563 .pio_wen (pio_wen_a),
2564 .pio_addr (pio_addr_a),
2565 .pio_wdata (pio_wdata),
2566 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
2567 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
2568 .muxed_drop_pkt_r (muxed_drop_pkt_r),
2569 .muxed_s_event_r (muxed_s_event_r),
2570 .sel_buf_en (sel_buf_en), //from wr_sched
2571 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
2572 .pkt_buf_done (pkt_buf_done),
2573 .is_hdr_wr_data (is_hdr_wr_data),
2574 .is_jmb1_wr_data (is_jmb1_wr_data),
2575 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
2576 .wr_last_pkt_data (wr_last_pkt_data),
2577 .update_rcr_shadw (update_rcr_shadw),
2578 .cache_start_addr (`CHNL6_CACHE_START_ADDR),
2579 .cache_end_addr (`CHNL6_CACHE_END_ADDR),
2580 .cache_buf_rd_gnt (cache_buf_rd_gnt[6]), //from cache_acc_ctrl
2581 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
2582 .fetch_desp_gnt (fetch_desp_gnt[6]), //from desp_acc_ctrl
2583 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
2584 .rdmc_req_err (rdmc_req_err),
2585 .rdmc_resp_cmd (rdmc_resp_cmd),
2586 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
2587 .rdmc_resp_data_status (rdmc_resp_data_status),
2588 .rdmc_resp_dma_num (rdmc_resp_dma_num),
2589 .rdmc_resp_data_valid (rdmc_resp_data_valid),
2590 .rdmc_resp_byteenable (rdmc_resp_byteenable),
2591 .rdmc_resp_comp (rdmc_resp_comp),
2592 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
2593 .resp_data_in_process (resp_data_in_process),
2594 .shadw_start_addr (`CHNL6_SHADW_START_ADDR),
2595 .shadw_rd_end_addr (`CHNL6_SHADW_RD_END_ADDR),
2596 .shadw_wr_end_addr (`CHNL6_SHADW_WR_END_ADDR),
2597 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
2598 .rcr_wrbk_gnt (rcr_wrbk_gnt[6]),
2599 .rcr_wrbk_done (rcr_wrbk_done),
2600 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
2601 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
2602 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
2603 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
2604 .cache_parity_err (cache_parity_err),
2605 .shadw_parity_err (shadw_parity_err),
2606 .data_err_event (data_err_event),
2607
2608 .pio_rd_gnt (pio_rd_gnt6),
2609 .chnl_pio_rd_data (chnl_pio_rd_data6),
2610 .rx_log_page_hdl_reg (rx_log_page_hdl_reg6),
2611 .dma_func_num (dma_func_num6),
2612 .fetch_desp_req (fetch_desp_req6), //to desp_acc_ctrl
2613 .fetch_desp_addr (fetch_desp_addr6), //to desp_acc_ctrl
2614 .fetch_desp_num (fetch_desp_numb6), //to desp_acc_ctrl
2615 .rdmc_resp_accept_sm (rdmc_resp_accept_sm6), //to desp_acc_ctrl
2616 .fetch_desp_resp_vld (fetch_desp_resp_vld6), //to desp_acc_ctrl
2617 .cache_read_req (cache_read_req6), //to cache_acc_ctrl
2618 .cache_rd_ptr (cache_rd_ptr6), //to cache_acc_ctrl
2619 .cache_wr_ptr (cache_wr_ptr6), //to cache_acc_ctrl
2620 .full_header (full_header6), //to wr_sched
2621 .drop_pkt (drop_pkt6),
2622 .pkt_buf_gnt (pkt_buf_gnt6), //to wr_sched
2623 .pkt_buf_size (pkt_buf_size6),
2624 .pkt_buf_addr (pkt_buf_addr6), //to wr_sched
2625 .orig_buf_addr (orig_buf_addr6),
2626 .pref_buf_used_num (pref_buf_used_num6),
2627 .pkt_trans_len (pkt_trans_len6),
2628 .shadw_wr_en (shadw_wr_en6),
2629 .shadw_wr_even (shadw_wr_even6),
2630 .shadw_wr_ptr (shadw_wr_ptr6),
2631 .shadw_rd_ptr (shadw_rd_ptr6),
2632 .mailbox_data (mailbox_data6),
2633 .ldf_a (ldf_a6),
2634 .ldf_b (ldf_b6),
2635 .rcr_wrbk_req (rcr_wrbk_req6),
2636 .rcr_wrbk_addr (rcr_wrbk_addr6),
2637 .rcr_wrbk_numb (rcr_wrbk_numb6),
2638 .rcr_wrbk_data_type (rcr_wrbk_data_type6),
2639 .rcr_wrbk_sched (rcr_wrbk_sched6),
2640 .rcr_ack_accept (rcr_ack_accept6)
2641
2642 );
2643
2644niu_rdmc_chnl_master niu_rdmc_chnl_master7 (
2645 .clk (niu_clk),
2646 .reset (reset),
2647 .wred_enable (wred_enable),
2648 .random_num (random_num),
2649 .clk_div_value (clk_div_value),
2650 .dma_chnl_grp_id (`CHNL7),
2651 .pio_32b_mode (pio_32b_mode),
2652 .pio_wen (pio_wen_b),
2653 .pio_addr (pio_addr_b),
2654 .pio_wdata (pio_wdata),
2655 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
2656 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
2657 .muxed_drop_pkt_r (muxed_drop_pkt_r),
2658 .muxed_s_event_r (muxed_s_event_r),
2659 .sel_buf_en (sel_buf_en), //from wr_sched
2660 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
2661 .pkt_buf_done (pkt_buf_done),
2662 .is_hdr_wr_data (is_hdr_wr_data),
2663 .is_jmb1_wr_data (is_jmb1_wr_data),
2664 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
2665 .wr_last_pkt_data (wr_last_pkt_data),
2666 .update_rcr_shadw (update_rcr_shadw),
2667 .cache_start_addr (`CHNL7_CACHE_START_ADDR),
2668 .cache_end_addr (`CHNL7_CACHE_END_ADDR),
2669 .cache_buf_rd_gnt (cache_buf_rd_gnt[7]), //from cache_acc_ctrl
2670 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
2671 .fetch_desp_gnt (fetch_desp_gnt[7]), //from desp_acc_ctrl
2672 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
2673 .rdmc_req_err (rdmc_req_err),
2674 .rdmc_resp_cmd (rdmc_resp_cmd),
2675 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
2676 .rdmc_resp_data_status (rdmc_resp_data_status),
2677 .rdmc_resp_dma_num (rdmc_resp_dma_num),
2678 .rdmc_resp_data_valid (rdmc_resp_data_valid),
2679 .rdmc_resp_byteenable (rdmc_resp_byteenable),
2680 .rdmc_resp_comp (rdmc_resp_comp),
2681 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
2682 .resp_data_in_process (resp_data_in_process),
2683 .shadw_start_addr (`CHNL7_SHADW_START_ADDR),
2684 .shadw_rd_end_addr (`CHNL7_SHADW_RD_END_ADDR),
2685 .shadw_wr_end_addr (`CHNL7_SHADW_WR_END_ADDR),
2686 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
2687 .rcr_wrbk_gnt (rcr_wrbk_gnt[7]),
2688 .rcr_wrbk_done (rcr_wrbk_done),
2689 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
2690 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
2691 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
2692 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
2693 .cache_parity_err (cache_parity_err),
2694 .shadw_parity_err (shadw_parity_err),
2695 .data_err_event (data_err_event),
2696
2697 .pio_rd_gnt (pio_rd_gnt7),
2698 .chnl_pio_rd_data (chnl_pio_rd_data7),
2699 .rx_log_page_hdl_reg (rx_log_page_hdl_reg7),
2700 .dma_func_num (dma_func_num7),
2701 .fetch_desp_req (fetch_desp_req7), //to desp_acc_ctrl
2702 .fetch_desp_addr (fetch_desp_addr7), //to desp_acc_ctrl
2703 .fetch_desp_num (fetch_desp_numb7), //to desp_acc_ctrl
2704 .rdmc_resp_accept_sm (rdmc_resp_accept_sm7), //to desp_acc_ctrl
2705 .fetch_desp_resp_vld (fetch_desp_resp_vld7), //to desp_acc_ctrl
2706 .cache_read_req (cache_read_req7), //to cache_acc_ctrl
2707 .cache_rd_ptr (cache_rd_ptr7), //to cache_acc_ctrl
2708 .cache_wr_ptr (cache_wr_ptr7), //to cache_acc_ctrl
2709 .full_header (full_header7), //to wr_sched
2710 .drop_pkt (drop_pkt7),
2711 .pkt_buf_gnt (pkt_buf_gnt7), //to wr_sched
2712 .pkt_buf_size (pkt_buf_size7),
2713 .pkt_buf_addr (pkt_buf_addr7), //to wr_sched
2714 .orig_buf_addr (orig_buf_addr7),
2715 .pref_buf_used_num (pref_buf_used_num7),
2716 .pkt_trans_len (pkt_trans_len7),
2717 .shadw_wr_en (shadw_wr_en7),
2718 .shadw_wr_even (shadw_wr_even7),
2719 .shadw_wr_ptr (shadw_wr_ptr7),
2720 .shadw_rd_ptr (shadw_rd_ptr7),
2721 .mailbox_data (mailbox_data7),
2722 .ldf_a (ldf_a7),
2723 .ldf_b (ldf_b7),
2724 .rcr_wrbk_req (rcr_wrbk_req7),
2725 .rcr_wrbk_addr (rcr_wrbk_addr7),
2726 .rcr_wrbk_numb (rcr_wrbk_numb7),
2727 .rcr_wrbk_data_type (rcr_wrbk_data_type7),
2728 .rcr_wrbk_sched (rcr_wrbk_sched7),
2729 .rcr_ack_accept (rcr_ack_accept7)
2730
2731 );
2732
2733niu_rdmc_chnl_master niu_rdmc_chnl_master8 (
2734 .clk (niu_clk),
2735 .reset (reset),
2736 .wred_enable (wred_enable),
2737 .random_num (random_num),
2738 .clk_div_value (clk_div_value),
2739 .dma_chnl_grp_id (`CHNL8),
2740 .pio_32b_mode (pio_32b_mode),
2741 .pio_wen (pio_wen_b),
2742 .pio_addr (pio_addr_b),
2743 .pio_wdata (pio_wdata),
2744 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
2745 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
2746 .muxed_drop_pkt_r (muxed_drop_pkt_r),
2747 .muxed_s_event_r (muxed_s_event_r),
2748 .sel_buf_en (sel_buf_en), //from wr_sched
2749 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
2750 .pkt_buf_done (pkt_buf_done),
2751 .is_hdr_wr_data (is_hdr_wr_data),
2752 .is_jmb1_wr_data (is_jmb1_wr_data),
2753 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
2754 .wr_last_pkt_data (wr_last_pkt_data),
2755 .update_rcr_shadw (update_rcr_shadw),
2756 .cache_start_addr (`CHNL8_CACHE_START_ADDR),
2757 .cache_end_addr (`CHNL8_CACHE_END_ADDR),
2758 .cache_buf_rd_gnt (cache_buf_rd_gnt[8]), //from cache_acc_ctrl
2759 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
2760 .fetch_desp_gnt (fetch_desp_gnt[8]), //from desp_acc_ctrl
2761 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
2762 .rdmc_req_err (rdmc_req_err),
2763 .rdmc_resp_cmd (rdmc_resp_cmd),
2764 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
2765 .rdmc_resp_data_status (rdmc_resp_data_status),
2766 .rdmc_resp_dma_num (rdmc_resp_dma_num),
2767 .rdmc_resp_data_valid (rdmc_resp_data_valid),
2768 .rdmc_resp_byteenable (rdmc_resp_byteenable),
2769 .rdmc_resp_comp (rdmc_resp_comp),
2770 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
2771 .resp_data_in_process (resp_data_in_process),
2772 .shadw_start_addr (`CHNL8_SHADW_START_ADDR),
2773 .shadw_rd_end_addr (`CHNL8_SHADW_RD_END_ADDR),
2774 .shadw_wr_end_addr (`CHNL8_SHADW_WR_END_ADDR),
2775 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
2776 .rcr_wrbk_gnt (rcr_wrbk_gnt[8]),
2777 .rcr_wrbk_done (rcr_wrbk_done),
2778 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
2779 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
2780 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
2781 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
2782 .cache_parity_err (cache_parity_err),
2783 .shadw_parity_err (shadw_parity_err),
2784 .data_err_event (data_err_event),
2785
2786 .pio_rd_gnt (pio_rd_gnt8),
2787 .chnl_pio_rd_data (chnl_pio_rd_data8),
2788 .rx_log_page_hdl_reg (rx_log_page_hdl_reg8),
2789 .dma_func_num (dma_func_num8),
2790 .fetch_desp_req (fetch_desp_req8), //to desp_acc_ctrl
2791 .fetch_desp_addr (fetch_desp_addr8), //to desp_acc_ctrl
2792 .fetch_desp_num (fetch_desp_numb8), //to desp_acc_ctrl
2793 .rdmc_resp_accept_sm (rdmc_resp_accept_sm8), //to desp_acc_ctrl
2794 .fetch_desp_resp_vld (fetch_desp_resp_vld8), //to desp_acc_ctrl
2795 .cache_read_req (cache_read_req8), //to cache_acc_ctrl
2796 .cache_rd_ptr (cache_rd_ptr8), //to cache_acc_ctrl
2797 .cache_wr_ptr (cache_wr_ptr8), //to cache_acc_ctrl
2798 .full_header (full_header8), //to wr_sched
2799 .drop_pkt (drop_pkt8),
2800 .pkt_buf_gnt (pkt_buf_gnt8), //to wr_sched
2801 .pkt_buf_size (pkt_buf_size8),
2802 .pkt_buf_addr (pkt_buf_addr8), //to wr_sched
2803 .orig_buf_addr (orig_buf_addr8),
2804 .pref_buf_used_num (pref_buf_used_num8),
2805 .pkt_trans_len (pkt_trans_len8),
2806 .shadw_wr_en (shadw_wr_en8),
2807 .shadw_wr_even (shadw_wr_even8),
2808 .shadw_wr_ptr (shadw_wr_ptr8),
2809 .shadw_rd_ptr (shadw_rd_ptr8),
2810 .mailbox_data (mailbox_data8),
2811 .ldf_a (ldf_a8),
2812 .ldf_b (ldf_b8),
2813 .rcr_wrbk_req (rcr_wrbk_req8),
2814 .rcr_wrbk_addr (rcr_wrbk_addr8),
2815 .rcr_wrbk_numb (rcr_wrbk_numb8),
2816 .rcr_wrbk_data_type (rcr_wrbk_data_type8),
2817 .rcr_wrbk_sched (rcr_wrbk_sched8),
2818 .rcr_ack_accept (rcr_ack_accept8)
2819
2820 );
2821
2822niu_rdmc_chnl_master niu_rdmc_chnl_master9 (
2823 .clk (niu_clk),
2824 .reset (reset),
2825 .wred_enable (wred_enable),
2826 .random_num (random_num),
2827 .clk_div_value (clk_div_value),
2828 .dma_chnl_grp_id (`CHNL9),
2829 .pio_32b_mode (pio_32b_mode),
2830 .pio_wen (pio_wen_b),
2831 .pio_addr (pio_addr_b),
2832 .pio_wdata (pio_wdata),
2833 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
2834 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
2835 .muxed_drop_pkt_r (muxed_drop_pkt_r),
2836 .muxed_s_event_r (muxed_s_event_r),
2837 .sel_buf_en (sel_buf_en), //from wr_sched
2838 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
2839 .pkt_buf_done (pkt_buf_done),
2840 .is_hdr_wr_data (is_hdr_wr_data),
2841 .is_jmb1_wr_data (is_jmb1_wr_data),
2842 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
2843 .wr_last_pkt_data (wr_last_pkt_data),
2844 .update_rcr_shadw (update_rcr_shadw),
2845 .cache_start_addr (`CHNL9_CACHE_START_ADDR),
2846 .cache_end_addr (`CHNL9_CACHE_END_ADDR),
2847 .cache_buf_rd_gnt (cache_buf_rd_gnt[9]), //from cache_acc_ctrl
2848 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
2849 .fetch_desp_gnt (fetch_desp_gnt[9]), //from desp_acc_ctrl
2850 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
2851 .rdmc_req_err (rdmc_req_err),
2852 .rdmc_resp_cmd (rdmc_resp_cmd),
2853 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
2854 .rdmc_resp_data_status (rdmc_resp_data_status),
2855 .rdmc_resp_dma_num (rdmc_resp_dma_num),
2856 .rdmc_resp_data_valid (rdmc_resp_data_valid),
2857 .rdmc_resp_byteenable (rdmc_resp_byteenable),
2858 .rdmc_resp_comp (rdmc_resp_comp),
2859 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
2860 .resp_data_in_process (resp_data_in_process),
2861 .shadw_start_addr (`CHNL9_SHADW_START_ADDR),
2862 .shadw_rd_end_addr (`CHNL9_SHADW_RD_END_ADDR),
2863 .shadw_wr_end_addr (`CHNL9_SHADW_WR_END_ADDR),
2864 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
2865 .rcr_wrbk_gnt (rcr_wrbk_gnt[9]),
2866 .rcr_wrbk_done (rcr_wrbk_done),
2867 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
2868 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
2869 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
2870 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
2871 .cache_parity_err (cache_parity_err),
2872 .shadw_parity_err (shadw_parity_err),
2873 .data_err_event (data_err_event),
2874
2875 .pio_rd_gnt (pio_rd_gnt9),
2876 .chnl_pio_rd_data (chnl_pio_rd_data9),
2877 .rx_log_page_hdl_reg (rx_log_page_hdl_reg9),
2878 .dma_func_num (dma_func_num9),
2879 .fetch_desp_req (fetch_desp_req9), //to desp_acc_ctrl
2880 .fetch_desp_addr (fetch_desp_addr9), //to desp_acc_ctrl
2881 .fetch_desp_num (fetch_desp_numb9), //to desp_acc_ctrl
2882 .rdmc_resp_accept_sm (rdmc_resp_accept_sm9), //to desp_acc_ctrl
2883 .fetch_desp_resp_vld (fetch_desp_resp_vld9), //to desp_acc_ctrl
2884 .cache_read_req (cache_read_req9), //to cache_acc_ctrl
2885 .cache_rd_ptr (cache_rd_ptr9), //to cache_acc_ctrl
2886 .cache_wr_ptr (cache_wr_ptr9), //to cache_acc_ctrl
2887 .full_header (full_header9), //to wr_sched
2888 .drop_pkt (drop_pkt9),
2889 .pkt_buf_gnt (pkt_buf_gnt9), //to wr_sched
2890 .pkt_buf_size (pkt_buf_size9),
2891 .pkt_buf_addr (pkt_buf_addr9), //to wr_sched
2892 .orig_buf_addr (orig_buf_addr9),
2893 .pref_buf_used_num (pref_buf_used_num9),
2894 .pkt_trans_len (pkt_trans_len9),
2895 .shadw_wr_en (shadw_wr_en9),
2896 .shadw_wr_even (shadw_wr_even9),
2897 .shadw_wr_ptr (shadw_wr_ptr9),
2898 .shadw_rd_ptr (shadw_rd_ptr9),
2899 .mailbox_data (mailbox_data9),
2900 .ldf_a (ldf_a9),
2901 .ldf_b (ldf_b9),
2902 .rcr_wrbk_req (rcr_wrbk_req9),
2903 .rcr_wrbk_addr (rcr_wrbk_addr9),
2904 .rcr_wrbk_numb (rcr_wrbk_numb9),
2905 .rcr_wrbk_data_type (rcr_wrbk_data_type9),
2906 .rcr_wrbk_sched (rcr_wrbk_sched9),
2907 .rcr_ack_accept (rcr_ack_accept9)
2908
2909 );
2910
2911niu_rdmc_chnl_master niu_rdmc_chnl_master10 (
2912 .clk (niu_clk),
2913 .reset (reset),
2914 .wred_enable (wred_enable),
2915 .random_num (random_num),
2916 .clk_div_value (clk_div_value),
2917 .dma_chnl_grp_id (`CHNL10),
2918 .pio_32b_mode (pio_32b_mode),
2919 .pio_wen (pio_wen_b),
2920 .pio_addr (pio_addr_b),
2921 .pio_wdata (pio_wdata),
2922 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
2923 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
2924 .muxed_drop_pkt_r (muxed_drop_pkt_r),
2925 .muxed_s_event_r (muxed_s_event_r),
2926 .sel_buf_en (sel_buf_en), //from wr_sched
2927 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
2928 .pkt_buf_done (pkt_buf_done),
2929 .is_hdr_wr_data (is_hdr_wr_data),
2930 .is_jmb1_wr_data (is_jmb1_wr_data),
2931 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
2932 .wr_last_pkt_data (wr_last_pkt_data),
2933 .update_rcr_shadw (update_rcr_shadw),
2934 .cache_start_addr (`CHNL10_CACHE_START_ADDR),
2935 .cache_end_addr (`CHNL10_CACHE_END_ADDR),
2936 .cache_buf_rd_gnt (cache_buf_rd_gnt[10]), //from cache_acc_ctrl
2937 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
2938 .fetch_desp_gnt (fetch_desp_gnt[10]), //from desp_acc_ctrl
2939 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
2940 .rdmc_req_err (rdmc_req_err),
2941 .rdmc_resp_cmd (rdmc_resp_cmd),
2942 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
2943 .rdmc_resp_data_status (rdmc_resp_data_status),
2944 .rdmc_resp_dma_num (rdmc_resp_dma_num),
2945 .rdmc_resp_data_valid (rdmc_resp_data_valid),
2946 .rdmc_resp_byteenable (rdmc_resp_byteenable),
2947 .rdmc_resp_comp (rdmc_resp_comp),
2948 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
2949 .resp_data_in_process (resp_data_in_process),
2950 .shadw_start_addr (`CHNL10_SHADW_START_ADDR),
2951 .shadw_rd_end_addr (`CHNL10_SHADW_RD_END_ADDR),
2952 .shadw_wr_end_addr (`CHNL10_SHADW_WR_END_ADDR),
2953 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
2954 .rcr_wrbk_gnt (rcr_wrbk_gnt[10]),
2955 .rcr_wrbk_done (rcr_wrbk_done),
2956 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
2957 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
2958 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
2959 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
2960 .cache_parity_err (cache_parity_err),
2961 .shadw_parity_err (shadw_parity_err),
2962 .data_err_event (data_err_event),
2963
2964 .pio_rd_gnt (pio_rd_gnt10),
2965 .chnl_pio_rd_data (chnl_pio_rd_data10),
2966 .rx_log_page_hdl_reg (rx_log_page_hdl_reg10),
2967 .dma_func_num (dma_func_num10),
2968 .fetch_desp_req (fetch_desp_req10), //to desp_acc_ctrl
2969 .fetch_desp_addr (fetch_desp_addr10), //to desp_acc_ctrl
2970 .fetch_desp_num (fetch_desp_numb10), //to desp_acc_ctrl
2971 .rdmc_resp_accept_sm (rdmc_resp_accept_sm10), //to desp_acc_ctrl
2972 .fetch_desp_resp_vld (fetch_desp_resp_vld10), //to desp_acc_ctrl
2973 .cache_read_req (cache_read_req10), //to cache_acc_ctrl
2974 .cache_rd_ptr (cache_rd_ptr10), //to cache_acc_ctrl
2975 .cache_wr_ptr (cache_wr_ptr10), //to cache_acc_ctrl
2976 .full_header (full_header10), //to wr_sched
2977 .drop_pkt (drop_pkt10),
2978 .pkt_buf_gnt (pkt_buf_gnt10), //to wr_sched
2979 .pkt_buf_size (pkt_buf_size10),
2980 .pkt_buf_addr (pkt_buf_addr10), //to wr_sched
2981 .orig_buf_addr (orig_buf_addr10),
2982 .pref_buf_used_num (pref_buf_used_num10),
2983 .pkt_trans_len (pkt_trans_len10),
2984 .shadw_wr_en (shadw_wr_en10),
2985 .shadw_wr_even (shadw_wr_even10),
2986 .shadw_wr_ptr (shadw_wr_ptr10),
2987 .shadw_rd_ptr (shadw_rd_ptr10),
2988 .mailbox_data (mailbox_data10),
2989 .ldf_a (ldf_a10),
2990 .ldf_b (ldf_b10),
2991 .rcr_wrbk_req (rcr_wrbk_req10),
2992 .rcr_wrbk_addr (rcr_wrbk_addr10),
2993 .rcr_wrbk_numb (rcr_wrbk_numb10),
2994 .rcr_wrbk_data_type (rcr_wrbk_data_type10),
2995 .rcr_wrbk_sched (rcr_wrbk_sched10),
2996 .rcr_ack_accept (rcr_ack_accept10)
2997
2998 );
2999
3000niu_rdmc_chnl_master niu_rdmc_chnl_master11 (
3001 .clk (niu_clk),
3002 .reset (reset),
3003 .wred_enable (wred_enable),
3004 .random_num (random_num),
3005 .clk_div_value (clk_div_value),
3006 .dma_chnl_grp_id (`CHNL11),
3007 .pio_32b_mode (pio_32b_mode),
3008 .pio_wen (pio_wen_b),
3009 .pio_addr (pio_addr_b),
3010 .pio_wdata (pio_wdata),
3011 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
3012 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
3013 .muxed_drop_pkt_r (muxed_drop_pkt_r),
3014 .muxed_s_event_r (muxed_s_event_r),
3015 .sel_buf_en (sel_buf_en), //from wr_sched
3016 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
3017 .pkt_buf_done (pkt_buf_done),
3018 .is_hdr_wr_data (is_hdr_wr_data),
3019 .is_jmb1_wr_data (is_jmb1_wr_data),
3020 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
3021 .wr_last_pkt_data (wr_last_pkt_data),
3022 .update_rcr_shadw (update_rcr_shadw),
3023 .cache_start_addr (`CHNL11_CACHE_START_ADDR),
3024 .cache_end_addr (`CHNL11_CACHE_END_ADDR),
3025 .cache_buf_rd_gnt (cache_buf_rd_gnt[11]), //from cache_acc_ctrl
3026 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
3027 .fetch_desp_gnt (fetch_desp_gnt[11]), //from desp_acc_ctrl
3028 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
3029 .rdmc_req_err (rdmc_req_err),
3030 .rdmc_resp_cmd (rdmc_resp_cmd),
3031 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
3032 .rdmc_resp_data_status (rdmc_resp_data_status),
3033 .rdmc_resp_dma_num (rdmc_resp_dma_num),
3034 .rdmc_resp_data_valid (rdmc_resp_data_valid),
3035 .rdmc_resp_byteenable (rdmc_resp_byteenable),
3036 .rdmc_resp_comp (rdmc_resp_comp),
3037 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
3038 .resp_data_in_process (resp_data_in_process),
3039 .shadw_start_addr (`CHNL11_SHADW_START_ADDR),
3040 .shadw_rd_end_addr (`CHNL11_SHADW_RD_END_ADDR),
3041 .shadw_wr_end_addr (`CHNL11_SHADW_WR_END_ADDR),
3042 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
3043 .rcr_wrbk_gnt (rcr_wrbk_gnt[11]),
3044 .rcr_wrbk_done (rcr_wrbk_done),
3045 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
3046 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
3047 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
3048 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
3049 .cache_parity_err (cache_parity_err),
3050 .shadw_parity_err (shadw_parity_err),
3051 .data_err_event (data_err_event),
3052
3053 .pio_rd_gnt (pio_rd_gnt11),
3054 .chnl_pio_rd_data (chnl_pio_rd_data11),
3055 .rx_log_page_hdl_reg (rx_log_page_hdl_reg11),
3056 .dma_func_num (dma_func_num11),
3057 .fetch_desp_req (fetch_desp_req11), //to desp_acc_ctrl
3058 .fetch_desp_addr (fetch_desp_addr11), //to desp_acc_ctrl
3059 .fetch_desp_num (fetch_desp_numb11), //to desp_acc_ctrl
3060 .rdmc_resp_accept_sm (rdmc_resp_accept_sm11), //to desp_acc_ctrl
3061 .fetch_desp_resp_vld (fetch_desp_resp_vld11), //to desp_acc_ctrl
3062 .cache_read_req (cache_read_req11), //to cache_acc_ctrl
3063 .cache_rd_ptr (cache_rd_ptr11), //to cache_acc_ctrl
3064 .cache_wr_ptr (cache_wr_ptr11), //to cache_acc_ctrl
3065 .full_header (full_header11), //to wr_sched
3066 .drop_pkt (drop_pkt11),
3067 .pkt_buf_gnt (pkt_buf_gnt11), //to wr_sched
3068 .pkt_buf_size (pkt_buf_size11),
3069 .pkt_buf_addr (pkt_buf_addr11), //to wr_sched
3070 .orig_buf_addr (orig_buf_addr11),
3071 .pref_buf_used_num (pref_buf_used_num11),
3072 .pkt_trans_len (pkt_trans_len11),
3073 .shadw_wr_en (shadw_wr_en11),
3074 .shadw_wr_even (shadw_wr_even11),
3075 .shadw_wr_ptr (shadw_wr_ptr11),
3076 .shadw_rd_ptr (shadw_rd_ptr11),
3077 .mailbox_data (mailbox_data11),
3078 .ldf_a (ldf_a11),
3079 .ldf_b (ldf_b11),
3080 .rcr_wrbk_req (rcr_wrbk_req11),
3081 .rcr_wrbk_addr (rcr_wrbk_addr11),
3082 .rcr_wrbk_numb (rcr_wrbk_numb11),
3083 .rcr_wrbk_data_type (rcr_wrbk_data_type11),
3084 .rcr_wrbk_sched (rcr_wrbk_sched11),
3085 .rcr_ack_accept (rcr_ack_accept11)
3086
3087 );
3088
3089niu_rdmc_chnl_master niu_rdmc_chnl_master12 (
3090 .clk (niu_clk),
3091 .reset (reset),
3092 .wred_enable (wred_enable),
3093 .random_num (random_num),
3094 .clk_div_value (clk_div_value),
3095 .dma_chnl_grp_id (`CHNL12),
3096 .pio_32b_mode (pio_32b_mode),
3097 .pio_wen (pio_wen_c),
3098 .pio_addr (pio_addr_c),
3099 .pio_wdata (pio_wdata),
3100 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
3101 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
3102 .muxed_drop_pkt_r (muxed_drop_pkt_r),
3103 .muxed_s_event_r (muxed_s_event_r),
3104 .sel_buf_en (sel_buf_en), //from wr_sched
3105 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
3106 .pkt_buf_done (pkt_buf_done),
3107 .is_hdr_wr_data (is_hdr_wr_data),
3108 .is_jmb1_wr_data (is_jmb1_wr_data),
3109 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
3110 .wr_last_pkt_data (wr_last_pkt_data),
3111 .update_rcr_shadw (update_rcr_shadw),
3112 .cache_start_addr (`CHNL12_CACHE_START_ADDR),
3113 .cache_end_addr (`CHNL12_CACHE_END_ADDR),
3114 .cache_buf_rd_gnt (cache_buf_rd_gnt[12]), //from cache_acc_ctrl
3115 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
3116 .fetch_desp_gnt (fetch_desp_gnt[12]), //from desp_acc_ctrl
3117 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
3118 .rdmc_req_err (rdmc_req_err),
3119 .rdmc_resp_cmd (rdmc_resp_cmd),
3120 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
3121 .rdmc_resp_data_status (rdmc_resp_data_status),
3122 .rdmc_resp_dma_num (rdmc_resp_dma_num),
3123 .rdmc_resp_data_valid (rdmc_resp_data_valid),
3124 .rdmc_resp_byteenable (rdmc_resp_byteenable),
3125 .rdmc_resp_comp (rdmc_resp_comp),
3126 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
3127 .resp_data_in_process (resp_data_in_process),
3128 .shadw_start_addr (`CHNL12_SHADW_START_ADDR),
3129 .shadw_rd_end_addr (`CHNL12_SHADW_RD_END_ADDR),
3130 .shadw_wr_end_addr (`CHNL12_SHADW_WR_END_ADDR),
3131 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
3132 .rcr_wrbk_gnt (rcr_wrbk_gnt[12]),
3133 .rcr_wrbk_done (rcr_wrbk_done),
3134 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
3135 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
3136 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
3137 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
3138 .cache_parity_err (cache_parity_err),
3139 .shadw_parity_err (shadw_parity_err),
3140 .data_err_event (data_err_event),
3141
3142 .pio_rd_gnt (pio_rd_gnt12),
3143 .chnl_pio_rd_data (chnl_pio_rd_data12),
3144 .rx_log_page_hdl_reg (rx_log_page_hdl_reg12),
3145 .dma_func_num (dma_func_num12),
3146 .fetch_desp_req (fetch_desp_req12), //to desp_acc_ctrl
3147 .fetch_desp_addr (fetch_desp_addr12), //to desp_acc_ctrl
3148 .fetch_desp_num (fetch_desp_numb12), //to desp_acc_ctrl
3149 .rdmc_resp_accept_sm (rdmc_resp_accept_sm12), //to desp_acc_ctrl
3150 .fetch_desp_resp_vld (fetch_desp_resp_vld12), //to desp_acc_ctrl
3151 .cache_read_req (cache_read_req12), //to cache_acc_ctrl
3152 .cache_rd_ptr (cache_rd_ptr12), //to cache_acc_ctrl
3153 .cache_wr_ptr (cache_wr_ptr12), //to cache_acc_ctrl
3154 .full_header (full_header12), //to wr_sched
3155 .drop_pkt (drop_pkt12),
3156 .pkt_buf_gnt (pkt_buf_gnt12), //to wr_sched
3157 .pkt_buf_size (pkt_buf_size12),
3158 .pkt_buf_addr (pkt_buf_addr12), //to wr_sched
3159 .orig_buf_addr (orig_buf_addr12),
3160 .pref_buf_used_num (pref_buf_used_num12),
3161 .pkt_trans_len (pkt_trans_len12),
3162 .shadw_wr_en (shadw_wr_en12),
3163 .shadw_wr_even (shadw_wr_even12),
3164 .shadw_wr_ptr (shadw_wr_ptr12),
3165 .shadw_rd_ptr (shadw_rd_ptr12),
3166 .mailbox_data (mailbox_data12),
3167 .ldf_a (ldf_a12),
3168 .ldf_b (ldf_b12),
3169 .rcr_wrbk_req (rcr_wrbk_req12),
3170 .rcr_wrbk_addr (rcr_wrbk_addr12),
3171 .rcr_wrbk_numb (rcr_wrbk_numb12),
3172 .rcr_wrbk_data_type (rcr_wrbk_data_type12),
3173 .rcr_wrbk_sched (rcr_wrbk_sched12),
3174 .rcr_ack_accept (rcr_ack_accept12)
3175
3176 );
3177
3178niu_rdmc_chnl_master niu_rdmc_chnl_master13 (
3179 .clk (niu_clk),
3180 .reset (reset),
3181 .wred_enable (wred_enable),
3182 .random_num (random_num),
3183 .clk_div_value (clk_div_value),
3184 .dma_chnl_grp_id (`CHNL13),
3185 .pio_32b_mode (pio_32b_mode),
3186 .pio_wen (pio_wen_c),
3187 .pio_addr (pio_addr_c),
3188 .pio_wdata (pio_wdata),
3189 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
3190 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
3191 .muxed_drop_pkt_r (muxed_drop_pkt_r),
3192 .muxed_s_event_r (muxed_s_event_r),
3193 .sel_buf_en (sel_buf_en), //from wr_sched
3194 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
3195 .pkt_buf_done (pkt_buf_done),
3196 .is_hdr_wr_data (is_hdr_wr_data),
3197 .is_jmb1_wr_data (is_jmb1_wr_data),
3198 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
3199 .wr_last_pkt_data (wr_last_pkt_data),
3200 .update_rcr_shadw (update_rcr_shadw),
3201 .cache_start_addr (`CHNL13_CACHE_START_ADDR),
3202 .cache_end_addr (`CHNL13_CACHE_END_ADDR),
3203 .cache_buf_rd_gnt (cache_buf_rd_gnt[13]), //from cache_acc_ctrl
3204 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
3205 .fetch_desp_gnt (fetch_desp_gnt[13]), //from desp_acc_ctrl
3206 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
3207 .rdmc_req_err (rdmc_req_err),
3208 .rdmc_resp_cmd (rdmc_resp_cmd),
3209 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
3210 .rdmc_resp_data_status (rdmc_resp_data_status),
3211 .rdmc_resp_dma_num (rdmc_resp_dma_num),
3212 .rdmc_resp_data_valid (rdmc_resp_data_valid),
3213 .rdmc_resp_byteenable (rdmc_resp_byteenable),
3214 .rdmc_resp_comp (rdmc_resp_comp),
3215 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
3216 .resp_data_in_process (resp_data_in_process),
3217 .shadw_start_addr (`CHNL13_SHADW_START_ADDR),
3218 .shadw_rd_end_addr (`CHNL13_SHADW_RD_END_ADDR),
3219 .shadw_wr_end_addr (`CHNL13_SHADW_WR_END_ADDR),
3220 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
3221 .rcr_wrbk_gnt (rcr_wrbk_gnt[13]),
3222 .rcr_wrbk_done (rcr_wrbk_done),
3223 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
3224 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
3225 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
3226 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
3227 .cache_parity_err (cache_parity_err),
3228 .shadw_parity_err (shadw_parity_err),
3229 .data_err_event (data_err_event),
3230
3231 .pio_rd_gnt (pio_rd_gnt13),
3232 .chnl_pio_rd_data (chnl_pio_rd_data13),
3233 .rx_log_page_hdl_reg (rx_log_page_hdl_reg13),
3234 .dma_func_num (dma_func_num13),
3235 .fetch_desp_req (fetch_desp_req13), //to desp_acc_ctrl
3236 .fetch_desp_addr (fetch_desp_addr13), //to desp_acc_ctrl
3237 .fetch_desp_num (fetch_desp_numb13), //to desp_acc_ctrl
3238 .rdmc_resp_accept_sm (rdmc_resp_accept_sm13), //to desp_acc_ctrl
3239 .fetch_desp_resp_vld (fetch_desp_resp_vld13), //to desp_acc_ctrl
3240 .cache_read_req (cache_read_req13), //to cache_acc_ctrl
3241 .cache_rd_ptr (cache_rd_ptr13), //to cache_acc_ctrl
3242 .cache_wr_ptr (cache_wr_ptr13), //to cache_acc_ctrl
3243 .full_header (full_header13), //to wr_sched
3244 .drop_pkt (drop_pkt13),
3245 .pkt_buf_gnt (pkt_buf_gnt13), //to wr_sched
3246 .pkt_buf_size (pkt_buf_size13),
3247 .pkt_buf_addr (pkt_buf_addr13), //to wr_sched
3248 .orig_buf_addr (orig_buf_addr13),
3249 .pref_buf_used_num (pref_buf_used_num13),
3250 .pkt_trans_len (pkt_trans_len13),
3251 .shadw_wr_en (shadw_wr_en13),
3252 .shadw_wr_even (shadw_wr_even13),
3253 .shadw_wr_ptr (shadw_wr_ptr13),
3254 .shadw_rd_ptr (shadw_rd_ptr13),
3255 .mailbox_data (mailbox_data13),
3256 .ldf_a (ldf_a13),
3257 .ldf_b (ldf_b13),
3258 .rcr_wrbk_req (rcr_wrbk_req13),
3259 .rcr_wrbk_addr (rcr_wrbk_addr13),
3260 .rcr_wrbk_numb (rcr_wrbk_numb13),
3261 .rcr_wrbk_data_type (rcr_wrbk_data_type13),
3262 .rcr_wrbk_sched (rcr_wrbk_sched13),
3263 .rcr_ack_accept (rcr_ack_accept13)
3264
3265 );
3266
3267niu_rdmc_chnl_master niu_rdmc_chnl_master14 (
3268 .clk (niu_clk),
3269 .reset (reset),
3270 .wred_enable (wred_enable),
3271 .random_num (random_num),
3272 .clk_div_value (clk_div_value),
3273 .dma_chnl_grp_id (`CHNL14),
3274 .pio_32b_mode (pio_32b_mode),
3275 .pio_wen (pio_wen_c),
3276 .pio_addr (pio_addr_c),
3277 .pio_wdata (pio_wdata),
3278 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
3279 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
3280 .muxed_drop_pkt_r (muxed_drop_pkt_r),
3281 .muxed_s_event_r (muxed_s_event_r),
3282 .sel_buf_en (sel_buf_en), //from wr_sched
3283 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
3284 .pkt_buf_done (pkt_buf_done),
3285 .is_hdr_wr_data (is_hdr_wr_data),
3286 .is_jmb1_wr_data (is_jmb1_wr_data),
3287 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
3288 .wr_last_pkt_data (wr_last_pkt_data),
3289 .update_rcr_shadw (update_rcr_shadw),
3290 .cache_start_addr (`CHNL14_CACHE_START_ADDR),
3291 .cache_end_addr (`CHNL14_CACHE_END_ADDR),
3292 .cache_buf_rd_gnt (cache_buf_rd_gnt[14]), //from cache_acc_ctrl
3293 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
3294 .fetch_desp_gnt (fetch_desp_gnt[14]), //from desp_acc_ctrl
3295 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
3296 .rdmc_req_err (rdmc_req_err),
3297 .rdmc_resp_cmd (rdmc_resp_cmd),
3298 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
3299 .rdmc_resp_data_status (rdmc_resp_data_status),
3300 .rdmc_resp_dma_num (rdmc_resp_dma_num),
3301 .rdmc_resp_data_valid (rdmc_resp_data_valid),
3302 .rdmc_resp_byteenable (rdmc_resp_byteenable),
3303 .rdmc_resp_comp (rdmc_resp_comp),
3304 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
3305 .resp_data_in_process (resp_data_in_process),
3306 .shadw_start_addr (`CHNL14_SHADW_START_ADDR),
3307 .shadw_rd_end_addr (`CHNL14_SHADW_RD_END_ADDR),
3308 .shadw_wr_end_addr (`CHNL14_SHADW_WR_END_ADDR),
3309 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
3310 .rcr_wrbk_gnt (rcr_wrbk_gnt[14]),
3311 .rcr_wrbk_done (rcr_wrbk_done),
3312 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
3313 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
3314 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
3315 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
3316 .cache_parity_err (cache_parity_err),
3317 .shadw_parity_err (shadw_parity_err),
3318 .data_err_event (data_err_event),
3319
3320 .pio_rd_gnt (pio_rd_gnt14),
3321 .chnl_pio_rd_data (chnl_pio_rd_data14),
3322 .rx_log_page_hdl_reg (rx_log_page_hdl_reg14),
3323 .dma_func_num (dma_func_num14),
3324 .fetch_desp_req (fetch_desp_req14), //to desp_acc_ctrl
3325 .fetch_desp_addr (fetch_desp_addr14), //to desp_acc_ctrl
3326 .fetch_desp_num (fetch_desp_numb14), //to desp_acc_ctrl
3327 .rdmc_resp_accept_sm (rdmc_resp_accept_sm14), //to desp_acc_ctrl
3328 .fetch_desp_resp_vld (fetch_desp_resp_vld14), //to desp_acc_ctrl
3329 .cache_read_req (cache_read_req14), //to cache_acc_ctrl
3330 .cache_rd_ptr (cache_rd_ptr14), //to cache_acc_ctrl
3331 .cache_wr_ptr (cache_wr_ptr14), //to cache_acc_ctrl
3332 .full_header (full_header14), //to wr_sched
3333 .drop_pkt (drop_pkt14),
3334 .pkt_buf_gnt (pkt_buf_gnt14), //to wr_sched
3335 .pkt_buf_size (pkt_buf_size14),
3336 .pkt_buf_addr (pkt_buf_addr14), //to wr_sched
3337 .orig_buf_addr (orig_buf_addr14),
3338 .pref_buf_used_num (pref_buf_used_num14),
3339 .pkt_trans_len (pkt_trans_len14),
3340 .shadw_wr_en (shadw_wr_en14),
3341 .shadw_wr_even (shadw_wr_even14),
3342 .shadw_wr_ptr (shadw_wr_ptr14),
3343 .shadw_rd_ptr (shadw_rd_ptr14),
3344 .mailbox_data (mailbox_data14),
3345 .ldf_a (ldf_a14),
3346 .ldf_b (ldf_b14),
3347 .rcr_wrbk_req (rcr_wrbk_req14),
3348 .rcr_wrbk_addr (rcr_wrbk_addr14),
3349 .rcr_wrbk_numb (rcr_wrbk_numb14),
3350 .rcr_wrbk_data_type (rcr_wrbk_data_type14),
3351 .rcr_wrbk_sched (rcr_wrbk_sched14),
3352 .rcr_ack_accept (rcr_ack_accept14)
3353
3354 );
3355
3356niu_rdmc_chnl_master niu_rdmc_chnl_master15 (
3357 .clk (niu_clk),
3358 .reset (reset),
3359 .wred_enable (wred_enable),
3360 .random_num (random_num),
3361 .clk_div_value (clk_div_value),
3362 .dma_chnl_grp_id (`CHNL15),
3363 .pio_32b_mode (pio_32b_mode),
3364 .pio_wen (pio_wen_c),
3365 .pio_addr (pio_addr_c),
3366 .pio_wdata (pio_wdata),
3367 .muxed_pkt_len (muxed_pkt_len), //from wr_dp
3368 .muxed_rdc_num_r (muxed_rdc_num_r), //from wr_dp
3369 .muxed_drop_pkt_r (muxed_drop_pkt_r),
3370 .muxed_s_event_r (muxed_s_event_r),
3371 .sel_buf_en (sel_buf_en), //from wr_sched
3372 .pkt_req_cnt_e_done_mod (pkt_req_cnt_e_done_mod),
3373 .pkt_buf_done (pkt_buf_done),
3374 .is_hdr_wr_data (is_hdr_wr_data),
3375 .is_jmb1_wr_data (is_jmb1_wr_data),
3376 .wr_transfer_comp_int (rdmc_meta0_wr_transfer_comp_int),
3377 .wr_last_pkt_data (wr_last_pkt_data),
3378 .update_rcr_shadw (update_rcr_shadw),
3379 .cache_start_addr (`CHNL15_CACHE_START_ADDR),
3380 .cache_end_addr (`CHNL15_CACHE_END_ADDR),
3381 .cache_buf_rd_gnt (cache_buf_rd_gnt[15]), //from cache_acc_ctrl
3382 .cache_buf_rd_data (cache_buf_rd_data), //from cache_acc_ctrl
3383 .fetch_desp_gnt (fetch_desp_gnt[15]), //from desp_acc_ctrl
3384 .rdmc_resp_rdy_valid (rdmc_resp_rdy_valid), //from desp_acc_ctrl
3385 .rdmc_req_err (rdmc_req_err),
3386 .rdmc_resp_cmd (rdmc_resp_cmd),
3387 .rdmc_resp_cmd_status (rdmc_resp_cmd_status),
3388 .rdmc_resp_data_status (rdmc_resp_data_status),
3389 .rdmc_resp_dma_num (rdmc_resp_dma_num),
3390 .rdmc_resp_data_valid (rdmc_resp_data_valid),
3391 .rdmc_resp_byteenable (rdmc_resp_byteenable),
3392 .rdmc_resp_comp (rdmc_resp_comp),
3393 .rdmc_resp_trans_comp (rdmc_resp_trans_comp),
3394 .resp_data_in_process (resp_data_in_process),
3395 .shadw_start_addr (`CHNL15_SHADW_START_ADDR),
3396 .shadw_rd_end_addr (`CHNL15_SHADW_RD_END_ADDR),
3397 .shadw_wr_end_addr (`CHNL15_SHADW_WR_END_ADDR),
3398 .rdmc_wr_data_dma_num (rdmc_wr_data_dma_num),
3399 .rcr_wrbk_gnt (rcr_wrbk_gnt[15]),
3400 .rcr_wrbk_done (rcr_wrbk_done),
3401 .rcr_wrbk_pkt_num (rcr_wrbk_pkt_num),
3402 .rdmc_rcr_ack_valid (rdmc_rcr_ack_valid),
3403 .rdmc_rcr_ack_err (rdmc_rcr_ack_err),
3404 .rdmc_rcr_ack_dma_num (rdmc_rcr_ack_dma_num),
3405 .cache_parity_err (cache_parity_err),
3406 .shadw_parity_err (shadw_parity_err),
3407 .data_err_event (data_err_event),
3408
3409 .pio_rd_gnt (pio_rd_gnt15),
3410 .chnl_pio_rd_data (chnl_pio_rd_data15),
3411 .rx_log_page_hdl_reg (rx_log_page_hdl_reg15),
3412 .dma_func_num (dma_func_num15),
3413 .fetch_desp_req (fetch_desp_req15), //to desp_acc_ctrl
3414 .fetch_desp_addr (fetch_desp_addr15), //to desp_acc_ctrl
3415 .fetch_desp_num (fetch_desp_numb15), //to desp_acc_ctrl
3416 .rdmc_resp_accept_sm (rdmc_resp_accept_sm15), //to desp_acc_ctrl
3417 .fetch_desp_resp_vld (fetch_desp_resp_vld15), //to desp_acc_ctrl
3418 .cache_read_req (cache_read_req15), //to cache_acc_ctrl
3419 .cache_rd_ptr (cache_rd_ptr15), //to cache_acc_ctrl
3420 .cache_wr_ptr (cache_wr_ptr15), //to cache_acc_ctrl
3421 .full_header (full_header15), //to wr_sched
3422 .drop_pkt (drop_pkt15),
3423 .pkt_buf_gnt (pkt_buf_gnt15), //to wr_sched
3424 .pkt_buf_size (pkt_buf_size15),
3425 .pkt_buf_addr (pkt_buf_addr15), //to wr_sched
3426 .orig_buf_addr (orig_buf_addr15),
3427 .pref_buf_used_num (pref_buf_used_num15),
3428 .pkt_trans_len (pkt_trans_len15),
3429 .shadw_wr_en (shadw_wr_en15),
3430 .shadw_wr_even (shadw_wr_even15),
3431 .shadw_wr_ptr (shadw_wr_ptr15),
3432 .shadw_rd_ptr (shadw_rd_ptr15),
3433 .mailbox_data (mailbox_data15),
3434 .ldf_a (ldf_a15),
3435 .ldf_b (ldf_b15),
3436 .rcr_wrbk_req (rcr_wrbk_req15),
3437 .rcr_wrbk_addr (rcr_wrbk_addr15),
3438 .rcr_wrbk_numb (rcr_wrbk_numb15),
3439 .rcr_wrbk_data_type (rcr_wrbk_data_type15),
3440 .rcr_wrbk_sched (rcr_wrbk_sched15),
3441 .rcr_ack_accept (rcr_ack_accept15)
3442
3443 );
3444
3445endmodule
3446
3447
3448
3449
3450
3451
3452