Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / niu / rtl / niu_smx_resp_rcvfile.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: niu_smx_resp_rcvfile.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35
36module niu_smx_resp_rcvcnt(
37/*AUTOARG*/
38 // Outputs
39 cnt_data,
40 // Inputs
41 clk, reset_l, rst_cnt, inc_cnt
42 );
43
44// synopsys template
45
46parameter DATA_WIDTH= 10;
47
48input clk;
49input reset_l;
50input rst_cnt;
51input inc_cnt;
52output [DATA_WIDTH-1:0] cnt_data;
53
54reg [DATA_WIDTH-1:0] cnt_data;
55
56always @(posedge clk) begin
57 if(!reset_l) cnt_data<= `SMX_PD {DATA_WIDTH{1'b0}};
58 else begin
59 if(rst_cnt) cnt_data<= `SMX_PD {{(DATA_WIDTH-1){1'b0}}, 1'b1};
60 else if(inc_cnt) cnt_data<= `SMX_PD cnt_data + 1'b1;
61 end
62end
63
64endmodule
65
66//
67// ??? might need to restructure if too many individual incrementor?
68// save some gates
69//
70
71module niu_smx_resp_rcvfile(
72/*AUTOARG*/
73 // Outputs
74 rdata,
75 // Inputs
76 clk, reset_l, rd, rd_inc, raddr, wr_rst, waddr
77 );
78
79parameter DATA_WIDTH= 10;
80parameter ADDR_WIDTH= 6;
81parameter ENTRY_SIZE= 1<<ADDR_WIDTH;
82
83input clk;
84input reset_l;
85
86input rd; // rd cnt only, no update
87input rd_inc; // rd cnt, inc cnt_data, then update
88input [ADDR_WIDTH-1:0] raddr;
89
90input wr_rst; // reset cnt_data to 1
91input [ADDR_WIDTH-1:0] waddr;
92
93output [DATA_WIDTH-1:0] rdata;
94
95
96function [63:0] decode_64;
97 input [5:0] in;
98
99 reg [63:0] out;
100 integer i;
101
102 begin
103 for(i=0;i<64;i=i+1) begin
104 if(in==i[5:0])
105 out[i]= 1'b1;
106 else
107 out[i]= 1'b0;
108 end
109 decode_64= out;
110 end
111endfunction
112
113wire [ENTRY_SIZE-1:0] rd_inc_en_n= decode_64(raddr);
114wire [ENTRY_SIZE-1:0] wr_rst_en_n= decode_64(waddr);
115wire [ENTRY_SIZE-1:0] inc_cnt= {ENTRY_SIZE{rd_inc}} & rd_inc_en_n;
116wire [ENTRY_SIZE-1:0] rst_cnt= {ENTRY_SIZE{wr_rst}} & wr_rst_en_n;
117
118reg [DATA_WIDTH-1:0] cnt_data[0:ENTRY_SIZE-1];
119reg [DATA_WIDTH-1:0] rdata;
120wire [DATA_WIDTH-1:0] rdata_n= cnt_data[raddr];
121
122
123// flop out data
124always @ (posedge clk) begin
125 if(!reset_l) rdata<= `SMX_PD {DATA_WIDTH{1'b0}};
126 else if(rd_inc | rd) rdata<= `SMX_PD rdata_n;
127end
128
129// --- cut/paste here ----
130
131wire [DATA_WIDTH-1:0] cnt_data_0;
132wire [DATA_WIDTH-1:0] cnt_data_1;
133wire [DATA_WIDTH-1:0] cnt_data_2;
134wire [DATA_WIDTH-1:0] cnt_data_3;
135wire [DATA_WIDTH-1:0] cnt_data_4;
136wire [DATA_WIDTH-1:0] cnt_data_5;
137wire [DATA_WIDTH-1:0] cnt_data_6;
138wire [DATA_WIDTH-1:0] cnt_data_7;
139wire [DATA_WIDTH-1:0] cnt_data_8;
140wire [DATA_WIDTH-1:0] cnt_data_9;
141wire [DATA_WIDTH-1:0] cnt_data_10;
142wire [DATA_WIDTH-1:0] cnt_data_11;
143wire [DATA_WIDTH-1:0] cnt_data_12;
144wire [DATA_WIDTH-1:0] cnt_data_13;
145wire [DATA_WIDTH-1:0] cnt_data_14;
146wire [DATA_WIDTH-1:0] cnt_data_15;
147wire [DATA_WIDTH-1:0] cnt_data_16;
148wire [DATA_WIDTH-1:0] cnt_data_17;
149wire [DATA_WIDTH-1:0] cnt_data_18;
150wire [DATA_WIDTH-1:0] cnt_data_19;
151wire [DATA_WIDTH-1:0] cnt_data_20;
152wire [DATA_WIDTH-1:0] cnt_data_21;
153wire [DATA_WIDTH-1:0] cnt_data_22;
154wire [DATA_WIDTH-1:0] cnt_data_23;
155wire [DATA_WIDTH-1:0] cnt_data_24;
156wire [DATA_WIDTH-1:0] cnt_data_25;
157wire [DATA_WIDTH-1:0] cnt_data_26;
158wire [DATA_WIDTH-1:0] cnt_data_27;
159wire [DATA_WIDTH-1:0] cnt_data_28;
160wire [DATA_WIDTH-1:0] cnt_data_29;
161wire [DATA_WIDTH-1:0] cnt_data_30;
162wire [DATA_WIDTH-1:0] cnt_data_31;
163wire [DATA_WIDTH-1:0] cnt_data_32;
164wire [DATA_WIDTH-1:0] cnt_data_33;
165wire [DATA_WIDTH-1:0] cnt_data_34;
166wire [DATA_WIDTH-1:0] cnt_data_35;
167wire [DATA_WIDTH-1:0] cnt_data_36;
168wire [DATA_WIDTH-1:0] cnt_data_37;
169wire [DATA_WIDTH-1:0] cnt_data_38;
170wire [DATA_WIDTH-1:0] cnt_data_39;
171wire [DATA_WIDTH-1:0] cnt_data_40;
172wire [DATA_WIDTH-1:0] cnt_data_41;
173wire [DATA_WIDTH-1:0] cnt_data_42;
174wire [DATA_WIDTH-1:0] cnt_data_43;
175wire [DATA_WIDTH-1:0] cnt_data_44;
176wire [DATA_WIDTH-1:0] cnt_data_45;
177wire [DATA_WIDTH-1:0] cnt_data_46;
178wire [DATA_WIDTH-1:0] cnt_data_47;
179wire [DATA_WIDTH-1:0] cnt_data_48;
180wire [DATA_WIDTH-1:0] cnt_data_49;
181wire [DATA_WIDTH-1:0] cnt_data_50;
182wire [DATA_WIDTH-1:0] cnt_data_51;
183wire [DATA_WIDTH-1:0] cnt_data_52;
184wire [DATA_WIDTH-1:0] cnt_data_53;
185wire [DATA_WIDTH-1:0] cnt_data_54;
186wire [DATA_WIDTH-1:0] cnt_data_55;
187wire [DATA_WIDTH-1:0] cnt_data_56;
188wire [DATA_WIDTH-1:0] cnt_data_57;
189wire [DATA_WIDTH-1:0] cnt_data_58;
190wire [DATA_WIDTH-1:0] cnt_data_59;
191wire [DATA_WIDTH-1:0] cnt_data_60;
192wire [DATA_WIDTH-1:0] cnt_data_61;
193wire [DATA_WIDTH-1:0] cnt_data_62;
194wire [DATA_WIDTH-1:0] cnt_data_63;
195
196always @(/*AUTOSENSE*/cnt_data_0 or cnt_data_1 or cnt_data_10
197 or cnt_data_11 or cnt_data_12 or cnt_data_13 or cnt_data_14
198 or cnt_data_15 or cnt_data_16 or cnt_data_17 or cnt_data_18
199 or cnt_data_19 or cnt_data_2 or cnt_data_20 or cnt_data_21
200 or cnt_data_22 or cnt_data_23 or cnt_data_24 or cnt_data_25
201 or cnt_data_26 or cnt_data_27 or cnt_data_28 or cnt_data_29
202 or cnt_data_3 or cnt_data_30 or cnt_data_31 or cnt_data_32
203 or cnt_data_33 or cnt_data_34 or cnt_data_35 or cnt_data_36
204 or cnt_data_37 or cnt_data_38 or cnt_data_39 or cnt_data_4
205 or cnt_data_40 or cnt_data_41 or cnt_data_42 or cnt_data_43
206 or cnt_data_44 or cnt_data_45 or cnt_data_46 or cnt_data_47
207 or cnt_data_48 or cnt_data_49 or cnt_data_5 or cnt_data_50
208 or cnt_data_51 or cnt_data_52 or cnt_data_53 or cnt_data_54
209 or cnt_data_55 or cnt_data_56 or cnt_data_57 or cnt_data_58
210 or cnt_data_59 or cnt_data_6 or cnt_data_60 or cnt_data_61
211 or cnt_data_62 or cnt_data_63 or cnt_data_7 or cnt_data_8
212 or cnt_data_9) begin
213 cnt_data[0]= cnt_data_0;
214 cnt_data[1]= cnt_data_1;
215 cnt_data[2]= cnt_data_2;
216 cnt_data[3]= cnt_data_3;
217 cnt_data[4]= cnt_data_4;
218 cnt_data[5]= cnt_data_5;
219 cnt_data[6]= cnt_data_6;
220 cnt_data[7]= cnt_data_7;
221 cnt_data[8]= cnt_data_8;
222 cnt_data[9]= cnt_data_9;
223 cnt_data[10]= cnt_data_10;
224 cnt_data[11]= cnt_data_11;
225 cnt_data[12]= cnt_data_12;
226 cnt_data[13]= cnt_data_13;
227 cnt_data[14]= cnt_data_14;
228 cnt_data[15]= cnt_data_15;
229 cnt_data[16]= cnt_data_16;
230 cnt_data[17]= cnt_data_17;
231 cnt_data[18]= cnt_data_18;
232 cnt_data[19]= cnt_data_19;
233 cnt_data[20]= cnt_data_20;
234 cnt_data[21]= cnt_data_21;
235 cnt_data[22]= cnt_data_22;
236 cnt_data[23]= cnt_data_23;
237 cnt_data[24]= cnt_data_24;
238 cnt_data[25]= cnt_data_25;
239 cnt_data[26]= cnt_data_26;
240 cnt_data[27]= cnt_data_27;
241 cnt_data[28]= cnt_data_28;
242 cnt_data[29]= cnt_data_29;
243 cnt_data[30]= cnt_data_30;
244 cnt_data[31]= cnt_data_31;
245 cnt_data[32]= cnt_data_32;
246 cnt_data[33]= cnt_data_33;
247 cnt_data[34]= cnt_data_34;
248 cnt_data[35]= cnt_data_35;
249 cnt_data[36]= cnt_data_36;
250 cnt_data[37]= cnt_data_37;
251 cnt_data[38]= cnt_data_38;
252 cnt_data[39]= cnt_data_39;
253 cnt_data[40]= cnt_data_40;
254 cnt_data[41]= cnt_data_41;
255 cnt_data[42]= cnt_data_42;
256 cnt_data[43]= cnt_data_43;
257 cnt_data[44]= cnt_data_44;
258 cnt_data[45]= cnt_data_45;
259 cnt_data[46]= cnt_data_46;
260 cnt_data[47]= cnt_data_47;
261 cnt_data[48]= cnt_data_48;
262 cnt_data[49]= cnt_data_49;
263 cnt_data[50]= cnt_data_50;
264 cnt_data[51]= cnt_data_51;
265 cnt_data[52]= cnt_data_52;
266 cnt_data[53]= cnt_data_53;
267 cnt_data[54]= cnt_data_54;
268 cnt_data[55]= cnt_data_55;
269 cnt_data[56]= cnt_data_56;
270 cnt_data[57]= cnt_data_57;
271 cnt_data[58]= cnt_data_58;
272 cnt_data[59]= cnt_data_59;
273 cnt_data[60]= cnt_data_60;
274 cnt_data[61]= cnt_data_61;
275 cnt_data[62]= cnt_data_62;
276 cnt_data[63]= cnt_data_63;
277end
278
279niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt0 (
280 .clk(clk), .reset_l(reset_l),
281 .rst_cnt(rst_cnt[0]), .inc_cnt(inc_cnt[0]), .cnt_data(cnt_data_0));
282
283niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt1 (
284 .clk(clk), .reset_l(reset_l),
285 .rst_cnt(rst_cnt[1]), .inc_cnt(inc_cnt[1]), .cnt_data(cnt_data_1));
286
287niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt2 (
288 .clk(clk), .reset_l(reset_l),
289 .rst_cnt(rst_cnt[2]), .inc_cnt(inc_cnt[2]), .cnt_data(cnt_data_2));
290
291niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt3 (
292 .clk(clk), .reset_l(reset_l),
293 .rst_cnt(rst_cnt[3]), .inc_cnt(inc_cnt[3]), .cnt_data(cnt_data_3));
294
295niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt4 (
296 .clk(clk), .reset_l(reset_l),
297 .rst_cnt(rst_cnt[4]), .inc_cnt(inc_cnt[4]), .cnt_data(cnt_data_4));
298
299niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt5 (
300 .clk(clk), .reset_l(reset_l),
301 .rst_cnt(rst_cnt[5]), .inc_cnt(inc_cnt[5]), .cnt_data(cnt_data_5));
302
303niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt6 (
304 .clk(clk), .reset_l(reset_l),
305 .rst_cnt(rst_cnt[6]), .inc_cnt(inc_cnt[6]), .cnt_data(cnt_data_6));
306
307niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt7 (
308 .clk(clk), .reset_l(reset_l),
309 .rst_cnt(rst_cnt[7]), .inc_cnt(inc_cnt[7]), .cnt_data(cnt_data_7));
310
311niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt8 (
312 .clk(clk), .reset_l(reset_l),
313 .rst_cnt(rst_cnt[8]), .inc_cnt(inc_cnt[8]), .cnt_data(cnt_data_8));
314
315niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt9 (
316 .clk(clk), .reset_l(reset_l),
317 .rst_cnt(rst_cnt[9]), .inc_cnt(inc_cnt[9]), .cnt_data(cnt_data_9));
318
319niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt10 (
320 .clk(clk), .reset_l(reset_l),
321 .rst_cnt(rst_cnt[10]), .inc_cnt(inc_cnt[10]), .cnt_data(cnt_data_10));
322
323niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt11 (
324 .clk(clk), .reset_l(reset_l),
325 .rst_cnt(rst_cnt[11]), .inc_cnt(inc_cnt[11]), .cnt_data(cnt_data_11));
326
327niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt12 (
328 .clk(clk), .reset_l(reset_l),
329 .rst_cnt(rst_cnt[12]), .inc_cnt(inc_cnt[12]), .cnt_data(cnt_data_12));
330
331niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt13 (
332 .clk(clk), .reset_l(reset_l),
333 .rst_cnt(rst_cnt[13]), .inc_cnt(inc_cnt[13]), .cnt_data(cnt_data_13));
334
335niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt14 (
336 .clk(clk), .reset_l(reset_l),
337 .rst_cnt(rst_cnt[14]), .inc_cnt(inc_cnt[14]), .cnt_data(cnt_data_14));
338
339niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt15 (
340 .clk(clk), .reset_l(reset_l),
341 .rst_cnt(rst_cnt[15]), .inc_cnt(inc_cnt[15]), .cnt_data(cnt_data_15));
342
343niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt16 (
344 .clk(clk), .reset_l(reset_l),
345 .rst_cnt(rst_cnt[16]), .inc_cnt(inc_cnt[16]), .cnt_data(cnt_data_16));
346
347niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt17 (
348 .clk(clk), .reset_l(reset_l),
349 .rst_cnt(rst_cnt[17]), .inc_cnt(inc_cnt[17]), .cnt_data(cnt_data_17));
350
351niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt18 (
352 .clk(clk), .reset_l(reset_l),
353 .rst_cnt(rst_cnt[18]), .inc_cnt(inc_cnt[18]), .cnt_data(cnt_data_18));
354
355niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt19 (
356 .clk(clk), .reset_l(reset_l),
357 .rst_cnt(rst_cnt[19]), .inc_cnt(inc_cnt[19]), .cnt_data(cnt_data_19));
358
359niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt20 (
360 .clk(clk), .reset_l(reset_l),
361 .rst_cnt(rst_cnt[20]), .inc_cnt(inc_cnt[20]), .cnt_data(cnt_data_20));
362
363niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt21 (
364 .clk(clk), .reset_l(reset_l),
365 .rst_cnt(rst_cnt[21]), .inc_cnt(inc_cnt[21]), .cnt_data(cnt_data_21));
366
367niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt22 (
368 .clk(clk), .reset_l(reset_l),
369 .rst_cnt(rst_cnt[22]), .inc_cnt(inc_cnt[22]), .cnt_data(cnt_data_22));
370
371niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt23 (
372 .clk(clk), .reset_l(reset_l),
373 .rst_cnt(rst_cnt[23]), .inc_cnt(inc_cnt[23]), .cnt_data(cnt_data_23));
374
375niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt24 (
376 .clk(clk), .reset_l(reset_l),
377 .rst_cnt(rst_cnt[24]), .inc_cnt(inc_cnt[24]), .cnt_data(cnt_data_24));
378
379niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt25 (
380 .clk(clk), .reset_l(reset_l),
381 .rst_cnt(rst_cnt[25]), .inc_cnt(inc_cnt[25]), .cnt_data(cnt_data_25));
382
383niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt26 (
384 .clk(clk), .reset_l(reset_l),
385 .rst_cnt(rst_cnt[26]), .inc_cnt(inc_cnt[26]), .cnt_data(cnt_data_26));
386
387niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt27 (
388 .clk(clk), .reset_l(reset_l),
389 .rst_cnt(rst_cnt[27]), .inc_cnt(inc_cnt[27]), .cnt_data(cnt_data_27));
390
391niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt28 (
392 .clk(clk), .reset_l(reset_l),
393 .rst_cnt(rst_cnt[28]), .inc_cnt(inc_cnt[28]), .cnt_data(cnt_data_28));
394
395niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt29 (
396 .clk(clk), .reset_l(reset_l),
397 .rst_cnt(rst_cnt[29]), .inc_cnt(inc_cnt[29]), .cnt_data(cnt_data_29));
398
399niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt30 (
400 .clk(clk), .reset_l(reset_l),
401 .rst_cnt(rst_cnt[30]), .inc_cnt(inc_cnt[30]), .cnt_data(cnt_data_30));
402
403niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt31 (
404 .clk(clk), .reset_l(reset_l),
405 .rst_cnt(rst_cnt[31]), .inc_cnt(inc_cnt[31]), .cnt_data(cnt_data_31));
406
407niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt32 (
408 .clk(clk), .reset_l(reset_l),
409 .rst_cnt(rst_cnt[32]), .inc_cnt(inc_cnt[32]), .cnt_data(cnt_data_32));
410
411niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt33 (
412 .clk(clk), .reset_l(reset_l),
413 .rst_cnt(rst_cnt[33]), .inc_cnt(inc_cnt[33]), .cnt_data(cnt_data_33));
414
415niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt34 (
416 .clk(clk), .reset_l(reset_l),
417 .rst_cnt(rst_cnt[34]), .inc_cnt(inc_cnt[34]), .cnt_data(cnt_data_34));
418
419niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt35 (
420 .clk(clk), .reset_l(reset_l),
421 .rst_cnt(rst_cnt[35]), .inc_cnt(inc_cnt[35]), .cnt_data(cnt_data_35));
422
423niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt36 (
424 .clk(clk), .reset_l(reset_l),
425 .rst_cnt(rst_cnt[36]), .inc_cnt(inc_cnt[36]), .cnt_data(cnt_data_36));
426
427niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt37 (
428 .clk(clk), .reset_l(reset_l),
429 .rst_cnt(rst_cnt[37]), .inc_cnt(inc_cnt[37]), .cnt_data(cnt_data_37));
430
431niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt38 (
432 .clk(clk), .reset_l(reset_l),
433 .rst_cnt(rst_cnt[38]), .inc_cnt(inc_cnt[38]), .cnt_data(cnt_data_38));
434
435niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt39 (
436 .clk(clk), .reset_l(reset_l),
437 .rst_cnt(rst_cnt[39]), .inc_cnt(inc_cnt[39]), .cnt_data(cnt_data_39));
438
439niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt40 (
440 .clk(clk), .reset_l(reset_l),
441 .rst_cnt(rst_cnt[40]), .inc_cnt(inc_cnt[40]), .cnt_data(cnt_data_40));
442
443niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt41 (
444 .clk(clk), .reset_l(reset_l),
445 .rst_cnt(rst_cnt[41]), .inc_cnt(inc_cnt[41]), .cnt_data(cnt_data_41));
446
447niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt42 (
448 .clk(clk), .reset_l(reset_l),
449 .rst_cnt(rst_cnt[42]), .inc_cnt(inc_cnt[42]), .cnt_data(cnt_data_42));
450
451niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt43 (
452 .clk(clk), .reset_l(reset_l),
453 .rst_cnt(rst_cnt[43]), .inc_cnt(inc_cnt[43]), .cnt_data(cnt_data_43));
454
455niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt44 (
456 .clk(clk), .reset_l(reset_l),
457 .rst_cnt(rst_cnt[44]), .inc_cnt(inc_cnt[44]), .cnt_data(cnt_data_44));
458
459niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt45 (
460 .clk(clk), .reset_l(reset_l),
461 .rst_cnt(rst_cnt[45]), .inc_cnt(inc_cnt[45]), .cnt_data(cnt_data_45));
462
463niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt46 (
464 .clk(clk), .reset_l(reset_l),
465 .rst_cnt(rst_cnt[46]), .inc_cnt(inc_cnt[46]), .cnt_data(cnt_data_46));
466
467niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt47 (
468 .clk(clk), .reset_l(reset_l),
469 .rst_cnt(rst_cnt[47]), .inc_cnt(inc_cnt[47]), .cnt_data(cnt_data_47));
470
471niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt48 (
472 .clk(clk), .reset_l(reset_l),
473 .rst_cnt(rst_cnt[48]), .inc_cnt(inc_cnt[48]), .cnt_data(cnt_data_48));
474
475niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt49 (
476 .clk(clk), .reset_l(reset_l),
477 .rst_cnt(rst_cnt[49]), .inc_cnt(inc_cnt[49]), .cnt_data(cnt_data_49));
478
479niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt50 (
480 .clk(clk), .reset_l(reset_l),
481 .rst_cnt(rst_cnt[50]), .inc_cnt(inc_cnt[50]), .cnt_data(cnt_data_50));
482
483niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt51 (
484 .clk(clk), .reset_l(reset_l),
485 .rst_cnt(rst_cnt[51]), .inc_cnt(inc_cnt[51]), .cnt_data(cnt_data_51));
486
487niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt52 (
488 .clk(clk), .reset_l(reset_l),
489 .rst_cnt(rst_cnt[52]), .inc_cnt(inc_cnt[52]), .cnt_data(cnt_data_52));
490
491niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt53 (
492 .clk(clk), .reset_l(reset_l),
493 .rst_cnt(rst_cnt[53]), .inc_cnt(inc_cnt[53]), .cnt_data(cnt_data_53));
494
495niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt54 (
496 .clk(clk), .reset_l(reset_l),
497 .rst_cnt(rst_cnt[54]), .inc_cnt(inc_cnt[54]), .cnt_data(cnt_data_54));
498
499niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt55 (
500 .clk(clk), .reset_l(reset_l),
501 .rst_cnt(rst_cnt[55]), .inc_cnt(inc_cnt[55]), .cnt_data(cnt_data_55));
502
503niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt56 (
504 .clk(clk), .reset_l(reset_l),
505 .rst_cnt(rst_cnt[56]), .inc_cnt(inc_cnt[56]), .cnt_data(cnt_data_56));
506
507niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt57 (
508 .clk(clk), .reset_l(reset_l),
509 .rst_cnt(rst_cnt[57]), .inc_cnt(inc_cnt[57]), .cnt_data(cnt_data_57));
510
511niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt58 (
512 .clk(clk), .reset_l(reset_l),
513 .rst_cnt(rst_cnt[58]), .inc_cnt(inc_cnt[58]), .cnt_data(cnt_data_58));
514
515niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt59 (
516 .clk(clk), .reset_l(reset_l),
517 .rst_cnt(rst_cnt[59]), .inc_cnt(inc_cnt[59]), .cnt_data(cnt_data_59));
518
519niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt60 (
520 .clk(clk), .reset_l(reset_l),
521 .rst_cnt(rst_cnt[60]), .inc_cnt(inc_cnt[60]), .cnt_data(cnt_data_60));
522
523niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt61 (
524 .clk(clk), .reset_l(reset_l),
525 .rst_cnt(rst_cnt[61]), .inc_cnt(inc_cnt[61]), .cnt_data(cnt_data_61));
526
527niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt62 (
528 .clk(clk), .reset_l(reset_l),
529 .rst_cnt(rst_cnt[62]), .inc_cnt(inc_cnt[62]), .cnt_data(cnt_data_62));
530
531niu_smx_resp_rcvcnt #(DATA_WIDTH) rcvcnt63 (
532 .clk(clk), .reset_l(reset_l),
533 .rst_cnt(rst_cnt[63]), .inc_cnt(inc_cnt[63]), .cnt_data(cnt_data_63));
534
535endmodule
536