Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / spc / gkt / rtl / gkt_leg_ctl.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: gkt_leg_ctl.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module gkt_leg_ctl (
36 instr,
37 legal,
38 flip_parity);
39wire [31:0] i;
40wire odd_parity;
41
42
43input [31:0] instr;
44
45output legal;
46output flip_parity;
47
48
49gkt_leg_ctl_spare_ctl_macro__flops_0__num_2 spares (
50);
51
52
53assign i[31:0] = instr[31:0];
54
55assign odd_parity = i[31] ^ i[30] ^ i[24] ^ i[23] ^ i[22];
56
57assign flip_parity = ~legal & odd_parity;
58
59// --- autogenerated by n2decode view=full Wed Aug 10 12:06:49 CDT 2005
60
61
62assign legal = (!i[30]&!i[29]&!i[28]&!i[27]&!i[26]&!i[25]&!i[23]&i[22]&!i[20]
63 &!i[19]&!i[18]&i[17]&i[16]&i[15]&i[14]&!i[12]&!i[11]&!i[10]&!i[9]
64 &!i[8]&!i[7]&!i[6]&!i[5]&!i[4]&!i[3]&!i[2]&!i[1]&!i[0]) | (!i[30]
65 &!i[29]&!i[28]&!i[27]&!i[26]&!i[25]&i[24]&!i[23]&!i[21]&!i[18]&!i[17]
66 &!i[16]&!i[15]&!i[14]&!i[13]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]
67 &!i[6]&!i[5]&!i[4]&!i[3]&!i[2]&!i[1]&!i[0]) | (!i[30]&!i[29]&!i[28]
68 &!i[27]&i[23]&!i[22]&!i[21]&i[19]&!i[18]&!i[17]&!i[16]&!i[15]&!i[14]
69 &!i[13]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]&!i[4]&!i[3]
70 &!i[2]&!i[1]&!i[0]) | (i[31]&!i[30]&!i[29]&!i[28]&!i[27]&!i[26]&i[20]
71 &!i[19]&!i[18]&!i[17]&!i[16]&!i[15]&!i[14]&!i[13]&!i[12]&!i[11]&!i[10]
72 &!i[9]&!i[8]&!i[7]&!i[6]&!i[5]&!i[4]&!i[3]&!i[2]&!i[1]&!i[0]) | (
73 !i[30]&!i[29]&!i[28]&!i[26]&i[23]&!i[22]&!i[21]&i[19]&!i[18]&!i[17]
74 &!i[16]&!i[15]&!i[14]&!i[13]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]
75 &!i[6]&!i[5]&!i[4]&!i[3]&!i[2]&!i[1]&!i[0]) | (!i[30]&!i[23]&i[22]
76 &!i[20]&!i[18]&!i[17]&i[16]&!i[15]&!i[13]&!i[12]&!i[11]&!i[10]&!i[9]
77 &!i[8]&!i[7]&!i[6]&!i[5]&!i[4]&!i[3]&!i[2]&!i[1]&!i[0]) | (!i[30]
78 &!i[29]&!i[28]&!i[27]&!i[26]&!i[25]&!i[23]&i[22]&!i[20]&!i[19]&!i[18]
79 &i[17]&i[16]&i[15]&i[14]&i[13]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]) | (
80 !i[30]&!i[23]&i[22]&!i[20]&i[18]&!i[17]&i[16]&i[15]&!i[13]&!i[12]
81 &!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]&!i[4]&!i[3]&!i[2]&!i[1]
82 &!i[0]) | (!i[30]&!i[23]&i[22]&!i[20]&!i[17]&!i[16]&i[15]&i[14]&!i[13]
83 &!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]&!i[4]&!i[3]&!i[2]
84 &!i[1]&!i[0]) | (!i[30]&!i[23]&i[22]&!i[20]&i[18]&!i[16]&!i[15]&!i[13]
85 &!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]&!i[4]&!i[3]&!i[2]
86 &!i[1]&!i[0]) | (!i[30]&!i[23]&i[22]&!i[20]&!i[18]&!i[17]&!i[14]
87 &!i[13]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]&!i[4]&!i[3]
88 &!i[2]&!i[1]&!i[0]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]
89 &!i[18]&!i[17]&!i[16]&!i[15]&!i[14]&!i[13]&!i[12]&i[10]&i[9]&i[8]
90 &!i[6]&i[5]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]
91 &!i[12]&i[11]&i[10]&i[7]&!i[6]&!i[4]&!i[3]&!i[2]&!i[1]&!i[0]) | (
92 !i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[18]&!i[17]&!i[16]
93 &!i[15]&!i[14]&!i[13]&!i[12]&i[11]&!i[9]&i[8]&i[7]&!i[6]) | (i[24]
94 &i[23]&!i[22]&i[21]&!i[20]&!i[19]&!i[18]&!i[17]&!i[16]&!i[15]&!i[14]
95 &!i[13]&i[11]&!i[10]&!i[9]&!i[8]&i[7]&i[6]&!i[5]) | (!i[30]&i[24]
96 &i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]&!i[12]&i[11]&i[10]&!i[7]&i[6]
97 &!i[4]&!i[3]&!i[2]&!i[1]&!i[0]) | (i[24]&i[23]&!i[22]&i[21]&!i[20]
98 &!i[19]&!i[18]&!i[17]&!i[16]&!i[15]&!i[14]&!i[13]&i[11]&!i[10]&!i[9]
99 &i[8]&!i[7]&!i[6]&i[5]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]
100 &!i[19]&!i[18]&!i[17]&!i[16]&!i[15]&!i[14]&!i[13]&!i[12]&i[11]&i[10]
101 &!i[8]&i[6]) | (!i[28]&!i[26]&!i[25]&!i[23]&i[22]&i[21]&!i[20]&i[19]
102 &!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (!i[29]&i[28]
103 &i[27]&i[26]&i[25]&i[23]&!i[22]&!i[21]&!i[20]&!i[19]&!i[18]&!i[17]
104 &!i[16]&!i[15]&!i[14]&i[13]) | (!i[30]&!i[23]&i[22]&!i[20]&i[19]
105 &!i[13]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]&!i[4]&!i[3]
106 &!i[2]&!i[1]&!i[0]) | (!i[30]&i[24]&!i[23]&!i[21]&i[20]&!i[19]&!i[13]
107 &!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]&!i[4]&!i[3]&!i[2]
108 &!i[1]&!i[0]) | (i[24]&i[23]&!i[22]&i[21]&!i[20]&!i[19]&!i[18]&!i[17]
109 &!i[16]&!i[15]&!i[14]&!i[13]&!i[12]&!i[10]&!i[9]&!i[8]&i[6]&!i[5]) | (
110 i[24]&i[23]&!i[22]&i[21]&!i[20]&!i[19]&!i[18]&!i[17]&!i[16]&!i[15]
111 &!i[14]&!i[13]&!i[12]&!i[10]&!i[9]&!i[8]&!i[6]&i[5]) | (!i[30]&i[24]
112 &!i[23]&i[21]&!i[19]&!i[18]&!i[17]&!i[16]&!i[15]&!i[14]&!i[12]&!i[11]
113 &!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (i[24]&i[23]&!i[22]&i[21]
114 &!i[20]&!i[19]&!i[18]&!i[17]&!i[16]&!i[15]&!i[14]&!i[13]&!i[12]&!i[11]
115 &!i[9]&i[8]&!i[7]&i[6]&!i[5]) | (i[31]&!i[30]&!i[29]&!i[28]&!i[27]
116 &!i[26]&!i[25]&i[24]&i[23]&i[22]&!i[21]&!i[12]&!i[11]&!i[10]&!i[9]
117 &!i[8]&!i[7]&!i[6]&!i[5]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]
118 &!i[19]&!i[18]&!i[17]&!i[16]&!i[15]&!i[14]&!i[13]&!i[12]&i[10]&i[9]
119 &i[8]&!i[7]) | (i[24]&i[23]&!i[22]&i[21]&!i[20]&!i[19]&!i[18]&!i[17]
120 &!i[16]&!i[15]&!i[14]&!i[13]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&i[6]
121 &!i[5]) | (i[24]&i[23]&!i[22]&i[21]&!i[20]&!i[19]&!i[18]&!i[17]&!i[16]
122 &!i[15]&!i[14]&!i[13]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&i[5]) | (
123 !i[28]&!i[27]&i[26]&i[25]&i[23]&!i[22]&!i[21]&!i[20]&!i[19]&!i[12]
124 &!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (!i[29]&!i[28]&!i[27]
125 &!i[26]&i[24]&!i[23]&!i[22]&!i[20]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]
126 &!i[7]&!i[6]&!i[5]) | (!i[28]&!i[27]&!i[23]&i[22]&i[21]&!i[20]&i[19]
127 &!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (i[29]&!i[28]
128 &i[27]&i[23]&!i[22]&!i[21]&!i[20]&!i[19]&!i[12]&!i[11]&!i[10]&!i[9]
129 &!i[8]&!i[7]&!i[6]&!i[5]) | (i[29]&!i[27]&!i[26]&i[23]&!i[22]&!i[21]
130 &!i[20]&!i[19]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (
131 !i[30]&!i[29]&!i[28]&!i[25]&i[23]&!i[22]&!i[21]&!i[19]&!i[12]&!i[11]
132 &!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (i[30]&!i[25]&!i[24]&i[19]
133 &!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (i[29]&!i[23]
134 &i[22]&i[21]&!i[20]&i[19]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]
135 &!i[5]) | (i[30]&!i[24]&!i[21]&!i[19]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]
136 &!i[7]&!i[6]&!i[5]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]
137 &!i[13]&!i[12]&!i[11]&i[10]&i[8]&i[6]&!i[5]) | (!i[30]&i[23]&!i[22]
138 &i[21]&!i[20]&i[19]&!i[18]&i[13]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&i[6]
139 &!i[5]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&!i[19]&!i[13]&!i[12]&i[11]
140 &i[10]&!i[9]&i[8]&!i[7]&!i[6]&i[5]) | (!i[30]&i[23]&!i[22]&i[21]
141 &!i[20]&i[19]&!i[18]&i[13]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&i[5]) | (
142 !i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]&!i[12]&!i[10]
143 &i[9]&!i[8]&!i[6]&!i[5]) | (i[30]&!i[28]&!i[26]&!i[25]&i[23]&i[22]
144 &i[21]&!i[20]&i[19]) | (i[30]&!i[28]&!i[26]&!i[25]&i[22]&i[21]&!i[20]
145 &i[19]&i[13]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]
146 &!i[12]&!i[11]&!i[10]&i[8]&!i[7]&!i[6]) | (!i[30]&i[24]&i[23]&!i[22]
147 &i[21]&i[20]&!i[19]&!i[13]&!i[12]&i[10]&i[9]&!i[8]&i[7]&i[6]) | (
148 !i[30]&i[24]&!i[23]&i[22]&i[21]&!i[19]&!i[18]&!i[17]&!i[16]&!i[15]
149 &!i[14]&i[13]) | (i[31]&!i[30]&!i[29]&!i[28]&!i[27]&!i[26]&!i[25]
150 &i[24]&i[23]&i[22]&!i[21]&i[19]&i[13]) | (i[24]&!i[23]&!i[22]&i[20]
151 &i[19]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (!i[30]
152 &i[24]&!i[23]&!i[22]&i[13]&i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]) | (
153 i[30]&i[24]&i[23]&i[22]&i[21]&!i[19]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]
154 &!i[7]&!i[6]&!i[5]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&!i[20]&i[19]
155 &!i[18]&!i[13]&!i[10]&!i[9]&!i[8]&!i[7]&i[6]&!i[5]) | (i[31]&!i[30]
156 &!i[24]&!i[19]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (
157 !i[30]&i[24]&i[23]&!i[22]&i[21]&!i[20]&i[19]&!i[18]&!i[13]&!i[10]
158 &!i[9]&!i[8]&!i[7]&!i[6]&i[5]) | (!i[28]&!i[27]&i[26]&i[25]&i[23]
159 &!i[22]&!i[21]&!i[20]&!i[19]&i[13]) | (!i[30]&i[24]&i[23]&!i[22]
160 &i[21]&i[20]&!i[19]&!i[13]&!i[12]&!i[11]&i[9]&i[8]&!i[7]&!i[6]) | (
161 i[30]&!i[29]&!i[28]&!i[27]&!i[26]&!i[23]&!i[22]&!i[20]&i[13]) | (
162 !i[30]&i[23]&!i[22]&!i[21]&i[20]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]
163 &!i[7]&!i[6]&!i[5]) | (i[31]&!i[24]&!i[23]&i[22]&i[19]&!i[12]&!i[11]
164 &!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (i[31]&!i[30]&!i[29]&i[23]
165 &!i[21]&i[20]&!i[19]&i[13]&!i[11]&!i[10]&!i[9]&!i[8]) | (i[31]&!i[30]
166 &!i[24]&i[20]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (
167 !i[30]&i[24]&!i[23]&!i[22]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]
168 &!i[6]&!i[5]) | (i[24]&!i[23]&!i[22]&!i[20]&!i[19]&!i[12]&!i[11]
169 &!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (i[30]&!i[28]&!i[27]&i[23]
170 &i[22]&i[21]&!i[20]&i[19]) | (i[29]&!i[28]&i[27]&i[23]&!i[22]&!i[21]
171 &!i[20]&!i[19]&i[13]) | (i[29]&!i[27]&!i[26]&i[23]&!i[22]&!i[21]
172 &!i[20]&!i[19]&i[13]) | (i[30]&!i[28]&!i[27]&i[22]&i[21]&!i[20]&i[19]
173 &i[13]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]&!i[12]
174 &!i[11]&i[10]&!i[9]&!i[5]) | (!i[29]&!i[28]&!i[25]&i[23]&!i[22]&!i[21]
175 &!i[20]&!i[19]&i[13]) | (i[31]&!i[24]&i[20]&!i[19]&!i[12]&!i[11]
176 &!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (i[31]&!i[24]&!i[22]&!i[20]
177 &!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (!i[30]&i[24]
178 &i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]&!i[12]&!i[11]&!i[10]&!i[7]
179 &!i[5]) | (!i[30]&i[24]&!i[23]&i[22]&i[21]&i[19]&i[13]&i[11]) | (
180 !i[30]&!i[23]&i[22]&i[21]&!i[20]&!i[18]&i[13]) | (!i[30]&i[24]&!i[23]
181 &i[22]&i[21]&i[19]&i[13]&i[10]) | (i[30]&!i[25]&!i[24]&i[23]&i[19]) | (
182 i[30]&i[29]&i[23]&i[22]&i[21]&!i[20]&i[19]) | (i[30]&!i[25]&!i[24]
183 &i[19]&i[13]) | (i[30]&i[29]&i[22]&i[21]&!i[20]&i[19]&i[13]) | (
184 i[30]&!i[24]&i[23]&!i[21]&!i[19]) | (i[30]&!i[24]&!i[21]&!i[19]&i[13]) | (
185 !i[30]&!i[23]&i[22]&i[21]&!i[20]&i[13]&!i[11]) | (i[30]&!i[24]&i[23]
186 &i[22]&i[19]) | (i[31]&!i[30]&!i[24]&!i[23]&i[13]) | (i[30]&!i[24]
187 &i[22]&i[19]&i[13]) | (!i[30]&i[23]&!i[22]&!i[21]&i[20]&i[13]) | (
188 i[30]&!i[24]&i[23]&!i[20]&i[19]) | (i[30]&!i[24]&i[23]&i[20]&!i[19]) | (
189 i[31]&!i[30]&i[24]&i[22]&i[21]&!i[20]&i[19]&i[13]) | (i[30]&!i[24]
190 &i[20]&!i[19]&i[13]) | (i[30]&i[24]&i[23]&i[22]&i[21]&!i[19]&!i[13]) | (
191 !i[30]&i[24]&!i[23]&!i[22]&!i[21]&i[13]) | (i[24]&!i[23]&!i[22]&!i[20]
192 &!i[19]&i[13]) | (i[31]&!i[30]&!i[24]&i[20]&i[13]) | (i[31]&!i[24]
193 &!i[22]&!i[20]&i[13]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]
194 &!i[18]&!i[17]&!i[16]&!i[15]&!i[14]&!i[13]&!i[12]&i[11]&i[10]&!i[4]
195 &!i[3]&!i[2]&!i[1]&!i[0]) | (!i[30]&!i[29]&!i[28]&!i[27]&!i[26]&!i[25]
196 &i[24]&i[23]&!i[22]&i[21]&!i[19]&!i[18]&!i[17]&!i[16]&!i[15]&!i[14]
197 &!i[13]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&i[5]&!i[4]&!i[3]) | (
198 i[24]&i[23]&!i[22]&i[21]&!i[20]&!i[19]&!i[18]&!i[17]&!i[16]&!i[15]
199 &!i[14]&!i[13]&i[12]&i[11]&!i[10]&i[9]&!i[8]&!i[7]&i[6]&!i[5]) | (
200 i[24]&i[23]&!i[22]&i[21]&!i[20]&!i[19]&!i[18]&!i[17]&!i[16]&!i[15]
201 &!i[14]&!i[13]&i[12]&i[11]&!i[10]&i[9]&!i[8]&!i[7]&!i[6]&i[5]) | (
202 i[24]&i[23]&!i[22]&i[21]&!i[20]&!i[19]&!i[18]&!i[17]&!i[16]&!i[15]
203 &!i[14]&!i[13]&!i[12]&!i[9]&i[8]&!i[7]&!i[6]&i[5]) | (i[24]&i[23]
204 &!i[22]&i[21]&!i[20]&!i[19]&!i[18]&!i[17]&!i[16]&!i[15]&!i[14]&!i[13]
205 &i[12]&!i[10]&!i[9]&i[8]&!i[7]&!i[6]&!i[5]) | (i[24]&i[23]&!i[22]
206 &i[21]&!i[20]&!i[19]&!i[18]&!i[17]&!i[16]&!i[15]&!i[14]&!i[13]&i[12]
207 &!i[10]&!i[9]&!i[8]&i[7]&!i[6]&!i[5]) | (!i[30]&!i[29]&!i[28]&!i[27]
208 &i[24]&i[23]&!i[22]&i[21]&!i[20]&i[19]&!i[13]&!i[12]&i[11]&!i[10]
209 &i[9]&!i[8]&i[6]&!i[5]) | (!i[30]&!i[29]&!i[28]&!i[27]&i[24]&i[23]
210 &!i[22]&i[21]&!i[20]&i[19]&!i[13]&!i[12]&i[11]&!i[10]&i[9]&!i[8]&!i[6]
211 &i[5]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]&!i[12]
212 &i[11]&i[10]&i[8]&i[7]&!i[6]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]
213 &i[20]&!i[19]&!i[13]&!i[12]&i[11]&i[10]&i[9]&!i[7]&i[6]) | (!i[30]
214 &i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]&!i[12]&i[11]&i[10]&!i[9]
215 &i[8]&i[7]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]
216 &!i[12]&!i[11]&i[10]&i[9]&!i[8]&i[5]) | (!i[30]&i[24]&i[23]&!i[22]
217 &i[21]&i[20]&!i[19]&!i[13]&!i[12]&i[11]&!i[10]&i[9]&!i[8]) | (!i[30]
218 &i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]&!i[12]&i[11]&i[9]&!i[8]
219 &!i[7]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]&!i[12]
220 &!i[10]&!i[9]&i[8]&!i[7]&i[6]&i[5]) | (i[24]&i[23]&!i[22]&i[21]&!i[20]
221 &!i[19]&!i[13]&!i[12]&i[11]&!i[10]&!i[9]&i[6]&!i[5]) | (!i[30]&i[24]
222 &i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]&!i[12]&i[11]&i[10]&!i[9]&i[7]
223 &!i[6]) | (i[24]&i[23]&!i[22]&i[21]&!i[20]&!i[19]&!i[13]&!i[12]&i[11]
224 &!i[10]&!i[9]&!i[6]&i[5]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&i[20]
225 &!i[19]&!i[13]&!i[12]&i[11]&i[10]&!i[8]&!i[7]&i[6]) | (!i[30]&i[24]
226 &!i[23]&i[22]&i[21]&!i[20]&!i[19]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]
227 &!i[6]&!i[5]) | (!i[30]&i[24]&!i[23]&!i[22]&i[21]&i[19]&!i[11]&!i[10]
228 &!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]
229 &i[20]&!i[19]&!i[13]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]) | (!i[30]
230 &i[24]&i[23]&!i[22]&i[21]&i[20]&!i[19]&!i[13]&!i[12]&i[11]&!i[9]&i[8]
231 &!i[6]&!i[5]) | (i[31]&!i[30]&!i[29]&i[24]&i[23]&i[22]&!i[21]&i[20]
232 &!i[19]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (i[31]&!i[30]
233 &i[24]&i[22]&i[21]&!i[20]&!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]
234 &!i[5]) | (!i[30]&i[24]&!i[23]&!i[22]&i[21]&i[20]&!i[11]&!i[10]&!i[9]
235 &!i[8]&!i[7]&!i[6]&!i[5]) | (i[31]&!i[30]&i[23]&i[22]&!i[20]&!i[19]
236 &!i[12]&!i[11]&!i[10]&!i[9]&!i[8]&!i[7]&!i[6]&!i[5]) | (!i[30]&i[24]
237 &!i[23]&i[22]&i[21]&!i[20]&!i[19]&!i[18]&!i[10]&!i[9]&!i[8]&!i[7]
238 &!i[6]&!i[5]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&!i[20]&i[19]&!i[13]
239 &i[10]&!i[9]&!i[8]&i[7]&i[6]&!i[5]) | (!i[30]&i[24]&i[23]&!i[22]
240 &i[21]&!i[20]&i[19]&!i[13]&i[10]&!i[9]&!i[8]&i[7]&!i[6]&i[5]) | (
241 !i[30]&i[24]&!i[23]&i[22]&i[21]&i[20]&i[19]&i[10]&!i[9]&!i[8]&!i[7]
242 &!i[6]&!i[5]) | (!i[30]&i[24]&i[23]&!i[22]&i[21]&!i[20]&i[19]&!i[13]
243 &i[11]&!i[9]&!i[8]&i[7]&i[6]&!i[5]) | (!i[30]&i[24]&i[23]&!i[22]
244 &i[21]&!i[20]&i[19]&!i[13]&i[11]&!i[9]&!i[8]&i[7]&!i[6]&i[5]) | (
245 !i[30]&i[24]&!i[23]&i[22]&i[21]&i[20]&i[19]&i[11]&!i[9]&!i[8]&!i[7]
246 &!i[6]&!i[5]) | (!i[31]&!i[23]&i[22]&!i[20]) | (!i[31]&i[24]&!i[23]) | (
247 !i[31]&i[23]&!i[22]) | (!i[31]&!i[28]&i[26]&!i[24]&i[23]) | (!i[31]
248 &!i[28]&i[25]&!i[24]&i[23]) | (i[30]&i[24]&!i[22]&i[20]&i[19]&i[13]) | (
249 i[30]&i[24]&i[23]&!i[22]&i[20]&i[19]) | (i[31]&!i[30]&i[23]&i[22]
250 &!i[20]&!i[19]&i[13]) | (i[30]&i[23]&!i[22]&!i[20]&!i[19]) | (!i[31]
251 &i[30]);
252
253// end autogeneration
254
255endmodule
256
257
258// Description: Spare gate macro for control blocks
259//
260// Param num controls the number of times the macro is added
261// flops=0 can be used to use only combination spare logic
262
263
264module gkt_leg_ctl_spare_ctl_macro__flops_0__num_2;
265wire spare0_buf_32x_unused;
266wire spare0_nand3_8x_unused;
267wire spare0_inv_8x_unused;
268wire spare0_aoi22_4x_unused;
269wire spare0_buf_8x_unused;
270wire spare0_oai22_4x_unused;
271wire spare0_inv_16x_unused;
272wire spare0_nand2_16x_unused;
273wire spare0_nor3_4x_unused;
274wire spare0_nand2_8x_unused;
275wire spare0_buf_16x_unused;
276wire spare0_nor2_16x_unused;
277wire spare0_inv_32x_unused;
278wire spare1_buf_32x_unused;
279wire spare1_nand3_8x_unused;
280wire spare1_inv_8x_unused;
281wire spare1_aoi22_4x_unused;
282wire spare1_buf_8x_unused;
283wire spare1_oai22_4x_unused;
284wire spare1_inv_16x_unused;
285wire spare1_nand2_16x_unused;
286wire spare1_nor3_4x_unused;
287wire spare1_nand2_8x_unused;
288wire spare1_buf_16x_unused;
289wire spare1_nor2_16x_unused;
290wire spare1_inv_32x_unused;
291
292
293cl_u1_buf_32x spare0_buf_32x (.in(1'b1),
294 .out(spare0_buf_32x_unused));
295cl_u1_nand3_8x spare0_nand3_8x (.in0(1'b1),
296 .in1(1'b1),
297 .in2(1'b1),
298 .out(spare0_nand3_8x_unused));
299cl_u1_inv_8x spare0_inv_8x (.in(1'b1),
300 .out(spare0_inv_8x_unused));
301cl_u1_aoi22_4x spare0_aoi22_4x (.in00(1'b1),
302 .in01(1'b1),
303 .in10(1'b1),
304 .in11(1'b1),
305 .out(spare0_aoi22_4x_unused));
306cl_u1_buf_8x spare0_buf_8x (.in(1'b1),
307 .out(spare0_buf_8x_unused));
308cl_u1_oai22_4x spare0_oai22_4x (.in00(1'b1),
309 .in01(1'b1),
310 .in10(1'b1),
311 .in11(1'b1),
312 .out(spare0_oai22_4x_unused));
313cl_u1_inv_16x spare0_inv_16x (.in(1'b1),
314 .out(spare0_inv_16x_unused));
315cl_u1_nand2_16x spare0_nand2_16x (.in0(1'b1),
316 .in1(1'b1),
317 .out(spare0_nand2_16x_unused));
318cl_u1_nor3_4x spare0_nor3_4x (.in0(1'b0),
319 .in1(1'b0),
320 .in2(1'b0),
321 .out(spare0_nor3_4x_unused));
322cl_u1_nand2_8x spare0_nand2_8x (.in0(1'b1),
323 .in1(1'b1),
324 .out(spare0_nand2_8x_unused));
325cl_u1_buf_16x spare0_buf_16x (.in(1'b1),
326 .out(spare0_buf_16x_unused));
327cl_u1_nor2_16x spare0_nor2_16x (.in0(1'b0),
328 .in1(1'b0),
329 .out(spare0_nor2_16x_unused));
330cl_u1_inv_32x spare0_inv_32x (.in(1'b1),
331 .out(spare0_inv_32x_unused));
332
333cl_u1_buf_32x spare1_buf_32x (.in(1'b1),
334 .out(spare1_buf_32x_unused));
335cl_u1_nand3_8x spare1_nand3_8x (.in0(1'b1),
336 .in1(1'b1),
337 .in2(1'b1),
338 .out(spare1_nand3_8x_unused));
339cl_u1_inv_8x spare1_inv_8x (.in(1'b1),
340 .out(spare1_inv_8x_unused));
341cl_u1_aoi22_4x spare1_aoi22_4x (.in00(1'b1),
342 .in01(1'b1),
343 .in10(1'b1),
344 .in11(1'b1),
345 .out(spare1_aoi22_4x_unused));
346cl_u1_buf_8x spare1_buf_8x (.in(1'b1),
347 .out(spare1_buf_8x_unused));
348cl_u1_oai22_4x spare1_oai22_4x (.in00(1'b1),
349 .in01(1'b1),
350 .in10(1'b1),
351 .in11(1'b1),
352 .out(spare1_oai22_4x_unused));
353cl_u1_inv_16x spare1_inv_16x (.in(1'b1),
354 .out(spare1_inv_16x_unused));
355cl_u1_nand2_16x spare1_nand2_16x (.in0(1'b1),
356 .in1(1'b1),
357 .out(spare1_nand2_16x_unused));
358cl_u1_nor3_4x spare1_nor3_4x (.in0(1'b0),
359 .in1(1'b0),
360 .in2(1'b0),
361 .out(spare1_nor3_4x_unused));
362cl_u1_nand2_8x spare1_nand2_8x (.in0(1'b1),
363 .in1(1'b1),
364 .out(spare1_nand2_8x_unused));
365cl_u1_buf_16x spare1_buf_16x (.in(1'b1),
366 .out(spare1_buf_16x_unused));
367cl_u1_nor2_16x spare1_nor2_16x (.in0(1'b0),
368 .in1(1'b0),
369 .out(spare1_nor2_16x_unused));
370cl_u1_inv_32x spare1_inv_32x (.in(1'b1),
371 .out(spare1_inv_32x_unused));
372
373
374
375endmodule
376