Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / libs / clk / rtl / clkgen_dmu_io.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: clkgen_dmu_io.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module clkgen_dmu_io (
36 array_wr_inhibit,
37 tcu_atpg_mode,
38 tcu_wr_inhibit,
39 l2clk,
40 aclk,
41 bclk,
42 scan_out,
43 pce_ov,
44 aclk_wmr,
45 wmr_protect,
46 wmr_,
47 por_,
48 cmp_slow_sync_en,
49 slow_cmp_sync_en,
50 tcu_clk_stop,
51 tcu_pce_ov,
52 rst_wmr_protect,
53 rst_wmr_,
54 rst_por_,
55 ccu_cmp_slow_sync_en,
56 ccu_slow_cmp_sync_en,
57 tcu_div_bypass,
58 ccu_div_ph,
59 cluster_div_en,
60 gclk,
61 cluster_arst_l,
62 clk_ext,
63 ccu_serdes_dtm,
64 tcu_aclk,
65 tcu_bclk,
66 scan_en,
67 scan_in
68);
69
70
71// **************************
72// port declaration
73// **************************
74
75// clock & test out
76output l2clk; // assume we do not need aclk, bclk outputs
77output aclk; // buffered version of aclk
78output bclk; // buffered version of bclk
79output scan_out; // unused as of today - feb 10, 05
80output aclk_wmr;
81
82// pipelined out
83output pce_ov; // pce override to l1 header
84output wmr_protect; // warm reset protect
85output wmr_; // warm reset (active low)
86output por_; // power-on-reset
87output cmp_slow_sync_en; // cmp->slow clk sync pulse
88output slow_cmp_sync_en; // slow->cmp clk sync pulse
89// output io2x_sync_en; // cmp<->io2x clk sync pulse
90// output dr_sync_en; // cmp<->dr clk sync pulse
91
92// ctrl in (for pipelining)
93output array_wr_inhibit;
94input tcu_atpg_mode;
95input tcu_wr_inhibit;
96input tcu_clk_stop;
97input tcu_pce_ov;
98input rst_wmr_protect;
99input rst_wmr_;
100input rst_por_;
101input ccu_cmp_slow_sync_en;
102input ccu_slow_cmp_sync_en;
103// input ccu_io2x_sync_en;
104// input ccu_dr_sync_en;
105
106// ctrl in (for clock gen)
107input tcu_div_bypass; // bypasses clk divider to mux in ext clk
108input ccu_div_ph; // phase signal from ccu (div/4 or div/2)
109input cluster_div_en; // if enabled, l2clk is divided down
110
111// clock & test in
112input gclk; // global clk - this is either cmp or dr
113input cluster_arst_l;
114input ccu_serdes_dtm;
115input clk_ext; // external clk muxed in for ioclk bypass
116input scan_en; // unused as of today - feb 10, 05
117input scan_in; // unused as of today - feb 10, 05
118input tcu_aclk;
119input tcu_bclk;
120
121
122// **************************
123// wire declaration
124// **************************
125wire array_wr_inhibit;
126wire tcu_atpg_mode;
127wire tcu_wr_inhibit;
128wire l2clk;
129wire aclk;
130wire bclk;
131wire scan_out;
132wire aclk_wmr;
133wire pce_ov;
134wire wmr_protect;
135wire wmr_;
136wire por_;
137wire cmp_slow_sync_en;
138wire slow_cmp_sync_en;
139// wire io2x_sync_en;
140// wire dr_sync_en;
141wire tcu_clk_stop;
142wire tcu_pce_ov;
143wire rst_wmr_protect;
144wire rst_wmr_;
145wire rst_por_;
146wire ccu_cmp_slow_sync_en;
147wire ccu_slow_cmp_sync_en;
148// wire ccu_io2x_sync_en;
149// wire ccu_dr_sync_en;
150wire tcu_div_bypass;
151wire ccu_div_ph;
152wire cluster_div_en;
153wire gclk;
154wire cluster_arst_l;
155wire clk_ext;
156wire ccu_serdes_dtm;
157wire scan_en;
158wire scan_in;
159wire tcu_aclk;
160wire tcu_bclk;
161
162wire cclk;
163
164
165// **************************
166// instantiations
167// **************************
168
169// needs a few edits to cluster header def - mahmud.hassan
170// modified custom cell name for avoiding
171// collision with sparc core and other clusters - mhassan
172n2_clk_clstr_hdr_cust xcluster_header (
173 .gclk (gclk),
174 .l2clk (l2clk),
175 .cluster_arst_l (cluster_arst_l),
176 .ccu_div_ph (ccu_div_ph),
177 .cluster_div_en (cluster_div_en),
178 .tcu_div_bypass (tcu_div_bypass),
179 // .clk_ext (clk_ext),
180 // .ccu_serdes_dtm (ccu_serdes_dtm),
181 .scan_in (scan_in),
182 .scan_en (scan_en),
183 .tcu_aclk (tcu_aclk),
184 .tcu_bclk (tcu_bclk),
185 .ccu_cmp_slow_sync_en (ccu_cmp_slow_sync_en),
186 .ccu_slow_cmp_sync_en (ccu_slow_cmp_sync_en),
187 // .ccu_io2x_sync_en (ccu_io2x_sync_en ),
188 // .ccu_dr_sync_en (ccu_dr_sync_en ),
189 .tcu_pce_ov (tcu_pce_ov),
190 .tcu_clk_stop (tcu_clk_stop),
191 .rst_por_ (rst_por_),
192 .rst_wmr_ (rst_wmr_),
193 .rst_wmr_protect (rst_wmr_protect),
194 .aclk_wmr (aclk_wmr),
195 .aclk (aclk),
196 .bclk (bclk),
197 .cmp_slow_sync_en (cmp_slow_sync_en),
198 .slow_cmp_sync_en (slow_cmp_sync_en),
199 // .io2x_sync_en (io2x_sync_en ),
200 // .dr_sync_en (dr_sync_en ),
201 .pce_ov (pce_ov),
202 .por_ (por_),
203 .wmr_ (wmr_),
204 .wmr_protect (wmr_protect),
205 .scan_out (scan_out),
206 .array_wr_inhibit (array_wr_inhibit),
207 .tcu_atpg_mode (tcu_atpg_mode),
208 .tcu_wr_inhibit (tcu_wr_inhibit),
209 .cclk (cclk)
210);
211
212
213
214// cclk -> l2clk from right
215n2_clk_dmu_io_cust xright (
216 .l2clk (l2clk),
217 .cclk (cclk)
218);
219
220
221
222// cclk -> l2clk from left
223n2_clk_dmu_io_cust xleft (
224 .l2clk (l2clk),
225 .cclk (cclk)
226);
227
228endmodule
229