Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / libs / clk / rtl / clkgen_rdp_io2x.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: clkgen_rdp_io2x.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module clkgen_rdp_io2x (
36 array_wr_inhibit,
37 tcu_atpg_mode,
38 tcu_wr_inhibit,
39 l2clk,
40 aclk,
41 bclk,
42 scan_out,
43 pce_ov,
44 aclk_wmr,
45 wmr_protect,
46 wmr_,
47 por_,
48 cmp_slow_sync_en,
49 slow_cmp_sync_en,
50 tcu_clk_stop,
51 tcu_pce_ov,
52 rst_wmr_protect,
53 rst_wmr_,
54 rst_por_,
55 ccu_cmp_slow_sync_en,
56 ccu_slow_cmp_sync_en,
57 tcu_div_bypass,
58 ccu_div_ph,
59 cluster_div_en,
60 gclk,
61 cluster_arst_l,
62 clk_ext,
63 ccu_serdes_dtm,
64 tcu_aclk,
65 tcu_bclk,
66 scan_en,
67 scan_in
68);
69
70
71// **************************
72// port declaration
73// **************************
74
75// clock & test out
76output l2clk; // assume we do not need aclk, bclk outputs
77output aclk; // buffered version of aclk
78output bclk; // buffered version of bclk
79output scan_out; // unused as of today - feb 10, 05
80output aclk_wmr;
81
82// pipelined out
83output pce_ov; // pce override to l1 header
84output wmr_protect; // warm reset protect
85output wmr_; // warm reset (active low)
86output por_; // power-on-reset
87output cmp_slow_sync_en; // cmp->slow clk sync pulse
88output slow_cmp_sync_en; // slow->cmp clk sync pulse
89// output io2x_sync_en; // cmp<->io2x clk sync pulse
90// output dr_sync_en; // cmp<->dr clk sync pulse
91
92// ctrl in (for pipelining)
93output array_wr_inhibit;
94input tcu_atpg_mode;
95input tcu_wr_inhibit;
96input tcu_clk_stop;
97input tcu_pce_ov;
98input rst_wmr_protect;
99input rst_wmr_;
100input rst_por_;
101input ccu_cmp_slow_sync_en;
102input ccu_slow_cmp_sync_en;
103// input ccu_io2x_sync_en;
104// input ccu_dr_sync_en;
105
106// ctrl in (for clock gen)
107input tcu_div_bypass; // bypasses clk divider to mux in ext clk
108input ccu_div_ph; // phase signal from ccu (div/4 or div/2)
109input cluster_div_en; // if enabled, l2clk is divided down
110
111// clock & test in
112input gclk; // global clk - this is either cmp or dr
113input cluster_arst_l;
114input ccu_serdes_dtm;
115input clk_ext; // external clk muxed in for ioclk bypass
116input scan_en; // unused as of today - feb 10, 05
117input scan_in; // unused as of today - feb 10, 05
118input tcu_aclk;
119input tcu_bclk;
120
121
122
123// **************************
124// wire declaration
125// **************************
126wire array_wr_inhibit;
127wire tcu_atpg_mode;
128wire tcu_wr_inhibit;
129wire l2clk;
130wire aclk;
131wire bclk;
132wire scan_out;
133wire aclk_wmr;
134wire pce_ov;
135wire wmr_protect;
136wire wmr_;
137wire por_;
138wire cmp_slow_sync_en;
139wire slow_cmp_sync_en;
140// wire io2x_sync_en;
141// wire dr_sync_en;
142wire tcu_clk_stop;
143wire tcu_pce_ov;
144wire rst_wmr_protect;
145wire rst_wmr_;
146wire rst_por_;
147wire ccu_cmp_slow_sync_en;
148wire ccu_slow_cmp_sync_en;
149// wire ccu_io2x_sync_en;
150// wire ccu_dr_sync_en;
151wire tcu_div_bypass;
152wire ccu_div_ph;
153wire cluster_div_en;
154wire gclk;
155wire cluster_arst_l;
156wire clk_ext;
157wire ccu_serdes_dtm;
158wire scan_en;
159wire scan_in;
160wire tcu_aclk;
161wire tcu_bclk;
162
163wire cclk;
164
165
166// **************************
167// instantiations
168// **************************
169
170// needs a few edits to cluster header def - mahmud.hassan
171// modified custom cell name for avoiding
172// collision with sparc core and other clusters - mhassan
173n2_clk_clstr_hdr_cust xcluster_header (
174 .gclk (gclk),
175 .l2clk (l2clk),
176 .cluster_arst_l (cluster_arst_l),
177 .ccu_div_ph (ccu_div_ph),
178 .cluster_div_en (cluster_div_en),
179 .tcu_div_bypass (tcu_div_bypass),
180 // .clk_ext (clk_ext),
181 // .ccu_serdes_dtm (ccu_serdes_dtm),
182 .scan_in (scan_in),
183 .scan_en (scan_en),
184 .tcu_aclk (tcu_aclk),
185 .tcu_bclk (tcu_bclk),
186 .ccu_cmp_slow_sync_en (ccu_cmp_slow_sync_en),
187 .ccu_slow_cmp_sync_en (ccu_slow_cmp_sync_en),
188 // .ccu_io2x_sync_en (ccu_io2x_sync_en ),
189 // .ccu_dr_sync_en (ccu_dr_sync_en ),
190 .tcu_pce_ov (tcu_pce_ov),
191 .tcu_clk_stop (tcu_clk_stop),
192 .rst_por_ (rst_por_),
193 .rst_wmr_ (rst_wmr_),
194 .rst_wmr_protect (rst_wmr_protect),
195 .aclk_wmr (aclk_wmr),
196 .aclk (aclk),
197 .bclk (bclk),
198 .cmp_slow_sync_en (cmp_slow_sync_en),
199 .slow_cmp_sync_en (slow_cmp_sync_en),
200 // .io2x_sync_en (io2x_sync_en ),
201 // .dr_sync_en (dr_sync_en ),
202 .pce_ov (pce_ov),
203 .por_ (por_),
204 .wmr_ (wmr_),
205 .wmr_protect (wmr_protect),
206 .scan_out (scan_out),
207 .array_wr_inhibit (array_wr_inhibit),
208 .tcu_atpg_mode (tcu_atpg_mode),
209 .tcu_wr_inhibit (tcu_wr_inhibit),
210 .cclk (cclk)
211);
212
213
214
215// cclk -> l2clk from right
216n2_clk_rdp_io2x_cust xright (
217 .l2clk (l2clk),
218 .cclk (cclk)
219);
220
221
222
223// cclk -> l2clk from left
224n2_clk_rdp_io2x_cust xleft (
225 .l2clk (l2clk),
226 .cclk (cclk)
227);
228
229endmodule
230