Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / libs / tisram / soc / n2_l2d_sp_512kb_cust_l / n2_l2d_sp_512kb_cust / rtl / n2_l2d_ctrlio_cust.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: n2_l2d_ctrlio_cust.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module n2_l2d_ctrlio_cust (
36 l2t_l2d_word_en_c2,
37 l2t_l2d_fbrd_c3,
38 l2t_l2d_col_offset_c2,
39 l2t_l2d_set_c2,
40 l2t_l2d_rd_wr_c3,
41 l2t_l2d_way_sel_c3,
42 l2t_l2d_fb_hit_c3,
43 l2clk,
44 tcu_aclk,
45 tcu_bclk,
46 tcu_scan_en,
47 tcu_pce_ov,
48 tcu_ce,
49 tcu_clk_stop,
50 array_wr_inhibit,
51 scan_in,
52 tcu_se_scancollar_in,
53 tcu_se_scancollar_out,
54 wayerr_c3,
55 l2t_l2d_pwrsav_ov_stg,
56 scan_out,
57 cache_col_offset_all_c7,
58 aclk,
59 bclk,
60 scan_en_clsrhdr,
61 l2b_l2d_fbdecc_c5,
62 l2t_l2d_stdecc_c2,
63 cache_decc_out_c5b,
64 l2d_decc_out_c6,
65 cache_decc_in_c3b_l,
66 l2d_l2t_decc_c52_mux,
67 cache_way_sel_c3_00,
68 cache_way_sel_c3_01,
69 cache_way_sel_c3_10,
70 cache_way_sel_c3_11,
71 cache_way_sel_c3_20,
72 cache_way_sel_c3_21,
73 cache_way_sel_c3_30,
74 cache_way_sel_c3_31,
75 cache_wayerr_c3_00,
76 cache_wayerr_c3_01,
77 cache_wayerr_c3_10,
78 cache_wayerr_c3_11,
79 cache_wayerr_c3_20,
80 cache_wayerr_c3_21,
81 cache_wayerr_c3_30,
82 cache_wayerr_c3_31,
83 cache_set_c3_00,
84 cache_set_c3_01,
85 cache_set_c3_10,
86 cache_set_c3_11,
87 cache_set_c3_20,
88 cache_set_c3_21,
89 cache_set_c3_30,
90 cache_set_c3_31,
91 cache_col_offset_c3_00,
92 cache_col_offset_c3_01,
93 cache_col_offset_c3_10,
94 cache_col_offset_c3_11,
95 cache_col_offset_c3_20,
96 cache_col_offset_c3_21,
97 cache_col_offset_c3_30,
98 cache_col_offset_c3_31,
99 cache_col_offset_c4_l_00,
100 cache_col_offset_c4_l_01,
101 cache_col_offset_c4_l_10,
102 cache_col_offset_c4_l_11,
103 cache_col_offset_c4_l_20,
104 cache_col_offset_c4_l_21,
105 cache_col_offset_c4_l_30,
106 cache_col_offset_c4_l_31,
107 cache_col_offset_c5_00,
108 cache_col_offset_c5_01,
109 cache_col_offset_c5_10,
110 cache_col_offset_c5_11,
111 cache_col_offset_c5_20,
112 cache_col_offset_c5_21,
113 cache_col_offset_c5_30,
114 cache_col_offset_c5_31,
115 cache_rd_wr_c3_00,
116 cache_rd_wr_c3_01,
117 cache_rd_wr_c3_10,
118 cache_rd_wr_c3_11,
119 cache_rd_wr_c3_20,
120 cache_rd_wr_c3_21,
121 cache_rd_wr_c3_30,
122 cache_rd_wr_c3_31,
123 cache_readen_c5_00,
124 cache_readen_c5_01,
125 cache_readen_c5_10,
126 cache_readen_c5_11,
127 cache_readen_c5_20,
128 cache_readen_c5_21,
129 cache_readen_c5_30,
130 cache_readen_c5_31,
131 cache_word_en_c3_00,
132 cache_word_en_c3_01,
133 cache_word_en_c3_10,
134 cache_word_en_c3_11,
135 cache_word_en_c3_20,
136 cache_word_en_c3_21,
137 cache_word_en_c3_30,
138 cache_word_en_c3_31,
139 tcu_pce_ov_00,
140 tcu_pce_ov_01,
141 tcu_pce_ov_10,
142 tcu_pce_ov_11,
143 tcu_pce_ov_20,
144 tcu_pce_ov_21,
145 tcu_pce_ov_30,
146 tcu_pce_ov_31,
147 tcu_pce_00,
148 tcu_pce_01,
149 tcu_pce_10,
150 tcu_pce_11,
151 tcu_pce_20,
152 tcu_pce_21,
153 tcu_pce_30,
154 tcu_pce_31,
155 tcu_clk_stop_00,
156 tcu_clk_stop_01,
157 tcu_clk_stop_10,
158 tcu_clk_stop_11,
159 tcu_clk_stop_20,
160 tcu_clk_stop_21,
161 tcu_clk_stop_30,
162 tcu_clk_stop_31,
163 se_00,
164 se_01,
165 se_10,
166 se_11,
167 se_20,
168 se_21,
169 se_30,
170 se_31,
171 l2b_l2d_fuse_l2d_data_in_d,
172 l2b_l2d_fuse_rid_d,
173 l2b_l2d_fuse_reset_l,
174 l2b_l2d_fuse_l2d_wren_d,
175 efc_fuse_data,
176 fuse_l2d_data_in_131,
177 fuse_l2d_rid_131,
178 fuse_l2d_wren_131,
179 fuse_l2d_reset_131_l,
180 fdout_131,
181 fuse_l2d_data_in_031,
182 fuse_l2d_rid_031,
183 fuse_l2d_wren_031,
184 fuse_l2d_reset_031_l,
185 fdout_031,
186 fuse_l2d_data_in_130,
187 fuse_l2d_rid_130,
188 fuse_l2d_wren_130,
189 fuse_l2d_reset_130_l,
190 fdout_130,
191 fuse_l2d_data_in_030,
192 fuse_l2d_rid_030,
193 fuse_l2d_wren_030,
194 fuse_l2d_reset_030_l,
195 fdout_030,
196 fuse_l2d_data_in_111,
197 fuse_l2d_rid_111,
198 fuse_l2d_wren_111,
199 fuse_l2d_reset_111_l,
200 fdout_111,
201 fuse_l2d_data_in_011,
202 fuse_l2d_rid_011,
203 fuse_l2d_wren_011,
204 fuse_l2d_reset_011_l,
205 fdout_011,
206 fuse_l2d_data_in_110,
207 fuse_l2d_rid_110,
208 fuse_l2d_wren_110,
209 fuse_l2d_reset_110_l,
210 fdout_110,
211 fuse_l2d_data_in_010,
212 fuse_l2d_rid_010,
213 fuse_l2d_wren_010,
214 fuse_l2d_reset_010_l,
215 fdout_010,
216 fuse_l2d_data_in_121,
217 fuse_l2d_rid_121,
218 fuse_l2d_wren_121,
219 fuse_l2d_reset_121_l,
220 fdout_121,
221 fuse_l2d_data_in_021,
222 fuse_l2d_rid_021,
223 fuse_l2d_wren_021,
224 fuse_l2d_reset_021_l,
225 fdout_021,
226 fuse_l2d_data_in_120,
227 fuse_l2d_rid_120,
228 fuse_l2d_wren_120,
229 fuse_l2d_reset_120_l,
230 fdout_120,
231 fuse_l2d_data_in_020,
232 fuse_l2d_rid_020,
233 fuse_l2d_wren_020,
234 fuse_l2d_reset_020_l,
235 fdout_020,
236 fuse_l2d_data_in_101,
237 fuse_l2d_rid_101,
238 fuse_l2d_wren_101,
239 fuse_l2d_reset_101_l,
240 fdout_101,
241 fuse_l2d_data_in_001,
242 fuse_l2d_rid_001,
243 fuse_l2d_wren_001,
244 fuse_l2d_reset_001_l,
245 fdout_001,
246 fuse_l2d_data_in_100,
247 fuse_l2d_rid_100,
248 fuse_l2d_wren_100,
249 fuse_l2d_reset_100_l,
250 fdout_100,
251 fuse_l2d_data_in_000,
252 fuse_l2d_rid_000,
253 fuse_l2d_wren_000,
254 fuse_l2d_reset_000_l,
255 fdout_000,
256 siclk_peri,
257 soclk_peri,
258 pce_ov_peri,
259 pce_peri,
260 scan_collarin_peri,
261 scan_collarout_peri,
262 wr_inhibit_peri,
263 clk_stop_peri,
264 wee_l_q00,
265 wee_l_q01,
266 wee_l_q10,
267 wee_l_q11,
268 wee_l_q20,
269 wee_l_q21,
270 wee_l_q30,
271 wee_l_q31,
272 delout00,
273 delout01,
274 delout10,
275 delout11,
276 delout20,
277 delout21,
278 delout30,
279 delout31);
280wire tcu_array_wr_inhibit;
281wire l1clk_in;
282wire l1clk_intnl;
283wire evit_pce_c6;
284wire l1clk_evict_c6;
285wire [8:0] ff_cache_set_c3_scanin;
286wire [8:0] ff_cache_set_c3_scanout;
287wire [8:0] cache_set_c3;
288wire [3:0] ff_cache_col_offset_c3_scanin;
289wire [3:0] ff_cache_col_offset_c3_scanout;
290wire [3:0] cache_col_offset_c3;
291wire [3:0] ff_cache_col_offset_c4_scanin;
292wire [3:0] ff_cache_col_offset_c4_scanout;
293wire [3:0] cache_col_offset_c4_muxsel;
294wire [3:0] ff_cache_col_offset_c5_muxsel_scanin;
295wire [3:0] ff_cache_col_offset_c5_muxsel_scanout;
296wire [3:0] cache_col_offset_c5_muxsel;
297wire [1:0] ff_cache_col_offset_c52_scanin;
298wire [1:0] ff_cache_col_offset_c52_scanout;
299wire [3:2] cache_col_offset_c52;
300wire cache_col_offset_c5_muxsel_3_or_1;
301wire [0:0] ff_cache_col_offset_c52_topsel_scanin;
302wire [0:0] ff_cache_col_offset_c52_topsel_scanout;
303wire cache_col_offset_c52_topsel;
304wire cache_col_offset_all_c3;
305wire [0:0] ff_cache_col_offset_all_c4_scanin;
306wire [0:0] ff_cache_col_offset_all_c4_scanout;
307wire cache_col_offset_all_c4;
308wire [0:0] ff_cache_col_offset_all_c5_scanin;
309wire [0:0] ff_cache_col_offset_all_c5_scanout;
310wire cache_col_offset_all_c5;
311wire [0:0] ff_cache_col_offset_all_c6_scanin;
312wire [0:0] ff_cache_col_offset_all_c6_scanout;
313wire cache_col_offset_all_c6;
314wire [0:0] ff_cache_col_offset_all_c7_scanin;
315wire [0:0] ff_cache_col_offset_all_c7_scanout;
316wire tcu_array_wr_inhibit_n;
317wire cache_col_offset_c3_2_tcu_array_wr_inhibit_n;
318wire cache_col_offset_c3_0_tcu_array_wr_inhibit_n;
319wire cache_col_offset_c3_3_tcu_array_wr_inhibit_n;
320wire cache_col_offset_c3_1_tcu_array_wr_inhibit_n;
321wire cache_col_offset_c4_tog_001_0_n;
322wire cache_col_offset_c4_tog_101_0_n;
323wire cache_col_offset_c4_tog_001_1_n;
324wire cache_col_offset_c4_tog_101_1_n;
325wire cache_col_offset_c4_tog_023_0_n;
326wire cache_col_offset_c4_tog_123_0_n;
327wire cache_col_offset_c4_tog_023_1_n;
328wire cache_col_offset_c4_tog_123_1_n;
329wire [1:0] cache_col_offset_c4_tog_001;
330wire [1:0] cache_col_offset_c4_tog_101;
331wire [1:0] cache_col_offset_c4_tog_023;
332wire [1:0] cache_col_offset_c4_tog_123;
333wire [3:0] cache_col_offset_c3_n;
334wire [3:0] wr_inhibit_cache_col_offset_c3_l;
335wire [3:0] wr_inhibit_cache_col_offset_c3;
336wire [1:0] cache_col_offset_c4_tog_001_n;
337wire wayerr_c3_n;
338wire [1:0] wayerr_cache_col_offset_c4_l_tog_001;
339wire [1:0] cache_col_offset_c4_tog_101_n;
340wire [1:0] wayerr_cache_col_offset_c4_l_tog_101;
341wire [1:0] cache_col_offset_c4_tog_023_n;
342wire [1:0] wayerr_cache_col_offset_c4_l_tog_023;
343wire [1:0] cache_col_offset_c4_tog_123_n;
344wire [1:0] wayerr_cache_col_offset_c4_l_tog_123;
345wire cache_col_offset_c3_top;
346wire cache_col_offset_c3_bot;
347wire [1:0] cache_col_offset_c4_tog_in_001;
348wire [1:0] cache_col_offset_c4_tog_in_101;
349wire [1:0] cache_col_offset_c4_tog_in_023;
350wire [1:0] cache_col_offset_c4_tog_in_123;
351wire [1:0] ff_cache_col_offset_c4_tog_001_scanin;
352wire [1:0] ff_cache_col_offset_c4_tog_001_scanout;
353wire [1:0] ff_cache_col_offset_c4_tog_101_scanin;
354wire [1:0] ff_cache_col_offset_c4_tog_101_scanout;
355wire [1:0] ff_cache_col_offset_c4_tog_023_scanin;
356wire [1:0] ff_cache_col_offset_c4_tog_023_scanout;
357wire [1:0] ff_cache_col_offset_c4_tog_123_scanin;
358wire [1:0] ff_cache_col_offset_c4_tog_123_scanout;
359wire [3:0] ff_cache_col_offset_c4_001_scanin;
360wire [3:0] ff_cache_col_offset_c4_001_scanout;
361wire [3:0] cache_col_offset_c4_001;
362wire [3:0] ff_cache_col_offset_c4_101_scanin;
363wire [3:0] ff_cache_col_offset_c4_101_scanout;
364wire [3:0] cache_col_offset_c4_101;
365wire [3:0] ff_cache_col_offset_c4_023_scanin;
366wire [3:0] ff_cache_col_offset_c4_023_scanout;
367wire [3:0] cache_col_offset_c4_023;
368wire [3:0] ff_cache_col_offset_c4_123_scanin;
369wire [3:0] ff_cache_col_offset_c4_123_scanout;
370wire [3:0] cache_col_offset_c4_123;
371wire [3:0] cache_col_offset_c5_001_in;
372wire [3:0] cache_col_offset_c5_101_in;
373wire [3:0] cache_col_offset_c5_023_in;
374wire [3:0] cache_col_offset_c5_123_in;
375wire [3:0] ff_cache_col_offset_c5_001_scanin;
376wire [3:0] ff_cache_col_offset_c5_001_scanout;
377wire [3:0] cache_col_offset_c5_001;
378wire [3:0] ff_cache_col_offset_c5_101_scanin;
379wire [3:0] ff_cache_col_offset_c5_101_scanout;
380wire [3:0] cache_col_offset_c5_101;
381wire [3:0] ff_cache_col_offset_c5_023_scanin;
382wire [3:0] ff_cache_col_offset_c5_023_scanout;
383wire [3:0] cache_col_offset_c5_023;
384wire [3:0] ff_cache_col_offset_c5_123_scanin;
385wire [3:0] ff_cache_col_offset_c5_123_scanout;
386wire [3:0] cache_col_offset_c5_123;
387wire cache_rd_wr_c3_generic;
388wire cache_rd_wr_c3_next_stage;
389wire [0:0] ff_cache_cache_rd_wr_c4_scanin;
390wire [0:0] ff_cache_cache_rd_wr_c4_scanout;
391wire cache_rd_wr_c4;
392wire [0:0] ff_cache_cache_rd_wr_c5_00_scanin;
393wire [0:0] ff_cache_cache_rd_wr_c5_00_scanout;
394wire cache_rd_wr_c5_00;
395wire [0:0] ff_cache_cache_rd_wr_c5_01_scanin;
396wire [0:0] ff_cache_cache_rd_wr_c5_01_scanout;
397wire cache_rd_wr_c5_01;
398wire [0:0] ff_cache_cache_rd_wr_c5_20_scanin;
399wire [0:0] ff_cache_cache_rd_wr_c5_20_scanout;
400wire cache_rd_wr_c5_20;
401wire [0:0] ff_cache_cache_rd_wr_c5_21_scanin;
402wire [0:0] ff_cache_cache_rd_wr_c5_21_scanout;
403wire cache_rd_wr_c5_21;
404wire [15:0] ff_cache_word_en_c3_scanin;
405wire [15:0] ff_cache_word_en_c3_scanout;
406wire [15:0] cache_word_en_c3;
407wire [0:0] ff_cache_sel_fbdecc_c4_scanin;
408wire [0:0] ff_cache_sel_fbdecc_c4_scanout;
409wire cache_sel_fbdecc_c4;
410wire [0:0] ff_cache_sel_fbdecc_c5_scanin;
411wire [0:0] ff_cache_sel_fbdecc_c5_scanout;
412wire cache_sel_fbdecc_c5;
413wire cache_sel_fbdecc_c5_n;
414wire [77:0] ff_l2t_l2d_stdecc_c3_scanin;
415wire [77:0] ff_l2t_l2d_stdecc_c3_scanout;
416wire [77:0] l2t_l2d_stdecc_c3;
417wire [0:0] ff_cache_fb_hit_c4_scanin;
418wire [0:0] ff_cache_fb_hit_c4_scanout;
419wire cache_fb_hit_c4;
420wire [0:0] ff_cache_fb_hit_c5_scanin;
421wire [0:0] ff_cache_fb_hit_c5_scanout;
422wire cache_fb_hit_c5;
423wire [0:0] ff_cache_fb_hit_c52_scanin;
424wire [0:0] ff_cache_fb_hit_c52_scanout;
425wire cache_fb_hit_c52;
426wire cache_fb_hit_c52_n;
427wire [38:0] ff_l2b_l2d_fbdecc_c52_lo0_1_scanin;
428wire [38:0] ff_l2b_l2d_fbdecc_c52_lo0_1_scanout;
429wire [38:0] ff_l2b_l2d_fbdecc_c52_lo0_2_scanin;
430wire [38:0] ff_l2b_l2d_fbdecc_c52_lo0_2_scanout;
431wire [38:0] ff_l2b_l2d_fbdecc_c52_hi0_1_scanin;
432wire [38:0] ff_l2b_l2d_fbdecc_c52_hi0_1_scanout;
433wire [38:0] ff_l2b_l2d_fbdecc_c52_hi0_2_scanin;
434wire [38:0] ff_l2b_l2d_fbdecc_c52_hi0_2_scanout;
435wire [38:0] ff_l2b_l2d_fbdecc_c52_lo0_3_scanin;
436wire [38:0] ff_l2b_l2d_fbdecc_c52_lo0_3_scanout;
437wire [38:0] ff_l2b_l2d_fbdecc_c52_lo0_4_scanin;
438wire [38:0] ff_l2b_l2d_fbdecc_c52_lo0_4_scanout;
439wire [38:0] ff_l2b_l2d_fbdecc_c52_hi0_3_scanin;
440wire [38:0] ff_l2b_l2d_fbdecc_c52_hi0_3_scanout;
441wire [38:0] ff_l2b_l2d_fbdecc_c52_hi0_4_scanin;
442wire [38:0] ff_l2b_l2d_fbdecc_c52_hi0_4_scanout;
443wire [38:0] ff_l2b_l2d_fbdecc_c52_lo1_1_scanin;
444wire [38:0] ff_l2b_l2d_fbdecc_c52_lo1_1_scanout;
445wire [38:0] ff_l2b_l2d_fbdecc_c52_lo1_2_scanin;
446wire [38:0] ff_l2b_l2d_fbdecc_c52_lo1_2_scanout;
447wire [38:0] ff_l2b_l2d_fbdecc_c52_hi1_1_scanin;
448wire [38:0] ff_l2b_l2d_fbdecc_c52_hi1_1_scanout;
449wire [38:0] ff_l2b_l2d_fbdecc_c52_hi1_2_scanin;
450wire [38:0] ff_l2b_l2d_fbdecc_c52_hi1_2_scanout;
451wire [38:0] ff_l2b_l2d_fbdecc_c52_lo1_3_scanin;
452wire [38:0] ff_l2b_l2d_fbdecc_c52_lo1_3_scanout;
453wire [38:0] ff_l2b_l2d_fbdecc_c52_lo1_4_scanin;
454wire [38:0] ff_l2b_l2d_fbdecc_c52_lo1_4_scanout;
455wire [38:0] ff_l2b_l2d_fbdecc_c52_hi1_3_scanin;
456wire [38:0] ff_l2b_l2d_fbdecc_c52_hi1_3_scanout;
457wire [38:0] ff_l2b_l2d_fbdecc_c52_hi1_4_scanin;
458wire [38:0] ff_l2b_l2d_fbdecc_c52_hi1_4_scanout;
459wire [38:0] ff_l2d_decc_out_c6_lo0_1_scanin;
460wire [38:0] ff_l2d_decc_out_c6_lo0_1_scanout;
461wire [155:0] l2d_l2t_decc_c52_0;
462wire [38:0] ff_l2d_decc_out_c6_lo0_2_scanin;
463wire [38:0] ff_l2d_decc_out_c6_lo0_2_scanout;
464wire [38:0] ff_l2d_decc_out_c6_hi0_1_scanin;
465wire [38:0] ff_l2d_decc_out_c6_hi0_1_scanout;
466wire [38:0] ff_l2d_decc_out_c6_hi0_2_scanin;
467wire [38:0] ff_l2d_decc_out_c6_hi0_2_scanout;
468wire [38:0] ff_l2d_decc_out_c6_lo0_3_scanin;
469wire [38:0] ff_l2d_decc_out_c6_lo0_3_scanout;
470wire [155:0] l2d_l2t_decc_c52_1;
471wire [38:0] ff_l2d_decc_out_c6_lo0_4_scanin;
472wire [38:0] ff_l2d_decc_out_c6_lo0_4_scanout;
473wire [38:0] ff_l2d_decc_out_c6_hi0_3_scanin;
474wire [38:0] ff_l2d_decc_out_c6_hi0_3_scanout;
475wire [38:0] ff_l2d_decc_out_c6_hi0_4_scanin;
476wire [38:0] ff_l2d_decc_out_c6_hi0_4_scanout;
477wire [38:0] ff_l2d_decc_out_c6_lo1_1_scanin;
478wire [38:0] ff_l2d_decc_out_c6_lo1_1_scanout;
479wire [155:0] l2d_l2t_decc_c52_2;
480wire [38:0] ff_l2d_decc_out_c6_lo1_2_scanin;
481wire [38:0] ff_l2d_decc_out_c6_lo1_2_scanout;
482wire [38:0] ff_l2d_decc_out_c6_hi1_1_scanin;
483wire [38:0] ff_l2d_decc_out_c6_hi1_1_scanout;
484wire [38:0] ff_l2d_decc_out_c6_hi1_2_scanin;
485wire [38:0] ff_l2d_decc_out_c6_hi1_2_scanout;
486wire [38:0] ff_l2d_decc_out_c6_lo1_3_scanin;
487wire [38:0] ff_l2d_decc_out_c6_lo1_3_scanout;
488wire [155:0] l2d_l2t_decc_c52_3;
489wire [38:0] ff_l2d_decc_out_c6_lo1_4_scanin;
490wire [38:0] ff_l2d_decc_out_c6_lo1_4_scanout;
491wire [38:0] ff_l2d_decc_out_c6_hi1_3_scanin;
492wire [38:0] ff_l2d_decc_out_c6_hi1_3_scanout;
493wire [38:0] ff_l2d_decc_out_c6_hi1_4_scanin;
494wire [38:0] ff_l2d_decc_out_c6_hi1_4_scanout;
495wire [155:0] cache_decc_out_0_c52;
496wire [155:0] cache_decc_out_1_c52;
497wire [155:0] cache_decc_out_2_c52;
498wire [155:0] cache_decc_out_3_c52;
499wire [623:0] cache_decc_out_c52;
500wire cache_rd_wr_c3;
501wire [155:0] l2d_l2t_decc_c52_20;
502wire [155:0] l2d_l2t_decc_c52_31;
503wire itis0000;
504wire itis0001;
505wire itis0010;
506wire itis0011;
507wire itis0100;
508wire itis0101;
509wire itis0110;
510wire itis0111;
511wire itis1000;
512wire itis1001;
513wire itis1010;
514wire itis1011;
515wire itis1100;
516wire itis1101;
517wire itis1110;
518wire itis1111;
519wire l2b_l2d_fuse_rid_d_0n;
520wire or_fuse_l2d_rid_131;
521wire stage1_mux_sel0;
522wire or_fuse_l2d_rid_121;
523wire stage1_mux_sel1;
524wire stage1_mux_sel2;
525wire [9:0] fdout_stage1;
526wire or_fuse_l2d_rid_031;
527wire stage2_mux_sel0;
528wire or_fuse_l2d_rid_021;
529wire stage2_mux_sel1;
530wire stage2_mux_sel2;
531wire [9:0] fdout_stage2;
532wire or_fuse_l2d_rid_130;
533wire stage3_mux_sel0;
534wire or_fuse_l2d_rid_120;
535wire stage3_mux_sel1;
536wire stage3_mux_sel2;
537wire [9:0] fdout_stage3;
538wire or_fuse_l2d_rid_030;
539wire stage4_mux_sel0;
540wire or_fuse_l2d_rid_020;
541wire stage4_mux_sel1;
542wire stage4_mux_sel2;
543wire [9:0] fdout_stage4;
544wire or_fuse_l2d_rid_010;
545wire stage10_mux_sel0;
546wire or_fuse_l2d_rid_000;
547wire stage10_mux_sel1;
548wire stage10_mux_sel2;
549wire [9:0] fdout_stage10;
550wire or_fuse_l2d_rid_110;
551wire stage20_mux_sel0;
552wire or_fuse_l2d_rid_100;
553wire stage20_mux_sel1;
554wire stage20_mux_sel2;
555wire [9:0] fdout_stage20;
556wire or_fuse_l2d_rid_011;
557wire stage30_mux_sel0;
558wire or_fuse_l2d_rid_001;
559wire stage30_mux_sel1;
560wire stage30_mux_sel2;
561wire [9:0] fdout_stage30;
562wire or_fuse_l2d_rid_111;
563wire stage40_mux_sel0;
564wire or_fuse_l2d_rid_101;
565wire stage40_mux_sel1;
566wire stage40_mux_sel2;
567wire [9:0] fdout_stage40;
568wire l2b_l2d_fuse_rid_d_6n;
569wire delout20_rgt;
570wire delout31_rgt;
571wire delout20_lft;
572wire delout31_lft;
573wire so_q23;
574wire so_tstmod;
575
576
577
578input [15:0] l2t_l2d_word_en_c2;
579input l2t_l2d_fbrd_c3;
580input [3:0] l2t_l2d_col_offset_c2;
581input [8:0] l2t_l2d_set_c2;
582input l2t_l2d_rd_wr_c3;
583input [15:0] l2t_l2d_way_sel_c3;
584input l2t_l2d_fb_hit_c3;
585input l2clk;
586input tcu_aclk;
587input tcu_bclk;
588input tcu_scan_en;
589input tcu_pce_ov;
590input tcu_ce;
591input tcu_clk_stop;
592input array_wr_inhibit;
593input scan_in;
594input tcu_se_scancollar_in;
595input tcu_se_scancollar_out;
596input wayerr_c3;
597input l2t_l2d_pwrsav_ov_stg;
598output scan_out;
599output cache_col_offset_all_c7;
600output aclk;
601output bclk;
602output scan_en_clsrhdr;
603
604// I/O from Quads
605
606input [623:0] l2b_l2d_fbdecc_c5; // filbuf data
607input [77:0] l2t_l2d_stdecc_c2; // store data input
608// CHANGE 2
609//input [623:0] cache_decc_out_c52b_l; // quad 0 read data
610input [623:0] cache_decc_out_c5b; // quad 0 read data
611
612output [623:0] l2d_decc_out_c6; // evict data
613output [623:0] cache_decc_in_c3b_l; // store data | fbdecc data getting piped to quad
614//output [155:0] l2d_l2t_decc_c6; // read data rtn to load 16B
615output [155:0] l2d_l2t_decc_c52_mux; // read data rtn to load 16B
616
617output [15:0] cache_way_sel_c3_00;
618output [15:0] cache_way_sel_c3_01;
619output [15:0] cache_way_sel_c3_10;
620output [15:0] cache_way_sel_c3_11;
621output [15:0] cache_way_sel_c3_20;
622output [15:0] cache_way_sel_c3_21;
623output [15:0] cache_way_sel_c3_30;
624output [15:0] cache_way_sel_c3_31;
625
626output cache_wayerr_c3_00;
627output cache_wayerr_c3_01;
628output cache_wayerr_c3_10;
629output cache_wayerr_c3_11;
630output cache_wayerr_c3_20;
631output cache_wayerr_c3_21;
632output cache_wayerr_c3_30;
633output cache_wayerr_c3_31;
634
635
636output [8:0] cache_set_c3_00;
637output [8:0] cache_set_c3_01;
638output [8:0] cache_set_c3_10;
639output [8:0] cache_set_c3_11;
640output [8:0] cache_set_c3_20;
641output [8:0] cache_set_c3_21;
642output [8:0] cache_set_c3_30;
643output [8:0] cache_set_c3_31;
644
645output cache_col_offset_c3_00;
646output cache_col_offset_c3_01;
647output cache_col_offset_c3_10;
648output cache_col_offset_c3_11;
649output cache_col_offset_c3_20;
650output cache_col_offset_c3_21;
651output cache_col_offset_c3_30;
652output cache_col_offset_c3_31;
653
654output cache_col_offset_c4_l_00;
655output cache_col_offset_c4_l_01;
656output cache_col_offset_c4_l_10;
657output cache_col_offset_c4_l_11;
658output cache_col_offset_c4_l_20;
659output cache_col_offset_c4_l_21;
660output cache_col_offset_c4_l_30;
661output cache_col_offset_c4_l_31;
662
663output [1:0] cache_col_offset_c5_00;
664output [1:0] cache_col_offset_c5_01;
665output [1:0] cache_col_offset_c5_10;
666output [1:0] cache_col_offset_c5_11;
667output [1:0] cache_col_offset_c5_20;
668output [1:0] cache_col_offset_c5_21;
669output [1:0] cache_col_offset_c5_30;
670output [1:0] cache_col_offset_c5_31;
671
672output cache_rd_wr_c3_00;
673output cache_rd_wr_c3_01;
674output cache_rd_wr_c3_10;
675output cache_rd_wr_c3_11;
676output cache_rd_wr_c3_20;
677output cache_rd_wr_c3_21;
678output cache_rd_wr_c3_30;
679output cache_rd_wr_c3_31;
680
681
682output cache_readen_c5_00;
683output cache_readen_c5_01;
684output cache_readen_c5_10;
685output cache_readen_c5_11;
686output cache_readen_c5_20;
687output cache_readen_c5_21;
688output cache_readen_c5_30;
689output cache_readen_c5_31;
690
691output [3:0] cache_word_en_c3_00;
692output [3:0] cache_word_en_c3_01;
693output [3:0] cache_word_en_c3_10;
694output [3:0] cache_word_en_c3_11;
695output [3:0] cache_word_en_c3_20;
696output [3:0] cache_word_en_c3_21;
697output [3:0] cache_word_en_c3_30;
698output [3:0] cache_word_en_c3_31;
699
700output tcu_pce_ov_00;
701output tcu_pce_ov_01;
702output tcu_pce_ov_10;
703output tcu_pce_ov_11;
704output tcu_pce_ov_20;
705output tcu_pce_ov_21;
706output tcu_pce_ov_30;
707output tcu_pce_ov_31;
708output tcu_pce_00;
709output tcu_pce_01;
710output tcu_pce_10;
711output tcu_pce_11;
712output tcu_pce_20;
713output tcu_pce_21;
714output tcu_pce_30;
715output tcu_pce_31;
716output tcu_clk_stop_00;
717output tcu_clk_stop_01;
718output tcu_clk_stop_10;
719output tcu_clk_stop_11;
720output tcu_clk_stop_20;
721output tcu_clk_stop_21;
722output tcu_clk_stop_30;
723output tcu_clk_stop_31;
724
725output se_00;
726output se_01;
727output se_10;
728output se_11;
729output se_20;
730output se_21;
731output se_30;
732output se_31;
733// Redudancy
734
735input [9:0] l2b_l2d_fuse_l2d_data_in_d;
736input [6:0] l2b_l2d_fuse_rid_d;
737input l2b_l2d_fuse_reset_l;
738input l2b_l2d_fuse_l2d_wren_d;
739output [9:0] efc_fuse_data;
740
741// io to the ram
742output [9:0] fuse_l2d_data_in_131;
743output [4:0] fuse_l2d_rid_131;
744output fuse_l2d_wren_131;
745output fuse_l2d_reset_131_l;
746input [9:0] fdout_131;
747
748output [9:0] fuse_l2d_data_in_031;
749output [4:0] fuse_l2d_rid_031;
750output fuse_l2d_wren_031;
751output fuse_l2d_reset_031_l;
752input [9:0] fdout_031;
753
754output [9:0] fuse_l2d_data_in_130;
755output [4:0] fuse_l2d_rid_130;
756output fuse_l2d_wren_130;
757output fuse_l2d_reset_130_l;
758input [9:0] fdout_130;
759
760output [9:0] fuse_l2d_data_in_030;
761output [4:0] fuse_l2d_rid_030;
762output fuse_l2d_wren_030;
763output fuse_l2d_reset_030_l;
764input [9:0] fdout_030;
765
766output [9:0] fuse_l2d_data_in_111;
767output [4:0] fuse_l2d_rid_111;
768output fuse_l2d_wren_111;
769output fuse_l2d_reset_111_l;
770input [9:0] fdout_111;
771
772
773
774output [9:0] fuse_l2d_data_in_011;
775output [4:0] fuse_l2d_rid_011;
776output fuse_l2d_wren_011;
777output fuse_l2d_reset_011_l;
778input [9:0] fdout_011;
779
780
781output [9:0] fuse_l2d_data_in_110;
782output [4:0] fuse_l2d_rid_110;
783output fuse_l2d_wren_110;
784output fuse_l2d_reset_110_l;
785input [9:0] fdout_110;
786
787
788output [9:0] fuse_l2d_data_in_010;
789output [4:0] fuse_l2d_rid_010;
790output fuse_l2d_wren_010;
791output fuse_l2d_reset_010_l;
792input [9:0] fdout_010;
793
794output [9:0] fuse_l2d_data_in_121;
795output [4:0] fuse_l2d_rid_121;
796output fuse_l2d_wren_121;
797output fuse_l2d_reset_121_l;
798input [9:0] fdout_121;
799
800
801output [9:0] fuse_l2d_data_in_021;
802output [4:0] fuse_l2d_rid_021;
803output fuse_l2d_wren_021;
804output fuse_l2d_reset_021_l;
805input [9:0] fdout_021;
806
807output [9:0] fuse_l2d_data_in_120;
808output [4:0] fuse_l2d_rid_120;
809output fuse_l2d_wren_120;
810output fuse_l2d_reset_120_l;
811input [9:0] fdout_120;
812
813output [9:0] fuse_l2d_data_in_020;
814output [4:0] fuse_l2d_rid_020;
815output fuse_l2d_wren_020;
816output fuse_l2d_reset_020_l;
817input [9:0] fdout_020;
818
819output [9:0] fuse_l2d_data_in_101;
820output [4:0] fuse_l2d_rid_101;
821output fuse_l2d_wren_101;
822output fuse_l2d_reset_101_l;
823input [9:0] fdout_101;
824
825output [9:0] fuse_l2d_data_in_001;
826output [4:0] fuse_l2d_rid_001;
827output fuse_l2d_wren_001;
828output fuse_l2d_reset_001_l;
829input [9:0] fdout_001;
830
831output [9:0] fuse_l2d_data_in_100;
832output [4:0] fuse_l2d_rid_100;
833output fuse_l2d_wren_100;
834output fuse_l2d_reset_100_l;
835input [9:0] fdout_100;
836
837output [9:0] fuse_l2d_data_in_000;
838output [4:0] fuse_l2d_rid_000;
839output fuse_l2d_wren_000;
840output fuse_l2d_reset_000_l;
841input [9:0] fdout_000;
842
843output siclk_peri;
844output soclk_peri;
845output pce_ov_peri;
846output pce_peri;
847output scan_collarin_peri;
848output scan_collarout_peri;
849output wr_inhibit_peri;
850output clk_stop_peri;
851
852output wee_l_q00;
853output wee_l_q01;
854output wee_l_q10;
855output wee_l_q11;
856output wee_l_q20;
857output wee_l_q21;
858output wee_l_q30;
859output wee_l_q31;
860
861output delout00;
862output delout01;
863output delout10;
864output delout11;
865output delout20;
866output delout21;
867output delout30;
868output delout31;
869
870//////////////////////////////////////////////////////////////////////////////
871assign tcu_array_wr_inhibit = array_wr_inhibit;
872wire pce_ov;
873wire siclk;
874wire soclk;
875//wire scan_out;
876
877//assign stop = tcu_clk_stop;
878assign siclk = tcu_aclk;
879assign soclk = tcu_bclk;
880//assign se = tcu_scan_en;
881assign pce_ov = tcu_pce_ov;
882assign aclk = tcu_aclk;
883assign bclk = tcu_bclk;
884assign scan_en_clsrhdr = tcu_scan_en;
885
886assign siclk_peri = siclk;
887assign soclk_peri = soclk;
888assign pce_ov_peri = pce_ov;
889assign pce_peri = tcu_ce;
890assign scan_collarin_peri = tcu_se_scancollar_in;
891assign scan_collarout_peri = tcu_se_scancollar_out;
892assign wr_inhibit_peri = tcu_array_wr_inhibit;
893assign clk_stop_peri = tcu_clk_stop;
894
895assign wee_l_q00 = ~tcu_array_wr_inhibit;
896assign wee_l_q01 = ~tcu_array_wr_inhibit;
897assign wee_l_q10 = ~tcu_array_wr_inhibit;
898assign wee_l_q11 = ~tcu_array_wr_inhibit;
899assign wee_l_q20 = ~tcu_array_wr_inhibit;
900assign wee_l_q21 = ~tcu_array_wr_inhibit;
901assign wee_l_q30 = ~tcu_array_wr_inhibit;
902assign wee_l_q31 = ~tcu_array_wr_inhibit;
903
904//////////////////////////////////////////////////////////////////////////////
905
906wire [623:0] cache_decc_in_c3b_l;
907
908wire [623:0] cache_decc_in_c3;
909//wire [623:0] cache_decc_out_c52;
910wire [623:0] concat_st_decc_out_c3;
911wire [623:0] l2d_decc_out_c52;
912wire [155:0] l2d_l2t_decc_c52;
913wire [155:0] l2d_l2t_decc_c6; // read data rtn to load 16B
914wire [623:0] l2b_l2d_fbdecc_c52;
915wire [623:0] l2b_l2d_fbdecc_c5;
916
917
918n2_l2d_ctrlio_cust_l1clkhdr_ctl_macro l1_clk_in_hdr (
919 .l2clk (l2clk),
920 .se (tcu_se_scancollar_in),
921 .l1en (tcu_ce),
922 .pce_ov (tcu_pce_ov),
923 .stop (tcu_clk_stop),
924 .l1clk (l1clk_in)
925 );
926
927n2_l2d_ctrlio_cust_l1clkhdr_ctl_macro l1_clk_intnl_hdr (
928 .l2clk (l2clk),
929 .se (tcu_scan_en),
930 .l1en (tcu_ce),
931 .pce_ov (tcu_pce_ov),
932 .stop (tcu_clk_stop),
933 .l1clk (l1clk_intnl)
934 );
935
936n2_l2d_ctrlio_cust_l1clkhdr_ctl_macro l1_clk_evict_c6_hdr (
937 .l2clk (l2clk),
938 .se (tcu_scan_en),
939 .l1en (evit_pce_c6),
940 .pce_ov (tcu_pce_ov),
941 .stop (tcu_clk_stop),
942 .l1clk (l1clk_evict_c6)
943 );
944
945assign cache_way_sel_c3_00[15:0] = l2t_l2d_way_sel_c3[15:0];
946assign cache_way_sel_c3_01[15:0] = l2t_l2d_way_sel_c3[15:0];
947assign cache_way_sel_c3_10[15:0] = l2t_l2d_way_sel_c3[15:0];
948assign cache_way_sel_c3_11[15:0] = l2t_l2d_way_sel_c3[15:0];
949assign cache_way_sel_c3_20[15:0] = l2t_l2d_way_sel_c3[15:0];
950assign cache_way_sel_c3_21[15:0] = l2t_l2d_way_sel_c3[15:0];
951assign cache_way_sel_c3_30[15:0] = l2t_l2d_way_sel_c3[15:0];
952assign cache_way_sel_c3_31[15:0] = l2t_l2d_way_sel_c3[15:0];
953
954
955//
956// Way select when more than one is turned on
957//
958
959
960assign cache_wayerr_c3_00 = wayerr_c3;
961assign cache_wayerr_c3_01 = wayerr_c3;
962assign cache_wayerr_c3_10 = wayerr_c3;
963assign cache_wayerr_c3_11 = wayerr_c3;
964assign cache_wayerr_c3_20 = wayerr_c3;
965assign cache_wayerr_c3_21 = wayerr_c3;
966assign cache_wayerr_c3_30 = wayerr_c3;
967assign cache_wayerr_c3_31 = wayerr_c3;
968
969// set
970
971n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_9 ff_cache_set_c3
972 (
973 .scan_in(ff_cache_set_c3_scanin[8:0]),
974 .scan_out(ff_cache_set_c3_scanout[8:0]),
975 .din (l2t_l2d_set_c2[8:0]),
976 .l1clk (l1clk_in),
977 .dout (cache_set_c3[8:0]),
978 .siclk(siclk),
979 .soclk(soclk)
980 );
981
982assign cache_set_c3_00[8:0] = cache_set_c3[8:0];
983assign cache_set_c3_01[8:0] = cache_set_c3[8:0];
984assign cache_set_c3_10[8:0] = cache_set_c3[8:0];
985assign cache_set_c3_11[8:0] = cache_set_c3[8:0];
986assign cache_set_c3_20[8:0] = cache_set_c3[8:0];
987assign cache_set_c3_21[8:0] = cache_set_c3[8:0];
988assign cache_set_c3_30[8:0] = cache_set_c3[8:0];
989assign cache_set_c3_31[8:0] = cache_set_c3[8:0];
990
991n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_4 ff_cache_col_offset_c3
992 (
993 .scan_in(ff_cache_col_offset_c3_scanin[3:0]),
994 .scan_out(ff_cache_col_offset_c3_scanout[3:0]),
995 .din (l2t_l2d_col_offset_c2[3:0]),
996 .l1clk (l1clk_in),
997 .dout (cache_col_offset_c3[3:0]),
998 .siclk(siclk),
999 .soclk(soclk)
1000 );
1001
1002n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_4 ff_cache_col_offset_c4
1003 (
1004 .scan_in(ff_cache_col_offset_c4_scanin[3:0]),
1005 .scan_out(ff_cache_col_offset_c4_scanout[3:0]),
1006 .din (cache_col_offset_c3[3:0]),
1007 .l1clk (l1clk_intnl),
1008 .dout (cache_col_offset_c4_muxsel[3:0]),
1009 .siclk(siclk),
1010 .soclk(soclk)
1011 );
1012
1013n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_4 ff_cache_col_offset_c5_muxsel
1014 (
1015 .scan_in(ff_cache_col_offset_c5_muxsel_scanin[3:0]),
1016 .scan_out(ff_cache_col_offset_c5_muxsel_scanout[3:0]),
1017 .din (cache_col_offset_c4_muxsel[3:0]),
1018 .l1clk (l1clk_intnl),
1019 .dout (cache_col_offset_c5_muxsel[3:0]),
1020 .siclk(siclk),
1021 .soclk(soclk)
1022 );
1023
1024
1025
1026n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_2 ff_cache_col_offset_c52
1027 (
1028 .scan_in(ff_cache_col_offset_c52_scanin[1:0]),
1029 .scan_out(ff_cache_col_offset_c52_scanout[1:0]),
1030 .din (cache_col_offset_c5_muxsel[3:2]),
1031 .l1clk (l1clk_intnl),
1032 .dout (cache_col_offset_c52[3:2]),
1033 .siclk(siclk),
1034 .soclk(soclk)
1035 );
1036
1037n2_l2d_ctrlio_cust_or_macro__width_1 slice_or_col_offset_c5_3_1
1038 (
1039 .dout (cache_col_offset_c5_muxsel_3_or_1),
1040 .din0 (cache_col_offset_c5_muxsel[3]),
1041 .din1 (cache_col_offset_c5_muxsel[1])
1042 );
1043
1044
1045n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_col_offset_c52_topsel
1046 (
1047 .scan_in(ff_cache_col_offset_c52_topsel_scanin[0:0]),
1048 .scan_out(ff_cache_col_offset_c52_topsel_scanout[0:0]),
1049// .din (cache_col_offset_c5_muxsel[3] | cache_col_offset_c5_muxsel[1]),
1050 .din (cache_col_offset_c5_muxsel_3_or_1),
1051 .l1clk (l1clk_intnl),
1052 .dout (cache_col_offset_c52_topsel),
1053 .siclk(siclk),
1054 .soclk(soclk)
1055 );
1056
1057
1058//assign cache_col_offset_all_c3 = cache_col_offset_c3[3] &
1059// cache_col_offset_c3[2] & cache_col_offset_c3[1] & cache_col_offset_c3[0];
1060
1061n2_l2d_ctrlio_cust_and_macro__ports_4__width_1 slice_and_cache_col_offset_all_c3
1062 (
1063 .dout (cache_col_offset_all_c3),
1064 .din3 (cache_col_offset_c3[3]),
1065 .din2 (cache_col_offset_c3[2]),
1066 .din1 (cache_col_offset_c3[1]),
1067 .din0 (cache_col_offset_c3[0])
1068 );
1069
1070
1071n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_col_offset_all_c4
1072 (
1073 .scan_in(ff_cache_col_offset_all_c4_scanin[0:0]),
1074 .scan_out(ff_cache_col_offset_all_c4_scanout[0:0]),
1075 .din (cache_col_offset_all_c3),
1076 .l1clk (l1clk_intnl),
1077 .dout (cache_col_offset_all_c4),
1078 .siclk(siclk),
1079 .soclk(soclk)
1080 );
1081n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_col_offset_all_c5
1082 (
1083 .scan_in(ff_cache_col_offset_all_c5_scanin[0:0]),
1084 .scan_out(ff_cache_col_offset_all_c5_scanout[0:0]),
1085 .din (cache_col_offset_all_c4),
1086 .l1clk (l1clk_intnl),
1087 .dout (cache_col_offset_all_c5),
1088 .siclk(siclk),
1089 .soclk(soclk)
1090 );
1091n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_col_offset_all_c6
1092 (
1093 .scan_in(ff_cache_col_offset_all_c6_scanin[0:0]),
1094 .scan_out(ff_cache_col_offset_all_c6_scanout[0:0]),
1095 .din (cache_col_offset_all_c5),
1096 .l1clk (l1clk_intnl),
1097 .dout (cache_col_offset_all_c6),
1098 .siclk(siclk),
1099 .soclk(soclk)
1100 );
1101n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_col_offset_all_c7
1102 (
1103 .scan_in(ff_cache_col_offset_all_c7_scanin[0:0]),
1104 .scan_out(ff_cache_col_offset_all_c7_scanout[0:0]),
1105 .din (cache_col_offset_all_c6),
1106 .l1clk (l1clk_intnl),
1107 .dout (cache_col_offset_all_c7),
1108 .siclk(siclk),
1109 .soclk(soclk)
1110 );
1111
1112
1113//assign evit_pce_c6 = cache_col_offset_all_c6 | l2t_l2d_pwrsav_ov_stg;
1114
1115n2_l2d_ctrlio_cust_or_macro__width_1 or_slice_evit_pce_c6
1116 (
1117 .dout (evit_pce_c6),
1118 .din0 (cache_col_offset_all_c6),
1119 .din1 (l2t_l2d_pwrsav_ov_stg)
1120 );
1121
1122
1123
1124
1125//assign cache_col_offset_c3_00 = |{cache_col_offset_c3[2],cache_col_offset_c3[0]};
1126//assign cache_col_offset_c3_01 = |{cache_col_offset_c3[2],cache_col_offset_c3[0]};
1127//assign cache_col_offset_c3_10 = |{cache_col_offset_c3[3],cache_col_offset_c3[1]};
1128//assign cache_col_offset_c3_11 = |{cache_col_offset_c3[3],cache_col_offset_c3[1]};
1129//assign cache_col_offset_c3_20 = |{cache_col_offset_c3[2],cache_col_offset_c3[0]};
1130//assign cache_col_offset_c3_21 = |{cache_col_offset_c3[2],cache_col_offset_c3[0]};
1131//assign cache_col_offset_c3_30 = |{cache_col_offset_c3[3],cache_col_offset_c3[1]};
1132//assign cache_col_offset_c3_31 = |{cache_col_offset_c3[3],cache_col_offset_c3[1]};
1133
1134
1135n2_l2d_ctrlio_cust_inv_macro__width_1 inv_tcu_array_wr_inhibit
1136 (
1137 .dout (tcu_array_wr_inhibit_n),
1138 .din (tcu_array_wr_inhibit)
1139 );
1140
1141n2_l2d_ctrlio_cust_and_macro__width_1 and_slice_cache_col_offset_c3_00_0
1142 (
1143 .dout (cache_col_offset_c3_2_tcu_array_wr_inhibit_n),
1144 .din0 (tcu_array_wr_inhibit_n),
1145 .din1 (cache_col_offset_c3[2])
1146 );
1147
1148n2_l2d_ctrlio_cust_and_macro__width_1 and_slice_cache_col_offset_c3_00_1
1149 (
1150 .dout (cache_col_offset_c3_0_tcu_array_wr_inhibit_n),
1151 .din0 (tcu_array_wr_inhibit_n),
1152 .din1 (cache_col_offset_c3[0])
1153 );
1154
1155n2_l2d_ctrlio_cust_and_macro__width_1 and_slice_cache_col_offset_c3_10_0
1156 (
1157 .dout (cache_col_offset_c3_3_tcu_array_wr_inhibit_n),
1158 .din0 (tcu_array_wr_inhibit_n),
1159 .din1 (cache_col_offset_c3[3])
1160 );
1161
1162n2_l2d_ctrlio_cust_and_macro__width_1 and_slice_cache_col_offset_c3_10_1
1163 (
1164 .dout (cache_col_offset_c3_1_tcu_array_wr_inhibit_n),
1165 .din0 (tcu_array_wr_inhibit_n),
1166 .din1 (cache_col_offset_c3[1])
1167 );
1168
1169
1170n2_l2d_ctrlio_cust_or_macro__width_1 or_cache_col_offset_c3_00
1171 (
1172 .dout (cache_col_offset_c3_00),
1173 .din0 (cache_col_offset_c3_2_tcu_array_wr_inhibit_n),
1174 .din1 (cache_col_offset_c3_0_tcu_array_wr_inhibit_n)
1175 );
1176n2_l2d_ctrlio_cust_or_macro__width_1 or_cache_col_offset_c3_10
1177 (
1178 .dout (cache_col_offset_c3_10),
1179// .din0 (~tcu_array_wr_inhibit & cache_col_offset_c3[3]),
1180// .din1 (~tcu_array_wr_inhibit & cache_col_offset_c3[1])
1181 .din0 (cache_col_offset_c3_3_tcu_array_wr_inhibit_n),
1182 .din1 (cache_col_offset_c3_1_tcu_array_wr_inhibit_n)
1183 );
1184
1185n2_l2d_ctrlio_cust_or_macro__width_1 or_cache_col_offset_c3_20
1186 (
1187 .dout (cache_col_offset_c3_20),
1188// .din0 (~tcu_array_wr_inhibit & cache_col_offset_c3[2]),
1189// .din1 (~tcu_array_wr_inhibit & cache_col_offset_c3[0])
1190 .din0 (cache_col_offset_c3_2_tcu_array_wr_inhibit_n),
1191 .din1 (cache_col_offset_c3_0_tcu_array_wr_inhibit_n)
1192 );
1193
1194n2_l2d_ctrlio_cust_or_macro__width_1 or_cache_col_offset_c3_30
1195 (
1196 .dout (cache_col_offset_c3_30),
1197// .din0 (~tcu_array_wr_inhibit & cache_col_offset_c3[3]),
1198// .din1 (~tcu_array_wr_inhibit & cache_col_offset_c3[1])
1199 .din0 (cache_col_offset_c3_3_tcu_array_wr_inhibit_n),
1200 .din1 (cache_col_offset_c3_1_tcu_array_wr_inhibit_n)
1201 );
1202
1203assign cache_col_offset_c3_01 = cache_col_offset_c3_00;
1204assign cache_col_offset_c3_11 = cache_col_offset_c3_10;
1205assign cache_col_offset_c3_21 = cache_col_offset_c3_20;
1206assign cache_col_offset_c3_31 = cache_col_offset_c3_30;
1207
1208//inv_macro inv_cache_col_offset_c3 (width=4)
1209// (
1210// .dout (cache_col_offset_c3_1[3:0]),
1211// .din (cache_col_offset_c3[3:0])
1212// );
1213
1214//msff_ctl_macro ff_cache_col_offset_c4 (fs=1,width=4)
1215// (
1216// .scan_in(ff_cache_col_offset_c4_scanin[3:0]),
1217// .scan_out(ff_cache_col_offset_c4_scanout[3:0]),
1218// .din (cache_col_offset_c4_l[3:0] & (cache_col_offset_c3[3:0] & {4{~tcu_array_wr_inhibit}})),
1219// .l1clk (l1clk_intnl),
1220// .dout (cache_col_offset_c4[3:0]),
1221// );
1222//
1223//assign cache_col_offset_c4_l_00 = (&{cache_col_offset_c4_l[2],cache_col_offset_c4_l[0]});
1224//assign cache_col_offset_c4_l_01 = (&{cache_col_offset_c4_l[2],cache_col_offset_c4_l[0]});
1225//assign cache_col_offset_c4_l_10 = (&{cache_col_offset_c4_l[3],cache_col_offset_c4_l[1]});
1226//assign cache_col_offset_c4_l_11 = (&{cache_col_offset_c4_l[3],cache_col_offset_c4_l[1]});
1227//assign cache_col_offset_c4_l_20 = (&{cache_col_offset_c4_l[2],cache_col_offset_c4_l[0]});
1228//assign cache_col_offset_c4_l_21 = (&{cache_col_offset_c4_l[2],cache_col_offset_c4_l[0]});
1229//assign cache_col_offset_c4_l_30 = (&{cache_col_offset_c4_l[3],cache_col_offset_c4_l[1]});
1230//assign cache_col_offset_c4_l_31 = (&{cache_col_offset_c4_l[3],cache_col_offset_c4_l[1]});
1231
1232
1233n2_l2d_ctrlio_cust_inv_macro__width_8 inv_cache_col_offset_c4_tog_all
1234 (
1235 .dout ( { cache_col_offset_c4_tog_001_0_n, cache_col_offset_c4_tog_101_0_n,
1236 cache_col_offset_c4_tog_001_1_n, cache_col_offset_c4_tog_101_1_n,
1237 cache_col_offset_c4_tog_023_0_n, cache_col_offset_c4_tog_123_0_n,
1238 cache_col_offset_c4_tog_023_1_n, cache_col_offset_c4_tog_123_1_n } ),
1239 .din ( { cache_col_offset_c4_tog_001[0], cache_col_offset_c4_tog_101[0],
1240 cache_col_offset_c4_tog_001[1], cache_col_offset_c4_tog_101[1],
1241 cache_col_offset_c4_tog_023[0], cache_col_offset_c4_tog_123[0],
1242 cache_col_offset_c4_tog_023[1], cache_col_offset_c4_tog_123[1] })
1243 );
1244
1245//assign cache_col_offset_c4_l_00 = ~cache_col_offset_c4_tog_001[0] |tcu_array_wr_inhibit;
1246//assign cache_col_offset_c4_l_01 = ~cache_col_offset_c4_tog_101[0] |tcu_array_wr_inhibit;
1247//assign cache_col_offset_c4_l_10 = ~cache_col_offset_c4_tog_001[1] |tcu_array_wr_inhibit;
1248//assign cache_col_offset_c4_l_11 = ~cache_col_offset_c4_tog_101[1] |tcu_array_wr_inhibit;
1249//assign cache_col_offset_c4_l_20 = ~cache_col_offset_c4_tog_023[0] |tcu_array_wr_inhibit;
1250//assign cache_col_offset_c4_l_21 = ~cache_col_offset_c4_tog_123[0] |tcu_array_wr_inhibit;
1251//assign cache_col_offset_c4_l_30 = ~cache_col_offset_c4_tog_023[1] |tcu_array_wr_inhibit;
1252//assign cache_col_offset_c4_l_31 = ~cache_col_offset_c4_tog_123[1] |tcu_array_wr_inhibit;
1253
1254
1255n2_l2d_ctrlio_cust_or_macro__width_8 slice_or_cache_col_offset_c4_l_00_to31
1256 (
1257 .dout ( {
1258 cache_col_offset_c4_l_00,
1259 cache_col_offset_c4_l_01,
1260 cache_col_offset_c4_l_10,
1261 cache_col_offset_c4_l_11,
1262 cache_col_offset_c4_l_20,
1263 cache_col_offset_c4_l_21,
1264 cache_col_offset_c4_l_30,
1265 cache_col_offset_c4_l_31
1266 } ),
1267 .din0 ( {
1268 cache_col_offset_c4_tog_001_0_n,
1269 cache_col_offset_c4_tog_101_0_n,
1270 cache_col_offset_c4_tog_001_1_n,
1271 cache_col_offset_c4_tog_101_1_n,
1272 cache_col_offset_c4_tog_023_0_n,
1273 cache_col_offset_c4_tog_123_0_n,
1274 cache_col_offset_c4_tog_023_1_n,
1275 cache_col_offset_c4_tog_123_1_n
1276 }),
1277 .din1 ({8{tcu_array_wr_inhibit}})
1278 );
1279
1280
1281
1282n2_l2d_ctrlio_cust_inv_macro__width_4 inv_slice_cache_col_offset_c3_x
1283 (
1284 .dout (cache_col_offset_c3_n[3:0]),
1285 .din (cache_col_offset_c3[3:0])
1286 );
1287
1288
1289n2_l2d_ctrlio_cust_or_macro__width_4 or_wr_inhibit_cache_col_offset_c3
1290 (
1291 .dout (wr_inhibit_cache_col_offset_c3_l[3:0]),
1292// .din0 (~cache_col_offset_c3[3:0]),
1293 .din0 (cache_col_offset_c3_n[3:0]),
1294 .din1 ({4{tcu_array_wr_inhibit}})
1295 );
1296
1297
1298n2_l2d_ctrlio_cust_inv_macro__width_4 inv_wr_inhibit_cache_col_offset_c3
1299 (
1300 .dout (wr_inhibit_cache_col_offset_c3[3:0]),
1301 .din (wr_inhibit_cache_col_offset_c3_l[3:0])
1302 );
1303
1304n2_l2d_ctrlio_cust_inv_macro__width_2 inv_slice_cache_col_offset_c4_tog_001_x
1305 (
1306 .dout (cache_col_offset_c4_tog_001_n[1:0]),
1307 .din (cache_col_offset_c4_tog_001[1:0])
1308 );
1309
1310n2_l2d_ctrlio_cust_inv_macro__width_1 inv_wayerr_c3
1311 (
1312 .dout (wayerr_c3_n),
1313 .din (wayerr_c3)
1314 );
1315
1316n2_l2d_ctrlio_cust_and_macro__width_2 and_wayerr_col_offset_c4_l_001
1317 (
1318 .dout (wayerr_cache_col_offset_c4_l_tog_001[1:0]),
1319 .din0 (cache_col_offset_c4_tog_001_n[1:0]),
1320 .din1 ({2{wayerr_c3_n}})
1321 );
1322
1323n2_l2d_ctrlio_cust_inv_macro__width_2 inv_slice_cache_col_offset_c4_tog_101_x
1324 (
1325 .dout (cache_col_offset_c4_tog_101_n[1:0]),
1326 .din (cache_col_offset_c4_tog_101[1:0])
1327 );
1328
1329n2_l2d_ctrlio_cust_and_macro__width_2 and_wayerr_col_offset_c4_l_101
1330 (
1331 .dout (wayerr_cache_col_offset_c4_l_tog_101[1:0]),
1332// .din0 (~cache_col_offset_c4_tog_101[1:0]),
1333 .din0 (cache_col_offset_c4_tog_101_n[1:0]),
1334 .din1 ({2{wayerr_c3_n}})
1335 );
1336
1337n2_l2d_ctrlio_cust_inv_macro__width_2 inv_slice_cache_col_offset_c4_tog_023_x
1338 (
1339 .dout (cache_col_offset_c4_tog_023_n[1:0]),
1340 .din (cache_col_offset_c4_tog_023[1:0])
1341 );
1342
1343
1344n2_l2d_ctrlio_cust_and_macro__width_2 and_wayerr_col_offset_c4_l_023
1345 (
1346 .dout (wayerr_cache_col_offset_c4_l_tog_023[1:0]),
1347 .din0 (cache_col_offset_c4_tog_023_n[1:0]),
1348 .din1 ({2{wayerr_c3_n}})
1349 );
1350
1351n2_l2d_ctrlio_cust_inv_macro__width_2 inv_slice_cache_col_offset_c4_tog_123_x
1352 (
1353 .dout (cache_col_offset_c4_tog_123_n[1:0]),
1354 .din (cache_col_offset_c4_tog_123[1:0])
1355 );
1356
1357n2_l2d_ctrlio_cust_and_macro__width_2 and_wayerr_col_offset_c4_l_123
1358 (
1359 .dout (wayerr_cache_col_offset_c4_l_tog_123[1:0]),
1360// .din0 (~cache_col_offset_c4_tog_123[1:0]),
1361 .din0 (cache_col_offset_c4_tog_123_n[1:0]),
1362 .din1 ({2{wayerr_c3_n}})
1363 );
1364
1365n2_l2d_ctrlio_cust_or_macro__width_1 or_col_offset_c3_top
1366 (
1367 .dout (cache_col_offset_c3_top),
1368 .din0 (wr_inhibit_cache_col_offset_c3[3]),
1369 .din1 (wr_inhibit_cache_col_offset_c3[1])
1370 );
1371
1372n2_l2d_ctrlio_cust_or_macro__width_1 or_col_offset_c3_bot
1373 (
1374 .dout (cache_col_offset_c3_bot),
1375 .din0 (wr_inhibit_cache_col_offset_c3[2]),
1376 .din1 (wr_inhibit_cache_col_offset_c3[0])
1377 );
1378
1379n2_l2d_ctrlio_cust_and_macro__width_2 and_cache_col_offset_c4_tog_in_001
1380 (
1381 .dout (cache_col_offset_c4_tog_in_001[1:0]),
1382 .din0 (wayerr_cache_col_offset_c4_l_tog_001[1:0]),
1383 .din1 ({cache_col_offset_c3_top,cache_col_offset_c3_bot})
1384 );
1385
1386n2_l2d_ctrlio_cust_and_macro__width_2 slice_cache_col_offset_c4_tog_in_101
1387 (
1388 .dout (cache_col_offset_c4_tog_in_101[1:0]),
1389 .din0 (wayerr_cache_col_offset_c4_l_tog_101[1:0]),
1390 .din1 ({cache_col_offset_c3_top,cache_col_offset_c3_bot})
1391 );
1392n2_l2d_ctrlio_cust_and_macro__width_2 slice_cache_col_offset_c4_tog_in_023
1393 (
1394 .dout (cache_col_offset_c4_tog_in_023[1:0]),
1395 .din0 (wayerr_cache_col_offset_c4_l_tog_023[1:0]),
1396 .din1 ({cache_col_offset_c3_top,cache_col_offset_c3_bot})
1397 );
1398n2_l2d_ctrlio_cust_and_macro__width_2 slice_cache_col_offset_c4_tog_in_123
1399 (
1400 .dout (cache_col_offset_c4_tog_in_123[1:0]),
1401 .din0 (wayerr_cache_col_offset_c4_l_tog_123[1:0]),
1402 .din1 ({cache_col_offset_c3_top,cache_col_offset_c3_bot})
1403 );
1404
1405n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_2 ff_cache_col_offset_c4_tog_001
1406 (
1407 .scan_in(ff_cache_col_offset_c4_tog_001_scanin[1:0]),
1408 .scan_out(ff_cache_col_offset_c4_tog_001_scanout[1:0]),
1409 .din (cache_col_offset_c4_tog_in_001[1:0]),
1410 .l1clk (l1clk_intnl),
1411 .dout (cache_col_offset_c4_tog_001[1:0]),
1412 .siclk(siclk),
1413 .soclk(soclk)
1414 );
1415
1416n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_2 ff_cache_col_offset_c4_tog_101
1417 (
1418 .scan_in(ff_cache_col_offset_c4_tog_101_scanin[1:0]),
1419 .scan_out(ff_cache_col_offset_c4_tog_101_scanout[1:0]),
1420 .din (cache_col_offset_c4_tog_in_101[1:0]),
1421 .l1clk (l1clk_intnl),
1422 .dout (cache_col_offset_c4_tog_101[1:0]),
1423 .siclk(siclk),
1424 .soclk(soclk)
1425 );
1426n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_2 ff_cache_col_offset_c4_tog_023
1427 (
1428 .scan_in(ff_cache_col_offset_c4_tog_023_scanin[1:0]),
1429 .scan_out(ff_cache_col_offset_c4_tog_023_scanout[1:0]),
1430 .din (cache_col_offset_c4_tog_in_023[1:0]),
1431 .l1clk (l1clk_intnl),
1432 .dout (cache_col_offset_c4_tog_023[1:0]),
1433 .siclk(siclk),
1434 .soclk(soclk)
1435 );
1436n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_2 ff_cache_col_offset_c4_tog_123
1437 (
1438 .scan_in(ff_cache_col_offset_c4_tog_123_scanin[1:0]),
1439 .scan_out(ff_cache_col_offset_c4_tog_123_scanout[1:0]),
1440 .din (cache_col_offset_c4_tog_in_123[1:0]),
1441 .l1clk (l1clk_intnl),
1442 .dout (cache_col_offset_c4_tog_123[1:0]),
1443 .siclk(siclk),
1444 .soclk(soclk)
1445 );
1446
1447
1448n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_4 ff_cache_col_offset_c4_001
1449 (
1450 .scan_in(ff_cache_col_offset_c4_001_scanin[3:0]),
1451 .scan_out(ff_cache_col_offset_c4_001_scanout[3:0]),
1452 .din (wr_inhibit_cache_col_offset_c3[3:0]),
1453 .l1clk (l1clk_intnl),
1454 .dout (cache_col_offset_c4_001[3:0]),
1455 .siclk(siclk),
1456 .soclk(soclk)
1457 );
1458
1459n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_4 ff_cache_col_offset_c4_101
1460 (
1461 .scan_in(ff_cache_col_offset_c4_101_scanin[3:0]),
1462 .scan_out(ff_cache_col_offset_c4_101_scanout[3:0]),
1463 .din (wr_inhibit_cache_col_offset_c3[3:0]),
1464 .l1clk (l1clk_intnl),
1465 .dout (cache_col_offset_c4_101[3:0]),
1466 .siclk(siclk),
1467 .soclk(soclk)
1468 );
1469n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_4 ff_cache_col_offset_c4_023
1470 (
1471 .scan_in(ff_cache_col_offset_c4_023_scanin[3:0]),
1472 .scan_out(ff_cache_col_offset_c4_023_scanout[3:0]),
1473 .din (wr_inhibit_cache_col_offset_c3[3:0]),
1474 .l1clk (l1clk_intnl),
1475 .dout (cache_col_offset_c4_023[3:0]),
1476 .siclk(siclk),
1477 .soclk(soclk)
1478 );
1479n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_4 ff_cache_col_offset_c4_123
1480 (
1481 .scan_in(ff_cache_col_offset_c4_123_scanin[3:0]),
1482 .scan_out(ff_cache_col_offset_c4_123_scanout[3:0]),
1483 .din (wr_inhibit_cache_col_offset_c3[3:0]),
1484 .l1clk (l1clk_intnl),
1485 .dout (cache_col_offset_c4_123[3:0]),
1486 .siclk(siclk),
1487 .soclk(soclk)
1488 );
1489
1490n2_l2d_ctrlio_cust_and_macro__width_4 and_cache_col_offset_c5_001_in
1491 (
1492 .dout (cache_col_offset_c5_001_in[3:0]),
1493 .din0 ({cache_col_offset_c4_tog_001[1:0],cache_col_offset_c4_tog_001[1:0]}),
1494 .din1 (cache_col_offset_c4_001[3:0])
1495 );
1496
1497n2_l2d_ctrlio_cust_and_macro__width_4 and_cache_col_offset_c5_101_in
1498 (
1499 .dout (cache_col_offset_c5_101_in[3:0]),
1500 .din0 ({cache_col_offset_c4_tog_101[1:0],cache_col_offset_c4_tog_101[1:0]}),
1501 .din1 (cache_col_offset_c4_101[3:0])
1502 );
1503
1504n2_l2d_ctrlio_cust_and_macro__width_4 and_cache_col_offset_c5_023_in
1505 (
1506 .dout (cache_col_offset_c5_023_in[3:0]),
1507 .din0 ({cache_col_offset_c4_tog_023[1:0],cache_col_offset_c4_tog_023[1:0]}),
1508 .din1 (cache_col_offset_c4_023[3:0])
1509 );
1510
1511n2_l2d_ctrlio_cust_and_macro__width_4 and_cache_col_offset_c5_123_in
1512 (
1513 .dout (cache_col_offset_c5_123_in[3:0]),
1514 .din0 ({cache_col_offset_c4_tog_123[1:0],cache_col_offset_c4_tog_123[1:0]}),
1515 .din1 (cache_col_offset_c4_123[3:0])
1516 );
1517
1518n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_4 ff_cache_col_offset_c5_001
1519 (
1520 .scan_in(ff_cache_col_offset_c5_001_scanin[3:0]),
1521 .scan_out(ff_cache_col_offset_c5_001_scanout[3:0]),
1522 .din (cache_col_offset_c5_001_in[3:0]),
1523 .l1clk (l1clk_intnl),
1524 .dout (cache_col_offset_c5_001[3:0]),
1525 .siclk(siclk),
1526 .soclk(soclk)
1527 );
1528
1529n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_4 ff_cache_col_offset_c5_101
1530 (
1531 .scan_in(ff_cache_col_offset_c5_101_scanin[3:0]),
1532 .scan_out(ff_cache_col_offset_c5_101_scanout[3:0]),
1533 .din (cache_col_offset_c5_101_in[3:0]),
1534 .l1clk (l1clk_intnl),
1535 .dout (cache_col_offset_c5_101[3:0]),
1536 .siclk(siclk),
1537 .soclk(soclk)
1538 );
1539n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_4 ff_cache_col_offset_c5_023
1540 (
1541 .scan_in(ff_cache_col_offset_c5_023_scanin[3:0]),
1542 .scan_out(ff_cache_col_offset_c5_023_scanout[3:0]),
1543 .din (cache_col_offset_c5_023_in[3:0]),
1544 .l1clk (l1clk_intnl),
1545 .dout (cache_col_offset_c5_023[3:0]),
1546 .siclk(siclk),
1547 .soclk(soclk)
1548 );
1549n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_4 ff_cache_col_offset_c5_123
1550 (
1551 .scan_in(ff_cache_col_offset_c5_123_scanin[3:0]),
1552 .scan_out(ff_cache_col_offset_c5_123_scanout[3:0]),
1553 .din (cache_col_offset_c5_123_in[3:0]),
1554 .l1clk (l1clk_intnl),
1555 .dout (cache_col_offset_c5_123[3:0]),
1556 .siclk(siclk),
1557 .soclk(soclk)
1558 );
1559
1560//assign cache_col_offset_c4_l_00 = ~(|{cache_col_offset_c4[2],cache_col_offset_c4[0]});
1561//assign cache_col_offset_c4_l_01 = ~(|{cache_col_offset_c4[2],cache_col_offset_c4[0]});
1562//assign cache_col_offset_c4_l_10 = ~(|{cache_col_offset_c4[3],cache_col_offset_c4[1]});
1563//assign cache_col_offset_c4_l_11 = ~(|{cache_col_offset_c4[3],cache_col_offset_c4[1]});
1564//assign cache_col_offset_c4_l_20 = ~(|{cache_col_offset_c4[2],cache_col_offset_c4[0]});
1565//assign cache_col_offset_c4_l_21 = ~(|{cache_col_offset_c4[2],cache_col_offset_c4[0]});
1566//assign cache_col_offset_c4_l_30 = ~(|{cache_col_offset_c4[3],cache_col_offset_c4[1]});
1567//assign cache_col_offset_c4_l_31 = ~(|{cache_col_offset_c4[3],cache_col_offset_c4[1]});
1568
1569assign cache_col_offset_c5_00[1:0] = {cache_col_offset_c5_001[2],cache_col_offset_c5_001[0]};
1570assign cache_col_offset_c5_01[1:0] = {cache_col_offset_c5_101[2],cache_col_offset_c5_101[0]};
1571assign cache_col_offset_c5_10[1:0] = {cache_col_offset_c5_001[3],cache_col_offset_c5_001[1]};
1572assign cache_col_offset_c5_11[1:0] = {cache_col_offset_c5_101[3],cache_col_offset_c5_101[1]};
1573assign cache_col_offset_c5_20[1:0] = {cache_col_offset_c5_023[2],cache_col_offset_c5_023[0]};
1574assign cache_col_offset_c5_21[1:0] = {cache_col_offset_c5_123[2],cache_col_offset_c5_123[0]};
1575assign cache_col_offset_c5_30[1:0] = {cache_col_offset_c5_023[3],cache_col_offset_c5_023[1]};
1576assign cache_col_offset_c5_31[1:0] = {cache_col_offset_c5_123[3],cache_col_offset_c5_123[1]};
1577
1578
1579// read writes signals
1580
1581
1582
1583
1584//assign cache_rd_wr_c3_00 = cache_rd_wr_c3 | tcu_array_wr_inhibit;
1585//assign cache_rd_wr_c3_01 = cache_rd_wr_c3 | tcu_array_wr_inhibit;
1586//assign cache_rd_wr_c3_10 = cache_rd_wr_c3 | tcu_array_wr_inhibit;
1587//assign cache_rd_wr_c3_11 = cache_rd_wr_c3 | tcu_array_wr_inhibit;
1588//assign cache_rd_wr_c3_20 = cache_rd_wr_c3 | tcu_array_wr_inhibit;
1589//assign cache_rd_wr_c3_21 = cache_rd_wr_c3 | tcu_array_wr_inhibit;
1590//assign cache_rd_wr_c3_30 = cache_rd_wr_c3 | tcu_array_wr_inhibit;
1591//assign cache_rd_wr_c3_31 = cache_rd_wr_c3 | tcu_array_wr_inhibit;
1592//assign cache_rd_wr_c3_next_stage = cache_rd_wr_c3 | tcu_array_wr_inhibit;
1593
1594n2_l2d_ctrlio_cust_or_macro__width_1 or_x111
1595 (
1596 .dout (cache_rd_wr_c3_generic),
1597 .din0 (l2t_l2d_rd_wr_c3),
1598 .din1 (1'b0)
1599 );
1600
1601n2_l2d_ctrlio_cust_or_macro__width_1 or_x112
1602 (
1603 .dout (cache_rd_wr_c3_next_stage),
1604 .din0 (l2t_l2d_rd_wr_c3),
1605 .din1 (1'b0)
1606 );
1607
1608
1609assign cache_rd_wr_c3_00 = cache_rd_wr_c3_generic;
1610assign cache_rd_wr_c3_01 = cache_rd_wr_c3_generic;
1611assign cache_rd_wr_c3_10 = cache_rd_wr_c3_generic;
1612assign cache_rd_wr_c3_11 = cache_rd_wr_c3_generic;
1613assign cache_rd_wr_c3_20 = cache_rd_wr_c3_generic;
1614assign cache_rd_wr_c3_21 = cache_rd_wr_c3_generic;
1615assign cache_rd_wr_c3_30 = cache_rd_wr_c3_generic;
1616assign cache_rd_wr_c3_31 = cache_rd_wr_c3_generic;
1617
1618n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_cache_rd_wr_c4
1619 (
1620 .scan_in(ff_cache_cache_rd_wr_c4_scanin[0:0]),
1621 .scan_out(ff_cache_cache_rd_wr_c4_scanout[0:0]),
1622 .din (cache_rd_wr_c3_next_stage),
1623 .l1clk (l1clk_intnl),
1624 .dout (cache_rd_wr_c4),
1625 .siclk(siclk),
1626 .soclk(soclk)
1627 );
1628
1629n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_cache_rd_wr_c5_00
1630 (
1631 .scan_in(ff_cache_cache_rd_wr_c5_00_scanin[0:0]),
1632 .scan_out(ff_cache_cache_rd_wr_c5_00_scanout[0:0]),
1633 .din (cache_rd_wr_c4),
1634 .l1clk (l1clk_intnl),
1635 .dout (cache_rd_wr_c5_00),
1636 .siclk(siclk),
1637 .soclk(soclk)
1638 );
1639
1640n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_cache_rd_wr_c5_01
1641 (
1642 .scan_in(ff_cache_cache_rd_wr_c5_01_scanin[0:0]),
1643 .scan_out(ff_cache_cache_rd_wr_c5_01_scanout[0:0]),
1644 .din (cache_rd_wr_c4),
1645 .l1clk (l1clk_intnl),
1646 .dout (cache_rd_wr_c5_01),
1647 .siclk(siclk),
1648 .soclk(soclk)
1649 );
1650
1651n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_cache_rd_wr_c5_20
1652 (
1653 .scan_in(ff_cache_cache_rd_wr_c5_20_scanin[0:0]),
1654 .scan_out(ff_cache_cache_rd_wr_c5_20_scanout[0:0]),
1655 .din (cache_rd_wr_c4),
1656 .l1clk (l1clk_intnl),
1657 .dout (cache_rd_wr_c5_20),
1658 .siclk(siclk),
1659 .soclk(soclk)
1660 );
1661
1662n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_cache_rd_wr_c5_21
1663 (
1664 .scan_in(ff_cache_cache_rd_wr_c5_21_scanin[0:0]),
1665 .scan_out(ff_cache_cache_rd_wr_c5_21_scanout[0:0]),
1666 .din (cache_rd_wr_c4),
1667 .l1clk (l1clk_intnl),
1668 .dout (cache_rd_wr_c5_21),
1669 .siclk(siclk),
1670 .soclk(soclk)
1671 );
1672
1673n2_l2d_ctrlio_cust_and_macro__width_8 and_slice_cache_rd_wr_c5_x
1674 (
1675 .dout ({cache_readen_c5_00,
1676 cache_readen_c5_01,
1677 cache_readen_c5_10,
1678 cache_readen_c5_11,
1679 cache_readen_c5_20,
1680 cache_readen_c5_21,
1681 cache_readen_c5_30,
1682 cache_readen_c5_31
1683 }),
1684 .din0 ({cache_rd_wr_c5_00,
1685 cache_rd_wr_c5_01,
1686 cache_rd_wr_c5_00,
1687 cache_rd_wr_c5_01,
1688 cache_rd_wr_c5_20,
1689 cache_rd_wr_c5_21,
1690 cache_rd_wr_c5_20,
1691 cache_rd_wr_c5_21
1692 }),
1693 .din1 ({8{tcu_array_wr_inhibit_n}})
1694 );
1695
1696
1697//assign cache_readen_c5_00 = cache_rd_wr_c5_00 & ~tcu_array_wr_inhibit;
1698//assign cache_readen_c5_01 = cache_rd_wr_c5_01 & ~tcu_array_wr_inhibit;
1699//assign cache_readen_c5_10 = cache_rd_wr_c5_00 & ~tcu_array_wr_inhibit;
1700//assign cache_readen_c5_11 = cache_rd_wr_c5_01 & ~tcu_array_wr_inhibit;
1701//assign cache_readen_c5_20 = cache_rd_wr_c5_20 & ~tcu_array_wr_inhibit;
1702//assign cache_readen_c5_21 = cache_rd_wr_c5_21 & ~tcu_array_wr_inhibit;
1703//assign cache_readen_c5_30 = cache_rd_wr_c5_20 & ~tcu_array_wr_inhibit;
1704//assign cache_readen_c5_31 = cache_rd_wr_c5_21 & ~tcu_array_wr_inhibit;
1705
1706
1707// word enables for writes
1708n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_16 ff_cache_word_en_c3
1709 (
1710 .scan_in(ff_cache_word_en_c3_scanin[15:0]),
1711 .scan_out(ff_cache_word_en_c3_scanout[15:0]),
1712 .din (l2t_l2d_word_en_c2[15:0]),
1713 .l1clk (l1clk_in),
1714 .dout (cache_word_en_c3[15:0]),
1715 .siclk(siclk),
1716 .soclk(soclk)
1717 );
1718
1719
1720
1721//assign cache_word_en_c3_11[3:0] = {cache_word_en_c3[4],cache_word_en_c3[6], cache_word_en_c3[12],cache_word_en_c3[14]};
1722//assign cache_word_en_c3_10[3:0] = {cache_word_en_c3[14],cache_word_en_c3[12], cache_word_en_c3[6],cache_word_en_c3[4]};
1723//assign cache_word_en_c3_01[3:0] = {cache_word_en_c3[0],cache_word_en_c3[2], cache_word_en_c3[8],cache_word_en_c3[10]};
1724//assign cache_word_en_c3_00[3:0] = {cache_word_en_c3[10],cache_word_en_c3[8], cache_word_en_c3[2],cache_word_en_c3[0]};
1725//assign cache_word_en_c3_21[3:0] = {cache_word_en_c3[1],cache_word_en_c3[3], cache_word_en_c3[9],cache_word_en_c3[11]};
1726//assign cache_word_en_c3_20[3:0] = {cache_word_en_c3[11],cache_word_en_c3[9], cache_word_en_c3[3],cache_word_en_c3[1]};
1727//assign cache_word_en_c3_31[3:0] = {cache_word_en_c3[5],cache_word_en_c3[7], cache_word_en_c3[13],cache_word_en_c3[15]};
1728//assign cache_word_en_c3_30[3:0] = {cache_word_en_c3[15],cache_word_en_c3[13], cache_word_en_c3[7],cache_word_en_c3[5]};
1729
1730
1731assign cache_word_en_c3_01[3:0] = {cache_word_en_c3[2], cache_word_en_c3[0],cache_word_en_c3[10],cache_word_en_c3[8]};
1732assign cache_word_en_c3_11[3:0] = {cache_word_en_c3[6], cache_word_en_c3[4],cache_word_en_c3[14],cache_word_en_c3[12]};
1733assign cache_word_en_c3_21[3:0] = {cache_word_en_c3[3], cache_word_en_c3[1],cache_word_en_c3[11],cache_word_en_c3[9] };
1734assign cache_word_en_c3_31[3:0] = {cache_word_en_c3[7], cache_word_en_c3[5],cache_word_en_c3[15],cache_word_en_c3[13]};
1735
1736assign cache_word_en_c3_00[3:0] = {cache_word_en_c3[10],cache_word_en_c3[8], cache_word_en_c3[2],cache_word_en_c3[0]};
1737assign cache_word_en_c3_10[3:0] = {cache_word_en_c3[14],cache_word_en_c3[12], cache_word_en_c3[6],cache_word_en_c3[4]};
1738assign cache_word_en_c3_20[3:0] = {cache_word_en_c3[11],cache_word_en_c3[9], cache_word_en_c3[3],cache_word_en_c3[1]};
1739assign cache_word_en_c3_30[3:0] = {cache_word_en_c3[15],cache_word_en_c3[13], cache_word_en_c3[7],cache_word_en_c3[5]};
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750// data going out
1751// sel fill data instead of store data.
1752
1753n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_sel_fbdecc_c4
1754 (
1755 .scan_in(ff_cache_sel_fbdecc_c4_scanin[0:0]),
1756 .scan_out(ff_cache_sel_fbdecc_c4_scanout[0:0]),
1757 .din (l2t_l2d_fbrd_c3),
1758 .l1clk (l1clk_in),
1759 .dout (cache_sel_fbdecc_c4),
1760 .siclk(siclk),
1761 .soclk(soclk)
1762 );
1763
1764n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_sel_fbdecc_c5
1765 (
1766 .scan_in(ff_cache_sel_fbdecc_c5_scanin[0:0]),
1767 .scan_out(ff_cache_sel_fbdecc_c5_scanout[0:0]),
1768 .din (cache_sel_fbdecc_c4),
1769 .l1clk (l1clk_intnl),
1770 .dout (cache_sel_fbdecc_c5),
1771 .siclk(siclk),
1772 .soclk(soclk)
1773 );
1774
1775
1776///
1777// This portion will concat and prepare store data
1778// it will then mux fill dat awith store data and drive it to memory
1779//
1780
1781
1782n2_l2d_ctrlio_cust_inv_macro__width_1 cache_sel_fbdecc_c5_inv_slice (
1783 .dout (cache_sel_fbdecc_c5_n),
1784 .din (cache_sel_fbdecc_c5)
1785 );
1786
1787n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_78 ff_l2t_l2d_stdecc_c3
1788 (
1789 .scan_in(ff_l2t_l2d_stdecc_c3_scanin[77:0]),
1790 .scan_out(ff_l2t_l2d_stdecc_c3_scanout[77:0]),
1791 .din (l2t_l2d_stdecc_c2[77:0]),
1792 .l1clk (l1clk_in),
1793 .dout (l2t_l2d_stdecc_c3[77:0]),
1794 .siclk(siclk),
1795 .soclk(soclk)
1796 );
1797
1798
1799
1800assign concat_st_decc_out_c3[623:0] = {l2t_l2d_stdecc_c3[77:0], l2t_l2d_stdecc_c3[77:0],
1801 l2t_l2d_stdecc_c3[77:0], l2t_l2d_stdecc_c3[77:0], l2t_l2d_stdecc_c3[77:0],
1802 l2t_l2d_stdecc_c3[77:0], l2t_l2d_stdecc_c3[77:0], l2t_l2d_stdecc_c3[77:0]};
1803
1804n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_1
1805 (
1806 .dout (cache_decc_in_c3[38:0]),
1807 .din0 (concat_st_decc_out_c3[38:0]),
1808 .din1 (l2b_l2d_fbdecc_c5[38:0]),
1809 .sel0 (cache_sel_fbdecc_c5_n),
1810 .sel1 (cache_sel_fbdecc_c5)
1811 );
1812n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_2
1813 (
1814 .dout (cache_decc_in_c3[77:39]),
1815 .din0 (concat_st_decc_out_c3[77:39]),
1816 .din1 (l2b_l2d_fbdecc_c5[77:39]),
1817 .sel0 (cache_sel_fbdecc_c5_n),
1818 .sel1 (cache_sel_fbdecc_c5)
1819 );
1820n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_3
1821 (
1822 .dout (cache_decc_in_c3[116:78]),
1823 .din0 (concat_st_decc_out_c3[116:78]),
1824 .din1 (l2b_l2d_fbdecc_c5[116:78]),
1825 .sel0 (cache_sel_fbdecc_c5_n),
1826 .sel1 (cache_sel_fbdecc_c5)
1827 );
1828n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_4
1829 (
1830 .dout (cache_decc_in_c3[155:117]),
1831 .din0 (concat_st_decc_out_c3[155:117]),
1832 .din1 (l2b_l2d_fbdecc_c5[155:117]),
1833 .sel0 (cache_sel_fbdecc_c5_n),
1834 .sel1 (cache_sel_fbdecc_c5)
1835 );
1836n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_5
1837 (
1838 .dout (cache_decc_in_c3[194:156]),
1839 .din0 (concat_st_decc_out_c3[194:156]),
1840 .din1 (l2b_l2d_fbdecc_c5[194:156]),
1841 .sel0 (cache_sel_fbdecc_c5_n),
1842 .sel1 (cache_sel_fbdecc_c5)
1843 );
1844n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_6
1845 (
1846 .dout (cache_decc_in_c3[233:195]),
1847 .din0 (concat_st_decc_out_c3[233:195]),
1848 .din1 (l2b_l2d_fbdecc_c5[233:195]),
1849 .sel0 (cache_sel_fbdecc_c5_n),
1850 .sel1 (cache_sel_fbdecc_c5)
1851 );
1852n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_7
1853 (
1854 .dout (cache_decc_in_c3[272:234]),
1855 .din0 (concat_st_decc_out_c3[272:234]),
1856 .din1 (l2b_l2d_fbdecc_c5[272:234]),
1857 .sel0 (cache_sel_fbdecc_c5_n),
1858 .sel1 (cache_sel_fbdecc_c5)
1859 );
1860n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_8
1861 (
1862 .dout (cache_decc_in_c3[311:273]),
1863 .din0 (concat_st_decc_out_c3[311:273]),
1864 .din1 (l2b_l2d_fbdecc_c5[311:273]),
1865 .sel0 (cache_sel_fbdecc_c5_n),
1866 .sel1 (cache_sel_fbdecc_c5)
1867 );
1868n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_9
1869 (
1870 .dout (cache_decc_in_c3[350:312]),
1871 .din0 (concat_st_decc_out_c3[350:312]),
1872 .din1 (l2b_l2d_fbdecc_c5[350:312]),
1873 .sel0 (cache_sel_fbdecc_c5_n),
1874 .sel1 (cache_sel_fbdecc_c5)
1875 );
1876n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_10
1877 (
1878 .dout (cache_decc_in_c3[389:351]),
1879 .din0 (concat_st_decc_out_c3[389:351]),
1880 .din1 (l2b_l2d_fbdecc_c5[389:351]),
1881 .sel0 (cache_sel_fbdecc_c5_n),
1882 .sel1 (cache_sel_fbdecc_c5)
1883 );
1884n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_11
1885 (
1886 .dout (cache_decc_in_c3[428:390]),
1887 .din0 (concat_st_decc_out_c3[428:390]),
1888 .din1 (l2b_l2d_fbdecc_c5[428:390]),
1889 .sel0 (cache_sel_fbdecc_c5_n),
1890 .sel1 (cache_sel_fbdecc_c5)
1891 );
1892n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_12
1893 (
1894 .dout (cache_decc_in_c3[467:429]),
1895 .din0 (concat_st_decc_out_c3[467:429]),
1896 .din1 (l2b_l2d_fbdecc_c5[467:429]),
1897 .sel0 (cache_sel_fbdecc_c5_n),
1898 .sel1 (cache_sel_fbdecc_c5)
1899 );
1900n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_13
1901 (
1902 .dout (cache_decc_in_c3[506:468]),
1903 .din0 (concat_st_decc_out_c3[506:468]),
1904 .din1 (l2b_l2d_fbdecc_c5[506:468]),
1905 .sel0 (cache_sel_fbdecc_c5_n),
1906 .sel1 (cache_sel_fbdecc_c5)
1907 );
1908n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_14
1909 (
1910 .dout (cache_decc_in_c3[545:507]),
1911 .din0 (concat_st_decc_out_c3[545:507]),
1912 .din1 (l2b_l2d_fbdecc_c5[545:507]),
1913 .sel0 (cache_sel_fbdecc_c5_n),
1914 .sel1 (cache_sel_fbdecc_c5)
1915 );
1916n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_15
1917 (
1918 .dout (cache_decc_in_c3[584:546]),
1919 .din0 (concat_st_decc_out_c3[584:546]),
1920 .din1 (l2b_l2d_fbdecc_c5[584:546]),
1921 .sel0 (cache_sel_fbdecc_c5_n),
1922 .sel1 (cache_sel_fbdecc_c5)
1923 );
1924n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 mux_cache_decc_in_c3_16
1925 (
1926 .dout (cache_decc_in_c3[623:585]),
1927 .din0 (concat_st_decc_out_c3[623:585]),
1928 .din1 (l2b_l2d_fbdecc_c5[623:585]),
1929 .sel0 (cache_sel_fbdecc_c5_n),
1930 .sel1 (cache_sel_fbdecc_c5)
1931 );
1932
1933
1934// CHANGE 1
1935//always@(negedge l1clk)
1936//begin
1937// cache_decc_in_c3b_l[623:0] <= ~cache_decc_in_c3[623:0];
1938//end
1939//
1940
1941n2_l2d_ctrlio_cust_tisram_blbi_macro__width_624 j3
1942 (
1943 .l1clk ( l1clk_intnl),
1944 .d_a (cache_decc_in_c3[623:0]),
1945 .q_b_l (cache_decc_in_c3b_l[623:0])
1946 );
1947
1948
1949//assign cache_decc_in_c3b_l[623:0] = ~cache_decc_in_c3b[623:0];
1950
1951//
1952// This portion will bypass filldata
1953// and send out read data from meory or filldata
1954
1955// sel fill buffer data over l2$ data.
1956
1957n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_fb_hit_c4
1958 (
1959 .scan_in(ff_cache_fb_hit_c4_scanin[0:0]),
1960 .scan_out(ff_cache_fb_hit_c4_scanout[0:0]),
1961 .din (l2t_l2d_fb_hit_c3),
1962 .l1clk (l1clk_in),
1963 .dout (cache_fb_hit_c4),
1964 .siclk(siclk),
1965 .soclk(soclk)
1966 );
1967
1968n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_fb_hit_c5
1969 (
1970 .scan_in(ff_cache_fb_hit_c5_scanin[0:0]),
1971 .scan_out(ff_cache_fb_hit_c5_scanout[0:0]),
1972 .din (cache_fb_hit_c4),
1973 .l1clk (l1clk_intnl),
1974 .dout (cache_fb_hit_c5),
1975 .siclk(siclk),
1976 .soclk(soclk)
1977 );
1978
1979n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 ff_cache_fb_hit_c52
1980 (
1981 .scan_in(ff_cache_fb_hit_c52_scanin[0:0]),
1982 .scan_out(ff_cache_fb_hit_c52_scanout[0:0]),
1983 .din (cache_fb_hit_c5),
1984 .l1clk (l1clk_intnl),
1985 .dout (cache_fb_hit_c52),
1986 .siclk(siclk),
1987 .soclk(soclk)
1988 );
1989
1990n2_l2d_ctrlio_cust_inv_macro__width_1 cache_fb_hit_c52_inv_slice (
1991 .dout (cache_fb_hit_c52_n),
1992 .din (cache_fb_hit_c52)
1993 );
1994
1995n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_lo0_1
1996 (
1997 .scan_in(ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[38:0]),
1998 .scan_out(ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[38:0]),
1999 .dout (l2b_l2d_fbdecc_c52[38:0]),
2000 .din (l2b_l2d_fbdecc_c5[38:0]),
2001 .l1clk (l1clk_intnl),
2002 .siclk(siclk),
2003 .soclk(soclk)
2004 );
2005n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_lo0_2
2006 (
2007 .scan_in(ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[38:0]),
2008 .scan_out(ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[38:0]),
2009 .dout (l2b_l2d_fbdecc_c52[77:39]),
2010 .din (l2b_l2d_fbdecc_c5[77:39]),
2011 .l1clk (l1clk_intnl),
2012 .siclk(siclk),
2013 .soclk(soclk)
2014 );
2015n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_hi0_1
2016 (
2017 .scan_in(ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[38:0]),
2018 .scan_out(ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[38:0]),
2019 .dout (l2b_l2d_fbdecc_c52[116:78]),
2020 .din (l2b_l2d_fbdecc_c5[116:78]),
2021 .l1clk (l1clk_intnl),
2022 .siclk(siclk),
2023 .soclk(soclk)
2024 );
2025n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_hi0_2
2026 (
2027 .scan_in(ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[38:0]),
2028 .scan_out(ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[38:0]),
2029 .dout (l2b_l2d_fbdecc_c52[155:117]),
2030 .din (l2b_l2d_fbdecc_c5[155:117]),
2031 .l1clk (l1clk_intnl),
2032 .siclk(siclk),
2033 .soclk(soclk)
2034 );
2035n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_lo0_3
2036 (
2037 .scan_in(ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[38:0]),
2038 .scan_out(ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[38:0]),
2039 .dout (l2b_l2d_fbdecc_c52[194:156]),
2040 .din (l2b_l2d_fbdecc_c5[194:156]),
2041 .l1clk (l1clk_intnl),
2042 .siclk(siclk),
2043 .soclk(soclk)
2044 );
2045n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_lo0_4
2046 (
2047 .scan_in(ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[38:0]),
2048 .scan_out(ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[38:0]),
2049 .dout (l2b_l2d_fbdecc_c52[233:195]),
2050 .din (l2b_l2d_fbdecc_c5[233:195]),
2051 .l1clk (l1clk_intnl),
2052 .siclk(siclk),
2053 .soclk(soclk)
2054 );
2055n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_hi0_3
2056 (
2057 .scan_in(ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[38:0]),
2058 .scan_out(ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[38:0]),
2059 .dout (l2b_l2d_fbdecc_c52[272:234]),
2060 .din (l2b_l2d_fbdecc_c5[272:234]),
2061 .l1clk (l1clk_intnl),
2062 .siclk(siclk),
2063 .soclk(soclk)
2064 );
2065n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_hi0_4
2066 (
2067 .scan_in(ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[38:0]),
2068 .scan_out(ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[38:0]),
2069 .dout (l2b_l2d_fbdecc_c52[311:273]),
2070 .din (l2b_l2d_fbdecc_c5[311:273]),
2071 .l1clk (l1clk_intnl),
2072 .siclk(siclk),
2073 .soclk(soclk)
2074 );
2075
2076n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_lo1_1
2077 (
2078 .scan_in(ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[38:0]),
2079 .scan_out(ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[38:0]),
2080 .dout (l2b_l2d_fbdecc_c52[350:312]),
2081 .din (l2b_l2d_fbdecc_c5[350:312]),
2082 .l1clk (l1clk_intnl),
2083 .siclk(siclk),
2084 .soclk(soclk)
2085 );
2086n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_lo1_2
2087 (
2088 .scan_in(ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[38:0]),
2089 .scan_out(ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[38:0]),
2090 .dout (l2b_l2d_fbdecc_c52[389:351]),
2091 .din (l2b_l2d_fbdecc_c5[389:351]),
2092 .l1clk (l1clk_intnl),
2093 .siclk(siclk),
2094 .soclk(soclk)
2095 );
2096n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_hi1_1
2097 (
2098 .scan_in(ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[38:0]),
2099 .scan_out(ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[38:0]),
2100 .dout (l2b_l2d_fbdecc_c52[428:390]),
2101 .din (l2b_l2d_fbdecc_c5[428:390]),
2102 .l1clk (l1clk_intnl),
2103 .siclk(siclk),
2104 .soclk(soclk)
2105 );
2106n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_hi1_2
2107 (
2108 .scan_in(ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[38:0]),
2109 .scan_out(ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[38:0]),
2110 .dout (l2b_l2d_fbdecc_c52[467:429]),
2111 .din (l2b_l2d_fbdecc_c5[467:429]),
2112 .l1clk (l1clk_intnl),
2113 .siclk(siclk),
2114 .soclk(soclk)
2115 );
2116n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_lo1_3
2117 (
2118 .scan_in(ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[38:0]),
2119 .scan_out(ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[38:0]),
2120 .dout (l2b_l2d_fbdecc_c52[506:468]),
2121 .din (l2b_l2d_fbdecc_c5[506:468]),
2122 .l1clk (l1clk_intnl),
2123 .siclk(siclk),
2124 .soclk(soclk)
2125 );
2126n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_lo1_4
2127 (
2128 .scan_in(ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[38:0]),
2129 .scan_out(ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[38:0]),
2130 .dout (l2b_l2d_fbdecc_c52[545:507]),
2131 .din (l2b_l2d_fbdecc_c5[545:507]),
2132 .l1clk (l1clk_intnl),
2133 .siclk(siclk),
2134 .soclk(soclk)
2135 );
2136n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_hi1_3
2137 (
2138 .scan_in(ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[38:0]),
2139 .scan_out(ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[38:0]),
2140 .dout (l2b_l2d_fbdecc_c52[584:546]),
2141 .din (l2b_l2d_fbdecc_c5[584:546]),
2142 .l1clk (l1clk_intnl),
2143 .siclk(siclk),
2144 .soclk(soclk)
2145 );
2146n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2b_l2d_fbdecc_c52_hi1_4
2147 (
2148 .scan_in(ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[38:0]),
2149 .scan_out(ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[38:0]),
2150 .dout (l2b_l2d_fbdecc_c52[623:585]),
2151 .din (l2b_l2d_fbdecc_c5[623:585]),
2152 .l1clk (l1clk_intnl),
2153 .siclk(siclk),
2154 .soclk(soclk)
2155 );
2156
2157
2158// CHECK
2159
2160//assign cache_decc_out_c52= {cache_decc_out_3_c52[155:0], cache_decc_out_2_c52[155:0],
2161// cache_decc_out_1_c52[155:0],cache_decc_out_0_c52[155:0]};
2162
2163//mux_macro mux_rd_decc_out_c52_1 (width=39,ports=2,mux=aonpe)
2164// (
2165// .dout (rd_decc_out_c52[38:0]),
2166// .din0 (cache_decc_out_c52[38:0]),
2167// .din1 (l2b_l2d_fbdecc_c52[38:0]),
2168// .sel0 (cache_fb_hit_c52_n),
2169// .sel1 (cache_fb_hit_c52)
2170// );
2171//mux_macro mux_rd_decc_out_c52_2 (width=39,ports=2,mux=aonpe)
2172// (
2173// .dout (rd_decc_out_c52[77:39]),
2174// .din0 (cache_decc_out_c52[77:39]),
2175// .din1 (l2b_l2d_fbdecc_c52[77:39]),
2176// .sel0 (cache_fb_hit_c52_n),
2177// .sel1 (cache_fb_hit_c52)
2178// );
2179//mux_macro mux_rd_decc_out_c52_3 (width=39,ports=2,mux=aonpe)
2180// (
2181// .dout (rd_decc_out_c52[116:78]),
2182// .din0 (cache_decc_out_c52[116:78]),
2183// .din1 (l2b_l2d_fbdecc_c52[116:78]),
2184// .sel0 (cache_fb_hit_c52_n),
2185// .sel1 (cache_fb_hit_c52)
2186// );
2187//mux_macro mux_rd_decc_out_c52_4 (width=39,ports=2,mux=aonpe)
2188// (
2189// .dout (rd_decc_out_c52[155:117]),
2190// .din0 (cache_decc_out_c52[155:117]),
2191// .din1 (l2b_l2d_fbdecc_c52[155:117]),
2192// .sel0 (cache_fb_hit_c52_n),
2193// .sel1 (cache_fb_hit_c52)
2194// );
2195//mux_macro mux_rd_decc_out_c52_5 (width=39,ports=2,mux=aonpe)
2196// (
2197// .dout (rd_decc_out_c52[194:156]),
2198// .din0 (cache_decc_out_c52[194:156]),
2199// .din1 (l2b_l2d_fbdecc_c52[194:156]),
2200// .sel0 (cache_fb_hit_c52_n),
2201// .sel1 (cache_fb_hit_c52)
2202// );
2203//mux_macro mux_rd_decc_out_c52_6 (width=39,ports=2,mux=aonpe)
2204// (
2205// .dout (rd_decc_out_c52[233:195]),
2206// .din0 (cache_decc_out_c52[233:195]),
2207// .din1 (l2b_l2d_fbdecc_c52[233:195]),
2208// .sel0 (cache_fb_hit_c52_n),
2209// .sel1 (cache_fb_hit_c52)
2210// );
2211//mux_macro mux_rd_decc_out_c52_7 (width=39,ports=2,mux=aonpe)
2212// (
2213// .dout (rd_decc_out_c52[272:234]),
2214// .din0 (cache_decc_out_c52[272:234]),
2215// .din1 (l2b_l2d_fbdecc_c52[272:234]),
2216// .sel0 (cache_fb_hit_c52_n),
2217// .sel1 (cache_fb_hit_c52)
2218// );
2219//mux_macro mux_rd_decc_out_c52_8 (width=39,ports=2,mux=aonpe)
2220// (
2221// .dout (rd_decc_out_c52[311:273]),
2222// .din0 (cache_decc_out_c52[311:273]),
2223// .din1 (l2b_l2d_fbdecc_c52[311:273]),
2224// .sel0 (cache_fb_hit_c52_n),
2225// .sel1 (cache_fb_hit_c52)
2226// );
2227//mux_macro mux_rd_decc_out_c52_9 (width=39,ports=2,mux=aonpe)
2228// (
2229// .dout (rd_decc_out_c52[350:312]),
2230// .din0 (cache_decc_out_c52[350:312]),
2231// .din1 (l2b_l2d_fbdecc_c52[350:312]),
2232// .sel0 (cache_fb_hit_c52_n),
2233// .sel1 (cache_fb_hit_c52)
2234// );
2235//mux_macro mux_rd_decc_out_c52_10 (width=39,ports=2,mux=aonpe)
2236// (
2237// .dout (rd_decc_out_c52[389:351]),
2238// .din0 (cache_decc_out_c52[389:351]),
2239// .din1 (l2b_l2d_fbdecc_c52[389:351]),
2240// .sel0 (cache_fb_hit_c52_n),
2241// .sel1 (cache_fb_hit_c52)
2242// );
2243//mux_macro mux_rd_decc_out_c52_11 (width=39,ports=2,mux=aonpe)
2244// (
2245// .dout (rd_decc_out_c52[428:390]),
2246// .din0 (cache_decc_out_c52[428:390]),
2247// .din1 (l2b_l2d_fbdecc_c52[428:390]),
2248// .sel0 (cache_fb_hit_c52_n),
2249// .sel1 (cache_fb_hit_c52)
2250// );
2251//mux_macro mux_rd_decc_out_c52_12 (width=39,ports=2,mux=aonpe)
2252// (
2253// .dout (rd_decc_out_c52[467:429]),
2254// .din0 (cache_decc_out_c52[467:429]),
2255// .din1 (l2b_l2d_fbdecc_c52[467:429]),
2256// .sel0 (cache_fb_hit_c52_n),
2257// .sel1 (cache_fb_hit_c52)
2258// );
2259//mux_macro mux_rd_decc_out_c52_13 (width=39,ports=2,mux=aonpe)
2260// (
2261// .dout (rd_decc_out_c52[506:468]),
2262// .din0 (cache_decc_out_c52[506:468]),
2263// .din1 (l2b_l2d_fbdecc_c52[506:468]),
2264// .sel0 (cache_fb_hit_c52_n),
2265// .sel1 (cache_fb_hit_c52)
2266// );
2267//mux_macro mux_rd_decc_out_c52_14 (width=39,ports=2,mux=aonpe)
2268// (
2269// .dout (rd_decc_out_c52[545:507]),
2270// .din0 (cache_decc_out_c52[545:507]),
2271// .din1 (l2b_l2d_fbdecc_c52[545:507]),
2272// .sel0 (cache_fb_hit_c52_n),
2273// .sel1 (cache_fb_hit_c52)
2274// );
2275//mux_macro mux_rd_decc_out_c52_15 (width=39,ports=2,mux=aonpe)
2276// (
2277// .dout (rd_decc_out_c52[584:546]),
2278// .din0 (cache_decc_out_c52[584:546]),
2279// .din1 (l2b_l2d_fbdecc_c52[584:546]),
2280// .sel0 (cache_fb_hit_c52_n),
2281// .sel1 (cache_fb_hit_c52)
2282// );
2283//mux_macro mux_rd_decc_out_c52_16 (width=39,ports=2,mux=aonpe)
2284// (
2285// .dout (rd_decc_out_c52[623:585]),
2286// .din0 (cache_decc_out_c52[623:585]),
2287// .din1 (l2b_l2d_fbdecc_c52[623:585]),
2288// .sel0 (cache_fb_hit_c52_n),
2289// .sel1 (cache_fb_hit_c52)
2290// );
2291
2292//assign l2d_decc_out_c52[623:0] = rd_decc_out_c52[623:0];
2293
2294//split following bus into 39 chunk because of the scan connection
2295//msff_ctl_macro ff_l2d_decc_out_c6_0 (width=156)
2296// (
2297// .scan_in(ff_l2d_decc_out_c6_0_scanin),
2298// .scan_out(ff_l2d_decc_out_c6_0_scanout),
2299// .dout (l2d_decc_out_c6[155:0]),
2300// .din (l2d_l2t_decc_c52_0[155:0]),
2301// .l1clk (l1clk_evict_c6),
2302// );
2303//
2304//msff_ctl_macro ff_l2d_decc_out_c6_1 (width=156)
2305// (
2306// .scan_in(ff_l2d_decc_out_c6_1_scanin),
2307// .scan_out(ff_l2d_decc_out_c6_1_scanout),
2308// .dout (l2d_decc_out_c6[311:156]),
2309// .din (l2d_l2t_decc_c52_1[155:0]),
2310// .l1clk (l1clk_evict_c6),
2311// );
2312//
2313//msff_ctl_macro ff_l2d_decc_out_c6_2 (width=156)
2314// (
2315// .scan_in(ff_l2d_decc_out_c6_2_scanin),
2316// .scan_out(ff_l2d_decc_out_c6_2_scanout),
2317// .dout (l2d_decc_out_c6[467:312]),
2318// .din (l2d_l2t_decc_c52_2[155:0]),
2319// .l1clk (l1clk_evict_c6),
2320// );
2321//
2322//msff_ctl_macro ff_l2d_decc_out_c6_3 (width=156)
2323// (
2324// .scan_in(ff_l2d_decc_out_c6_3_scanin),
2325// .scan_out(ff_l2d_decc_out_c6_3_scanout),
2326// .dout (l2d_decc_out_c6[623:468]),
2327// .din (l2d_l2t_decc_c52_3[155:0]),
2328// .l1clk (l1clk_evict_c6),
2329// );
2330n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_lo0_1
2331 (
2332 .scan_in(ff_l2d_decc_out_c6_lo0_1_scanin[38:0]),
2333 .scan_out(ff_l2d_decc_out_c6_lo0_1_scanout[38:0]),
2334 .dout (l2d_decc_out_c6[38:0]),
2335 .din (l2d_l2t_decc_c52_0[38:0]),
2336 .l1clk (l1clk_evict_c6),
2337 .siclk(siclk),
2338 .soclk(soclk)
2339 );
2340n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_lo0_2
2341 (
2342 .scan_in(ff_l2d_decc_out_c6_lo0_2_scanin[38:0]),
2343 .scan_out(ff_l2d_decc_out_c6_lo0_2_scanout[38:0]),
2344 .dout (l2d_decc_out_c6[77:39]),
2345 .din (l2d_l2t_decc_c52_0[77:39]),
2346 .l1clk (l1clk_evict_c6),
2347 .siclk(siclk),
2348 .soclk(soclk)
2349 );
2350n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_hi0_1
2351 (
2352 .scan_in(ff_l2d_decc_out_c6_hi0_1_scanin[38:0]),
2353 .scan_out(ff_l2d_decc_out_c6_hi0_1_scanout[38:0]),
2354 .dout (l2d_decc_out_c6[116:78]),
2355 .din (l2d_l2t_decc_c52_0[116:78]),
2356 .l1clk (l1clk_evict_c6),
2357 .siclk(siclk),
2358 .soclk(soclk)
2359 );
2360n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_hi0_2
2361 (
2362 .scan_in(ff_l2d_decc_out_c6_hi0_2_scanin[38:0]),
2363 .scan_out(ff_l2d_decc_out_c6_hi0_2_scanout[38:0]),
2364 .dout (l2d_decc_out_c6[155:117]),
2365 .din (l2d_l2t_decc_c52_0[155:117]),
2366 .l1clk (l1clk_evict_c6),
2367 .siclk(siclk),
2368 .soclk(soclk)
2369 );
2370n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_lo0_3
2371 (
2372 .scan_in(ff_l2d_decc_out_c6_lo0_3_scanin[38:0]),
2373 .scan_out(ff_l2d_decc_out_c6_lo0_3_scanout[38:0]),
2374 .dout (l2d_decc_out_c6[194:156]),
2375 .din (l2d_l2t_decc_c52_1[38:0]),
2376 .l1clk (l1clk_evict_c6),
2377 .siclk(siclk),
2378 .soclk(soclk)
2379 );
2380n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_lo0_4
2381 (
2382 .scan_in(ff_l2d_decc_out_c6_lo0_4_scanin[38:0]),
2383 .scan_out(ff_l2d_decc_out_c6_lo0_4_scanout[38:0]),
2384 .dout (l2d_decc_out_c6[233:195]),
2385 .din (l2d_l2t_decc_c52_1[77:39]),
2386 .l1clk (l1clk_evict_c6),
2387 .siclk(siclk),
2388 .soclk(soclk)
2389 );
2390n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_hi0_3
2391 (
2392 .scan_in(ff_l2d_decc_out_c6_hi0_3_scanin[38:0]),
2393 .scan_out(ff_l2d_decc_out_c6_hi0_3_scanout[38:0]),
2394 .dout (l2d_decc_out_c6[272:234]),
2395 .din (l2d_l2t_decc_c52_1[116:78]),
2396 .l1clk (l1clk_evict_c6),
2397 .siclk(siclk),
2398 .soclk(soclk)
2399 );
2400n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_hi0_4
2401 (
2402 .scan_in(ff_l2d_decc_out_c6_hi0_4_scanin[38:0]),
2403 .scan_out(ff_l2d_decc_out_c6_hi0_4_scanout[38:0]),
2404 .dout (l2d_decc_out_c6[311:273]),
2405 .din (l2d_l2t_decc_c52_1[155:117]),
2406 .l1clk (l1clk_evict_c6),
2407 .siclk(siclk),
2408 .soclk(soclk)
2409 );
2410
2411n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_lo1_1
2412 (
2413 .scan_in(ff_l2d_decc_out_c6_lo1_1_scanin[38:0]),
2414 .scan_out(ff_l2d_decc_out_c6_lo1_1_scanout[38:0]),
2415 .dout (l2d_decc_out_c6[350:312]),
2416 .din (l2d_l2t_decc_c52_2[38:0]),
2417 .l1clk (l1clk_evict_c6),
2418 .siclk(siclk),
2419 .soclk(soclk)
2420 );
2421n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_lo1_2
2422 (
2423 .scan_in(ff_l2d_decc_out_c6_lo1_2_scanin[38:0]),
2424 .scan_out(ff_l2d_decc_out_c6_lo1_2_scanout[38:0]),
2425 .dout (l2d_decc_out_c6[389:351]),
2426 .din (l2d_l2t_decc_c52_2[77:39]),
2427 .l1clk (l1clk_evict_c6),
2428 .siclk(siclk),
2429 .soclk(soclk)
2430 );
2431n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_hi1_1
2432 (
2433 .scan_in(ff_l2d_decc_out_c6_hi1_1_scanin[38:0]),
2434 .scan_out(ff_l2d_decc_out_c6_hi1_1_scanout[38:0]),
2435 .dout (l2d_decc_out_c6[428:390]),
2436 .din (l2d_l2t_decc_c52_2[116:78]),
2437 .l1clk (l1clk_evict_c6),
2438 .siclk(siclk),
2439 .soclk(soclk)
2440 );
2441n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_hi1_2
2442 (
2443 .scan_in(ff_l2d_decc_out_c6_hi1_2_scanin[38:0]),
2444 .scan_out(ff_l2d_decc_out_c6_hi1_2_scanout[38:0]),
2445 .dout (l2d_decc_out_c6[467:429]),
2446 .din (l2d_l2t_decc_c52_2[155:117]),
2447 .l1clk (l1clk_evict_c6),
2448 .siclk(siclk),
2449 .soclk(soclk)
2450 );
2451n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_lo1_3
2452 (
2453 .scan_in(ff_l2d_decc_out_c6_lo1_3_scanin[38:0]),
2454 .scan_out(ff_l2d_decc_out_c6_lo1_3_scanout[38:0]),
2455 .dout (l2d_decc_out_c6[506:468]),
2456 .din (l2d_l2t_decc_c52_3[38:0]),
2457 .l1clk (l1clk_evict_c6),
2458 .siclk(siclk),
2459 .soclk(soclk)
2460 );
2461n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_lo1_4
2462 (
2463 .scan_in(ff_l2d_decc_out_c6_lo1_4_scanin[38:0]),
2464 .scan_out(ff_l2d_decc_out_c6_lo1_4_scanout[38:0]),
2465 .dout (l2d_decc_out_c6[545:507]),
2466 .din (l2d_l2t_decc_c52_3[77:39]),
2467 .l1clk (l1clk_evict_c6),
2468 .siclk(siclk),
2469 .soclk(soclk)
2470 );
2471n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_hi1_3
2472 (
2473 .scan_in(ff_l2d_decc_out_c6_hi1_3_scanin[38:0]),
2474 .scan_out(ff_l2d_decc_out_c6_hi1_3_scanout[38:0]),
2475 .dout (l2d_decc_out_c6[584:546]),
2476 .din (l2d_l2t_decc_c52_3[116:78]),
2477 .l1clk (l1clk_evict_c6),
2478 .siclk(siclk),
2479 .soclk(soclk)
2480 );
2481n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 ff_l2d_decc_out_c6_hi1_4
2482 (
2483 .scan_in(ff_l2d_decc_out_c6_hi1_4_scanin[38:0]),
2484 .scan_out(ff_l2d_decc_out_c6_hi1_4_scanout[38:0]),
2485 .dout (l2d_decc_out_c6[623:585]),
2486 .din (l2d_l2t_decc_c52_3[155:117]),
2487 .l1clk (l1clk_evict_c6),
2488 .siclk(siclk),
2489 .soclk(soclk)
2490 );
2491
2492
2493////////////////////////////////////////////////////////////////////////
2494// The 64B-16B mux will be performed in the full custom data array.
2495// if the col offsets are non one hot, l2t will cause the hold signal
2496// to be high causing the output mux to hold its old value.
2497////////////////////////////////////////////////////////////////////////
2498//assign sel_top = cache_col_offset_c52[0] | cache_col_offset_c52[2];
2499//assign sel_bot = cache_col_offset_c52[1] | cache_col_offset_c52[3];
2500//
2501//assign l2d_l2t_decc_c52[155:0] = sel_top ? cache_decc_out_top_c52b[155:0] :
2502// cache_decc_out_bot_c52b[155:0];
2503
2504//reg [155:0] cache_decc_out_0_c52;
2505//reg [155:0] cache_decc_out_1_c52;
2506//reg [155:0] cache_decc_out_2_c52;
2507//reg [155:0] cache_decc_out_3_c52;
2508
2509//CHANGE 2
2510//always@(posedge l1clk)
2511//begin
2512//
2513// cache_decc_out_0_c52_d[155:0] <= cache_decc_out_c52b_l[155:0];
2514// cache_decc_out_1_c52_d[155:0] <= cache_decc_out_c52b_l[311:156];
2515// cache_decc_out_2_c52_d[155:0] <= cache_decc_out_c52b_l[467:312];
2516// cache_decc_out_3_c52_d[155:0] <= cache_decc_out_c52b_l[623:468];
2517//end
2518
2519n2_l2d_ctrlio_cust_tisram_bla_macro__width_156 ff_cache_decc_out_0_c52_d
2520 (
2521 .q_a (cache_decc_out_0_c52[155:0]),
2522 .d_b (cache_decc_out_c5b[155:0]),
2523 .l1clk (l1clk_intnl)
2524 );
2525
2526n2_l2d_ctrlio_cust_tisram_bla_macro__width_156 ff_cache_decc_out_1_c52_d
2527 (
2528 .q_a (cache_decc_out_1_c52[155:0]),
2529 .d_b (cache_decc_out_c5b[311:156]),
2530 .l1clk (l1clk_intnl)
2531 );
2532
2533
2534n2_l2d_ctrlio_cust_tisram_bla_macro__width_156 ff_cache_decc_out_2_c52_d
2535 (
2536 .q_a (cache_decc_out_2_c52[155:0]),
2537 .d_b (cache_decc_out_c5b[467:312]),
2538 .l1clk (l1clk_intnl)
2539 );
2540
2541n2_l2d_ctrlio_cust_tisram_bla_macro__width_156 ff_cache_decc_out_3_c52_d
2542 (
2543 .q_a (cache_decc_out_3_c52[155:0]),
2544 .d_b (cache_decc_out_c5b[623:468]),
2545 .l1clk (l1clk_intnl)
2546 );
2547
2548
2549// The following portion of the code is used for verification
2550// synopsys translate_off
2551assign cache_decc_out_c52[623:0] = {cache_decc_out_3_c52[155:0],cache_decc_out_2_c52[155:0],
2552 cache_decc_out_1_c52[155:0],cache_decc_out_0_c52[155:0]};
2553
2554assign cache_rd_wr_c3 = l2t_l2d_rd_wr_c3;
2555// synopsys translate_on
2556
2557
2558// CHANGE 10
2559//always@(negedge l1clk)
2560//begin
2561// cache_decc_out_0_c52b[155:0] <= cache_decc_out_0_c52[155:0];
2562// cache_decc_out_1_c52b[155:0] <= cache_decc_out_1_c52[155:0];
2563// cache_decc_out_2_c52b[155:0] <= cache_decc_out_2_c52[155:0];
2564// cache_decc_out_3_c52b[155:0] <= cache_decc_out_3_c52[155:0];
2565//end
2566//
2567
2568
2569//always@(negedge l1clk)
2570//begin
2571// //l2b_l2d_fbdecc_c52b[623:0] <= l2b_l2d_fbdecc_c52[623:0];
2572// //cache_fb_hit_c52b <= cache_fb_hit_c52;
2573// //cache_col_offset_c52b[3:0] <= cache_col_offset_c52[3:0];
2574//end
2575
2576//mux_macro mux_cache_data_out_c52 (width=156,ports=4,mux=aonpe,stack=156c)
2577// (
2578// .dout (cache_data_out_c52[155:0]),
2579// .din0 (cache_decc_out_0_c52[155:0]),
2580// .din1 (cache_decc_out_1_c52[155:0]),
2581// .din2 (cache_decc_out_2_c52[155:0]),
2582// .din3 (cache_decc_out_3_c52[155:0]),
2583// .sel0 (cache_col_offset_c52[0]),
2584// .sel1 (cache_col_offset_c52[1]),
2585// .sel2 (cache_col_offset_c52[2]),
2586// .sel3 (cache_col_offset_c52[3])
2587// );
2588
2589//always@(cache_decc_out_0_c52 or cache_decc_out_1_c52 or cache_decc_out_2_c52
2590// or cache_decc_out_3_c52 or cache_col_offset_c52 or l2b_l2d_fbdecc_c52 or cache_fb_hit_c52)
2591//begin
2592//case(cache_col_offset_c52)
2593//4'b0001 : begin
2594// fill_bypass_data_c52[155:0] = l2b_l2d_fbdecc_c52[155:0];
2595// end
2596//4'b0010 : begin
2597// fill_bypass_data_c52[155:0] = l2b_l2d_fbdecc_c52[311:156];
2598// end
2599//4'b0100 : begin
2600// fill_bypass_data_c52[155:0] = l2b_l2d_fbdecc_c52[467:312];
2601// end
2602//4'b1000 : begin
2603// fill_bypass_data_c52[155:0] = l2b_l2d_fbdecc_c52[623:468];
2604// end
2605//default : begin
2606// fill_bypass_data_c52[155:0] = 156'b0;
2607// end
2608//endcase
2609//end
2610
2611//mux_macro mux_fill_bypass_data_c52 (width=156,ports=4,mux=aonpe,stack=156c)
2612// (
2613// .dout (fill_bypass_data_c52[155:0]),
2614// .din0 (l2b_l2d_fbdecc_c52[155:0]),
2615// .din1 (l2b_l2d_fbdecc_c52[311:156]),
2616// .din2 (l2b_l2d_fbdecc_c52[467:312]),
2617// .din3 (l2b_l2d_fbdecc_c52[623:468]),
2618// .sel0 (cache_col_offset_c52[0]),
2619// .sel1 (cache_col_offset_c52[1]),
2620// .sel2 (cache_col_offset_c52[2]),
2621// .sel3 (cache_col_offset_c52[3])
2622// );
2623
2624//assign l2d_l2t_decc_c52[155:0] = cache_fb_hit_c52 ?
2625// fill_bypass_data_c52[155:0] : cache_data_out_c52[155:0] ;
2626
2627//inv_macro inv_cache_fb_hit_c52 (width=1)
2628// (
2629// .dout (cache_fb_hit_c52_n),
2630// .din (cache_fb_hit_c52)
2631// );
2632
2633n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__stack_156c__width_156 mux_l2d_l2t_decc_c52_0
2634 (
2635 .dout (l2d_l2t_decc_c52_0[155:0]),
2636 .din0 (l2b_l2d_fbdecc_c52[155:0]),
2637 .din1 (cache_decc_out_0_c52[155:0]),
2638 .sel0 (cache_fb_hit_c52),
2639 .sel1 (cache_fb_hit_c52_n)
2640 );
2641
2642n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__stack_156c__width_156 mux_l2d_l2t_decc_c52_1
2643 (
2644 .dout (l2d_l2t_decc_c52_1[155:0]),
2645 .din0 (l2b_l2d_fbdecc_c52[311:156]),
2646 .din1 (cache_decc_out_1_c52[155:0]),
2647 .sel0 (cache_fb_hit_c52),
2648 .sel1 (cache_fb_hit_c52_n)
2649 );
2650
2651
2652n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__stack_156c__width_156 mux_l2d_l2t_decc_c52_2
2653 (
2654 .dout (l2d_l2t_decc_c52_2[155:0]),
2655 .din0 (l2b_l2d_fbdecc_c52[467:312]),
2656 .din1 (cache_decc_out_2_c52[155:0]),
2657 .sel0 (cache_fb_hit_c52),
2658 .sel1 (cache_fb_hit_c52_n)
2659 );
2660
2661n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__stack_156c__width_156 mux_l2d_l2t_decc_c52_3
2662 (
2663 .dout (l2d_l2t_decc_c52_3[155:0]),
2664 .din0 (l2b_l2d_fbdecc_c52[623:468]),
2665 .din1 (cache_decc_out_3_c52[155:0]),
2666 .sel0 (cache_fb_hit_c52),
2667 .sel1 (cache_fb_hit_c52_n)
2668 );
2669
2670n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__stack_156c__width_156 mux_l2d_l2t_decc_c52_20
2671 (
2672 .dout (l2d_l2t_decc_c52_20[155:0]),
2673 .din0 (l2d_l2t_decc_c52_2[155:0]),
2674 .din1 (l2d_l2t_decc_c52_0[155:0]),
2675 .sel0 (cache_col_offset_c52[2]),
2676 .sel1 (~cache_col_offset_c52[2])
2677 );
2678
2679n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__stack_156c__width_156 mux_l2d_l2t_decc_c52_31
2680 (
2681 .dout (l2d_l2t_decc_c52_31[155:0]),
2682 .din0 (l2d_l2t_decc_c52_3[155:0]),
2683 .din1 (l2d_l2t_decc_c52_1[155:0]),
2684 .sel0 (cache_col_offset_c52[3]),
2685 .sel1 (~cache_col_offset_c52[3])
2686 );
2687
2688n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__stack_156c__width_156 mux_l2d_l2t_decc_c52_topsel
2689 (
2690 .dout (l2d_l2t_decc_c52_mux[155:0]),
2691 .din0 (l2d_l2t_decc_c52_31[155:0]),
2692 .din1 (l2d_l2t_decc_c52_20[155:0]),
2693 .sel0 (cache_col_offset_c52_topsel),
2694 .sel1 (~cache_col_offset_c52_topsel)
2695 );
2696
2697//msff_ctl_macro ff_l2d_l2t_decc_c6 (width=156)
2698// (
2699// .scan_in(ff_l2d_l2t_decc_c6_scanin),
2700// .scan_out(ff_l2d_l2t_decc_c6_scanout),
2701// .dout (l2d_l2t_decc_c6[155:0]),
2702// .din (l2d_l2t_decc_c52_topsel[155:0]),
2703// .l1clk (l1clk_intnl),
2704// );
2705
2706
2707
2708
2709
2710// tcu signals
2711
2712assign tcu_pce_ov_00 = tcu_pce_ov;
2713assign tcu_pce_ov_01 = tcu_pce_ov;
2714assign tcu_pce_ov_10 = tcu_pce_ov;
2715assign tcu_pce_ov_11 = tcu_pce_ov;
2716assign tcu_pce_ov_20 = tcu_pce_ov;
2717assign tcu_pce_ov_21 = tcu_pce_ov;
2718assign tcu_pce_ov_30 = tcu_pce_ov;
2719assign tcu_pce_ov_31 = tcu_pce_ov;
2720assign tcu_pce_00 = tcu_ce;
2721assign tcu_pce_01 = tcu_ce;
2722assign tcu_pce_10 = tcu_ce;
2723assign tcu_pce_11 = tcu_ce;
2724assign tcu_pce_20 = tcu_ce;
2725assign tcu_pce_21 = tcu_ce;
2726assign tcu_pce_30 = tcu_ce;
2727assign tcu_pce_31 = tcu_ce;
2728assign tcu_clk_stop_00 = tcu_clk_stop;
2729assign tcu_clk_stop_01 = tcu_clk_stop;
2730assign tcu_clk_stop_10 = tcu_clk_stop;
2731assign tcu_clk_stop_11 = tcu_clk_stop;
2732assign tcu_clk_stop_20 = tcu_clk_stop;
2733assign tcu_clk_stop_21 = tcu_clk_stop;
2734assign tcu_clk_stop_30 = tcu_clk_stop;
2735assign tcu_clk_stop_31 = tcu_clk_stop;
2736
2737
2738assign se_00 = tcu_scan_en;
2739assign se_01 = tcu_scan_en;
2740assign se_10 = tcu_scan_en;
2741assign se_11 = tcu_scan_en;
2742assign se_20 = tcu_scan_en;
2743assign se_21 = tcu_scan_en;
2744assign se_30 = tcu_scan_en;
2745assign se_31 = tcu_scan_en;
2746
2747// Redudancy
2748
2749wire [4:0] fuse_l2d_rid_100;
2750wire [4:0] fuse_l2d_rid_110;
2751wire [4:0] fuse_l2d_rid_101;
2752wire [4:0] fuse_l2d_rid_111;
2753wire [4:0] fuse_l2d_rid_120;
2754wire [4:0] fuse_l2d_rid_121;
2755wire [4:0] fuse_l2d_rid_130;
2756wire [4:0] fuse_l2d_rid_131;
2757wire [4:0] fuse_l2d_rid_000;
2758wire [4:0] fuse_l2d_rid_001;
2759wire [4:0] fuse_l2d_rid_010;
2760wire [4:0] fuse_l2d_rid_011;
2761wire [4:0] fuse_l2d_rid_020;
2762wire [4:0] fuse_l2d_rid_021;
2763wire [4:0] fuse_l2d_rid_030;
2764wire [4:0] fuse_l2d_rid_031;
2765
2766//assign fuse_l2d_rid_111[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b0000),(l2b_l2d_fuse_rid_d[6:3] == 4'b0001),l2b_l2d_fuse_rid_d[2:0]};
2767//assign fuse_l2d_rid_011[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b0000),(l2b_l2d_fuse_rid_d[6:3] == 4'b0001),l2b_l2d_fuse_rid_d[2:0]};
2768//assign fuse_l2d_rid_101[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b0010),(l2b_l2d_fuse_rid_d[6:3] == 4'b0011),l2b_l2d_fuse_rid_d[2:0]};
2769//assign fuse_l2d_rid_001[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b0010),(l2b_l2d_fuse_rid_d[6:3] == 4'b0011),l2b_l2d_fuse_rid_d[2:0]};
2770//assign fuse_l2d_rid_110[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b0100),(l2b_l2d_fuse_rid_d[6:3] == 4'b0101),l2b_l2d_fuse_rid_d[2:0]};
2771//assign fuse_l2d_rid_010[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b0100),(l2b_l2d_fuse_rid_d[6:3] == 4'b0101),l2b_l2d_fuse_rid_d[2:0]};
2772//assign fuse_l2d_rid_100[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b0110),(l2b_l2d_fuse_rid_d[6:3] == 4'b0111),l2b_l2d_fuse_rid_d[2:0]};
2773//assign fuse_l2d_rid_000[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b0110),(l2b_l2d_fuse_rid_d[6:3] == 4'b0111),l2b_l2d_fuse_rid_d[2:0]};
2774//assign fuse_l2d_rid_131[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b1000),(l2b_l2d_fuse_rid_d[6:3] == 4'b1001),l2b_l2d_fuse_rid_d[2:0]};
2775//assign fuse_l2d_rid_031[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b1000),(l2b_l2d_fuse_rid_d[6:3] == 4'b1001),l2b_l2d_fuse_rid_d[2:0]};
2776//assign fuse_l2d_rid_121[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b1010),(l2b_l2d_fuse_rid_d[6:3] == 4'b1011),l2b_l2d_fuse_rid_d[2:0]};
2777//assign fuse_l2d_rid_021[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b1010),(l2b_l2d_fuse_rid_d[6:3] == 4'b1011),l2b_l2d_fuse_rid_d[2:0]};
2778//assign fuse_l2d_rid_130[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b1100),(l2b_l2d_fuse_rid_d[6:3] == 4'b1101),l2b_l2d_fuse_rid_d[2:0]};
2779//assign fuse_l2d_rid_030[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b1100),(l2b_l2d_fuse_rid_d[6:3] == 4'b1101),l2b_l2d_fuse_rid_d[2:0]};
2780//assign fuse_l2d_rid_120[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b1110),(l2b_l2d_fuse_rid_d[6:3] == 4'b1111),l2b_l2d_fuse_rid_d[2:0]};
2781//assign fuse_l2d_rid_020[4:0] = {(l2b_l2d_fuse_rid_d[6:3] == 4'b1110),(l2b_l2d_fuse_rid_d[6:3] == 4'b1111),l2b_l2d_fuse_rid_d[2:0]};
2782
2783
2784
2785n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_0
2786 (
2787 .dout (itis0000),
2788 .din0 (4'b0000),
2789 .din1 (l2b_l2d_fuse_rid_d[6:3])
2790 );
2791
2792n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_1
2793 (
2794 .dout (itis0001),
2795 .din0 (4'b0001),
2796 .din1 (l2b_l2d_fuse_rid_d[6:3])
2797 );
2798
2799n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_2
2800 (
2801 .dout (itis0010),
2802 .din0 (4'b0010),
2803 .din1 (l2b_l2d_fuse_rid_d[6:3])
2804 );
2805
2806n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_3
2807 (
2808 .dout (itis0011),
2809 .din0 (4'b0011),
2810 .din1 (l2b_l2d_fuse_rid_d[6:3])
2811 );
2812
2813n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_4
2814 (
2815 .dout (itis0100),
2816 .din0 (4'b0100),
2817 .din1 (l2b_l2d_fuse_rid_d[6:3])
2818 );
2819
2820n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_5
2821 (
2822 .dout (itis0101),
2823 .din0 (4'b0101),
2824 .din1 (l2b_l2d_fuse_rid_d[6:3])
2825 );
2826
2827n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_6
2828 (
2829 .dout (itis0110),
2830 .din0 (4'b0110),
2831 .din1 (l2b_l2d_fuse_rid_d[6:3])
2832 );
2833n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_7
2834 (
2835 .dout (itis0111),
2836 .din0 (4'b0111),
2837 .din1 (l2b_l2d_fuse_rid_d[6:3])
2838 );
2839
2840n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_8
2841 (
2842 .dout (itis1000),
2843 .din0 (4'b1000),
2844 .din1 (l2b_l2d_fuse_rid_d[6:3])
2845 );
2846
2847n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_9
2848 (
2849 .dout (itis1001),
2850 .din0 (4'b1001),
2851 .din1 (l2b_l2d_fuse_rid_d[6:3])
2852 );
2853
2854n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_02
2855 (
2856 .dout (itis1010),
2857 .din0 (4'b1010),
2858 .din1 (l2b_l2d_fuse_rid_d[6:3])
2859 );
2860
2861n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_03
2862 (
2863 .dout (itis1011),
2864 .din0 (4'b1011),
2865 .din1 (l2b_l2d_fuse_rid_d[6:3])
2866 );
2867
2868n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_04
2869 (
2870 .dout (itis1100),
2871 .din0 (4'b1100),
2872 .din1 (l2b_l2d_fuse_rid_d[6:3])
2873 );
2874
2875n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_05
2876 (
2877 .dout (itis1101),
2878 .din0 (4'b1101),
2879 .din1 (l2b_l2d_fuse_rid_d[6:3])
2880 );
2881
2882n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_06
2883 (
2884 .dout (itis1110),
2885 .din0 (4'b1110),
2886 .din1 (l2b_l2d_fuse_rid_d[6:3])
2887 );
2888n2_l2d_ctrlio_cust_cmp_macro__width_4 cmp_l2b_l2d_fuse_rid_d_07
2889 (
2890 .dout (itis1111),
2891 .din0 (4'b1111),
2892 .din1 (l2b_l2d_fuse_rid_d[6:3])
2893 );
2894
2895
2896assign fuse_l2d_rid_111[4:0] = {itis0000,itis0001,l2b_l2d_fuse_rid_d[2:0]};
2897assign fuse_l2d_rid_011[4:0] = {itis0000,itis0001,l2b_l2d_fuse_rid_d[2:0]};
2898assign fuse_l2d_rid_101[4:0] = {itis0010,itis0011,l2b_l2d_fuse_rid_d[2:0]};
2899assign fuse_l2d_rid_001[4:0] = {itis0010,itis0011,l2b_l2d_fuse_rid_d[2:0]};
2900assign fuse_l2d_rid_110[4:0] = {itis0100,itis0101,l2b_l2d_fuse_rid_d[2:0]};
2901assign fuse_l2d_rid_010[4:0] = {itis0100,itis0101,l2b_l2d_fuse_rid_d[2:0]};
2902assign fuse_l2d_rid_100[4:0] = {itis0110,itis0111,l2b_l2d_fuse_rid_d[2:0]};
2903assign fuse_l2d_rid_000[4:0] = {itis0110,itis0111,l2b_l2d_fuse_rid_d[2:0]};
2904assign fuse_l2d_rid_131[4:0] = {itis1000,itis1001,l2b_l2d_fuse_rid_d[2:0]};
2905assign fuse_l2d_rid_031[4:0] = {itis1000,itis1001,l2b_l2d_fuse_rid_d[2:0]};
2906assign fuse_l2d_rid_121[4:0] = {itis1010,itis1011,l2b_l2d_fuse_rid_d[2:0]};
2907assign fuse_l2d_rid_021[4:0] = {itis1010,itis1011,l2b_l2d_fuse_rid_d[2:0]};
2908assign fuse_l2d_rid_130[4:0] = {itis1100,itis1101,l2b_l2d_fuse_rid_d[2:0]};
2909assign fuse_l2d_rid_030[4:0] = {itis1100,itis1101,l2b_l2d_fuse_rid_d[2:0]};
2910assign fuse_l2d_rid_120[4:0] = {itis1110,itis1111,l2b_l2d_fuse_rid_d[2:0]};
2911assign fuse_l2d_rid_020[4:0] = {itis1110,itis1111,l2b_l2d_fuse_rid_d[2:0]};
2912
2913
2914assign fuse_l2d_data_in_100[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2915assign fuse_l2d_data_in_110[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2916assign fuse_l2d_data_in_101[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2917assign fuse_l2d_data_in_111[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2918assign fuse_l2d_data_in_120[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2919assign fuse_l2d_data_in_121[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2920assign fuse_l2d_data_in_130[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2921assign fuse_l2d_data_in_131[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2922assign fuse_l2d_data_in_000[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2923assign fuse_l2d_data_in_001[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2924assign fuse_l2d_data_in_010[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2925assign fuse_l2d_data_in_011[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2926assign fuse_l2d_data_in_020[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2927assign fuse_l2d_data_in_021[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2928assign fuse_l2d_data_in_030[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2929assign fuse_l2d_data_in_031[9:0] = l2b_l2d_fuse_l2d_data_in_d[9:0];
2930
2931assign fuse_l2d_wren_100 = l2b_l2d_fuse_l2d_wren_d;
2932assign fuse_l2d_wren_110 = l2b_l2d_fuse_l2d_wren_d;
2933assign fuse_l2d_wren_101 = l2b_l2d_fuse_l2d_wren_d;
2934assign fuse_l2d_wren_111 = l2b_l2d_fuse_l2d_wren_d;
2935assign fuse_l2d_wren_120 = l2b_l2d_fuse_l2d_wren_d;
2936assign fuse_l2d_wren_121 = l2b_l2d_fuse_l2d_wren_d;
2937assign fuse_l2d_wren_130 = l2b_l2d_fuse_l2d_wren_d;
2938assign fuse_l2d_wren_131 = l2b_l2d_fuse_l2d_wren_d;
2939assign fuse_l2d_wren_000 = l2b_l2d_fuse_l2d_wren_d;
2940assign fuse_l2d_wren_001 = l2b_l2d_fuse_l2d_wren_d;
2941assign fuse_l2d_wren_010 = l2b_l2d_fuse_l2d_wren_d;
2942assign fuse_l2d_wren_011 = l2b_l2d_fuse_l2d_wren_d;
2943assign fuse_l2d_wren_020 = l2b_l2d_fuse_l2d_wren_d;
2944assign fuse_l2d_wren_021 = l2b_l2d_fuse_l2d_wren_d;
2945assign fuse_l2d_wren_030 = l2b_l2d_fuse_l2d_wren_d;
2946assign fuse_l2d_wren_031 = l2b_l2d_fuse_l2d_wren_d;
2947
2948assign fuse_l2d_reset_000_l = l2b_l2d_fuse_reset_l;
2949assign fuse_l2d_reset_001_l = l2b_l2d_fuse_reset_l;
2950assign fuse_l2d_reset_010_l = l2b_l2d_fuse_reset_l;
2951assign fuse_l2d_reset_011_l = l2b_l2d_fuse_reset_l;
2952assign fuse_l2d_reset_020_l = l2b_l2d_fuse_reset_l;
2953assign fuse_l2d_reset_021_l = l2b_l2d_fuse_reset_l;
2954assign fuse_l2d_reset_030_l = l2b_l2d_fuse_reset_l;
2955assign fuse_l2d_reset_031_l = l2b_l2d_fuse_reset_l;
2956assign fuse_l2d_reset_100_l = l2b_l2d_fuse_reset_l;
2957assign fuse_l2d_reset_101_l = l2b_l2d_fuse_reset_l;
2958assign fuse_l2d_reset_110_l = l2b_l2d_fuse_reset_l;
2959assign fuse_l2d_reset_111_l = l2b_l2d_fuse_reset_l;
2960assign fuse_l2d_reset_120_l = l2b_l2d_fuse_reset_l;
2961assign fuse_l2d_reset_121_l = l2b_l2d_fuse_reset_l;
2962assign fuse_l2d_reset_130_l = l2b_l2d_fuse_reset_l;
2963assign fuse_l2d_reset_131_l = l2b_l2d_fuse_reset_l;
2964
2965
2966//assign stage1_mux_sel0 = |(fuse_l2d_rid_131[4:3]);
2967//assign stage1_mux_sel1 = |(fuse_l2d_rid_121[4:3]);
2968//assign stage1_mux_sel2 = ~(stage1_mux_sel0 | stage1_mux_sel1);
2969
2970n2_l2d_ctrlio_cust_inv_macro__width_1 inv_l2b_l2d_fuse_rid_d_0n
2971 (
2972 .dout (l2b_l2d_fuse_rid_d_0n),
2973 .din (l2b_l2d_fuse_rid_d[0])
2974 );
2975
2976n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_131
2977 (
2978 .dout (or_fuse_l2d_rid_131),
2979 .din0 (fuse_l2d_rid_131[4]),
2980 .din1 (fuse_l2d_rid_131[3])
2981 );
2982
2983n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_131
2984 (
2985 .dout (stage1_mux_sel0),
2986 .din0 (or_fuse_l2d_rid_131),
2987 .din1 (l2b_l2d_fuse_rid_d[0])
2988 );
2989
2990n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_121
2991 (
2992 .dout (or_fuse_l2d_rid_121),
2993 .din0 (fuse_l2d_rid_121[4]),
2994 .din1 (fuse_l2d_rid_121[3])
2995 );
2996
2997n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_121
2998 (
2999 .dout (stage1_mux_sel1),
3000 .din0 (or_fuse_l2d_rid_121),
3001 .din1 (l2b_l2d_fuse_rid_d[0])
3002 );
3003
3004n2_l2d_ctrlio_cust_nor_macro__width_1 or_stage1_mux_sel2
3005 (
3006 .dout (stage1_mux_sel2),
3007 .din0 (stage1_mux_sel0),
3008 .din1 (stage1_mux_sel1)
3009 );
3010
3011
3012
3013n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_3__width_10 mux_fdout_stage1
3014 (
3015 .dout (fdout_stage1[9:0]),
3016 .din0 (fdout_131[9:0]),
3017 .din1 (fdout_121[9:0]),
3018 .din2 (10'b0),
3019 .sel0 (stage1_mux_sel0),
3020 .sel1 (stage1_mux_sel1),
3021 .sel2 (stage1_mux_sel2)
3022 );
3023
3024//assign stage2_mux_sel0 = |(fuse_l2d_rid_031[4:3]);
3025//assign stage2_mux_sel1 = |(fuse_l2d_rid_021[4:3]);
3026//assign stage2_mux_sel2 = ~(stage2_mux_sel0 | stage2_mux_sel1);
3027
3028
3029n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_031
3030 (
3031 .dout (or_fuse_l2d_rid_031),
3032 .din0 (fuse_l2d_rid_031[4]),
3033 .din1 (fuse_l2d_rid_031[3])
3034 );
3035
3036n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_031
3037 (
3038 .dout (stage2_mux_sel0),
3039 .din0 (or_fuse_l2d_rid_031),
3040 .din1 (l2b_l2d_fuse_rid_d_0n)
3041 );
3042
3043n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_021
3044 (
3045 .dout (or_fuse_l2d_rid_021),
3046 .din0 (fuse_l2d_rid_021[4]),
3047 .din1 (fuse_l2d_rid_021[3])
3048 );
3049
3050n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_021
3051 (
3052 .dout (stage2_mux_sel1),
3053 .din0 (or_fuse_l2d_rid_021),
3054 .din1 (l2b_l2d_fuse_rid_d_0n)
3055 );
3056
3057
3058n2_l2d_ctrlio_cust_nor_macro__width_1 or_stage2_mux_sel2
3059 (
3060 .dout (stage2_mux_sel2),
3061 .din0 (stage2_mux_sel0),
3062 .din1 (stage2_mux_sel1)
3063 );
3064
3065
3066n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_3__width_10 mux_fdout_stage2
3067 (
3068 .dout (fdout_stage2[9:0]),
3069 .din0 (fdout_031[9:0]),
3070 .din1 (fdout_021[9:0]),
3071 .din2 (fdout_stage1[9:0]),
3072 .sel0 (stage2_mux_sel0),
3073 .sel1 (stage2_mux_sel1),
3074 .sel2 (stage2_mux_sel2)
3075 );
3076
3077//assign stage3_mux_sel0 = |(fuse_l2d_rid_130[4:3]);
3078//assign stage3_mux_sel1 = |(fuse_l2d_rid_120[4:3]);
3079//assign stage3_mux_sel2 = ~(stage3_mux_sel0 | stage3_mux_sel1);
3080
3081n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_130
3082 (
3083 .dout (or_fuse_l2d_rid_130),
3084 .din0 (fuse_l2d_rid_130[4]),
3085 .din1 (fuse_l2d_rid_130[3])
3086 );
3087
3088n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_130
3089 (
3090 .dout (stage3_mux_sel0),
3091 .din0 (or_fuse_l2d_rid_130),
3092 .din1 (l2b_l2d_fuse_rid_d[0])
3093 );
3094
3095n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_120
3096 (
3097 .dout (or_fuse_l2d_rid_120),
3098 .din0 (fuse_l2d_rid_120[4]),
3099 .din1 (fuse_l2d_rid_120[3])
3100 );
3101
3102n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_120
3103 (
3104 .dout (stage3_mux_sel1),
3105 .din0 (or_fuse_l2d_rid_120),
3106 .din1 (l2b_l2d_fuse_rid_d[0])
3107 );
3108
3109n2_l2d_ctrlio_cust_nor_macro__width_1 or_stage3_mux_sel2
3110 (
3111 .dout (stage3_mux_sel2),
3112 .din0 (stage3_mux_sel0),
3113 .din1 (stage3_mux_sel1)
3114 );
3115
3116
3117n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_3__width_10 mux_fdout_stage3
3118 (
3119 .dout (fdout_stage3[9:0]),
3120 .din0 (fdout_130[9:0]),
3121 .din1 (fdout_120[9:0]),
3122 .din2 (fdout_stage2[9:0]),
3123 .sel0 (stage3_mux_sel0),
3124 .sel1 (stage3_mux_sel1),
3125 .sel2 (stage3_mux_sel2)
3126 );
3127
3128//assign stage4_mux_sel0 = |(fuse_l2d_rid_030[4:3]);
3129//assign stage4_mux_sel1 = |(fuse_l2d_rid_020[4:3]);
3130//assign stage4_mux_sel2 = ~(stage4_mux_sel0 | stage4_mux_sel1);
3131
3132n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_030
3133 (
3134 .dout (or_fuse_l2d_rid_030),
3135 .din0 (fuse_l2d_rid_030[4]),
3136 .din1 (fuse_l2d_rid_030[3])
3137 );
3138
3139n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_030
3140 (
3141 .dout (stage4_mux_sel0),
3142 .din0 (or_fuse_l2d_rid_030),
3143 .din1 (l2b_l2d_fuse_rid_d_0n)
3144 );
3145
3146n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_020
3147 (
3148 .dout (or_fuse_l2d_rid_020),
3149 .din0 (fuse_l2d_rid_020[4]),
3150 .din1 (fuse_l2d_rid_020[3])
3151 );
3152
3153n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_020
3154 (
3155 .dout (stage4_mux_sel1),
3156 .din0 (or_fuse_l2d_rid_020),
3157 .din1 (l2b_l2d_fuse_rid_d_0n)
3158 );
3159
3160n2_l2d_ctrlio_cust_nor_macro__width_1 or_stage4_mux_sel2
3161 (
3162 .dout (stage4_mux_sel2),
3163 .din0 (stage4_mux_sel0),
3164 .din1 (stage4_mux_sel1)
3165 );
3166
3167
3168n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_3__width_10 mux_fdout_stage4
3169 (
3170 .dout (fdout_stage4[9:0]),
3171 .din0 (fdout_030[9:0]),
3172 .din1 (fdout_020[9:0]),
3173 .din2 (fdout_stage3[9:0]),
3174 .sel0 (stage4_mux_sel0),
3175 .sel1 (stage4_mux_sel1),
3176 .sel2 (stage4_mux_sel2)
3177 );
3178
3179// Right to left
3180
3181//assign stage10_mux_sel0 = |(fuse_l2d_rid_010[4:3]);
3182//assign stage10_mux_sel1 = |(fuse_l2d_rid_000[4:3]);
3183//assign stage10_mux_sel2 = ~(stage10_mux_sel0 | stage10_mux_sel1);
3184
3185n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_010
3186 (
3187 .dout (or_fuse_l2d_rid_010),
3188 .din0 (fuse_l2d_rid_010[4]),
3189 .din1 (fuse_l2d_rid_010[3])
3190 );
3191
3192n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_010
3193 (
3194 .dout (stage10_mux_sel0),
3195 .din0 (or_fuse_l2d_rid_010),
3196 .din1 (l2b_l2d_fuse_rid_d_0n)
3197 );
3198
3199n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_000
3200 (
3201 .dout (or_fuse_l2d_rid_000),
3202 .din0 (fuse_l2d_rid_000[4]),
3203 .din1 (fuse_l2d_rid_000[3])
3204 );
3205
3206n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_000
3207 (
3208 .dout (stage10_mux_sel1),
3209 .din0 (or_fuse_l2d_rid_000),
3210 .din1 (l2b_l2d_fuse_rid_d_0n)
3211 );
3212
3213n2_l2d_ctrlio_cust_nor_macro__width_1 or_stage10_mux_sel2
3214 (
3215 .dout (stage10_mux_sel2),
3216 .din0 (stage10_mux_sel0),
3217 .din1 (stage10_mux_sel1)
3218 );
3219
3220
3221
3222
3223n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_3__width_10 mux_fdout_stage10
3224 (
3225 .dout (fdout_stage10[9:0]),
3226 .din0 (fdout_010[9:0]),
3227 .din1 (fdout_000[9:0]),
3228 .din2 (10'b0),
3229 .sel0 (stage10_mux_sel0),
3230 .sel1 (stage10_mux_sel1),
3231 .sel2 (stage10_mux_sel2)
3232 );
3233
3234//assign stage20_mux_sel0 = |(fuse_l2d_rid_110[4:3]);
3235//assign stage20_mux_sel1 = |(fuse_l2d_rid_100[4:3]);
3236//assign stage20_mux_sel2 = ~(stage20_mux_sel0 | stage20_mux_sel1);
3237
3238n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_110
3239 (
3240 .dout (or_fuse_l2d_rid_110),
3241 .din0 (fuse_l2d_rid_110[4]),
3242 .din1 (fuse_l2d_rid_110[3])
3243 );
3244
3245n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_110
3246 (
3247 .dout (stage20_mux_sel0),
3248 .din0 (or_fuse_l2d_rid_110),
3249 .din1 (l2b_l2d_fuse_rid_d[0])
3250 );
3251
3252n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_100
3253 (
3254 .dout (or_fuse_l2d_rid_100),
3255 .din0 (fuse_l2d_rid_100[4]),
3256 .din1 (fuse_l2d_rid_100[3])
3257 );
3258
3259n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_100
3260 (
3261 .dout (stage20_mux_sel1),
3262 .din0 (or_fuse_l2d_rid_100),
3263 .din1 (l2b_l2d_fuse_rid_d[0])
3264 );
3265
3266n2_l2d_ctrlio_cust_nor_macro__width_1 or_stage20_mux_sel2
3267 (
3268 .dout (stage20_mux_sel2),
3269 .din0 (stage20_mux_sel0),
3270 .din1 (stage20_mux_sel1)
3271 );
3272
3273
3274
3275n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_3__width_10 mux_fdout_stage20
3276 (
3277 .dout (fdout_stage20[9:0]),
3278 .din0 (fdout_110[9:0]),
3279 .din1 (fdout_100[9:0]),
3280 .din2 (fdout_stage10[9:0]),
3281 .sel0 (stage20_mux_sel0),
3282 .sel1 (stage20_mux_sel1),
3283 .sel2 (stage20_mux_sel2)
3284 );
3285
3286//assign stage30_mux_sel0 = |(fuse_l2d_rid_011[4:3]);
3287//assign stage30_mux_sel1 = |(fuse_l2d_rid_001[4:3]);
3288//assign stage30_mux_sel2 = ~(stage30_mux_sel0 | stage30_mux_sel1);
3289
3290n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_011
3291 (
3292 .dout (or_fuse_l2d_rid_011),
3293 .din0 (fuse_l2d_rid_011[4]),
3294 .din1 (fuse_l2d_rid_011[3])
3295 );
3296
3297n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_011
3298 (
3299 .dout (stage30_mux_sel0),
3300 .din0 (or_fuse_l2d_rid_011),
3301 .din1 (l2b_l2d_fuse_rid_d_0n)
3302 );
3303
3304n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_001
3305 (
3306 .dout (or_fuse_l2d_rid_001),
3307 .din0 (fuse_l2d_rid_001[4]),
3308 .din1 (fuse_l2d_rid_001[3])
3309 );
3310
3311n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_001
3312 (
3313 .dout (stage30_mux_sel1),
3314 .din0 (or_fuse_l2d_rid_001),
3315 .din1 (l2b_l2d_fuse_rid_d_0n)
3316 );
3317
3318n2_l2d_ctrlio_cust_nor_macro__width_1 or_1stage20_mux_sel2
3319 (
3320 .dout (stage30_mux_sel2),
3321 .din0 (stage30_mux_sel0),
3322 .din1 (stage30_mux_sel1)
3323 );
3324
3325
3326n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_3__width_10 mux_fdout_stage30
3327 (
3328 .dout (fdout_stage30[9:0]),
3329 .din0 (fdout_011[9:0]),
3330 .din1 (fdout_001[9:0]),
3331 .din2 (fdout_stage20[9:0]),
3332 .sel0 (stage30_mux_sel0),
3333 .sel1 (stage30_mux_sel1),
3334 .sel2 (stage30_mux_sel2)
3335 );
3336
3337//assign stage40_mux_sel0 = |(fuse_l2d_rid_111[4:3]);
3338//assign stage40_mux_sel1 = |(fuse_l2d_rid_101[4:3]);
3339//assign stage40_mux_sel2 = ~(stage40_mux_sel0 | stage40_mux_sel1);
3340
3341n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_111
3342 (
3343 .dout (or_fuse_l2d_rid_111),
3344 .din0 (fuse_l2d_rid_111[4]),
3345 .din1 (fuse_l2d_rid_111[3])
3346 );
3347
3348n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_111
3349 (
3350 .dout (stage40_mux_sel0),
3351 .din0 (or_fuse_l2d_rid_111),
3352 .din1 (l2b_l2d_fuse_rid_d[0])
3353 );
3354
3355n2_l2d_ctrlio_cust_or_macro__width_1 or_rid_101
3356 (
3357 .dout (or_fuse_l2d_rid_101),
3358 .din0 (fuse_l2d_rid_101[4]),
3359 .din1 (fuse_l2d_rid_101[3])
3360 );
3361
3362n2_l2d_ctrlio_cust_and_macro__width_1 and_rid_101
3363 (
3364 .dout (stage40_mux_sel1),
3365 .din0 (or_fuse_l2d_rid_101),
3366 .din1 (l2b_l2d_fuse_rid_d[0])
3367 );
3368
3369n2_l2d_ctrlio_cust_nor_macro__width_1 or_1stage40_mux_sel2
3370 (
3371 .dout (stage40_mux_sel2),
3372 .din0 (stage40_mux_sel0),
3373 .din1 (stage40_mux_sel1)
3374 );
3375
3376
3377
3378n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_3__width_10 mux_fdout_stage40
3379 (
3380 .dout (fdout_stage40[9:0]),
3381 .din0 (fdout_111[9:0]),
3382 .din1 (fdout_101[9:0]),
3383 .din2 (fdout_stage30[9:0]),
3384 .sel0 (stage40_mux_sel0),
3385 .sel1 (stage40_mux_sel1),
3386 .sel2 (stage40_mux_sel2)
3387 );
3388
3389n2_l2d_ctrlio_cust_inv_macro__width_1 inv_l2b_l2d_fuse_rid_d_6n
3390 (
3391 .dout (l2b_l2d_fuse_rid_d_6n),
3392 .din (l2b_l2d_fuse_rid_d[6])
3393 );
3394
3395n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_10 mux_fdout_fnl
3396 (
3397 .dout (efc_fuse_data[9:0]),
3398 .din0 (fdout_stage40[9:0]),
3399 .din1 (fdout_stage4[9:0]),
3400 .sel0 (l2b_l2d_fuse_rid_d_6n),
3401 .sel1 (l2b_l2d_fuse_rid_d[6])
3402 );
3403
3404
3405assign delout00 = delout20_rgt;
3406assign delout01 = delout20_rgt;
3407assign delout10 = delout31_rgt;
3408assign delout11 = delout31_rgt;
3409assign delout20 = delout20_lft;
3410assign delout21 = delout20_lft;
3411assign delout30 = delout31_lft;
3412assign delout31 = delout31_lft;
3413
3414n2_l2d_tstmod_cust tstmod
3415 (
3416 .rd_wr_c3 (l2t_l2d_rd_wr_c3),
3417 .wayerr_c3 (wayerr_c3 ),
3418 .wr_inhibit (tcu_array_wr_inhibit ),
3419 .coloff_c3 (cache_col_offset_c3[3:0]),
3420 .l2clk (l2clk),
3421 .scanen (tcu_scan_en),
3422 .si (so_q23 ),
3423 .siclk (tcu_aclk),
3424 .soclk (tcu_bclk),
3425 .so (so_tstmod ),
3426 .delout20_rgt (delout20_rgt ),
3427 .delout31_lft (delout31_lft ),
3428 .delout31_rgt (delout31_rgt ),
3429 .delout20_lft (delout20_lft)
3430 ) ;
3431
3432// scanorder start
3433// ff_cache_cache_rd_wr_c4_scanin
3434// ff_cache_set_c3_scanin[8]
3435// ff_cache_set_c3_scanin[7]
3436// ff_cache_set_c3_scanin[6]
3437// ff_cache_set_c3_scanin[5]
3438// ff_cache_set_c3_scanin[4]
3439// ff_cache_set_c3_scanin[3]
3440// ff_cache_set_c3_scanin[2]
3441// ff_cache_set_c3_scanin[1]
3442// ff_cache_set_c3_scanin[0]
3443// ff_cache_word_en_c3_scanin[1]
3444// ff_cache_word_en_c3_scanin[3]
3445// ff_cache_word_en_c3_scanin[5]
3446// ff_cache_word_en_c3_scanin[7]
3447// ff_cache_word_en_c3_scanin[9]
3448// ff_cache_word_en_c3_scanin[11]
3449// ff_cache_word_en_c3_scanin[13]
3450// ff_cache_word_en_c3_scanin[15]
3451// ff_cache_col_offset_c3_scanin[0]
3452// ff_cache_col_offset_c4_scanin[0]
3453// ff_cache_col_offset_c5_muxsel_scanin[0]
3454// ff_cache_col_offset_c5_muxsel_scanin[1]
3455// ff_cache_col_offset_c4_scanin[1]
3456// ff_cache_col_offset_c3_scanin[1]
3457// ff_cache_col_offset_c3_scanin[2]
3458// ff_cache_col_offset_c4_scanin[2]
3459// ff_cache_col_offset_c5_muxsel_scanin[2]
3460// ff_cache_col_offset_c5_muxsel_scanin[3]
3461// ff_cache_col_offset_c4_scanin[3]
3462// ff_cache_col_offset_c3_scanin[3]
3463// ff_cache_col_offset_all_c4_scanin
3464// ff_cache_col_offset_all_c5_scanin
3465// ff_cache_col_offset_all_c6_scanin
3466// ff_cache_col_offset_all_c7_scanin
3467// ff_cache_word_en_c3_scanin[0]
3468// ff_cache_word_en_c3_scanin[2]
3469// ff_cache_word_en_c3_scanin[4]
3470// ff_cache_word_en_c3_scanin[6]
3471// ff_cache_word_en_c3_scanin[8]
3472// ff_cache_word_en_c3_scanin[10]
3473// ff_cache_word_en_c3_scanin[12]
3474// ff_cache_word_en_c3_scanin[14]
3475// ff_cache_col_offset_c52_scanin[1]
3476// ff_cache_col_offset_c52_scanin[0]
3477
3478// ff_cache_col_offset_c52_topsel_scanin
3479// ff_cache_fb_hit_c4_scanin
3480// ff_cache_fb_hit_c5_scanin
3481// ff_cache_fb_hit_c52_scanin
3482// ff_cache_sel_fbdecc_c4_scanin
3483// ff_cache_sel_fbdecc_c5_scanin
3484
3485// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[0]
3486// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[0]
3487// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[0]
3488// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[0]
3489// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[1]
3490// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[1]
3491// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[1]
3492// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[1]
3493// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[2]
3494// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[2]
3495// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[2]
3496// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[2]
3497// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[3]
3498// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[3]
3499// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[3]
3500// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[3]
3501// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[4]
3502// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[4]
3503// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[4]
3504
3505// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[9]
3506// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[9]
3507// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[9]
3508// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[8]
3509// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[8]
3510// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[8]
3511// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[8]
3512// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[7]
3513// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[7]
3514// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[7]
3515// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[7]
3516// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[6]
3517// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[6]
3518// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[6]
3519// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[6]
3520// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[5]
3521// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[5]
3522// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[5]
3523// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[5]
3524// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[4]
3525
3526// ff_l2t_l2d_stdecc_c3_scanin[77:39]
3527// ff_cache_col_offset_c5_023_scanin[0]
3528// ff_cache_col_offset_c5_023_scanin[2]
3529// ff_cache_col_offset_c4_023_scanin[0]
3530// ff_cache_col_offset_c4_023_scanin[2]
3531// ff_cache_col_offset_c4_tog_023_scanin[0]
3532// ff_cache_cache_rd_wr_c5_20_scanin
3533// ff_cache_col_offset_c5_023_scanin[1]
3534// ff_cache_col_offset_c5_023_scanin[3]
3535// ff_cache_col_offset_c4_023_scanin[1]
3536// ff_cache_col_offset_c4_023_scanin[3]
3537// ff_cache_col_offset_c4_tog_023_scanin[1]
3538
3539// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[19]
3540// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[19]
3541// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[18]
3542// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[18]
3543// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[18]
3544// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[18]
3545// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[17]
3546// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[17]
3547// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[17]
3548// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[17]
3549// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[16]
3550// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[16]
3551// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[16]
3552// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[16]
3553// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[15]
3554// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[15]
3555// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[15]
3556// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[15]
3557// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[14]
3558
3559// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[9]
3560// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[10]
3561// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[10]
3562// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[10]
3563// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[10]
3564// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[11]
3565// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[11]
3566// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[11]
3567// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[11]
3568// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[12]
3569// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[12]
3570// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[12]
3571// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[12]
3572// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[13]
3573// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[13]
3574// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[13]
3575// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[13]
3576// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[14]
3577// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[14]
3578// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[14]
3579
3580// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[19]
3581// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[19]
3582// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[18]
3583// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[18]
3584// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[18]
3585// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[18]
3586// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[17]
3587// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[17]
3588// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[17]
3589// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[17]
3590// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[16]
3591// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[16]
3592// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[16]
3593// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[16]
3594// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[15]
3595// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[15]
3596// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[15]
3597// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[15]
3598// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[14]
3599
3600// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[9]
3601// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[10]
3602// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[10]
3603// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[10]
3604// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[10]
3605// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[11]
3606// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[11]
3607// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[11]
3608// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[11]
3609// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[12]
3610// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[12]
3611// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[12]
3612// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[12]
3613// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[13]
3614// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[13]
3615// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[13]
3616// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[13]
3617// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[14]
3618// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[14]
3619// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[14]
3620
3621
3622// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[0]
3623// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[0]
3624// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[0]
3625// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[0]
3626// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[1]
3627// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[1]
3628// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[1]
3629// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[1]
3630// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[2]
3631// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[2]
3632// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[2]
3633// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[2]
3634// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[3]
3635// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[3]
3636// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[3]
3637// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[3]
3638// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[4]
3639// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[4]
3640// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[4]
3641
3642
3643// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[9]
3644// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[9]
3645// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[9]
3646// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[8]
3647// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[8]
3648// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[8]
3649// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[8]
3650// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[7]
3651// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[7]
3652// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[7]
3653// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[7]
3654// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[6]
3655// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[6]
3656// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[6]
3657// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[6]
3658// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[5]
3659// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[5]
3660// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[5]
3661// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[5]
3662// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[4]
3663
3664
3665// ff_l2d_decc_out_c6_lo0_2_scanin[0]
3666// ff_l2d_decc_out_c6_lo0_4_scanin[0]
3667// ff_l2d_decc_out_c6_hi0_2_scanin[0]
3668// ff_l2d_decc_out_c6_hi0_4_scanin[0]
3669// ff_l2d_decc_out_c6_lo1_2_scanin[0]
3670// ff_l2d_decc_out_c6_lo1_4_scanin[0]
3671// ff_l2d_decc_out_c6_hi1_2_scanin[0]
3672// ff_l2d_decc_out_c6_hi1_4_scanin[0]
3673// ff_l2d_decc_out_c6_lo0_2_scanin[1]
3674// ff_l2d_decc_out_c6_lo0_4_scanin[1]
3675// ff_l2d_decc_out_c6_hi0_2_scanin[1]
3676// ff_l2d_decc_out_c6_hi0_4_scanin[1]
3677// ff_l2d_decc_out_c6_lo1_2_scanin[1]
3678// ff_l2d_decc_out_c6_lo1_4_scanin[1]
3679// ff_l2d_decc_out_c6_hi1_2_scanin[1]
3680// ff_l2d_decc_out_c6_hi1_4_scanin[1]
3681// ff_l2d_decc_out_c6_lo0_2_scanin[2]
3682// ff_l2d_decc_out_c6_lo0_4_scanin[2]
3683// ff_l2d_decc_out_c6_hi0_2_scanin[2]
3684// ff_l2d_decc_out_c6_hi0_4_scanin[2]
3685// ff_l2d_decc_out_c6_lo1_2_scanin[2]
3686// ff_l2d_decc_out_c6_lo1_4_scanin[2]
3687// ff_l2d_decc_out_c6_hi1_2_scanin[2]
3688// ff_l2d_decc_out_c6_hi1_4_scanin[2]
3689// ff_l2d_decc_out_c6_lo0_2_scanin[3]
3690// ff_l2d_decc_out_c6_lo0_4_scanin[3]
3691// ff_l2d_decc_out_c6_hi0_2_scanin[3]
3692// ff_l2d_decc_out_c6_hi0_4_scanin[3]
3693// ff_l2d_decc_out_c6_lo1_2_scanin[3]
3694// ff_l2d_decc_out_c6_lo1_4_scanin[3]
3695// ff_l2d_decc_out_c6_hi1_2_scanin[3]
3696// ff_l2d_decc_out_c6_hi1_4_scanin[3]
3697// ff_l2d_decc_out_c6_lo0_2_scanin[4]
3698// ff_l2d_decc_out_c6_lo0_4_scanin[4]
3699// ff_l2d_decc_out_c6_hi0_2_scanin[4]
3700// ff_l2d_decc_out_c6_hi0_4_scanin[4]
3701// ff_l2d_decc_out_c6_lo1_2_scanin[4]
3702// ff_l2d_decc_out_c6_lo1_4_scanin[4]
3703// ff_l2d_decc_out_c6_hi1_2_scanin[4]
3704// ff_l2d_decc_out_c6_hi1_4_scanin[4]
3705// ff_l2d_decc_out_c6_lo0_2_scanin[5]
3706// ff_l2d_decc_out_c6_lo0_4_scanin[5]
3707// ff_l2d_decc_out_c6_hi0_2_scanin[5]
3708// ff_l2d_decc_out_c6_hi0_4_scanin[5]
3709// ff_l2d_decc_out_c6_lo1_2_scanin[5]
3710// ff_l2d_decc_out_c6_lo1_4_scanin[5]
3711// ff_l2d_decc_out_c6_hi1_2_scanin[5]
3712// ff_l2d_decc_out_c6_hi1_4_scanin[5]
3713// ff_l2d_decc_out_c6_lo0_2_scanin[6]
3714// ff_l2d_decc_out_c6_lo0_4_scanin[6]
3715// ff_l2d_decc_out_c6_hi0_2_scanin[6]
3716// ff_l2d_decc_out_c6_hi0_4_scanin[6]
3717// ff_l2d_decc_out_c6_lo1_2_scanin[6]
3718// ff_l2d_decc_out_c6_lo1_4_scanin[6]
3719// ff_l2d_decc_out_c6_hi1_2_scanin[6]
3720// ff_l2d_decc_out_c6_hi1_4_scanin[6]
3721// ff_l2d_decc_out_c6_lo0_2_scanin[7]
3722// ff_l2d_decc_out_c6_lo0_4_scanin[7]
3723// ff_l2d_decc_out_c6_hi0_2_scanin[7]
3724// ff_l2d_decc_out_c6_hi0_4_scanin[7]
3725// ff_l2d_decc_out_c6_lo1_2_scanin[7]
3726// ff_l2d_decc_out_c6_lo1_4_scanin[7]
3727// ff_l2d_decc_out_c6_hi1_2_scanin[7]
3728// ff_l2d_decc_out_c6_hi1_4_scanin[7]
3729// ff_l2d_decc_out_c6_lo0_2_scanin[8]
3730// ff_l2d_decc_out_c6_lo0_4_scanin[8]
3731// ff_l2d_decc_out_c6_hi0_2_scanin[8]
3732// ff_l2d_decc_out_c6_hi0_4_scanin[8]
3733// ff_l2d_decc_out_c6_lo1_2_scanin[8]
3734// ff_l2d_decc_out_c6_lo1_4_scanin[8]
3735// ff_l2d_decc_out_c6_hi1_2_scanin[8]
3736// ff_l2d_decc_out_c6_hi1_4_scanin[8]
3737// ff_l2d_decc_out_c6_lo0_2_scanin[9]
3738// ff_l2d_decc_out_c6_lo0_4_scanin[9]
3739// ff_l2d_decc_out_c6_hi0_2_scanin[9]
3740// ff_l2d_decc_out_c6_hi0_4_scanin[9]
3741// ff_l2d_decc_out_c6_lo1_2_scanin[9]
3742// ff_l2d_decc_out_c6_lo1_4_scanin[9]
3743// ff_l2d_decc_out_c6_hi1_2_scanin[9]
3744// ff_l2d_decc_out_c6_hi1_4_scanin[9]
3745
3746// ff_l2d_decc_out_c6_lo0_2_scanin[10]
3747// ff_l2d_decc_out_c6_lo0_4_scanin[10]
3748// ff_l2d_decc_out_c6_hi0_2_scanin[10]
3749// ff_l2d_decc_out_c6_hi0_4_scanin[10]
3750// ff_l2d_decc_out_c6_lo1_2_scanin[10]
3751// ff_l2d_decc_out_c6_lo1_4_scanin[10]
3752// ff_l2d_decc_out_c6_hi1_2_scanin[10]
3753// ff_l2d_decc_out_c6_hi1_4_scanin[10]
3754// ff_l2d_decc_out_c6_lo0_2_scanin[11]
3755// ff_l2d_decc_out_c6_lo0_4_scanin[11]
3756// ff_l2d_decc_out_c6_hi0_2_scanin[11]
3757// ff_l2d_decc_out_c6_hi0_4_scanin[11]
3758// ff_l2d_decc_out_c6_lo1_2_scanin[11]
3759// ff_l2d_decc_out_c6_lo1_4_scanin[11]
3760// ff_l2d_decc_out_c6_hi1_2_scanin[11]
3761// ff_l2d_decc_out_c6_hi1_4_scanin[11]
3762// ff_l2d_decc_out_c6_lo0_2_scanin[12]
3763// ff_l2d_decc_out_c6_lo0_4_scanin[12]
3764// ff_l2d_decc_out_c6_hi0_2_scanin[12]
3765// ff_l2d_decc_out_c6_hi0_4_scanin[12]
3766// ff_l2d_decc_out_c6_lo1_2_scanin[12]
3767// ff_l2d_decc_out_c6_lo1_4_scanin[12]
3768// ff_l2d_decc_out_c6_hi1_2_scanin[12]
3769// ff_l2d_decc_out_c6_hi1_4_scanin[12]
3770// ff_l2d_decc_out_c6_lo0_2_scanin[13]
3771// ff_l2d_decc_out_c6_lo0_4_scanin[13]
3772// ff_l2d_decc_out_c6_hi0_2_scanin[13]
3773// ff_l2d_decc_out_c6_hi0_4_scanin[13]
3774// ff_l2d_decc_out_c6_lo1_2_scanin[13]
3775// ff_l2d_decc_out_c6_lo1_4_scanin[13]
3776// ff_l2d_decc_out_c6_hi1_2_scanin[13]
3777// ff_l2d_decc_out_c6_hi1_4_scanin[13]
3778// ff_l2d_decc_out_c6_lo0_2_scanin[14]
3779// ff_l2d_decc_out_c6_lo0_4_scanin[14]
3780// ff_l2d_decc_out_c6_hi0_2_scanin[14]
3781// ff_l2d_decc_out_c6_hi0_4_scanin[14]
3782// ff_l2d_decc_out_c6_lo1_2_scanin[14]
3783// ff_l2d_decc_out_c6_lo1_4_scanin[14]
3784// ff_l2d_decc_out_c6_hi1_2_scanin[14]
3785// ff_l2d_decc_out_c6_hi1_4_scanin[14]
3786// ff_l2d_decc_out_c6_lo0_2_scanin[15]
3787// ff_l2d_decc_out_c6_lo0_4_scanin[15]
3788// ff_l2d_decc_out_c6_hi0_2_scanin[15]
3789// ff_l2d_decc_out_c6_hi0_4_scanin[15]
3790// ff_l2d_decc_out_c6_lo1_2_scanin[15]
3791// ff_l2d_decc_out_c6_lo1_4_scanin[15]
3792// ff_l2d_decc_out_c6_hi1_2_scanin[15]
3793// ff_l2d_decc_out_c6_hi1_4_scanin[15]
3794// ff_l2d_decc_out_c6_lo0_2_scanin[16]
3795// ff_l2d_decc_out_c6_lo0_4_scanin[16]
3796// ff_l2d_decc_out_c6_hi0_2_scanin[16]
3797// ff_l2d_decc_out_c6_hi0_4_scanin[16]
3798// ff_l2d_decc_out_c6_lo1_2_scanin[16]
3799// ff_l2d_decc_out_c6_lo1_4_scanin[16]
3800// ff_l2d_decc_out_c6_hi1_2_scanin[16]
3801// ff_l2d_decc_out_c6_hi1_4_scanin[16]
3802// ff_l2d_decc_out_c6_lo0_2_scanin[17]
3803// ff_l2d_decc_out_c6_lo0_4_scanin[17]
3804// ff_l2d_decc_out_c6_hi0_2_scanin[17]
3805// ff_l2d_decc_out_c6_hi0_4_scanin[17]
3806// ff_l2d_decc_out_c6_lo1_2_scanin[17]
3807// ff_l2d_decc_out_c6_lo1_4_scanin[17]
3808// ff_l2d_decc_out_c6_hi1_2_scanin[17]
3809// ff_l2d_decc_out_c6_hi1_4_scanin[17]
3810// ff_l2d_decc_out_c6_lo0_2_scanin[18]
3811// ff_l2d_decc_out_c6_lo0_4_scanin[18]
3812// ff_l2d_decc_out_c6_hi0_2_scanin[18]
3813// ff_l2d_decc_out_c6_hi0_4_scanin[18]
3814// ff_l2d_decc_out_c6_lo1_2_scanin[18]
3815// ff_l2d_decc_out_c6_lo1_4_scanin[18]
3816// ff_l2d_decc_out_c6_hi1_2_scanin[18]
3817// ff_l2d_decc_out_c6_hi1_4_scanin[18]
3818// ff_l2d_decc_out_c6_lo0_2_scanin[19]
3819// ff_l2d_decc_out_c6_lo0_4_scanin[19]
3820// ff_l2d_decc_out_c6_hi0_2_scanin[19]
3821// ff_l2d_decc_out_c6_hi0_4_scanin[19]
3822
3823// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[19]
3824// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[19]
3825// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[20]
3826// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[20]
3827// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[20]
3828// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[20]
3829// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[21]
3830// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[21]
3831// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[21]
3832// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[21]
3833// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[22]
3834// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[22]
3835// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[22]
3836// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[22]
3837// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[23]
3838// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[23]
3839// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[23]
3840// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[23]
3841// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[24]
3842
3843
3844// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[29]
3845// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[28]
3846// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[28]
3847// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[28]
3848// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[28]
3849// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[27]
3850// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[27]
3851// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[27]
3852// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[27]
3853// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[26]
3854// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[26]
3855// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[26]
3856// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[26]
3857// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[25]
3858// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[25]
3859// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[25]
3860// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[25]
3861// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[24]
3862// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[24]
3863// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[24]
3864
3865// ff_cache_col_offset_c5_123_scanin[0]
3866// ff_cache_col_offset_c5_123_scanin[2]
3867// ff_cache_col_offset_c4_123_scanin[0]
3868// ff_cache_col_offset_c4_123_scanin[2]
3869// ff_cache_col_offset_c4_tog_123_scanin[0]
3870// ff_cache_cache_rd_wr_c5_21_scanin
3871// ff_cache_col_offset_c5_123_scanin[1]
3872// ff_cache_col_offset_c5_123_scanin[3]
3873// ff_cache_col_offset_c4_123_scanin[1]
3874// ff_cache_col_offset_c4_123_scanin[3]
3875// ff_cache_col_offset_c4_tog_123_scanin[1]
3876
3877// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[38]
3878// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[38]
3879// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[38]
3880// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[38]
3881// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[37]
3882// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[37]
3883// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[37]
3884// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[37]
3885// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[36]
3886// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[36]
3887// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[36]
3888// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[36]
3889// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[35]
3890// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[35]
3891// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[35]
3892// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[35]
3893// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[34]
3894// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[34]
3895// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[34]
3896
3897// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[29]
3898// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[29]
3899// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[29]
3900// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[30]
3901// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[30]
3902// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[30]
3903// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[30]
3904// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[31]
3905// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[31]
3906// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[31]
3907// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[31]
3908// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[32]
3909// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[32]
3910// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[32]
3911// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[32]
3912// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[33]
3913// ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[33]
3914// ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[33]
3915// ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[33]
3916// ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[34]
3917
3918// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[38]
3919// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[38]
3920// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[38]
3921// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[38]
3922// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[37]
3923// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[37]
3924// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[37]
3925// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[37]
3926// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[36]
3927// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[36]
3928// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[36]
3929// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[36]
3930// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[35]
3931// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[35]
3932// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[35]
3933// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[35]
3934// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[34]
3935// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[34]
3936// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[34]
3937
3938// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[29]
3939// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[29]
3940// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[29]
3941// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[30]
3942// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[30]
3943// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[30]
3944// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[30]
3945// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[31]
3946// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[31]
3947// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[31]
3948// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[31]
3949// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[32]
3950// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[32]
3951// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[32]
3952// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[32]
3953// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[33]
3954// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[33]
3955// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[33]
3956// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[33]
3957// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[34]
3958
3959// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[19]
3960// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[19]
3961// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[20]
3962// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[20]
3963// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[20]
3964// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[20]
3965// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[21]
3966// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[21]
3967// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[21]
3968// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[21]
3969// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[22]
3970// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[22]
3971// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[22]
3972// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[22]
3973// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[23]
3974// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[23]
3975// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[23]
3976// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[23]
3977// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[24]
3978
3979
3980// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[29]
3981// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[28]
3982// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[28]
3983// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[28]
3984// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[28]
3985// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[27]
3986// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[27]
3987// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[27]
3988// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[27]
3989// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[26]
3990// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[26]
3991// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[26]
3992// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[26]
3993// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[25]
3994// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[25]
3995// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[25]
3996// ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[25]
3997// ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[24]
3998// ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[24]
3999// ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[24]
4000
4001// ff_l2d_decc_out_c6_lo1_2_scanin[19]
4002// ff_l2d_decc_out_c6_lo1_4_scanin[19]
4003// ff_l2d_decc_out_c6_hi1_2_scanin[19]
4004// ff_l2d_decc_out_c6_hi1_4_scanin[19]
4005// ff_l2d_decc_out_c6_lo0_2_scanin[20]
4006// ff_l2d_decc_out_c6_lo0_4_scanin[20]
4007// ff_l2d_decc_out_c6_hi0_2_scanin[20]
4008// ff_l2d_decc_out_c6_hi0_4_scanin[20]
4009// ff_l2d_decc_out_c6_lo1_2_scanin[20]
4010// ff_l2d_decc_out_c6_lo1_4_scanin[20]
4011// ff_l2d_decc_out_c6_hi1_2_scanin[20]
4012// ff_l2d_decc_out_c6_hi1_4_scanin[20]
4013// ff_l2d_decc_out_c6_lo0_2_scanin[21]
4014// ff_l2d_decc_out_c6_lo0_4_scanin[21]
4015// ff_l2d_decc_out_c6_hi0_2_scanin[21]
4016// ff_l2d_decc_out_c6_hi0_4_scanin[21]
4017// ff_l2d_decc_out_c6_lo1_2_scanin[21]
4018// ff_l2d_decc_out_c6_lo1_4_scanin[21]
4019// ff_l2d_decc_out_c6_hi1_2_scanin[21]
4020// ff_l2d_decc_out_c6_hi1_4_scanin[21]
4021// ff_l2d_decc_out_c6_lo0_2_scanin[22]
4022// ff_l2d_decc_out_c6_lo0_4_scanin[22]
4023// ff_l2d_decc_out_c6_hi0_2_scanin[22]
4024// ff_l2d_decc_out_c6_hi0_4_scanin[22]
4025// ff_l2d_decc_out_c6_lo1_2_scanin[22]
4026// ff_l2d_decc_out_c6_lo1_4_scanin[22]
4027// ff_l2d_decc_out_c6_hi1_2_scanin[22]
4028// ff_l2d_decc_out_c6_hi1_4_scanin[22]
4029// ff_l2d_decc_out_c6_lo0_2_scanin[23]
4030// ff_l2d_decc_out_c6_lo0_4_scanin[23]
4031// ff_l2d_decc_out_c6_hi0_2_scanin[23]
4032// ff_l2d_decc_out_c6_hi0_4_scanin[23]
4033// ff_l2d_decc_out_c6_lo1_2_scanin[23]
4034// ff_l2d_decc_out_c6_lo1_4_scanin[23]
4035// ff_l2d_decc_out_c6_hi1_2_scanin[23]
4036// ff_l2d_decc_out_c6_hi1_4_scanin[23]
4037// ff_l2d_decc_out_c6_lo0_2_scanin[24]
4038// ff_l2d_decc_out_c6_lo0_4_scanin[24]
4039// ff_l2d_decc_out_c6_hi0_2_scanin[24]
4040// ff_l2d_decc_out_c6_hi0_4_scanin[24]
4041// ff_l2d_decc_out_c6_lo1_2_scanin[24]
4042// ff_l2d_decc_out_c6_lo1_4_scanin[24]
4043// ff_l2d_decc_out_c6_hi1_2_scanin[24]
4044// ff_l2d_decc_out_c6_hi1_4_scanin[24]
4045// ff_l2d_decc_out_c6_lo0_2_scanin[25]
4046// ff_l2d_decc_out_c6_lo0_4_scanin[25]
4047// ff_l2d_decc_out_c6_hi0_2_scanin[25]
4048// ff_l2d_decc_out_c6_hi0_4_scanin[25]
4049// ff_l2d_decc_out_c6_lo1_2_scanin[25]
4050// ff_l2d_decc_out_c6_lo1_4_scanin[25]
4051// ff_l2d_decc_out_c6_hi1_2_scanin[25]
4052// ff_l2d_decc_out_c6_hi1_4_scanin[25]
4053// ff_l2d_decc_out_c6_lo0_2_scanin[26]
4054// ff_l2d_decc_out_c6_lo0_4_scanin[26]
4055// ff_l2d_decc_out_c6_hi0_2_scanin[26]
4056// ff_l2d_decc_out_c6_hi0_4_scanin[26]
4057// ff_l2d_decc_out_c6_lo1_2_scanin[26]
4058// ff_l2d_decc_out_c6_lo1_4_scanin[26]
4059// ff_l2d_decc_out_c6_hi1_2_scanin[26]
4060// ff_l2d_decc_out_c6_hi1_4_scanin[26]
4061// ff_l2d_decc_out_c6_lo0_2_scanin[27]
4062// ff_l2d_decc_out_c6_lo0_4_scanin[27]
4063// ff_l2d_decc_out_c6_hi0_2_scanin[27]
4064// ff_l2d_decc_out_c6_hi0_4_scanin[27]
4065// ff_l2d_decc_out_c6_lo1_2_scanin[27]
4066// ff_l2d_decc_out_c6_lo1_4_scanin[27]
4067// ff_l2d_decc_out_c6_hi1_2_scanin[27]
4068// ff_l2d_decc_out_c6_hi1_4_scanin[27]
4069// ff_l2d_decc_out_c6_lo0_2_scanin[28]
4070// ff_l2d_decc_out_c6_lo0_4_scanin[28]
4071// ff_l2d_decc_out_c6_hi0_2_scanin[28]
4072// ff_l2d_decc_out_c6_hi0_4_scanin[28]
4073// ff_l2d_decc_out_c6_lo1_2_scanin[28]
4074// ff_l2d_decc_out_c6_lo1_4_scanin[28]
4075// ff_l2d_decc_out_c6_hi1_2_scanin[28]
4076// ff_l2d_decc_out_c6_hi1_4_scanin[28]
4077// ff_l2d_decc_out_c6_lo0_2_scanin[29]
4078// ff_l2d_decc_out_c6_lo0_4_scanin[29]
4079
4080// ff_l2d_decc_out_c6_hi0_2_scanin[29]
4081// ff_l2d_decc_out_c6_hi0_4_scanin[29]
4082// ff_l2d_decc_out_c6_lo1_2_scanin[29]
4083// ff_l2d_decc_out_c6_lo1_4_scanin[29]
4084// ff_l2d_decc_out_c6_hi1_2_scanin[29]
4085// ff_l2d_decc_out_c6_hi1_4_scanin[29]
4086// ff_l2d_decc_out_c6_lo0_2_scanin[30]
4087// ff_l2d_decc_out_c6_lo0_4_scanin[30]
4088// ff_l2d_decc_out_c6_hi0_2_scanin[30]
4089// ff_l2d_decc_out_c6_hi0_4_scanin[30]
4090// ff_l2d_decc_out_c6_lo1_2_scanin[30]
4091// ff_l2d_decc_out_c6_lo1_4_scanin[30]
4092// ff_l2d_decc_out_c6_hi1_2_scanin[30]
4093// ff_l2d_decc_out_c6_hi1_4_scanin[30]
4094// ff_l2d_decc_out_c6_lo0_2_scanin[31]
4095// ff_l2d_decc_out_c6_lo0_4_scanin[31]
4096// ff_l2d_decc_out_c6_hi0_2_scanin[31]
4097// ff_l2d_decc_out_c6_hi0_4_scanin[31]
4098// ff_l2d_decc_out_c6_lo1_2_scanin[31]
4099// ff_l2d_decc_out_c6_lo1_4_scanin[31]
4100// ff_l2d_decc_out_c6_hi1_2_scanin[31]
4101// ff_l2d_decc_out_c6_hi1_4_scanin[31]
4102// ff_l2d_decc_out_c6_lo0_2_scanin[32]
4103// ff_l2d_decc_out_c6_lo0_4_scanin[32]
4104// ff_l2d_decc_out_c6_hi0_2_scanin[32]
4105// ff_l2d_decc_out_c6_hi0_4_scanin[32]
4106// ff_l2d_decc_out_c6_lo1_2_scanin[32]
4107// ff_l2d_decc_out_c6_lo1_4_scanin[32]
4108// ff_l2d_decc_out_c6_hi1_2_scanin[32]
4109// ff_l2d_decc_out_c6_hi1_4_scanin[32]
4110// ff_l2d_decc_out_c6_lo0_2_scanin[33]
4111// ff_l2d_decc_out_c6_lo0_4_scanin[33]
4112// ff_l2d_decc_out_c6_hi0_2_scanin[33]
4113// ff_l2d_decc_out_c6_hi0_4_scanin[33]
4114// ff_l2d_decc_out_c6_lo1_2_scanin[33]
4115// ff_l2d_decc_out_c6_lo1_4_scanin[33]
4116// ff_l2d_decc_out_c6_hi1_2_scanin[33]
4117// ff_l2d_decc_out_c6_hi1_4_scanin[33]
4118// ff_l2d_decc_out_c6_lo0_2_scanin[34]
4119// ff_l2d_decc_out_c6_lo0_4_scanin[34]
4120// ff_l2d_decc_out_c6_hi0_2_scanin[34]
4121// ff_l2d_decc_out_c6_hi0_4_scanin[34]
4122// ff_l2d_decc_out_c6_lo1_2_scanin[34]
4123// ff_l2d_decc_out_c6_lo1_4_scanin[34]
4124// ff_l2d_decc_out_c6_hi1_2_scanin[34]
4125// ff_l2d_decc_out_c6_hi1_4_scanin[34]
4126// ff_l2d_decc_out_c6_lo0_2_scanin[35]
4127// ff_l2d_decc_out_c6_lo0_4_scanin[35]
4128// ff_l2d_decc_out_c6_hi0_2_scanin[35]
4129// ff_l2d_decc_out_c6_hi0_4_scanin[35]
4130// ff_l2d_decc_out_c6_lo1_2_scanin[35]
4131// ff_l2d_decc_out_c6_lo1_4_scanin[35]
4132// ff_l2d_decc_out_c6_hi1_2_scanin[35]
4133// ff_l2d_decc_out_c6_hi1_4_scanin[35]
4134// ff_l2d_decc_out_c6_lo0_2_scanin[36]
4135// ff_l2d_decc_out_c6_lo0_4_scanin[36]
4136// ff_l2d_decc_out_c6_hi0_2_scanin[36]
4137// ff_l2d_decc_out_c6_hi0_4_scanin[36]
4138// ff_l2d_decc_out_c6_lo1_2_scanin[36]
4139// ff_l2d_decc_out_c6_lo1_4_scanin[36]
4140// ff_l2d_decc_out_c6_hi1_2_scanin[36]
4141// ff_l2d_decc_out_c6_hi1_4_scanin[36]
4142// ff_l2d_decc_out_c6_lo0_2_scanin[37]
4143// ff_l2d_decc_out_c6_lo0_4_scanin[37]
4144// ff_l2d_decc_out_c6_hi0_2_scanin[37]
4145// ff_l2d_decc_out_c6_hi0_4_scanin[37]
4146// ff_l2d_decc_out_c6_lo1_2_scanin[37]
4147// ff_l2d_decc_out_c6_lo1_4_scanin[37]
4148// ff_l2d_decc_out_c6_hi1_2_scanin[37]
4149// ff_l2d_decc_out_c6_hi1_4_scanin[37]
4150// ff_l2d_decc_out_c6_lo0_2_scanin[38]
4151// ff_l2d_decc_out_c6_lo0_4_scanin[38]
4152// ff_l2d_decc_out_c6_hi0_2_scanin[38]
4153// ff_l2d_decc_out_c6_hi0_4_scanin[38]
4154// ff_l2d_decc_out_c6_lo1_2_scanin[38]
4155// ff_l2d_decc_out_c6_lo1_4_scanin[38]
4156// ff_l2d_decc_out_c6_hi1_2_scanin[38]
4157// ff_l2d_decc_out_c6_hi1_4_scanin[38]
4158// so_tstmod
4159// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[19]
4160// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[19]
4161// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[20]
4162// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[20]
4163// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[20]
4164// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[20]
4165// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[21]
4166// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[21]
4167// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[21]
4168// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[21]
4169// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[22]
4170// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[22]
4171// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[22]
4172// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[22]
4173// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[23]
4174// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[23]
4175// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[23]
4176// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[23]
4177// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[24]
4178
4179
4180// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[29]
4181// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[28]
4182// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[28]
4183// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[28]
4184// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[28]
4185// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[27]
4186// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[27]
4187// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[27]
4188// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[27]
4189// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[26]
4190// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[26]
4191// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[26]
4192// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[26]
4193// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[25]
4194// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[25]
4195// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[25]
4196// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[25]
4197// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[24]
4198// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[24]
4199// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[24]
4200
4201// ff_cache_col_offset_c5_101_scanin[0]
4202// ff_cache_col_offset_c5_101_scanin[2]
4203// ff_cache_col_offset_c4_101_scanin[0]
4204// ff_cache_col_offset_c4_101_scanin[2]
4205// ff_cache_col_offset_c4_tog_101_scanin[0]
4206// ff_cache_cache_rd_wr_c5_01_scanin
4207// ff_cache_col_offset_c5_101_scanin[1]
4208// ff_cache_col_offset_c5_101_scanin[3]
4209// ff_cache_col_offset_c4_101_scanin[1]
4210// ff_cache_col_offset_c4_101_scanin[3]
4211// ff_cache_col_offset_c4_tog_101_scanin[1]
4212
4213// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[38]
4214// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[38]
4215// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[38]
4216// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[38]
4217// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[37]
4218// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[37]
4219// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[37]
4220// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[37]
4221// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[36]
4222// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[36]
4223// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[36]
4224// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[36]
4225// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[35]
4226// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[35]
4227// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[35]
4228// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[35]
4229// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[34]
4230// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[34]
4231// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[34]
4232
4233// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[29]
4234// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[29]
4235// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[29]
4236// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[30]
4237// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[30]
4238// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[30]
4239// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[30]
4240// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[31]
4241// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[31]
4242// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[31]
4243// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[31]
4244// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[32]
4245// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[32]
4246// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[32]
4247// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[32]
4248// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[33]
4249// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[33]
4250// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[33]
4251// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[33]
4252// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[34]
4253
4254// ff_l2t_l2d_stdecc_c3_scanin[0:38]
4255
4256// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[38]
4257// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[38]
4258// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[38]
4259// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[38]
4260// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[37]
4261// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[37]
4262// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[37]
4263// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[37]
4264// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[36]
4265// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[36]
4266// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[36]
4267// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[36]
4268// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[35]
4269// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[35]
4270// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[35]
4271// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[35]
4272// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[34]
4273// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[34]
4274// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[34]
4275
4276// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[29]
4277// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[29]
4278// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[29]
4279// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[30]
4280// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[30]
4281// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[30]
4282// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[30]
4283// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[31]
4284// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[31]
4285// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[31]
4286// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[31]
4287// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[32]
4288// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[32]
4289// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[32]
4290// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[32]
4291// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[33]
4292// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[33]
4293// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[33]
4294// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[33]
4295// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[34]
4296
4297// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[19]
4298// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[19]
4299// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[20]
4300// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[20]
4301// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[20]
4302// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[20]
4303// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[21]
4304// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[21]
4305// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[21]
4306// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[21]
4307// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[22]
4308// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[22]
4309// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[22]
4310// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[22]
4311// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[23]
4312// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[23]
4313// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[23]
4314// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[23]
4315// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[24]
4316
4317
4318// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[29]
4319// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[28]
4320// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[28]
4321// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[28]
4322// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[28]
4323// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[27]
4324// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[27]
4325// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[27]
4326// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[27]
4327// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[26]
4328// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[26]
4329// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[26]
4330// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[26]
4331// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[25]
4332// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[25]
4333// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[25]
4334// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[25]
4335// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[24]
4336// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[24]
4337// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[24]
4338
4339// ff_l2d_decc_out_c6_lo1_1_scanin[19]
4340// ff_l2d_decc_out_c6_lo1_3_scanin[19]
4341// ff_l2d_decc_out_c6_hi1_1_scanin[19]
4342// ff_l2d_decc_out_c6_hi1_3_scanin[19]
4343// ff_l2d_decc_out_c6_lo0_1_scanin[20]
4344// ff_l2d_decc_out_c6_lo0_3_scanin[20]
4345// ff_l2d_decc_out_c6_hi0_1_scanin[20]
4346// ff_l2d_decc_out_c6_hi0_3_scanin[20]
4347// ff_l2d_decc_out_c6_lo1_1_scanin[20]
4348// ff_l2d_decc_out_c6_lo1_3_scanin[20]
4349// ff_l2d_decc_out_c6_hi1_1_scanin[20]
4350// ff_l2d_decc_out_c6_hi1_3_scanin[20]
4351// ff_l2d_decc_out_c6_lo0_1_scanin[21]
4352// ff_l2d_decc_out_c6_lo0_3_scanin[21]
4353// ff_l2d_decc_out_c6_hi0_1_scanin[21]
4354// ff_l2d_decc_out_c6_hi0_3_scanin[21]
4355// ff_l2d_decc_out_c6_lo1_1_scanin[21]
4356// ff_l2d_decc_out_c6_lo1_3_scanin[21]
4357// ff_l2d_decc_out_c6_hi1_1_scanin[21]
4358// ff_l2d_decc_out_c6_hi1_3_scanin[21]
4359// ff_l2d_decc_out_c6_lo0_1_scanin[22]
4360// ff_l2d_decc_out_c6_lo0_3_scanin[22]
4361// ff_l2d_decc_out_c6_hi0_1_scanin[22]
4362// ff_l2d_decc_out_c6_hi0_3_scanin[22]
4363// ff_l2d_decc_out_c6_lo1_1_scanin[22]
4364// ff_l2d_decc_out_c6_lo1_3_scanin[22]
4365// ff_l2d_decc_out_c6_hi1_1_scanin[22]
4366// ff_l2d_decc_out_c6_hi1_3_scanin[22]
4367// ff_l2d_decc_out_c6_lo0_1_scanin[23]
4368// ff_l2d_decc_out_c6_lo0_3_scanin[23]
4369// ff_l2d_decc_out_c6_hi0_1_scanin[23]
4370// ff_l2d_decc_out_c6_hi0_3_scanin[23]
4371// ff_l2d_decc_out_c6_lo1_1_scanin[23]
4372// ff_l2d_decc_out_c6_lo1_3_scanin[23]
4373// ff_l2d_decc_out_c6_hi1_1_scanin[23]
4374// ff_l2d_decc_out_c6_hi1_3_scanin[23]
4375// ff_l2d_decc_out_c6_lo0_1_scanin[24]
4376// ff_l2d_decc_out_c6_lo0_3_scanin[24]
4377// ff_l2d_decc_out_c6_hi0_1_scanin[24]
4378// ff_l2d_decc_out_c6_hi0_3_scanin[24]
4379// ff_l2d_decc_out_c6_lo1_1_scanin[24]
4380// ff_l2d_decc_out_c6_lo1_3_scanin[24]
4381// ff_l2d_decc_out_c6_hi1_1_scanin[24]
4382// ff_l2d_decc_out_c6_hi1_3_scanin[24]
4383// ff_l2d_decc_out_c6_lo0_1_scanin[25]
4384// ff_l2d_decc_out_c6_lo0_3_scanin[25]
4385// ff_l2d_decc_out_c6_hi0_1_scanin[25]
4386// ff_l2d_decc_out_c6_hi0_3_scanin[25]
4387// ff_l2d_decc_out_c6_lo1_1_scanin[25]
4388// ff_l2d_decc_out_c6_lo1_3_scanin[25]
4389// ff_l2d_decc_out_c6_hi1_1_scanin[25]
4390// ff_l2d_decc_out_c6_hi1_3_scanin[25]
4391// ff_l2d_decc_out_c6_lo0_1_scanin[26]
4392// ff_l2d_decc_out_c6_lo0_3_scanin[26]
4393// ff_l2d_decc_out_c6_hi0_1_scanin[26]
4394// ff_l2d_decc_out_c6_hi0_3_scanin[26]
4395// ff_l2d_decc_out_c6_lo1_1_scanin[26]
4396// ff_l2d_decc_out_c6_lo1_3_scanin[26]
4397// ff_l2d_decc_out_c6_hi1_1_scanin[26]
4398// ff_l2d_decc_out_c6_hi1_3_scanin[26]
4399// ff_l2d_decc_out_c6_lo0_1_scanin[27]
4400// ff_l2d_decc_out_c6_lo0_3_scanin[27]
4401// ff_l2d_decc_out_c6_hi0_1_scanin[27]
4402// ff_l2d_decc_out_c6_hi0_3_scanin[27]
4403// ff_l2d_decc_out_c6_lo1_1_scanin[27]
4404// ff_l2d_decc_out_c6_lo1_3_scanin[27]
4405// ff_l2d_decc_out_c6_hi1_1_scanin[27]
4406// ff_l2d_decc_out_c6_hi1_3_scanin[27]
4407// ff_l2d_decc_out_c6_lo0_1_scanin[28]
4408// ff_l2d_decc_out_c6_lo0_3_scanin[28]
4409// ff_l2d_decc_out_c6_hi0_1_scanin[28]
4410// ff_l2d_decc_out_c6_hi0_3_scanin[28]
4411// ff_l2d_decc_out_c6_lo1_1_scanin[28]
4412// ff_l2d_decc_out_c6_lo1_3_scanin[28]
4413// ff_l2d_decc_out_c6_hi1_1_scanin[28]
4414// ff_l2d_decc_out_c6_hi1_3_scanin[28]
4415// ff_l2d_decc_out_c6_lo0_1_scanin[29]
4416// ff_l2d_decc_out_c6_lo0_3_scanin[29]
4417
4418// ff_l2d_decc_out_c6_hi0_1_scanin[29]
4419// ff_l2d_decc_out_c6_hi0_3_scanin[29]
4420// ff_l2d_decc_out_c6_lo1_1_scanin[29]
4421// ff_l2d_decc_out_c6_lo1_3_scanin[29]
4422// ff_l2d_decc_out_c6_hi1_1_scanin[29]
4423// ff_l2d_decc_out_c6_hi1_3_scanin[29]
4424// ff_l2d_decc_out_c6_lo0_1_scanin[30]
4425// ff_l2d_decc_out_c6_lo0_3_scanin[30]
4426// ff_l2d_decc_out_c6_hi0_1_scanin[30]
4427// ff_l2d_decc_out_c6_hi0_3_scanin[30]
4428// ff_l2d_decc_out_c6_lo1_1_scanin[30]
4429// ff_l2d_decc_out_c6_lo1_3_scanin[30]
4430// ff_l2d_decc_out_c6_hi1_1_scanin[30]
4431// ff_l2d_decc_out_c6_hi1_3_scanin[30]
4432// ff_l2d_decc_out_c6_lo0_1_scanin[31]
4433// ff_l2d_decc_out_c6_lo0_3_scanin[31]
4434// ff_l2d_decc_out_c6_hi0_1_scanin[31]
4435// ff_l2d_decc_out_c6_hi0_3_scanin[31]
4436// ff_l2d_decc_out_c6_lo1_1_scanin[31]
4437// ff_l2d_decc_out_c6_lo1_3_scanin[31]
4438// ff_l2d_decc_out_c6_hi1_1_scanin[31]
4439// ff_l2d_decc_out_c6_hi1_3_scanin[31]
4440// ff_l2d_decc_out_c6_lo0_1_scanin[32]
4441// ff_l2d_decc_out_c6_lo0_3_scanin[32]
4442// ff_l2d_decc_out_c6_hi0_1_scanin[32]
4443// ff_l2d_decc_out_c6_hi0_3_scanin[32]
4444// ff_l2d_decc_out_c6_lo1_1_scanin[32]
4445// ff_l2d_decc_out_c6_lo1_3_scanin[32]
4446// ff_l2d_decc_out_c6_hi1_1_scanin[32]
4447// ff_l2d_decc_out_c6_hi1_3_scanin[32]
4448// ff_l2d_decc_out_c6_lo0_1_scanin[33]
4449// ff_l2d_decc_out_c6_lo0_3_scanin[33]
4450// ff_l2d_decc_out_c6_hi0_1_scanin[33]
4451// ff_l2d_decc_out_c6_hi0_3_scanin[33]
4452// ff_l2d_decc_out_c6_lo1_1_scanin[33]
4453// ff_l2d_decc_out_c6_lo1_3_scanin[33]
4454// ff_l2d_decc_out_c6_hi1_1_scanin[33]
4455// ff_l2d_decc_out_c6_hi1_3_scanin[33]
4456// ff_l2d_decc_out_c6_lo0_1_scanin[34]
4457// ff_l2d_decc_out_c6_lo0_3_scanin[34]
4458// ff_l2d_decc_out_c6_hi0_1_scanin[34]
4459// ff_l2d_decc_out_c6_hi0_3_scanin[34]
4460// ff_l2d_decc_out_c6_lo1_1_scanin[34]
4461// ff_l2d_decc_out_c6_lo1_3_scanin[34]
4462// ff_l2d_decc_out_c6_hi1_1_scanin[34]
4463// ff_l2d_decc_out_c6_hi1_3_scanin[34]
4464// ff_l2d_decc_out_c6_lo0_1_scanin[35]
4465// ff_l2d_decc_out_c6_lo0_3_scanin[35]
4466// ff_l2d_decc_out_c6_hi0_1_scanin[35]
4467// ff_l2d_decc_out_c6_hi0_3_scanin[35]
4468// ff_l2d_decc_out_c6_lo1_1_scanin[35]
4469// ff_l2d_decc_out_c6_lo1_3_scanin[35]
4470// ff_l2d_decc_out_c6_hi1_1_scanin[35]
4471// ff_l2d_decc_out_c6_hi1_3_scanin[35]
4472// ff_l2d_decc_out_c6_lo0_1_scanin[36]
4473// ff_l2d_decc_out_c6_lo0_3_scanin[36]
4474// ff_l2d_decc_out_c6_hi0_1_scanin[36]
4475// ff_l2d_decc_out_c6_hi0_3_scanin[36]
4476// ff_l2d_decc_out_c6_lo1_1_scanin[36]
4477// ff_l2d_decc_out_c6_lo1_3_scanin[36]
4478// ff_l2d_decc_out_c6_hi1_1_scanin[36]
4479// ff_l2d_decc_out_c6_hi1_3_scanin[36]
4480// ff_l2d_decc_out_c6_lo0_1_scanin[37]
4481// ff_l2d_decc_out_c6_lo0_3_scanin[37]
4482// ff_l2d_decc_out_c6_hi0_1_scanin[37]
4483// ff_l2d_decc_out_c6_hi0_3_scanin[37]
4484// ff_l2d_decc_out_c6_lo1_1_scanin[37]
4485// ff_l2d_decc_out_c6_lo1_3_scanin[37]
4486// ff_l2d_decc_out_c6_hi1_1_scanin[37]
4487// ff_l2d_decc_out_c6_hi1_3_scanin[37]
4488// ff_l2d_decc_out_c6_lo0_1_scanin[38]
4489// ff_l2d_decc_out_c6_lo0_3_scanin[38]
4490// ff_l2d_decc_out_c6_hi0_1_scanin[38]
4491// ff_l2d_decc_out_c6_hi0_3_scanin[38]
4492// ff_l2d_decc_out_c6_lo1_1_scanin[38]
4493// ff_l2d_decc_out_c6_lo1_3_scanin[38]
4494// ff_l2d_decc_out_c6_hi1_1_scanin[38]
4495// ff_l2d_decc_out_c6_hi1_3_scanin[38]
4496
4497// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[0]
4498// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[0]
4499// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[0]
4500// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[0]
4501// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[1]
4502// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[1]
4503// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[1]
4504// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[1]
4505// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[2]
4506// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[2]
4507// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[2]
4508// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[2]
4509// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[3]
4510// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[3]
4511// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[3]
4512// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[3]
4513// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[4]
4514// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[4]
4515// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[4]
4516
4517// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[9]
4518// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[9]
4519// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[9]
4520// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[8]
4521// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[8]
4522// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[8]
4523// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[8]
4524// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[7]
4525// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[7]
4526// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[7]
4527// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[7]
4528// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[6]
4529// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[6]
4530// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[6]
4531// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[6]
4532// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[5]
4533// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[5]
4534// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[5]
4535// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[5]
4536// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[4]
4537
4538// ff_cache_col_offset_c5_001_scanin[0]
4539// ff_cache_col_offset_c5_001_scanin[2]
4540// ff_cache_col_offset_c4_001_scanin[0]
4541// ff_cache_col_offset_c4_001_scanin[2]
4542// ff_cache_col_offset_c4_tog_001_scanin[0]
4543// ff_cache_cache_rd_wr_c5_00_scanin
4544// ff_cache_col_offset_c5_001_scanin[1]
4545// ff_cache_col_offset_c5_001_scanin[3]
4546// ff_cache_col_offset_c4_001_scanin[1]
4547// ff_cache_col_offset_c4_001_scanin[3]
4548// ff_cache_col_offset_c4_tog_001_scanin[1]
4549
4550// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[19]
4551// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[19]
4552// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[18]
4553// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[18]
4554// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[18]
4555// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[18]
4556// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[17]
4557// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[17]
4558// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[17]
4559// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[17]
4560// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[16]
4561// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[16]
4562// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[16]
4563// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[16]
4564// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[15]
4565// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[15]
4566// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[15]
4567// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[15]
4568// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[14]
4569
4570// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[9]
4571// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[10]
4572// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[10]
4573// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[10]
4574// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[10]
4575// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[11]
4576// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[11]
4577// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[11]
4578// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[11]
4579// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[12]
4580// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[12]
4581// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[12]
4582// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[12]
4583// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[13]
4584// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[13]
4585// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[13]
4586// ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[13]
4587// ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[14]
4588// ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[14]
4589// ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[14]
4590
4591// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[19]
4592// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[19]
4593// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[18]
4594// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[18]
4595// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[18]
4596// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[18]
4597// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[17]
4598// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[17]
4599// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[17]
4600// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[17]
4601// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[16]
4602// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[16]
4603// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[16]
4604// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[16]
4605// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[15]
4606// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[15]
4607// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[15]
4608// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[15]
4609// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[14]
4610
4611// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[9]
4612// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[10]
4613// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[10]
4614// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[10]
4615// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[10]
4616// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[11]
4617// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[11]
4618// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[11]
4619// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[11]
4620// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[12]
4621// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[12]
4622// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[12]
4623// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[12]
4624// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[13]
4625// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[13]
4626// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[13]
4627// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[13]
4628// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[14]
4629// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[14]
4630// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[14]
4631
4632
4633// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[0]
4634// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[0]
4635// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[0]
4636// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[0]
4637// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[1]
4638// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[1]
4639// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[1]
4640// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[1]
4641// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[2]
4642// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[2]
4643// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[2]
4644// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[2]
4645// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[3]
4646// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[3]
4647// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[3]
4648// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[3]
4649// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[4]
4650// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[4]
4651// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[4]
4652
4653
4654
4655// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[9]
4656// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[9]
4657// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[9]
4658// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[8]
4659// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[8]
4660// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[8]
4661// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[8]
4662// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[7]
4663// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[7]
4664// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[7]
4665// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[7]
4666// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[6]
4667// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[6]
4668// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[6]
4669// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[6]
4670// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[5]
4671// ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[5]
4672// ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[5]
4673// ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[5]
4674// ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[4]
4675
4676
4677// ff_l2d_decc_out_c6_lo0_1_scanin[0]
4678// ff_l2d_decc_out_c6_lo0_3_scanin[0]
4679// ff_l2d_decc_out_c6_hi0_1_scanin[0]
4680// ff_l2d_decc_out_c6_hi0_3_scanin[0]
4681// ff_l2d_decc_out_c6_lo1_1_scanin[0]
4682// ff_l2d_decc_out_c6_lo1_3_scanin[0]
4683// ff_l2d_decc_out_c6_hi1_1_scanin[0]
4684// ff_l2d_decc_out_c6_hi1_3_scanin[0]
4685// ff_l2d_decc_out_c6_lo0_1_scanin[1]
4686// ff_l2d_decc_out_c6_lo0_3_scanin[1]
4687// ff_l2d_decc_out_c6_hi0_1_scanin[1]
4688// ff_l2d_decc_out_c6_hi0_3_scanin[1]
4689// ff_l2d_decc_out_c6_lo1_1_scanin[1]
4690// ff_l2d_decc_out_c6_lo1_3_scanin[1]
4691// ff_l2d_decc_out_c6_hi1_1_scanin[1]
4692// ff_l2d_decc_out_c6_hi1_3_scanin[1]
4693// ff_l2d_decc_out_c6_lo0_1_scanin[2]
4694// ff_l2d_decc_out_c6_lo0_3_scanin[2]
4695// ff_l2d_decc_out_c6_hi0_1_scanin[2]
4696// ff_l2d_decc_out_c6_hi0_3_scanin[2]
4697// ff_l2d_decc_out_c6_lo1_1_scanin[2]
4698// ff_l2d_decc_out_c6_lo1_3_scanin[2]
4699// ff_l2d_decc_out_c6_hi1_1_scanin[2]
4700// ff_l2d_decc_out_c6_hi1_3_scanin[2]
4701// ff_l2d_decc_out_c6_lo0_1_scanin[3]
4702// ff_l2d_decc_out_c6_lo0_3_scanin[3]
4703// ff_l2d_decc_out_c6_hi0_1_scanin[3]
4704// ff_l2d_decc_out_c6_hi0_3_scanin[3]
4705// ff_l2d_decc_out_c6_lo1_1_scanin[3]
4706// ff_l2d_decc_out_c6_lo1_3_scanin[3]
4707// ff_l2d_decc_out_c6_hi1_1_scanin[3]
4708// ff_l2d_decc_out_c6_hi1_3_scanin[3]
4709// ff_l2d_decc_out_c6_lo0_1_scanin[4]
4710// ff_l2d_decc_out_c6_lo0_3_scanin[4]
4711// ff_l2d_decc_out_c6_hi0_1_scanin[4]
4712// ff_l2d_decc_out_c6_hi0_3_scanin[4]
4713// ff_l2d_decc_out_c6_lo1_1_scanin[4]
4714// ff_l2d_decc_out_c6_lo1_3_scanin[4]
4715// ff_l2d_decc_out_c6_hi1_1_scanin[4]
4716// ff_l2d_decc_out_c6_hi1_3_scanin[4]
4717// ff_l2d_decc_out_c6_lo0_1_scanin[5]
4718// ff_l2d_decc_out_c6_lo0_3_scanin[5]
4719// ff_l2d_decc_out_c6_hi0_1_scanin[5]
4720// ff_l2d_decc_out_c6_hi0_3_scanin[5]
4721// ff_l2d_decc_out_c6_lo1_1_scanin[5]
4722// ff_l2d_decc_out_c6_lo1_3_scanin[5]
4723// ff_l2d_decc_out_c6_hi1_1_scanin[5]
4724// ff_l2d_decc_out_c6_hi1_3_scanin[5]
4725// ff_l2d_decc_out_c6_lo0_1_scanin[6]
4726// ff_l2d_decc_out_c6_lo0_3_scanin[6]
4727// ff_l2d_decc_out_c6_hi0_1_scanin[6]
4728// ff_l2d_decc_out_c6_hi0_3_scanin[6]
4729// ff_l2d_decc_out_c6_lo1_1_scanin[6]
4730// ff_l2d_decc_out_c6_lo1_3_scanin[6]
4731// ff_l2d_decc_out_c6_hi1_1_scanin[6]
4732// ff_l2d_decc_out_c6_hi1_3_scanin[6]
4733// ff_l2d_decc_out_c6_lo0_1_scanin[7]
4734// ff_l2d_decc_out_c6_lo0_3_scanin[7]
4735// ff_l2d_decc_out_c6_hi0_1_scanin[7]
4736// ff_l2d_decc_out_c6_hi0_3_scanin[7]
4737// ff_l2d_decc_out_c6_lo1_1_scanin[7]
4738// ff_l2d_decc_out_c6_lo1_3_scanin[7]
4739// ff_l2d_decc_out_c6_hi1_1_scanin[7]
4740// ff_l2d_decc_out_c6_hi1_3_scanin[7]
4741// ff_l2d_decc_out_c6_lo0_1_scanin[8]
4742// ff_l2d_decc_out_c6_lo0_3_scanin[8]
4743// ff_l2d_decc_out_c6_hi0_1_scanin[8]
4744// ff_l2d_decc_out_c6_hi0_3_scanin[8]
4745// ff_l2d_decc_out_c6_lo1_1_scanin[8]
4746// ff_l2d_decc_out_c6_lo1_3_scanin[8]
4747// ff_l2d_decc_out_c6_hi1_1_scanin[8]
4748// ff_l2d_decc_out_c6_hi1_3_scanin[8]
4749// ff_l2d_decc_out_c6_lo0_1_scanin[9]
4750// ff_l2d_decc_out_c6_lo0_3_scanin[9]
4751// ff_l2d_decc_out_c6_hi0_1_scanin[9]
4752// ff_l2d_decc_out_c6_hi0_3_scanin[9]
4753// ff_l2d_decc_out_c6_lo1_1_scanin[9]
4754// ff_l2d_decc_out_c6_lo1_3_scanin[9]
4755// ff_l2d_decc_out_c6_hi1_1_scanin[9]
4756// ff_l2d_decc_out_c6_hi1_3_scanin[9]
4757
4758// ff_l2d_decc_out_c6_lo0_1_scanin[10]
4759// ff_l2d_decc_out_c6_lo0_3_scanin[10]
4760// ff_l2d_decc_out_c6_hi0_1_scanin[10]
4761// ff_l2d_decc_out_c6_hi0_3_scanin[10]
4762// ff_l2d_decc_out_c6_lo1_1_scanin[10]
4763// ff_l2d_decc_out_c6_lo1_3_scanin[10]
4764// ff_l2d_decc_out_c6_hi1_1_scanin[10]
4765// ff_l2d_decc_out_c6_hi1_3_scanin[10]
4766// ff_l2d_decc_out_c6_lo0_1_scanin[11]
4767// ff_l2d_decc_out_c6_lo0_3_scanin[11]
4768// ff_l2d_decc_out_c6_hi0_1_scanin[11]
4769// ff_l2d_decc_out_c6_hi0_3_scanin[11]
4770// ff_l2d_decc_out_c6_lo1_1_scanin[11]
4771// ff_l2d_decc_out_c6_lo1_3_scanin[11]
4772// ff_l2d_decc_out_c6_hi1_1_scanin[11]
4773// ff_l2d_decc_out_c6_hi1_3_scanin[11]
4774// ff_l2d_decc_out_c6_lo0_1_scanin[12]
4775// ff_l2d_decc_out_c6_lo0_3_scanin[12]
4776// ff_l2d_decc_out_c6_hi0_1_scanin[12]
4777// ff_l2d_decc_out_c6_hi0_3_scanin[12]
4778// ff_l2d_decc_out_c6_lo1_1_scanin[12]
4779// ff_l2d_decc_out_c6_lo1_3_scanin[12]
4780// ff_l2d_decc_out_c6_hi1_1_scanin[12]
4781// ff_l2d_decc_out_c6_hi1_3_scanin[12]
4782// ff_l2d_decc_out_c6_lo0_1_scanin[13]
4783// ff_l2d_decc_out_c6_lo0_3_scanin[13]
4784// ff_l2d_decc_out_c6_hi0_1_scanin[13]
4785// ff_l2d_decc_out_c6_hi0_3_scanin[13]
4786// ff_l2d_decc_out_c6_lo1_1_scanin[13]
4787// ff_l2d_decc_out_c6_lo1_3_scanin[13]
4788// ff_l2d_decc_out_c6_hi1_1_scanin[13]
4789// ff_l2d_decc_out_c6_hi1_3_scanin[13]
4790// ff_l2d_decc_out_c6_lo0_1_scanin[14]
4791// ff_l2d_decc_out_c6_lo0_3_scanin[14]
4792// ff_l2d_decc_out_c6_hi0_1_scanin[14]
4793// ff_l2d_decc_out_c6_hi0_3_scanin[14]
4794// ff_l2d_decc_out_c6_lo1_1_scanin[14]
4795// ff_l2d_decc_out_c6_lo1_3_scanin[14]
4796// ff_l2d_decc_out_c6_hi1_1_scanin[14]
4797// ff_l2d_decc_out_c6_hi1_3_scanin[14]
4798// ff_l2d_decc_out_c6_lo0_1_scanin[15]
4799// ff_l2d_decc_out_c6_lo0_3_scanin[15]
4800// ff_l2d_decc_out_c6_hi0_1_scanin[15]
4801// ff_l2d_decc_out_c6_hi0_3_scanin[15]
4802// ff_l2d_decc_out_c6_lo1_1_scanin[15]
4803// ff_l2d_decc_out_c6_lo1_3_scanin[15]
4804// ff_l2d_decc_out_c6_hi1_1_scanin[15]
4805// ff_l2d_decc_out_c6_hi1_3_scanin[15]
4806// ff_l2d_decc_out_c6_lo0_1_scanin[16]
4807// ff_l2d_decc_out_c6_lo0_3_scanin[16]
4808// ff_l2d_decc_out_c6_hi0_1_scanin[16]
4809// ff_l2d_decc_out_c6_hi0_3_scanin[16]
4810// ff_l2d_decc_out_c6_lo1_1_scanin[16]
4811// ff_l2d_decc_out_c6_lo1_3_scanin[16]
4812// ff_l2d_decc_out_c6_hi1_1_scanin[16]
4813// ff_l2d_decc_out_c6_hi1_3_scanin[16]
4814// ff_l2d_decc_out_c6_lo0_1_scanin[17]
4815// ff_l2d_decc_out_c6_lo0_3_scanin[17]
4816// ff_l2d_decc_out_c6_hi0_1_scanin[17]
4817// ff_l2d_decc_out_c6_hi0_3_scanin[17]
4818// ff_l2d_decc_out_c6_lo1_1_scanin[17]
4819// ff_l2d_decc_out_c6_lo1_3_scanin[17]
4820// ff_l2d_decc_out_c6_hi1_1_scanin[17]
4821// ff_l2d_decc_out_c6_hi1_3_scanin[17]
4822// ff_l2d_decc_out_c6_lo0_1_scanin[18]
4823// ff_l2d_decc_out_c6_lo0_3_scanin[18]
4824// ff_l2d_decc_out_c6_hi0_1_scanin[18]
4825// ff_l2d_decc_out_c6_hi0_3_scanin[18]
4826// ff_l2d_decc_out_c6_lo1_1_scanin[18]
4827// ff_l2d_decc_out_c6_lo1_3_scanin[18]
4828// ff_l2d_decc_out_c6_hi1_1_scanin[18]
4829// ff_l2d_decc_out_c6_hi1_3_scanin[18]
4830// ff_l2d_decc_out_c6_lo0_1_scanin[19]
4831// ff_l2d_decc_out_c6_lo0_3_scanin[19]
4832// ff_l2d_decc_out_c6_hi0_1_scanin[19]
4833// ff_l2d_decc_out_c6_hi0_3_scanin[19]
4834// scanorder end
4835// fixscan start
4836assign ff_cache_cache_rd_wr_c4_scanin=scan_in;
4837assign ff_cache_set_c3_scanin[8]=ff_cache_cache_rd_wr_c4_scanout;
4838assign ff_cache_set_c3_scanin[7]=ff_cache_set_c3_scanout[8];
4839assign ff_cache_set_c3_scanin[6]=ff_cache_set_c3_scanout[7];
4840assign ff_cache_set_c3_scanin[5]=ff_cache_set_c3_scanout[6];
4841assign ff_cache_set_c3_scanin[4]=ff_cache_set_c3_scanout[5];
4842assign ff_cache_set_c3_scanin[3]=ff_cache_set_c3_scanout[4];
4843assign ff_cache_set_c3_scanin[2]=ff_cache_set_c3_scanout[3];
4844assign ff_cache_set_c3_scanin[1]=ff_cache_set_c3_scanout[2];
4845assign ff_cache_set_c3_scanin[0]=ff_cache_set_c3_scanout[1];
4846assign ff_cache_word_en_c3_scanin[1]=ff_cache_set_c3_scanout[0];
4847assign ff_cache_word_en_c3_scanin[3]=ff_cache_word_en_c3_scanout[1];
4848assign ff_cache_word_en_c3_scanin[5]=ff_cache_word_en_c3_scanout[3];
4849assign ff_cache_word_en_c3_scanin[7]=ff_cache_word_en_c3_scanout[5];
4850assign ff_cache_word_en_c3_scanin[9]=ff_cache_word_en_c3_scanout[7];
4851assign ff_cache_word_en_c3_scanin[11]=ff_cache_word_en_c3_scanout[9];
4852assign ff_cache_word_en_c3_scanin[13]=ff_cache_word_en_c3_scanout[11];
4853assign ff_cache_word_en_c3_scanin[15]=ff_cache_word_en_c3_scanout[13];
4854assign ff_cache_col_offset_c3_scanin[0]=ff_cache_word_en_c3_scanout[15];
4855assign ff_cache_col_offset_c4_scanin[0]=ff_cache_col_offset_c3_scanout[0];
4856assign ff_cache_col_offset_c5_muxsel_scanin[0]=ff_cache_col_offset_c4_scanout[0];
4857assign ff_cache_col_offset_c5_muxsel_scanin[1]=ff_cache_col_offset_c5_muxsel_scanout[0];
4858assign ff_cache_col_offset_c4_scanin[1]=ff_cache_col_offset_c5_muxsel_scanout[1];
4859assign ff_cache_col_offset_c3_scanin[1]=ff_cache_col_offset_c4_scanout[1];
4860assign ff_cache_col_offset_c3_scanin[2]=ff_cache_col_offset_c3_scanout[1];
4861assign ff_cache_col_offset_c4_scanin[2]=ff_cache_col_offset_c3_scanout[2];
4862assign ff_cache_col_offset_c5_muxsel_scanin[2]=ff_cache_col_offset_c4_scanout[2];
4863assign ff_cache_col_offset_c5_muxsel_scanin[3]=ff_cache_col_offset_c5_muxsel_scanout[2];
4864assign ff_cache_col_offset_c4_scanin[3]=ff_cache_col_offset_c5_muxsel_scanout[3];
4865assign ff_cache_col_offset_c3_scanin[3]=ff_cache_col_offset_c4_scanout[3];
4866assign ff_cache_col_offset_all_c4_scanin=ff_cache_col_offset_c3_scanout[3];
4867assign ff_cache_col_offset_all_c5_scanin=ff_cache_col_offset_all_c4_scanout;
4868assign ff_cache_col_offset_all_c6_scanin=ff_cache_col_offset_all_c5_scanout;
4869assign ff_cache_col_offset_all_c7_scanin=ff_cache_col_offset_all_c6_scanout;
4870assign ff_cache_word_en_c3_scanin[0]=ff_cache_col_offset_all_c7_scanout;
4871assign ff_cache_word_en_c3_scanin[2]=ff_cache_word_en_c3_scanout[0];
4872assign ff_cache_word_en_c3_scanin[4]=ff_cache_word_en_c3_scanout[2];
4873assign ff_cache_word_en_c3_scanin[6]=ff_cache_word_en_c3_scanout[4];
4874assign ff_cache_word_en_c3_scanin[8]=ff_cache_word_en_c3_scanout[6];
4875assign ff_cache_word_en_c3_scanin[10]=ff_cache_word_en_c3_scanout[8];
4876assign ff_cache_word_en_c3_scanin[12]=ff_cache_word_en_c3_scanout[10];
4877assign ff_cache_word_en_c3_scanin[14]=ff_cache_word_en_c3_scanout[12];
4878assign ff_cache_col_offset_c52_scanin[1]=ff_cache_word_en_c3_scanout[14];
4879assign ff_cache_col_offset_c52_scanin[0]=ff_cache_col_offset_c52_scanout[1];
4880assign ff_cache_col_offset_c52_topsel_scanin=ff_cache_col_offset_c52_scanout[0];
4881assign ff_cache_fb_hit_c4_scanin=ff_cache_col_offset_c52_topsel_scanout;
4882assign ff_cache_fb_hit_c5_scanin=ff_cache_fb_hit_c4_scanout;
4883assign ff_cache_fb_hit_c52_scanin=ff_cache_fb_hit_c5_scanout;
4884assign ff_cache_sel_fbdecc_c4_scanin=ff_cache_fb_hit_c52_scanout;
4885assign ff_cache_sel_fbdecc_c5_scanin=ff_cache_sel_fbdecc_c4_scanout;
4886assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[0]=ff_cache_sel_fbdecc_c5_scanout;
4887assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[0]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[0];
4888assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[0]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[0];
4889assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[0]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[0];
4890assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[1]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[0];
4891assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[1]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[1];
4892assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[1]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[1];
4893assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[1]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[1];
4894assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[2]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[1];
4895assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[2]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[2];
4896assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[2]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[2];
4897assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[2]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[2];
4898assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[3]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[2];
4899assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[3]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[3];
4900assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[3]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[3];
4901assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[3]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[3];
4902assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[4]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[3];
4903assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[4]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[4];
4904assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[4]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[4];
4905assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[9]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[4];
4906assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[9]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[9];
4907assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[9]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[9];
4908assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[8]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[9];
4909assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[8]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[8];
4910assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[8]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[8];
4911assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[8]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[8];
4912assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[7]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[8];
4913assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[7]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[7];
4914assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[7]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[7];
4915assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[7]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[7];
4916assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[6]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[7];
4917assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[6]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[6];
4918assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[6]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[6];
4919assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[6]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[6];
4920assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[5]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[6];
4921assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[5]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[5];
4922assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[5]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[5];
4923assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[5]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[5];
4924assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[4]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[5];
4925assign ff_l2t_l2d_stdecc_c3_scanin[77]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[4];
4926assign ff_l2t_l2d_stdecc_c3_scanin[76]=ff_l2t_l2d_stdecc_c3_scanout[77];
4927assign ff_l2t_l2d_stdecc_c3_scanin[75]=ff_l2t_l2d_stdecc_c3_scanout[76];
4928assign ff_l2t_l2d_stdecc_c3_scanin[74]=ff_l2t_l2d_stdecc_c3_scanout[75];
4929assign ff_l2t_l2d_stdecc_c3_scanin[73]=ff_l2t_l2d_stdecc_c3_scanout[74];
4930assign ff_l2t_l2d_stdecc_c3_scanin[72]=ff_l2t_l2d_stdecc_c3_scanout[73];
4931assign ff_l2t_l2d_stdecc_c3_scanin[71]=ff_l2t_l2d_stdecc_c3_scanout[72];
4932assign ff_l2t_l2d_stdecc_c3_scanin[70]=ff_l2t_l2d_stdecc_c3_scanout[71];
4933assign ff_l2t_l2d_stdecc_c3_scanin[69]=ff_l2t_l2d_stdecc_c3_scanout[70];
4934assign ff_l2t_l2d_stdecc_c3_scanin[68]=ff_l2t_l2d_stdecc_c3_scanout[69];
4935assign ff_l2t_l2d_stdecc_c3_scanin[67]=ff_l2t_l2d_stdecc_c3_scanout[68];
4936assign ff_l2t_l2d_stdecc_c3_scanin[66]=ff_l2t_l2d_stdecc_c3_scanout[67];
4937assign ff_l2t_l2d_stdecc_c3_scanin[65]=ff_l2t_l2d_stdecc_c3_scanout[66];
4938assign ff_l2t_l2d_stdecc_c3_scanin[64]=ff_l2t_l2d_stdecc_c3_scanout[65];
4939assign ff_l2t_l2d_stdecc_c3_scanin[63]=ff_l2t_l2d_stdecc_c3_scanout[64];
4940assign ff_l2t_l2d_stdecc_c3_scanin[62]=ff_l2t_l2d_stdecc_c3_scanout[63];
4941assign ff_l2t_l2d_stdecc_c3_scanin[61]=ff_l2t_l2d_stdecc_c3_scanout[62];
4942assign ff_l2t_l2d_stdecc_c3_scanin[60]=ff_l2t_l2d_stdecc_c3_scanout[61];
4943assign ff_l2t_l2d_stdecc_c3_scanin[59]=ff_l2t_l2d_stdecc_c3_scanout[60];
4944assign ff_l2t_l2d_stdecc_c3_scanin[58]=ff_l2t_l2d_stdecc_c3_scanout[59];
4945assign ff_l2t_l2d_stdecc_c3_scanin[57]=ff_l2t_l2d_stdecc_c3_scanout[58];
4946assign ff_l2t_l2d_stdecc_c3_scanin[56]=ff_l2t_l2d_stdecc_c3_scanout[57];
4947assign ff_l2t_l2d_stdecc_c3_scanin[55]=ff_l2t_l2d_stdecc_c3_scanout[56];
4948assign ff_l2t_l2d_stdecc_c3_scanin[54]=ff_l2t_l2d_stdecc_c3_scanout[55];
4949assign ff_l2t_l2d_stdecc_c3_scanin[53]=ff_l2t_l2d_stdecc_c3_scanout[54];
4950assign ff_l2t_l2d_stdecc_c3_scanin[52]=ff_l2t_l2d_stdecc_c3_scanout[53];
4951assign ff_l2t_l2d_stdecc_c3_scanin[51]=ff_l2t_l2d_stdecc_c3_scanout[52];
4952assign ff_l2t_l2d_stdecc_c3_scanin[50]=ff_l2t_l2d_stdecc_c3_scanout[51];
4953assign ff_l2t_l2d_stdecc_c3_scanin[49]=ff_l2t_l2d_stdecc_c3_scanout[50];
4954assign ff_l2t_l2d_stdecc_c3_scanin[48]=ff_l2t_l2d_stdecc_c3_scanout[49];
4955assign ff_l2t_l2d_stdecc_c3_scanin[47]=ff_l2t_l2d_stdecc_c3_scanout[48];
4956assign ff_l2t_l2d_stdecc_c3_scanin[46]=ff_l2t_l2d_stdecc_c3_scanout[47];
4957assign ff_l2t_l2d_stdecc_c3_scanin[45]=ff_l2t_l2d_stdecc_c3_scanout[46];
4958assign ff_l2t_l2d_stdecc_c3_scanin[44]=ff_l2t_l2d_stdecc_c3_scanout[45];
4959assign ff_l2t_l2d_stdecc_c3_scanin[43]=ff_l2t_l2d_stdecc_c3_scanout[44];
4960assign ff_l2t_l2d_stdecc_c3_scanin[42]=ff_l2t_l2d_stdecc_c3_scanout[43];
4961assign ff_l2t_l2d_stdecc_c3_scanin[41]=ff_l2t_l2d_stdecc_c3_scanout[42];
4962assign ff_l2t_l2d_stdecc_c3_scanin[40]=ff_l2t_l2d_stdecc_c3_scanout[41];
4963assign ff_l2t_l2d_stdecc_c3_scanin[39]=ff_l2t_l2d_stdecc_c3_scanout[40];
4964assign ff_cache_col_offset_c5_023_scanin[0]=ff_l2t_l2d_stdecc_c3_scanout[39];
4965assign ff_cache_col_offset_c5_023_scanin[2]=ff_cache_col_offset_c5_023_scanout[0];
4966assign ff_cache_col_offset_c4_023_scanin[0]=ff_cache_col_offset_c5_023_scanout[2];
4967assign ff_cache_col_offset_c4_023_scanin[2]=ff_cache_col_offset_c4_023_scanout[0];
4968assign ff_cache_col_offset_c4_tog_023_scanin[0]=ff_cache_col_offset_c4_023_scanout[2];
4969assign ff_cache_cache_rd_wr_c5_20_scanin=ff_cache_col_offset_c4_tog_023_scanout[0];
4970assign ff_cache_col_offset_c5_023_scanin[1]=ff_cache_cache_rd_wr_c5_20_scanout;
4971assign ff_cache_col_offset_c5_023_scanin[3]=ff_cache_col_offset_c5_023_scanout[1];
4972assign ff_cache_col_offset_c4_023_scanin[1]=ff_cache_col_offset_c5_023_scanout[3];
4973assign ff_cache_col_offset_c4_023_scanin[3]=ff_cache_col_offset_c4_023_scanout[1];
4974assign ff_cache_col_offset_c4_tog_023_scanin[1]=ff_cache_col_offset_c4_023_scanout[3];
4975assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[19]=ff_cache_col_offset_c4_tog_023_scanout[1];
4976assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[19]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[19];
4977assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[18]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[19];
4978assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[18]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[18];
4979assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[18]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[18];
4980assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[18]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[18];
4981assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[17]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[18];
4982assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[17]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[17];
4983assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[17]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[17];
4984assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[17]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[17];
4985assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[16]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[17];
4986assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[16]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[16];
4987assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[16]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[16];
4988assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[16]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[16];
4989assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[15]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[16];
4990assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[15]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[15];
4991assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[15]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[15];
4992assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[15]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[15];
4993assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[14]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[15];
4994assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[9]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[14];
4995assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[10]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[9];
4996assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[10]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[10];
4997assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[10]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[10];
4998assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[10]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[10];
4999assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[11]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[10];
5000assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[11]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[11];
5001assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[11]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[11];
5002assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[11]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[11];
5003assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[12]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[11];
5004assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[12]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[12];
5005assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[12]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[12];
5006assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[12]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[12];
5007assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[13]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[12];
5008assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[13]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[13];
5009assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[13]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[13];
5010assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[13]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[13];
5011assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[14]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[13];
5012assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[14]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[14];
5013assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[14]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[14];
5014assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[19]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[14];
5015assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[19]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[19];
5016assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[18]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[19];
5017assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[18]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[18];
5018assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[18]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[18];
5019assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[18]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[18];
5020assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[17]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[18];
5021assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[17]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[17];
5022assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[17]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[17];
5023assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[17]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[17];
5024assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[16]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[17];
5025assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[16]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[16];
5026assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[16]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[16];
5027assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[16]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[16];
5028assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[15]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[16];
5029assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[15]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[15];
5030assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[15]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[15];
5031assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[15]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[15];
5032assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[14]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[15];
5033assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[9]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[14];
5034assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[10]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[9];
5035assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[10]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[10];
5036assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[10]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[10];
5037assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[10]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[10];
5038assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[11]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[10];
5039assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[11]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[11];
5040assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[11]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[11];
5041assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[11]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[11];
5042assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[12]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[11];
5043assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[12]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[12];
5044assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[12]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[12];
5045assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[12]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[12];
5046assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[13]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[12];
5047assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[13]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[13];
5048assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[13]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[13];
5049assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[13]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[13];
5050assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[14]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[13];
5051assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[14]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[14];
5052assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[14]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[14];
5053assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[0]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[14];
5054assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[0]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[0];
5055assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[0]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[0];
5056assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[0]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[0];
5057assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[1]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[0];
5058assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[1]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[1];
5059assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[1]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[1];
5060assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[1]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[1];
5061assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[2]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[1];
5062assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[2]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[2];
5063assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[2]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[2];
5064assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[2]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[2];
5065assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[3]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[2];
5066assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[3]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[3];
5067assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[3]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[3];
5068assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[3]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[3];
5069assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[4]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[3];
5070assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[4]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[4];
5071assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[4]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[4];
5072assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[9]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[4];
5073assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[9]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[9];
5074assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[9]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[9];
5075assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[8]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[9];
5076assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[8]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[8];
5077assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[8]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[8];
5078assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[8]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[8];
5079assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[7]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[8];
5080assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[7]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[7];
5081assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[7]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[7];
5082assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[7]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[7];
5083assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[6]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[7];
5084assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[6]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[6];
5085assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[6]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[6];
5086assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[6]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[6];
5087assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[5]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[6];
5088assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[5]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[5];
5089assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[5]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[5];
5090assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[5]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[5];
5091assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[4]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[5];
5092assign ff_l2d_decc_out_c6_lo0_2_scanin[0]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[4];
5093assign ff_l2d_decc_out_c6_lo0_4_scanin[0]=ff_l2d_decc_out_c6_lo0_2_scanout[0];
5094assign ff_l2d_decc_out_c6_hi0_2_scanin[0]=ff_l2d_decc_out_c6_lo0_4_scanout[0];
5095assign ff_l2d_decc_out_c6_hi0_4_scanin[0]=ff_l2d_decc_out_c6_hi0_2_scanout[0];
5096assign ff_l2d_decc_out_c6_lo1_2_scanin[0]=ff_l2d_decc_out_c6_hi0_4_scanout[0];
5097assign ff_l2d_decc_out_c6_lo1_4_scanin[0]=ff_l2d_decc_out_c6_lo1_2_scanout[0];
5098assign ff_l2d_decc_out_c6_hi1_2_scanin[0]=ff_l2d_decc_out_c6_lo1_4_scanout[0];
5099assign ff_l2d_decc_out_c6_hi1_4_scanin[0]=ff_l2d_decc_out_c6_hi1_2_scanout[0];
5100assign ff_l2d_decc_out_c6_lo0_2_scanin[1]=ff_l2d_decc_out_c6_hi1_4_scanout[0];
5101assign ff_l2d_decc_out_c6_lo0_4_scanin[1]=ff_l2d_decc_out_c6_lo0_2_scanout[1];
5102assign ff_l2d_decc_out_c6_hi0_2_scanin[1]=ff_l2d_decc_out_c6_lo0_4_scanout[1];
5103assign ff_l2d_decc_out_c6_hi0_4_scanin[1]=ff_l2d_decc_out_c6_hi0_2_scanout[1];
5104assign ff_l2d_decc_out_c6_lo1_2_scanin[1]=ff_l2d_decc_out_c6_hi0_4_scanout[1];
5105assign ff_l2d_decc_out_c6_lo1_4_scanin[1]=ff_l2d_decc_out_c6_lo1_2_scanout[1];
5106assign ff_l2d_decc_out_c6_hi1_2_scanin[1]=ff_l2d_decc_out_c6_lo1_4_scanout[1];
5107assign ff_l2d_decc_out_c6_hi1_4_scanin[1]=ff_l2d_decc_out_c6_hi1_2_scanout[1];
5108assign ff_l2d_decc_out_c6_lo0_2_scanin[2]=ff_l2d_decc_out_c6_hi1_4_scanout[1];
5109assign ff_l2d_decc_out_c6_lo0_4_scanin[2]=ff_l2d_decc_out_c6_lo0_2_scanout[2];
5110assign ff_l2d_decc_out_c6_hi0_2_scanin[2]=ff_l2d_decc_out_c6_lo0_4_scanout[2];
5111assign ff_l2d_decc_out_c6_hi0_4_scanin[2]=ff_l2d_decc_out_c6_hi0_2_scanout[2];
5112assign ff_l2d_decc_out_c6_lo1_2_scanin[2]=ff_l2d_decc_out_c6_hi0_4_scanout[2];
5113assign ff_l2d_decc_out_c6_lo1_4_scanin[2]=ff_l2d_decc_out_c6_lo1_2_scanout[2];
5114assign ff_l2d_decc_out_c6_hi1_2_scanin[2]=ff_l2d_decc_out_c6_lo1_4_scanout[2];
5115assign ff_l2d_decc_out_c6_hi1_4_scanin[2]=ff_l2d_decc_out_c6_hi1_2_scanout[2];
5116assign ff_l2d_decc_out_c6_lo0_2_scanin[3]=ff_l2d_decc_out_c6_hi1_4_scanout[2];
5117assign ff_l2d_decc_out_c6_lo0_4_scanin[3]=ff_l2d_decc_out_c6_lo0_2_scanout[3];
5118assign ff_l2d_decc_out_c6_hi0_2_scanin[3]=ff_l2d_decc_out_c6_lo0_4_scanout[3];
5119assign ff_l2d_decc_out_c6_hi0_4_scanin[3]=ff_l2d_decc_out_c6_hi0_2_scanout[3];
5120assign ff_l2d_decc_out_c6_lo1_2_scanin[3]=ff_l2d_decc_out_c6_hi0_4_scanout[3];
5121assign ff_l2d_decc_out_c6_lo1_4_scanin[3]=ff_l2d_decc_out_c6_lo1_2_scanout[3];
5122assign ff_l2d_decc_out_c6_hi1_2_scanin[3]=ff_l2d_decc_out_c6_lo1_4_scanout[3];
5123assign ff_l2d_decc_out_c6_hi1_4_scanin[3]=ff_l2d_decc_out_c6_hi1_2_scanout[3];
5124assign ff_l2d_decc_out_c6_lo0_2_scanin[4]=ff_l2d_decc_out_c6_hi1_4_scanout[3];
5125assign ff_l2d_decc_out_c6_lo0_4_scanin[4]=ff_l2d_decc_out_c6_lo0_2_scanout[4];
5126assign ff_l2d_decc_out_c6_hi0_2_scanin[4]=ff_l2d_decc_out_c6_lo0_4_scanout[4];
5127assign ff_l2d_decc_out_c6_hi0_4_scanin[4]=ff_l2d_decc_out_c6_hi0_2_scanout[4];
5128assign ff_l2d_decc_out_c6_lo1_2_scanin[4]=ff_l2d_decc_out_c6_hi0_4_scanout[4];
5129assign ff_l2d_decc_out_c6_lo1_4_scanin[4]=ff_l2d_decc_out_c6_lo1_2_scanout[4];
5130assign ff_l2d_decc_out_c6_hi1_2_scanin[4]=ff_l2d_decc_out_c6_lo1_4_scanout[4];
5131assign ff_l2d_decc_out_c6_hi1_4_scanin[4]=ff_l2d_decc_out_c6_hi1_2_scanout[4];
5132assign ff_l2d_decc_out_c6_lo0_2_scanin[5]=ff_l2d_decc_out_c6_hi1_4_scanout[4];
5133assign ff_l2d_decc_out_c6_lo0_4_scanin[5]=ff_l2d_decc_out_c6_lo0_2_scanout[5];
5134assign ff_l2d_decc_out_c6_hi0_2_scanin[5]=ff_l2d_decc_out_c6_lo0_4_scanout[5];
5135assign ff_l2d_decc_out_c6_hi0_4_scanin[5]=ff_l2d_decc_out_c6_hi0_2_scanout[5];
5136assign ff_l2d_decc_out_c6_lo1_2_scanin[5]=ff_l2d_decc_out_c6_hi0_4_scanout[5];
5137assign ff_l2d_decc_out_c6_lo1_4_scanin[5]=ff_l2d_decc_out_c6_lo1_2_scanout[5];
5138assign ff_l2d_decc_out_c6_hi1_2_scanin[5]=ff_l2d_decc_out_c6_lo1_4_scanout[5];
5139assign ff_l2d_decc_out_c6_hi1_4_scanin[5]=ff_l2d_decc_out_c6_hi1_2_scanout[5];
5140assign ff_l2d_decc_out_c6_lo0_2_scanin[6]=ff_l2d_decc_out_c6_hi1_4_scanout[5];
5141assign ff_l2d_decc_out_c6_lo0_4_scanin[6]=ff_l2d_decc_out_c6_lo0_2_scanout[6];
5142assign ff_l2d_decc_out_c6_hi0_2_scanin[6]=ff_l2d_decc_out_c6_lo0_4_scanout[6];
5143assign ff_l2d_decc_out_c6_hi0_4_scanin[6]=ff_l2d_decc_out_c6_hi0_2_scanout[6];
5144assign ff_l2d_decc_out_c6_lo1_2_scanin[6]=ff_l2d_decc_out_c6_hi0_4_scanout[6];
5145assign ff_l2d_decc_out_c6_lo1_4_scanin[6]=ff_l2d_decc_out_c6_lo1_2_scanout[6];
5146assign ff_l2d_decc_out_c6_hi1_2_scanin[6]=ff_l2d_decc_out_c6_lo1_4_scanout[6];
5147assign ff_l2d_decc_out_c6_hi1_4_scanin[6]=ff_l2d_decc_out_c6_hi1_2_scanout[6];
5148assign ff_l2d_decc_out_c6_lo0_2_scanin[7]=ff_l2d_decc_out_c6_hi1_4_scanout[6];
5149assign ff_l2d_decc_out_c6_lo0_4_scanin[7]=ff_l2d_decc_out_c6_lo0_2_scanout[7];
5150assign ff_l2d_decc_out_c6_hi0_2_scanin[7]=ff_l2d_decc_out_c6_lo0_4_scanout[7];
5151assign ff_l2d_decc_out_c6_hi0_4_scanin[7]=ff_l2d_decc_out_c6_hi0_2_scanout[7];
5152assign ff_l2d_decc_out_c6_lo1_2_scanin[7]=ff_l2d_decc_out_c6_hi0_4_scanout[7];
5153assign ff_l2d_decc_out_c6_lo1_4_scanin[7]=ff_l2d_decc_out_c6_lo1_2_scanout[7];
5154assign ff_l2d_decc_out_c6_hi1_2_scanin[7]=ff_l2d_decc_out_c6_lo1_4_scanout[7];
5155assign ff_l2d_decc_out_c6_hi1_4_scanin[7]=ff_l2d_decc_out_c6_hi1_2_scanout[7];
5156assign ff_l2d_decc_out_c6_lo0_2_scanin[8]=ff_l2d_decc_out_c6_hi1_4_scanout[7];
5157assign ff_l2d_decc_out_c6_lo0_4_scanin[8]=ff_l2d_decc_out_c6_lo0_2_scanout[8];
5158assign ff_l2d_decc_out_c6_hi0_2_scanin[8]=ff_l2d_decc_out_c6_lo0_4_scanout[8];
5159assign ff_l2d_decc_out_c6_hi0_4_scanin[8]=ff_l2d_decc_out_c6_hi0_2_scanout[8];
5160assign ff_l2d_decc_out_c6_lo1_2_scanin[8]=ff_l2d_decc_out_c6_hi0_4_scanout[8];
5161assign ff_l2d_decc_out_c6_lo1_4_scanin[8]=ff_l2d_decc_out_c6_lo1_2_scanout[8];
5162assign ff_l2d_decc_out_c6_hi1_2_scanin[8]=ff_l2d_decc_out_c6_lo1_4_scanout[8];
5163assign ff_l2d_decc_out_c6_hi1_4_scanin[8]=ff_l2d_decc_out_c6_hi1_2_scanout[8];
5164assign ff_l2d_decc_out_c6_lo0_2_scanin[9]=ff_l2d_decc_out_c6_hi1_4_scanout[8];
5165assign ff_l2d_decc_out_c6_lo0_4_scanin[9]=ff_l2d_decc_out_c6_lo0_2_scanout[9];
5166assign ff_l2d_decc_out_c6_hi0_2_scanin[9]=ff_l2d_decc_out_c6_lo0_4_scanout[9];
5167assign ff_l2d_decc_out_c6_hi0_4_scanin[9]=ff_l2d_decc_out_c6_hi0_2_scanout[9];
5168assign ff_l2d_decc_out_c6_lo1_2_scanin[9]=ff_l2d_decc_out_c6_hi0_4_scanout[9];
5169assign ff_l2d_decc_out_c6_lo1_4_scanin[9]=ff_l2d_decc_out_c6_lo1_2_scanout[9];
5170assign ff_l2d_decc_out_c6_hi1_2_scanin[9]=ff_l2d_decc_out_c6_lo1_4_scanout[9];
5171assign ff_l2d_decc_out_c6_hi1_4_scanin[9]=ff_l2d_decc_out_c6_hi1_2_scanout[9];
5172assign ff_l2d_decc_out_c6_lo0_2_scanin[10]=ff_l2d_decc_out_c6_hi1_4_scanout[9];
5173assign ff_l2d_decc_out_c6_lo0_4_scanin[10]=ff_l2d_decc_out_c6_lo0_2_scanout[10];
5174assign ff_l2d_decc_out_c6_hi0_2_scanin[10]=ff_l2d_decc_out_c6_lo0_4_scanout[10];
5175assign ff_l2d_decc_out_c6_hi0_4_scanin[10]=ff_l2d_decc_out_c6_hi0_2_scanout[10];
5176assign ff_l2d_decc_out_c6_lo1_2_scanin[10]=ff_l2d_decc_out_c6_hi0_4_scanout[10];
5177assign ff_l2d_decc_out_c6_lo1_4_scanin[10]=ff_l2d_decc_out_c6_lo1_2_scanout[10];
5178assign ff_l2d_decc_out_c6_hi1_2_scanin[10]=ff_l2d_decc_out_c6_lo1_4_scanout[10];
5179assign ff_l2d_decc_out_c6_hi1_4_scanin[10]=ff_l2d_decc_out_c6_hi1_2_scanout[10];
5180assign ff_l2d_decc_out_c6_lo0_2_scanin[11]=ff_l2d_decc_out_c6_hi1_4_scanout[10];
5181assign ff_l2d_decc_out_c6_lo0_4_scanin[11]=ff_l2d_decc_out_c6_lo0_2_scanout[11];
5182assign ff_l2d_decc_out_c6_hi0_2_scanin[11]=ff_l2d_decc_out_c6_lo0_4_scanout[11];
5183assign ff_l2d_decc_out_c6_hi0_4_scanin[11]=ff_l2d_decc_out_c6_hi0_2_scanout[11];
5184assign ff_l2d_decc_out_c6_lo1_2_scanin[11]=ff_l2d_decc_out_c6_hi0_4_scanout[11];
5185assign ff_l2d_decc_out_c6_lo1_4_scanin[11]=ff_l2d_decc_out_c6_lo1_2_scanout[11];
5186assign ff_l2d_decc_out_c6_hi1_2_scanin[11]=ff_l2d_decc_out_c6_lo1_4_scanout[11];
5187assign ff_l2d_decc_out_c6_hi1_4_scanin[11]=ff_l2d_decc_out_c6_hi1_2_scanout[11];
5188assign ff_l2d_decc_out_c6_lo0_2_scanin[12]=ff_l2d_decc_out_c6_hi1_4_scanout[11];
5189assign ff_l2d_decc_out_c6_lo0_4_scanin[12]=ff_l2d_decc_out_c6_lo0_2_scanout[12];
5190assign ff_l2d_decc_out_c6_hi0_2_scanin[12]=ff_l2d_decc_out_c6_lo0_4_scanout[12];
5191assign ff_l2d_decc_out_c6_hi0_4_scanin[12]=ff_l2d_decc_out_c6_hi0_2_scanout[12];
5192assign ff_l2d_decc_out_c6_lo1_2_scanin[12]=ff_l2d_decc_out_c6_hi0_4_scanout[12];
5193assign ff_l2d_decc_out_c6_lo1_4_scanin[12]=ff_l2d_decc_out_c6_lo1_2_scanout[12];
5194assign ff_l2d_decc_out_c6_hi1_2_scanin[12]=ff_l2d_decc_out_c6_lo1_4_scanout[12];
5195assign ff_l2d_decc_out_c6_hi1_4_scanin[12]=ff_l2d_decc_out_c6_hi1_2_scanout[12];
5196assign ff_l2d_decc_out_c6_lo0_2_scanin[13]=ff_l2d_decc_out_c6_hi1_4_scanout[12];
5197assign ff_l2d_decc_out_c6_lo0_4_scanin[13]=ff_l2d_decc_out_c6_lo0_2_scanout[13];
5198assign ff_l2d_decc_out_c6_hi0_2_scanin[13]=ff_l2d_decc_out_c6_lo0_4_scanout[13];
5199assign ff_l2d_decc_out_c6_hi0_4_scanin[13]=ff_l2d_decc_out_c6_hi0_2_scanout[13];
5200assign ff_l2d_decc_out_c6_lo1_2_scanin[13]=ff_l2d_decc_out_c6_hi0_4_scanout[13];
5201assign ff_l2d_decc_out_c6_lo1_4_scanin[13]=ff_l2d_decc_out_c6_lo1_2_scanout[13];
5202assign ff_l2d_decc_out_c6_hi1_2_scanin[13]=ff_l2d_decc_out_c6_lo1_4_scanout[13];
5203assign ff_l2d_decc_out_c6_hi1_4_scanin[13]=ff_l2d_decc_out_c6_hi1_2_scanout[13];
5204assign ff_l2d_decc_out_c6_lo0_2_scanin[14]=ff_l2d_decc_out_c6_hi1_4_scanout[13];
5205assign ff_l2d_decc_out_c6_lo0_4_scanin[14]=ff_l2d_decc_out_c6_lo0_2_scanout[14];
5206assign ff_l2d_decc_out_c6_hi0_2_scanin[14]=ff_l2d_decc_out_c6_lo0_4_scanout[14];
5207assign ff_l2d_decc_out_c6_hi0_4_scanin[14]=ff_l2d_decc_out_c6_hi0_2_scanout[14];
5208assign ff_l2d_decc_out_c6_lo1_2_scanin[14]=ff_l2d_decc_out_c6_hi0_4_scanout[14];
5209assign ff_l2d_decc_out_c6_lo1_4_scanin[14]=ff_l2d_decc_out_c6_lo1_2_scanout[14];
5210assign ff_l2d_decc_out_c6_hi1_2_scanin[14]=ff_l2d_decc_out_c6_lo1_4_scanout[14];
5211assign ff_l2d_decc_out_c6_hi1_4_scanin[14]=ff_l2d_decc_out_c6_hi1_2_scanout[14];
5212assign ff_l2d_decc_out_c6_lo0_2_scanin[15]=ff_l2d_decc_out_c6_hi1_4_scanout[14];
5213assign ff_l2d_decc_out_c6_lo0_4_scanin[15]=ff_l2d_decc_out_c6_lo0_2_scanout[15];
5214assign ff_l2d_decc_out_c6_hi0_2_scanin[15]=ff_l2d_decc_out_c6_lo0_4_scanout[15];
5215assign ff_l2d_decc_out_c6_hi0_4_scanin[15]=ff_l2d_decc_out_c6_hi0_2_scanout[15];
5216assign ff_l2d_decc_out_c6_lo1_2_scanin[15]=ff_l2d_decc_out_c6_hi0_4_scanout[15];
5217assign ff_l2d_decc_out_c6_lo1_4_scanin[15]=ff_l2d_decc_out_c6_lo1_2_scanout[15];
5218assign ff_l2d_decc_out_c6_hi1_2_scanin[15]=ff_l2d_decc_out_c6_lo1_4_scanout[15];
5219assign ff_l2d_decc_out_c6_hi1_4_scanin[15]=ff_l2d_decc_out_c6_hi1_2_scanout[15];
5220assign ff_l2d_decc_out_c6_lo0_2_scanin[16]=ff_l2d_decc_out_c6_hi1_4_scanout[15];
5221assign ff_l2d_decc_out_c6_lo0_4_scanin[16]=ff_l2d_decc_out_c6_lo0_2_scanout[16];
5222assign ff_l2d_decc_out_c6_hi0_2_scanin[16]=ff_l2d_decc_out_c6_lo0_4_scanout[16];
5223assign ff_l2d_decc_out_c6_hi0_4_scanin[16]=ff_l2d_decc_out_c6_hi0_2_scanout[16];
5224assign ff_l2d_decc_out_c6_lo1_2_scanin[16]=ff_l2d_decc_out_c6_hi0_4_scanout[16];
5225assign ff_l2d_decc_out_c6_lo1_4_scanin[16]=ff_l2d_decc_out_c6_lo1_2_scanout[16];
5226assign ff_l2d_decc_out_c6_hi1_2_scanin[16]=ff_l2d_decc_out_c6_lo1_4_scanout[16];
5227assign ff_l2d_decc_out_c6_hi1_4_scanin[16]=ff_l2d_decc_out_c6_hi1_2_scanout[16];
5228assign ff_l2d_decc_out_c6_lo0_2_scanin[17]=ff_l2d_decc_out_c6_hi1_4_scanout[16];
5229assign ff_l2d_decc_out_c6_lo0_4_scanin[17]=ff_l2d_decc_out_c6_lo0_2_scanout[17];
5230assign ff_l2d_decc_out_c6_hi0_2_scanin[17]=ff_l2d_decc_out_c6_lo0_4_scanout[17];
5231assign ff_l2d_decc_out_c6_hi0_4_scanin[17]=ff_l2d_decc_out_c6_hi0_2_scanout[17];
5232assign ff_l2d_decc_out_c6_lo1_2_scanin[17]=ff_l2d_decc_out_c6_hi0_4_scanout[17];
5233assign ff_l2d_decc_out_c6_lo1_4_scanin[17]=ff_l2d_decc_out_c6_lo1_2_scanout[17];
5234assign ff_l2d_decc_out_c6_hi1_2_scanin[17]=ff_l2d_decc_out_c6_lo1_4_scanout[17];
5235assign ff_l2d_decc_out_c6_hi1_4_scanin[17]=ff_l2d_decc_out_c6_hi1_2_scanout[17];
5236assign ff_l2d_decc_out_c6_lo0_2_scanin[18]=ff_l2d_decc_out_c6_hi1_4_scanout[17];
5237assign ff_l2d_decc_out_c6_lo0_4_scanin[18]=ff_l2d_decc_out_c6_lo0_2_scanout[18];
5238assign ff_l2d_decc_out_c6_hi0_2_scanin[18]=ff_l2d_decc_out_c6_lo0_4_scanout[18];
5239assign ff_l2d_decc_out_c6_hi0_4_scanin[18]=ff_l2d_decc_out_c6_hi0_2_scanout[18];
5240assign ff_l2d_decc_out_c6_lo1_2_scanin[18]=ff_l2d_decc_out_c6_hi0_4_scanout[18];
5241assign ff_l2d_decc_out_c6_lo1_4_scanin[18]=ff_l2d_decc_out_c6_lo1_2_scanout[18];
5242assign ff_l2d_decc_out_c6_hi1_2_scanin[18]=ff_l2d_decc_out_c6_lo1_4_scanout[18];
5243assign ff_l2d_decc_out_c6_hi1_4_scanin[18]=ff_l2d_decc_out_c6_hi1_2_scanout[18];
5244assign ff_l2d_decc_out_c6_lo0_2_scanin[19]=ff_l2d_decc_out_c6_hi1_4_scanout[18];
5245assign ff_l2d_decc_out_c6_lo0_4_scanin[19]=ff_l2d_decc_out_c6_lo0_2_scanout[19];
5246assign ff_l2d_decc_out_c6_hi0_2_scanin[19]=ff_l2d_decc_out_c6_lo0_4_scanout[19];
5247assign ff_l2d_decc_out_c6_hi0_4_scanin[19]=ff_l2d_decc_out_c6_hi0_2_scanout[19];
5248assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[19]=ff_l2d_decc_out_c6_hi0_4_scanout[19];
5249assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[19]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[19];
5250assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[20]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[19];
5251assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[20]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[20];
5252assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[20]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[20];
5253assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[20]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[20];
5254assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[21]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[20];
5255assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[21]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[21];
5256assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[21]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[21];
5257assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[21]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[21];
5258assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[22]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[21];
5259assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[22]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[22];
5260assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[22]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[22];
5261assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[22]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[22];
5262assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[23]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[22];
5263assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[23]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[23];
5264assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[23]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[23];
5265assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[23]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[23];
5266assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[24]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[23];
5267assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[29]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[24];
5268assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[28]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[29];
5269assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[28]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[28];
5270assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[28]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[28];
5271assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[28]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[28];
5272assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[27]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[28];
5273assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[27]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[27];
5274assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[27]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[27];
5275assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[27]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[27];
5276assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[26]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[27];
5277assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[26]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[26];
5278assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[26]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[26];
5279assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[26]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[26];
5280assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[25]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[26];
5281assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[25]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[25];
5282assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[25]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[25];
5283assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[25]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[25];
5284assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[24]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[25];
5285assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[24]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[24];
5286assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[24]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[24];
5287assign ff_cache_col_offset_c5_123_scanin[0]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[24];
5288assign ff_cache_col_offset_c5_123_scanin[2]=ff_cache_col_offset_c5_123_scanout[0];
5289assign ff_cache_col_offset_c4_123_scanin[0]=ff_cache_col_offset_c5_123_scanout[2];
5290assign ff_cache_col_offset_c4_123_scanin[2]=ff_cache_col_offset_c4_123_scanout[0];
5291assign ff_cache_col_offset_c4_tog_123_scanin[0]=ff_cache_col_offset_c4_123_scanout[2];
5292assign ff_cache_cache_rd_wr_c5_21_scanin=ff_cache_col_offset_c4_tog_123_scanout[0];
5293assign ff_cache_col_offset_c5_123_scanin[1]=ff_cache_cache_rd_wr_c5_21_scanout;
5294assign ff_cache_col_offset_c5_123_scanin[3]=ff_cache_col_offset_c5_123_scanout[1];
5295assign ff_cache_col_offset_c4_123_scanin[1]=ff_cache_col_offset_c5_123_scanout[3];
5296assign ff_cache_col_offset_c4_123_scanin[3]=ff_cache_col_offset_c4_123_scanout[1];
5297assign ff_cache_col_offset_c4_tog_123_scanin[1]=ff_cache_col_offset_c4_123_scanout[3];
5298assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[38]=ff_cache_col_offset_c4_tog_123_scanout[1];
5299assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[38]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[38];
5300assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[38]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[38];
5301assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[38]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[38];
5302assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[37]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[38];
5303assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[37]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[37];
5304assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[37]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[37];
5305assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[37]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[37];
5306assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[36]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[37];
5307assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[36]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[36];
5308assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[36]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[36];
5309assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[36]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[36];
5310assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[35]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[36];
5311assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[35]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[35];
5312assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[35]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[35];
5313assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[35]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[35];
5314assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[34]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[35];
5315assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[34]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[34];
5316assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[34]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[34];
5317assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[29]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[34];
5318assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[29]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[29];
5319assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[29]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[29];
5320assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[30]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[29];
5321assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[30]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[30];
5322assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[30]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[30];
5323assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[30]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[30];
5324assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[31]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[30];
5325assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[31]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[31];
5326assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[31]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[31];
5327assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[31]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[31];
5328assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[32]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[31];
5329assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[32]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[32];
5330assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[32]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[32];
5331assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[32]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[32];
5332assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[33]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[32];
5333assign ff_l2b_l2d_fbdecc_c52_hi0_4_scanin[33]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[33];
5334assign ff_l2b_l2d_fbdecc_c52_lo1_4_scanin[33]=ff_l2b_l2d_fbdecc_c52_hi0_4_scanout[33];
5335assign ff_l2b_l2d_fbdecc_c52_hi1_4_scanin[33]=ff_l2b_l2d_fbdecc_c52_lo1_4_scanout[33];
5336assign ff_l2b_l2d_fbdecc_c52_lo0_4_scanin[34]=ff_l2b_l2d_fbdecc_c52_hi1_4_scanout[33];
5337assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[38]=ff_l2b_l2d_fbdecc_c52_lo0_4_scanout[34];
5338assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[38]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[38];
5339assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[38]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[38];
5340assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[38]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[38];
5341assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[37]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[38];
5342assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[37]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[37];
5343assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[37]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[37];
5344assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[37]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[37];
5345assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[36]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[37];
5346assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[36]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[36];
5347assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[36]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[36];
5348assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[36]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[36];
5349assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[35]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[36];
5350assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[35]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[35];
5351assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[35]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[35];
5352assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[35]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[35];
5353assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[34]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[35];
5354assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[34]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[34];
5355assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[34]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[34];
5356assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[29]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[34];
5357assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[29]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[29];
5358assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[29]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[29];
5359assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[30]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[29];
5360assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[30]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[30];
5361assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[30]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[30];
5362assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[30]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[30];
5363assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[31]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[30];
5364assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[31]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[31];
5365assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[31]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[31];
5366assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[31]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[31];
5367assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[32]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[31];
5368assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[32]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[32];
5369assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[32]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[32];
5370assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[32]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[32];
5371assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[33]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[32];
5372assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[33]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[33];
5373assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[33]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[33];
5374assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[33]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[33];
5375assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[34]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[33];
5376assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[19]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[34];
5377assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[19]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[19];
5378assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[20]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[19];
5379assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[20]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[20];
5380assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[20]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[20];
5381assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[20]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[20];
5382assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[21]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[20];
5383assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[21]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[21];
5384assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[21]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[21];
5385assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[21]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[21];
5386assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[22]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[21];
5387assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[22]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[22];
5388assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[22]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[22];
5389assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[22]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[22];
5390assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[23]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[22];
5391assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[23]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[23];
5392assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[23]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[23];
5393assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[23]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[23];
5394assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[24]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[23];
5395assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[29]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[24];
5396assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[28]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[29];
5397assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[28]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[28];
5398assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[28]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[28];
5399assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[28]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[28];
5400assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[27]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[28];
5401assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[27]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[27];
5402assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[27]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[27];
5403assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[27]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[27];
5404assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[26]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[27];
5405assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[26]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[26];
5406assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[26]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[26];
5407assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[26]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[26];
5408assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[25]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[26];
5409assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[25]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[25];
5410assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[25]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[25];
5411assign ff_l2b_l2d_fbdecc_c52_lo0_2_scanin[25]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[25];
5412assign ff_l2b_l2d_fbdecc_c52_hi1_2_scanin[24]=ff_l2b_l2d_fbdecc_c52_lo0_2_scanout[25];
5413assign ff_l2b_l2d_fbdecc_c52_lo1_2_scanin[24]=ff_l2b_l2d_fbdecc_c52_hi1_2_scanout[24];
5414assign ff_l2b_l2d_fbdecc_c52_hi0_2_scanin[24]=ff_l2b_l2d_fbdecc_c52_lo1_2_scanout[24];
5415assign ff_l2d_decc_out_c6_lo1_2_scanin[19]=ff_l2b_l2d_fbdecc_c52_hi0_2_scanout[24];
5416assign ff_l2d_decc_out_c6_lo1_4_scanin[19]=ff_l2d_decc_out_c6_lo1_2_scanout[19];
5417assign ff_l2d_decc_out_c6_hi1_2_scanin[19]=ff_l2d_decc_out_c6_lo1_4_scanout[19];
5418assign ff_l2d_decc_out_c6_hi1_4_scanin[19]=ff_l2d_decc_out_c6_hi1_2_scanout[19];
5419assign ff_l2d_decc_out_c6_lo0_2_scanin[20]=ff_l2d_decc_out_c6_hi1_4_scanout[19];
5420assign ff_l2d_decc_out_c6_lo0_4_scanin[20]=ff_l2d_decc_out_c6_lo0_2_scanout[20];
5421assign ff_l2d_decc_out_c6_hi0_2_scanin[20]=ff_l2d_decc_out_c6_lo0_4_scanout[20];
5422assign ff_l2d_decc_out_c6_hi0_4_scanin[20]=ff_l2d_decc_out_c6_hi0_2_scanout[20];
5423assign ff_l2d_decc_out_c6_lo1_2_scanin[20]=ff_l2d_decc_out_c6_hi0_4_scanout[20];
5424assign ff_l2d_decc_out_c6_lo1_4_scanin[20]=ff_l2d_decc_out_c6_lo1_2_scanout[20];
5425assign ff_l2d_decc_out_c6_hi1_2_scanin[20]=ff_l2d_decc_out_c6_lo1_4_scanout[20];
5426assign ff_l2d_decc_out_c6_hi1_4_scanin[20]=ff_l2d_decc_out_c6_hi1_2_scanout[20];
5427assign ff_l2d_decc_out_c6_lo0_2_scanin[21]=ff_l2d_decc_out_c6_hi1_4_scanout[20];
5428assign ff_l2d_decc_out_c6_lo0_4_scanin[21]=ff_l2d_decc_out_c6_lo0_2_scanout[21];
5429assign ff_l2d_decc_out_c6_hi0_2_scanin[21]=ff_l2d_decc_out_c6_lo0_4_scanout[21];
5430assign ff_l2d_decc_out_c6_hi0_4_scanin[21]=ff_l2d_decc_out_c6_hi0_2_scanout[21];
5431assign ff_l2d_decc_out_c6_lo1_2_scanin[21]=ff_l2d_decc_out_c6_hi0_4_scanout[21];
5432assign ff_l2d_decc_out_c6_lo1_4_scanin[21]=ff_l2d_decc_out_c6_lo1_2_scanout[21];
5433assign ff_l2d_decc_out_c6_hi1_2_scanin[21]=ff_l2d_decc_out_c6_lo1_4_scanout[21];
5434assign ff_l2d_decc_out_c6_hi1_4_scanin[21]=ff_l2d_decc_out_c6_hi1_2_scanout[21];
5435assign ff_l2d_decc_out_c6_lo0_2_scanin[22]=ff_l2d_decc_out_c6_hi1_4_scanout[21];
5436assign ff_l2d_decc_out_c6_lo0_4_scanin[22]=ff_l2d_decc_out_c6_lo0_2_scanout[22];
5437assign ff_l2d_decc_out_c6_hi0_2_scanin[22]=ff_l2d_decc_out_c6_lo0_4_scanout[22];
5438assign ff_l2d_decc_out_c6_hi0_4_scanin[22]=ff_l2d_decc_out_c6_hi0_2_scanout[22];
5439assign ff_l2d_decc_out_c6_lo1_2_scanin[22]=ff_l2d_decc_out_c6_hi0_4_scanout[22];
5440assign ff_l2d_decc_out_c6_lo1_4_scanin[22]=ff_l2d_decc_out_c6_lo1_2_scanout[22];
5441assign ff_l2d_decc_out_c6_hi1_2_scanin[22]=ff_l2d_decc_out_c6_lo1_4_scanout[22];
5442assign ff_l2d_decc_out_c6_hi1_4_scanin[22]=ff_l2d_decc_out_c6_hi1_2_scanout[22];
5443assign ff_l2d_decc_out_c6_lo0_2_scanin[23]=ff_l2d_decc_out_c6_hi1_4_scanout[22];
5444assign ff_l2d_decc_out_c6_lo0_4_scanin[23]=ff_l2d_decc_out_c6_lo0_2_scanout[23];
5445assign ff_l2d_decc_out_c6_hi0_2_scanin[23]=ff_l2d_decc_out_c6_lo0_4_scanout[23];
5446assign ff_l2d_decc_out_c6_hi0_4_scanin[23]=ff_l2d_decc_out_c6_hi0_2_scanout[23];
5447assign ff_l2d_decc_out_c6_lo1_2_scanin[23]=ff_l2d_decc_out_c6_hi0_4_scanout[23];
5448assign ff_l2d_decc_out_c6_lo1_4_scanin[23]=ff_l2d_decc_out_c6_lo1_2_scanout[23];
5449assign ff_l2d_decc_out_c6_hi1_2_scanin[23]=ff_l2d_decc_out_c6_lo1_4_scanout[23];
5450assign ff_l2d_decc_out_c6_hi1_4_scanin[23]=ff_l2d_decc_out_c6_hi1_2_scanout[23];
5451assign ff_l2d_decc_out_c6_lo0_2_scanin[24]=ff_l2d_decc_out_c6_hi1_4_scanout[23];
5452assign ff_l2d_decc_out_c6_lo0_4_scanin[24]=ff_l2d_decc_out_c6_lo0_2_scanout[24];
5453assign ff_l2d_decc_out_c6_hi0_2_scanin[24]=ff_l2d_decc_out_c6_lo0_4_scanout[24];
5454assign ff_l2d_decc_out_c6_hi0_4_scanin[24]=ff_l2d_decc_out_c6_hi0_2_scanout[24];
5455assign ff_l2d_decc_out_c6_lo1_2_scanin[24]=ff_l2d_decc_out_c6_hi0_4_scanout[24];
5456assign ff_l2d_decc_out_c6_lo1_4_scanin[24]=ff_l2d_decc_out_c6_lo1_2_scanout[24];
5457assign ff_l2d_decc_out_c6_hi1_2_scanin[24]=ff_l2d_decc_out_c6_lo1_4_scanout[24];
5458assign ff_l2d_decc_out_c6_hi1_4_scanin[24]=ff_l2d_decc_out_c6_hi1_2_scanout[24];
5459assign ff_l2d_decc_out_c6_lo0_2_scanin[25]=ff_l2d_decc_out_c6_hi1_4_scanout[24];
5460assign ff_l2d_decc_out_c6_lo0_4_scanin[25]=ff_l2d_decc_out_c6_lo0_2_scanout[25];
5461assign ff_l2d_decc_out_c6_hi0_2_scanin[25]=ff_l2d_decc_out_c6_lo0_4_scanout[25];
5462assign ff_l2d_decc_out_c6_hi0_4_scanin[25]=ff_l2d_decc_out_c6_hi0_2_scanout[25];
5463assign ff_l2d_decc_out_c6_lo1_2_scanin[25]=ff_l2d_decc_out_c6_hi0_4_scanout[25];
5464assign ff_l2d_decc_out_c6_lo1_4_scanin[25]=ff_l2d_decc_out_c6_lo1_2_scanout[25];
5465assign ff_l2d_decc_out_c6_hi1_2_scanin[25]=ff_l2d_decc_out_c6_lo1_4_scanout[25];
5466assign ff_l2d_decc_out_c6_hi1_4_scanin[25]=ff_l2d_decc_out_c6_hi1_2_scanout[25];
5467assign ff_l2d_decc_out_c6_lo0_2_scanin[26]=ff_l2d_decc_out_c6_hi1_4_scanout[25];
5468assign ff_l2d_decc_out_c6_lo0_4_scanin[26]=ff_l2d_decc_out_c6_lo0_2_scanout[26];
5469assign ff_l2d_decc_out_c6_hi0_2_scanin[26]=ff_l2d_decc_out_c6_lo0_4_scanout[26];
5470assign ff_l2d_decc_out_c6_hi0_4_scanin[26]=ff_l2d_decc_out_c6_hi0_2_scanout[26];
5471assign ff_l2d_decc_out_c6_lo1_2_scanin[26]=ff_l2d_decc_out_c6_hi0_4_scanout[26];
5472assign ff_l2d_decc_out_c6_lo1_4_scanin[26]=ff_l2d_decc_out_c6_lo1_2_scanout[26];
5473assign ff_l2d_decc_out_c6_hi1_2_scanin[26]=ff_l2d_decc_out_c6_lo1_4_scanout[26];
5474assign ff_l2d_decc_out_c6_hi1_4_scanin[26]=ff_l2d_decc_out_c6_hi1_2_scanout[26];
5475assign ff_l2d_decc_out_c6_lo0_2_scanin[27]=ff_l2d_decc_out_c6_hi1_4_scanout[26];
5476assign ff_l2d_decc_out_c6_lo0_4_scanin[27]=ff_l2d_decc_out_c6_lo0_2_scanout[27];
5477assign ff_l2d_decc_out_c6_hi0_2_scanin[27]=ff_l2d_decc_out_c6_lo0_4_scanout[27];
5478assign ff_l2d_decc_out_c6_hi0_4_scanin[27]=ff_l2d_decc_out_c6_hi0_2_scanout[27];
5479assign ff_l2d_decc_out_c6_lo1_2_scanin[27]=ff_l2d_decc_out_c6_hi0_4_scanout[27];
5480assign ff_l2d_decc_out_c6_lo1_4_scanin[27]=ff_l2d_decc_out_c6_lo1_2_scanout[27];
5481assign ff_l2d_decc_out_c6_hi1_2_scanin[27]=ff_l2d_decc_out_c6_lo1_4_scanout[27];
5482assign ff_l2d_decc_out_c6_hi1_4_scanin[27]=ff_l2d_decc_out_c6_hi1_2_scanout[27];
5483assign ff_l2d_decc_out_c6_lo0_2_scanin[28]=ff_l2d_decc_out_c6_hi1_4_scanout[27];
5484assign ff_l2d_decc_out_c6_lo0_4_scanin[28]=ff_l2d_decc_out_c6_lo0_2_scanout[28];
5485assign ff_l2d_decc_out_c6_hi0_2_scanin[28]=ff_l2d_decc_out_c6_lo0_4_scanout[28];
5486assign ff_l2d_decc_out_c6_hi0_4_scanin[28]=ff_l2d_decc_out_c6_hi0_2_scanout[28];
5487assign ff_l2d_decc_out_c6_lo1_2_scanin[28]=ff_l2d_decc_out_c6_hi0_4_scanout[28];
5488assign ff_l2d_decc_out_c6_lo1_4_scanin[28]=ff_l2d_decc_out_c6_lo1_2_scanout[28];
5489assign ff_l2d_decc_out_c6_hi1_2_scanin[28]=ff_l2d_decc_out_c6_lo1_4_scanout[28];
5490assign ff_l2d_decc_out_c6_hi1_4_scanin[28]=ff_l2d_decc_out_c6_hi1_2_scanout[28];
5491assign ff_l2d_decc_out_c6_lo0_2_scanin[29]=ff_l2d_decc_out_c6_hi1_4_scanout[28];
5492assign ff_l2d_decc_out_c6_lo0_4_scanin[29]=ff_l2d_decc_out_c6_lo0_2_scanout[29];
5493assign ff_l2d_decc_out_c6_hi0_2_scanin[29]=ff_l2d_decc_out_c6_lo0_4_scanout[29];
5494assign ff_l2d_decc_out_c6_hi0_4_scanin[29]=ff_l2d_decc_out_c6_hi0_2_scanout[29];
5495assign ff_l2d_decc_out_c6_lo1_2_scanin[29]=ff_l2d_decc_out_c6_hi0_4_scanout[29];
5496assign ff_l2d_decc_out_c6_lo1_4_scanin[29]=ff_l2d_decc_out_c6_lo1_2_scanout[29];
5497assign ff_l2d_decc_out_c6_hi1_2_scanin[29]=ff_l2d_decc_out_c6_lo1_4_scanout[29];
5498assign ff_l2d_decc_out_c6_hi1_4_scanin[29]=ff_l2d_decc_out_c6_hi1_2_scanout[29];
5499assign ff_l2d_decc_out_c6_lo0_2_scanin[30]=ff_l2d_decc_out_c6_hi1_4_scanout[29];
5500assign ff_l2d_decc_out_c6_lo0_4_scanin[30]=ff_l2d_decc_out_c6_lo0_2_scanout[30];
5501assign ff_l2d_decc_out_c6_hi0_2_scanin[30]=ff_l2d_decc_out_c6_lo0_4_scanout[30];
5502assign ff_l2d_decc_out_c6_hi0_4_scanin[30]=ff_l2d_decc_out_c6_hi0_2_scanout[30];
5503assign ff_l2d_decc_out_c6_lo1_2_scanin[30]=ff_l2d_decc_out_c6_hi0_4_scanout[30];
5504assign ff_l2d_decc_out_c6_lo1_4_scanin[30]=ff_l2d_decc_out_c6_lo1_2_scanout[30];
5505assign ff_l2d_decc_out_c6_hi1_2_scanin[30]=ff_l2d_decc_out_c6_lo1_4_scanout[30];
5506assign ff_l2d_decc_out_c6_hi1_4_scanin[30]=ff_l2d_decc_out_c6_hi1_2_scanout[30];
5507assign ff_l2d_decc_out_c6_lo0_2_scanin[31]=ff_l2d_decc_out_c6_hi1_4_scanout[30];
5508assign ff_l2d_decc_out_c6_lo0_4_scanin[31]=ff_l2d_decc_out_c6_lo0_2_scanout[31];
5509assign ff_l2d_decc_out_c6_hi0_2_scanin[31]=ff_l2d_decc_out_c6_lo0_4_scanout[31];
5510assign ff_l2d_decc_out_c6_hi0_4_scanin[31]=ff_l2d_decc_out_c6_hi0_2_scanout[31];
5511assign ff_l2d_decc_out_c6_lo1_2_scanin[31]=ff_l2d_decc_out_c6_hi0_4_scanout[31];
5512assign ff_l2d_decc_out_c6_lo1_4_scanin[31]=ff_l2d_decc_out_c6_lo1_2_scanout[31];
5513assign ff_l2d_decc_out_c6_hi1_2_scanin[31]=ff_l2d_decc_out_c6_lo1_4_scanout[31];
5514assign ff_l2d_decc_out_c6_hi1_4_scanin[31]=ff_l2d_decc_out_c6_hi1_2_scanout[31];
5515assign ff_l2d_decc_out_c6_lo0_2_scanin[32]=ff_l2d_decc_out_c6_hi1_4_scanout[31];
5516assign ff_l2d_decc_out_c6_lo0_4_scanin[32]=ff_l2d_decc_out_c6_lo0_2_scanout[32];
5517assign ff_l2d_decc_out_c6_hi0_2_scanin[32]=ff_l2d_decc_out_c6_lo0_4_scanout[32];
5518assign ff_l2d_decc_out_c6_hi0_4_scanin[32]=ff_l2d_decc_out_c6_hi0_2_scanout[32];
5519assign ff_l2d_decc_out_c6_lo1_2_scanin[32]=ff_l2d_decc_out_c6_hi0_4_scanout[32];
5520assign ff_l2d_decc_out_c6_lo1_4_scanin[32]=ff_l2d_decc_out_c6_lo1_2_scanout[32];
5521assign ff_l2d_decc_out_c6_hi1_2_scanin[32]=ff_l2d_decc_out_c6_lo1_4_scanout[32];
5522assign ff_l2d_decc_out_c6_hi1_4_scanin[32]=ff_l2d_decc_out_c6_hi1_2_scanout[32];
5523assign ff_l2d_decc_out_c6_lo0_2_scanin[33]=ff_l2d_decc_out_c6_hi1_4_scanout[32];
5524assign ff_l2d_decc_out_c6_lo0_4_scanin[33]=ff_l2d_decc_out_c6_lo0_2_scanout[33];
5525assign ff_l2d_decc_out_c6_hi0_2_scanin[33]=ff_l2d_decc_out_c6_lo0_4_scanout[33];
5526assign ff_l2d_decc_out_c6_hi0_4_scanin[33]=ff_l2d_decc_out_c6_hi0_2_scanout[33];
5527assign ff_l2d_decc_out_c6_lo1_2_scanin[33]=ff_l2d_decc_out_c6_hi0_4_scanout[33];
5528assign ff_l2d_decc_out_c6_lo1_4_scanin[33]=ff_l2d_decc_out_c6_lo1_2_scanout[33];
5529assign ff_l2d_decc_out_c6_hi1_2_scanin[33]=ff_l2d_decc_out_c6_lo1_4_scanout[33];
5530assign ff_l2d_decc_out_c6_hi1_4_scanin[33]=ff_l2d_decc_out_c6_hi1_2_scanout[33];
5531assign ff_l2d_decc_out_c6_lo0_2_scanin[34]=ff_l2d_decc_out_c6_hi1_4_scanout[33];
5532assign ff_l2d_decc_out_c6_lo0_4_scanin[34]=ff_l2d_decc_out_c6_lo0_2_scanout[34];
5533assign ff_l2d_decc_out_c6_hi0_2_scanin[34]=ff_l2d_decc_out_c6_lo0_4_scanout[34];
5534assign ff_l2d_decc_out_c6_hi0_4_scanin[34]=ff_l2d_decc_out_c6_hi0_2_scanout[34];
5535assign ff_l2d_decc_out_c6_lo1_2_scanin[34]=ff_l2d_decc_out_c6_hi0_4_scanout[34];
5536assign ff_l2d_decc_out_c6_lo1_4_scanin[34]=ff_l2d_decc_out_c6_lo1_2_scanout[34];
5537assign ff_l2d_decc_out_c6_hi1_2_scanin[34]=ff_l2d_decc_out_c6_lo1_4_scanout[34];
5538assign ff_l2d_decc_out_c6_hi1_4_scanin[34]=ff_l2d_decc_out_c6_hi1_2_scanout[34];
5539assign ff_l2d_decc_out_c6_lo0_2_scanin[35]=ff_l2d_decc_out_c6_hi1_4_scanout[34];
5540assign ff_l2d_decc_out_c6_lo0_4_scanin[35]=ff_l2d_decc_out_c6_lo0_2_scanout[35];
5541assign ff_l2d_decc_out_c6_hi0_2_scanin[35]=ff_l2d_decc_out_c6_lo0_4_scanout[35];
5542assign ff_l2d_decc_out_c6_hi0_4_scanin[35]=ff_l2d_decc_out_c6_hi0_2_scanout[35];
5543assign ff_l2d_decc_out_c6_lo1_2_scanin[35]=ff_l2d_decc_out_c6_hi0_4_scanout[35];
5544assign ff_l2d_decc_out_c6_lo1_4_scanin[35]=ff_l2d_decc_out_c6_lo1_2_scanout[35];
5545assign ff_l2d_decc_out_c6_hi1_2_scanin[35]=ff_l2d_decc_out_c6_lo1_4_scanout[35];
5546assign ff_l2d_decc_out_c6_hi1_4_scanin[35]=ff_l2d_decc_out_c6_hi1_2_scanout[35];
5547assign ff_l2d_decc_out_c6_lo0_2_scanin[36]=ff_l2d_decc_out_c6_hi1_4_scanout[35];
5548assign ff_l2d_decc_out_c6_lo0_4_scanin[36]=ff_l2d_decc_out_c6_lo0_2_scanout[36];
5549assign ff_l2d_decc_out_c6_hi0_2_scanin[36]=ff_l2d_decc_out_c6_lo0_4_scanout[36];
5550assign ff_l2d_decc_out_c6_hi0_4_scanin[36]=ff_l2d_decc_out_c6_hi0_2_scanout[36];
5551assign ff_l2d_decc_out_c6_lo1_2_scanin[36]=ff_l2d_decc_out_c6_hi0_4_scanout[36];
5552assign ff_l2d_decc_out_c6_lo1_4_scanin[36]=ff_l2d_decc_out_c6_lo1_2_scanout[36];
5553assign ff_l2d_decc_out_c6_hi1_2_scanin[36]=ff_l2d_decc_out_c6_lo1_4_scanout[36];
5554assign ff_l2d_decc_out_c6_hi1_4_scanin[36]=ff_l2d_decc_out_c6_hi1_2_scanout[36];
5555assign ff_l2d_decc_out_c6_lo0_2_scanin[37]=ff_l2d_decc_out_c6_hi1_4_scanout[36];
5556assign ff_l2d_decc_out_c6_lo0_4_scanin[37]=ff_l2d_decc_out_c6_lo0_2_scanout[37];
5557assign ff_l2d_decc_out_c6_hi0_2_scanin[37]=ff_l2d_decc_out_c6_lo0_4_scanout[37];
5558assign ff_l2d_decc_out_c6_hi0_4_scanin[37]=ff_l2d_decc_out_c6_hi0_2_scanout[37];
5559assign ff_l2d_decc_out_c6_lo1_2_scanin[37]=ff_l2d_decc_out_c6_hi0_4_scanout[37];
5560assign ff_l2d_decc_out_c6_lo1_4_scanin[37]=ff_l2d_decc_out_c6_lo1_2_scanout[37];
5561assign ff_l2d_decc_out_c6_hi1_2_scanin[37]=ff_l2d_decc_out_c6_lo1_4_scanout[37];
5562assign ff_l2d_decc_out_c6_hi1_4_scanin[37]=ff_l2d_decc_out_c6_hi1_2_scanout[37];
5563assign ff_l2d_decc_out_c6_lo0_2_scanin[38]=ff_l2d_decc_out_c6_hi1_4_scanout[37];
5564assign ff_l2d_decc_out_c6_lo0_4_scanin[38]=ff_l2d_decc_out_c6_lo0_2_scanout[38];
5565assign ff_l2d_decc_out_c6_hi0_2_scanin[38]=ff_l2d_decc_out_c6_lo0_4_scanout[38];
5566assign ff_l2d_decc_out_c6_hi0_4_scanin[38]=ff_l2d_decc_out_c6_hi0_2_scanout[38];
5567assign ff_l2d_decc_out_c6_lo1_2_scanin[38]=ff_l2d_decc_out_c6_hi0_4_scanout[38];
5568assign ff_l2d_decc_out_c6_lo1_4_scanin[38]=ff_l2d_decc_out_c6_lo1_2_scanout[38];
5569assign ff_l2d_decc_out_c6_hi1_2_scanin[38]=ff_l2d_decc_out_c6_lo1_4_scanout[38];
5570assign ff_l2d_decc_out_c6_hi1_4_scanin[38]=ff_l2d_decc_out_c6_hi1_2_scanout[38];
5571assign so_q23=ff_l2d_decc_out_c6_hi1_4_scanout[38];
5572assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[19]=so_tstmod;
5573assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[19]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[19];
5574assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[20]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[19];
5575assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[20]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[20];
5576assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[20]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[20];
5577assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[20]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[20];
5578assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[21]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[20];
5579assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[21]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[21];
5580assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[21]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[21];
5581assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[21]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[21];
5582assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[22]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[21];
5583assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[22]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[22];
5584assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[22]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[22];
5585assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[22]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[22];
5586assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[23]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[22];
5587assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[23]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[23];
5588assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[23]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[23];
5589assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[23]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[23];
5590assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[24]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[23];
5591assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[29]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[24];
5592assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[28]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[29];
5593assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[28]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[28];
5594assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[28]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[28];
5595assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[28]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[28];
5596assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[27]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[28];
5597assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[27]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[27];
5598assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[27]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[27];
5599assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[27]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[27];
5600assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[26]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[27];
5601assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[26]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[26];
5602assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[26]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[26];
5603assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[26]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[26];
5604assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[25]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[26];
5605assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[25]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[25];
5606assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[25]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[25];
5607assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[25]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[25];
5608assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[24]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[25];
5609assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[24]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[24];
5610assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[24]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[24];
5611assign ff_cache_col_offset_c5_101_scanin[0]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[24];
5612assign ff_cache_col_offset_c5_101_scanin[2]=ff_cache_col_offset_c5_101_scanout[0];
5613assign ff_cache_col_offset_c4_101_scanin[0]=ff_cache_col_offset_c5_101_scanout[2];
5614assign ff_cache_col_offset_c4_101_scanin[2]=ff_cache_col_offset_c4_101_scanout[0];
5615assign ff_cache_col_offset_c4_tog_101_scanin[0]=ff_cache_col_offset_c4_101_scanout[2];
5616assign ff_cache_cache_rd_wr_c5_01_scanin=ff_cache_col_offset_c4_tog_101_scanout[0];
5617assign ff_cache_col_offset_c5_101_scanin[1]=ff_cache_cache_rd_wr_c5_01_scanout;
5618assign ff_cache_col_offset_c5_101_scanin[3]=ff_cache_col_offset_c5_101_scanout[1];
5619assign ff_cache_col_offset_c4_101_scanin[1]=ff_cache_col_offset_c5_101_scanout[3];
5620assign ff_cache_col_offset_c4_101_scanin[3]=ff_cache_col_offset_c4_101_scanout[1];
5621assign ff_cache_col_offset_c4_tog_101_scanin[1]=ff_cache_col_offset_c4_101_scanout[3];
5622assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[38]=ff_cache_col_offset_c4_tog_101_scanout[1];
5623assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[38]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[38];
5624assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[38]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[38];
5625assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[38]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[38];
5626assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[37]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[38];
5627assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[37]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[37];
5628assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[37]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[37];
5629assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[37]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[37];
5630assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[36]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[37];
5631assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[36]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[36];
5632assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[36]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[36];
5633assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[36]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[36];
5634assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[35]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[36];
5635assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[35]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[35];
5636assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[35]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[35];
5637assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[35]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[35];
5638assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[34]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[35];
5639assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[34]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[34];
5640assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[34]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[34];
5641assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[29]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[34];
5642assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[29]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[29];
5643assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[29]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[29];
5644assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[30]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[29];
5645assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[30]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[30];
5646assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[30]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[30];
5647assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[30]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[30];
5648assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[31]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[30];
5649assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[31]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[31];
5650assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[31]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[31];
5651assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[31]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[31];
5652assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[32]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[31];
5653assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[32]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[32];
5654assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[32]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[32];
5655assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[32]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[32];
5656assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[33]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[32];
5657assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[33]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[33];
5658assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[33]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[33];
5659assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[33]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[33];
5660assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[34]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[33];
5661assign ff_l2t_l2d_stdecc_c3_scanin[0]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[34];
5662assign ff_l2t_l2d_stdecc_c3_scanin[1]=ff_l2t_l2d_stdecc_c3_scanout[0];
5663assign ff_l2t_l2d_stdecc_c3_scanin[2]=ff_l2t_l2d_stdecc_c3_scanout[1];
5664assign ff_l2t_l2d_stdecc_c3_scanin[3]=ff_l2t_l2d_stdecc_c3_scanout[2];
5665assign ff_l2t_l2d_stdecc_c3_scanin[4]=ff_l2t_l2d_stdecc_c3_scanout[3];
5666assign ff_l2t_l2d_stdecc_c3_scanin[5]=ff_l2t_l2d_stdecc_c3_scanout[4];
5667assign ff_l2t_l2d_stdecc_c3_scanin[6]=ff_l2t_l2d_stdecc_c3_scanout[5];
5668assign ff_l2t_l2d_stdecc_c3_scanin[7]=ff_l2t_l2d_stdecc_c3_scanout[6];
5669assign ff_l2t_l2d_stdecc_c3_scanin[8]=ff_l2t_l2d_stdecc_c3_scanout[7];
5670assign ff_l2t_l2d_stdecc_c3_scanin[9]=ff_l2t_l2d_stdecc_c3_scanout[8];
5671assign ff_l2t_l2d_stdecc_c3_scanin[10]=ff_l2t_l2d_stdecc_c3_scanout[9];
5672assign ff_l2t_l2d_stdecc_c3_scanin[11]=ff_l2t_l2d_stdecc_c3_scanout[10];
5673assign ff_l2t_l2d_stdecc_c3_scanin[12]=ff_l2t_l2d_stdecc_c3_scanout[11];
5674assign ff_l2t_l2d_stdecc_c3_scanin[13]=ff_l2t_l2d_stdecc_c3_scanout[12];
5675assign ff_l2t_l2d_stdecc_c3_scanin[14]=ff_l2t_l2d_stdecc_c3_scanout[13];
5676assign ff_l2t_l2d_stdecc_c3_scanin[15]=ff_l2t_l2d_stdecc_c3_scanout[14];
5677assign ff_l2t_l2d_stdecc_c3_scanin[16]=ff_l2t_l2d_stdecc_c3_scanout[15];
5678assign ff_l2t_l2d_stdecc_c3_scanin[17]=ff_l2t_l2d_stdecc_c3_scanout[16];
5679assign ff_l2t_l2d_stdecc_c3_scanin[18]=ff_l2t_l2d_stdecc_c3_scanout[17];
5680assign ff_l2t_l2d_stdecc_c3_scanin[19]=ff_l2t_l2d_stdecc_c3_scanout[18];
5681assign ff_l2t_l2d_stdecc_c3_scanin[20]=ff_l2t_l2d_stdecc_c3_scanout[19];
5682assign ff_l2t_l2d_stdecc_c3_scanin[21]=ff_l2t_l2d_stdecc_c3_scanout[20];
5683assign ff_l2t_l2d_stdecc_c3_scanin[22]=ff_l2t_l2d_stdecc_c3_scanout[21];
5684assign ff_l2t_l2d_stdecc_c3_scanin[23]=ff_l2t_l2d_stdecc_c3_scanout[22];
5685assign ff_l2t_l2d_stdecc_c3_scanin[24]=ff_l2t_l2d_stdecc_c3_scanout[23];
5686assign ff_l2t_l2d_stdecc_c3_scanin[25]=ff_l2t_l2d_stdecc_c3_scanout[24];
5687assign ff_l2t_l2d_stdecc_c3_scanin[26]=ff_l2t_l2d_stdecc_c3_scanout[25];
5688assign ff_l2t_l2d_stdecc_c3_scanin[27]=ff_l2t_l2d_stdecc_c3_scanout[26];
5689assign ff_l2t_l2d_stdecc_c3_scanin[28]=ff_l2t_l2d_stdecc_c3_scanout[27];
5690assign ff_l2t_l2d_stdecc_c3_scanin[29]=ff_l2t_l2d_stdecc_c3_scanout[28];
5691assign ff_l2t_l2d_stdecc_c3_scanin[30]=ff_l2t_l2d_stdecc_c3_scanout[29];
5692assign ff_l2t_l2d_stdecc_c3_scanin[31]=ff_l2t_l2d_stdecc_c3_scanout[30];
5693assign ff_l2t_l2d_stdecc_c3_scanin[32]=ff_l2t_l2d_stdecc_c3_scanout[31];
5694assign ff_l2t_l2d_stdecc_c3_scanin[33]=ff_l2t_l2d_stdecc_c3_scanout[32];
5695assign ff_l2t_l2d_stdecc_c3_scanin[34]=ff_l2t_l2d_stdecc_c3_scanout[33];
5696assign ff_l2t_l2d_stdecc_c3_scanin[35]=ff_l2t_l2d_stdecc_c3_scanout[34];
5697assign ff_l2t_l2d_stdecc_c3_scanin[36]=ff_l2t_l2d_stdecc_c3_scanout[35];
5698assign ff_l2t_l2d_stdecc_c3_scanin[37]=ff_l2t_l2d_stdecc_c3_scanout[36];
5699assign ff_l2t_l2d_stdecc_c3_scanin[38]=ff_l2t_l2d_stdecc_c3_scanout[37];
5700assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[38]=ff_l2t_l2d_stdecc_c3_scanout[38];
5701assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[38]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[38];
5702assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[38]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[38];
5703assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[38]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[38];
5704assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[37]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[38];
5705assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[37]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[37];
5706assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[37]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[37];
5707assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[37]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[37];
5708assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[36]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[37];
5709assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[36]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[36];
5710assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[36]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[36];
5711assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[36]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[36];
5712assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[35]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[36];
5713assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[35]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[35];
5714assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[35]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[35];
5715assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[35]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[35];
5716assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[34]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[35];
5717assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[34]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[34];
5718assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[34]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[34];
5719assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[29]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[34];
5720assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[29]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[29];
5721assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[29]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[29];
5722assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[30]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[29];
5723assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[30]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[30];
5724assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[30]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[30];
5725assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[30]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[30];
5726assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[31]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[30];
5727assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[31]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[31];
5728assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[31]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[31];
5729assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[31]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[31];
5730assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[32]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[31];
5731assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[32]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[32];
5732assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[32]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[32];
5733assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[32]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[32];
5734assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[33]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[32];
5735assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[33]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[33];
5736assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[33]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[33];
5737assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[33]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[33];
5738assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[34]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[33];
5739assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[19]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[34];
5740assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[19]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[19];
5741assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[20]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[19];
5742assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[20]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[20];
5743assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[20]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[20];
5744assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[20]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[20];
5745assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[21]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[20];
5746assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[21]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[21];
5747assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[21]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[21];
5748assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[21]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[21];
5749assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[22]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[21];
5750assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[22]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[22];
5751assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[22]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[22];
5752assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[22]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[22];
5753assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[23]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[22];
5754assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[23]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[23];
5755assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[23]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[23];
5756assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[23]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[23];
5757assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[24]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[23];
5758assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[29]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[24];
5759assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[28]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[29];
5760assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[28]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[28];
5761assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[28]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[28];
5762assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[28]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[28];
5763assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[27]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[28];
5764assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[27]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[27];
5765assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[27]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[27];
5766assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[27]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[27];
5767assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[26]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[27];
5768assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[26]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[26];
5769assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[26]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[26];
5770assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[26]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[26];
5771assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[25]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[26];
5772assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[25]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[25];
5773assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[25]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[25];
5774assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[25]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[25];
5775assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[24]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[25];
5776assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[24]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[24];
5777assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[24]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[24];
5778assign ff_l2d_decc_out_c6_lo1_1_scanin[19]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[24];
5779assign ff_l2d_decc_out_c6_lo1_3_scanin[19]=ff_l2d_decc_out_c6_lo1_1_scanout[19];
5780assign ff_l2d_decc_out_c6_hi1_1_scanin[19]=ff_l2d_decc_out_c6_lo1_3_scanout[19];
5781assign ff_l2d_decc_out_c6_hi1_3_scanin[19]=ff_l2d_decc_out_c6_hi1_1_scanout[19];
5782assign ff_l2d_decc_out_c6_lo0_1_scanin[20]=ff_l2d_decc_out_c6_hi1_3_scanout[19];
5783assign ff_l2d_decc_out_c6_lo0_3_scanin[20]=ff_l2d_decc_out_c6_lo0_1_scanout[20];
5784assign ff_l2d_decc_out_c6_hi0_1_scanin[20]=ff_l2d_decc_out_c6_lo0_3_scanout[20];
5785assign ff_l2d_decc_out_c6_hi0_3_scanin[20]=ff_l2d_decc_out_c6_hi0_1_scanout[20];
5786assign ff_l2d_decc_out_c6_lo1_1_scanin[20]=ff_l2d_decc_out_c6_hi0_3_scanout[20];
5787assign ff_l2d_decc_out_c6_lo1_3_scanin[20]=ff_l2d_decc_out_c6_lo1_1_scanout[20];
5788assign ff_l2d_decc_out_c6_hi1_1_scanin[20]=ff_l2d_decc_out_c6_lo1_3_scanout[20];
5789assign ff_l2d_decc_out_c6_hi1_3_scanin[20]=ff_l2d_decc_out_c6_hi1_1_scanout[20];
5790assign ff_l2d_decc_out_c6_lo0_1_scanin[21]=ff_l2d_decc_out_c6_hi1_3_scanout[20];
5791assign ff_l2d_decc_out_c6_lo0_3_scanin[21]=ff_l2d_decc_out_c6_lo0_1_scanout[21];
5792assign ff_l2d_decc_out_c6_hi0_1_scanin[21]=ff_l2d_decc_out_c6_lo0_3_scanout[21];
5793assign ff_l2d_decc_out_c6_hi0_3_scanin[21]=ff_l2d_decc_out_c6_hi0_1_scanout[21];
5794assign ff_l2d_decc_out_c6_lo1_1_scanin[21]=ff_l2d_decc_out_c6_hi0_3_scanout[21];
5795assign ff_l2d_decc_out_c6_lo1_3_scanin[21]=ff_l2d_decc_out_c6_lo1_1_scanout[21];
5796assign ff_l2d_decc_out_c6_hi1_1_scanin[21]=ff_l2d_decc_out_c6_lo1_3_scanout[21];
5797assign ff_l2d_decc_out_c6_hi1_3_scanin[21]=ff_l2d_decc_out_c6_hi1_1_scanout[21];
5798assign ff_l2d_decc_out_c6_lo0_1_scanin[22]=ff_l2d_decc_out_c6_hi1_3_scanout[21];
5799assign ff_l2d_decc_out_c6_lo0_3_scanin[22]=ff_l2d_decc_out_c6_lo0_1_scanout[22];
5800assign ff_l2d_decc_out_c6_hi0_1_scanin[22]=ff_l2d_decc_out_c6_lo0_3_scanout[22];
5801assign ff_l2d_decc_out_c6_hi0_3_scanin[22]=ff_l2d_decc_out_c6_hi0_1_scanout[22];
5802assign ff_l2d_decc_out_c6_lo1_1_scanin[22]=ff_l2d_decc_out_c6_hi0_3_scanout[22];
5803assign ff_l2d_decc_out_c6_lo1_3_scanin[22]=ff_l2d_decc_out_c6_lo1_1_scanout[22];
5804assign ff_l2d_decc_out_c6_hi1_1_scanin[22]=ff_l2d_decc_out_c6_lo1_3_scanout[22];
5805assign ff_l2d_decc_out_c6_hi1_3_scanin[22]=ff_l2d_decc_out_c6_hi1_1_scanout[22];
5806assign ff_l2d_decc_out_c6_lo0_1_scanin[23]=ff_l2d_decc_out_c6_hi1_3_scanout[22];
5807assign ff_l2d_decc_out_c6_lo0_3_scanin[23]=ff_l2d_decc_out_c6_lo0_1_scanout[23];
5808assign ff_l2d_decc_out_c6_hi0_1_scanin[23]=ff_l2d_decc_out_c6_lo0_3_scanout[23];
5809assign ff_l2d_decc_out_c6_hi0_3_scanin[23]=ff_l2d_decc_out_c6_hi0_1_scanout[23];
5810assign ff_l2d_decc_out_c6_lo1_1_scanin[23]=ff_l2d_decc_out_c6_hi0_3_scanout[23];
5811assign ff_l2d_decc_out_c6_lo1_3_scanin[23]=ff_l2d_decc_out_c6_lo1_1_scanout[23];
5812assign ff_l2d_decc_out_c6_hi1_1_scanin[23]=ff_l2d_decc_out_c6_lo1_3_scanout[23];
5813assign ff_l2d_decc_out_c6_hi1_3_scanin[23]=ff_l2d_decc_out_c6_hi1_1_scanout[23];
5814assign ff_l2d_decc_out_c6_lo0_1_scanin[24]=ff_l2d_decc_out_c6_hi1_3_scanout[23];
5815assign ff_l2d_decc_out_c6_lo0_3_scanin[24]=ff_l2d_decc_out_c6_lo0_1_scanout[24];
5816assign ff_l2d_decc_out_c6_hi0_1_scanin[24]=ff_l2d_decc_out_c6_lo0_3_scanout[24];
5817assign ff_l2d_decc_out_c6_hi0_3_scanin[24]=ff_l2d_decc_out_c6_hi0_1_scanout[24];
5818assign ff_l2d_decc_out_c6_lo1_1_scanin[24]=ff_l2d_decc_out_c6_hi0_3_scanout[24];
5819assign ff_l2d_decc_out_c6_lo1_3_scanin[24]=ff_l2d_decc_out_c6_lo1_1_scanout[24];
5820assign ff_l2d_decc_out_c6_hi1_1_scanin[24]=ff_l2d_decc_out_c6_lo1_3_scanout[24];
5821assign ff_l2d_decc_out_c6_hi1_3_scanin[24]=ff_l2d_decc_out_c6_hi1_1_scanout[24];
5822assign ff_l2d_decc_out_c6_lo0_1_scanin[25]=ff_l2d_decc_out_c6_hi1_3_scanout[24];
5823assign ff_l2d_decc_out_c6_lo0_3_scanin[25]=ff_l2d_decc_out_c6_lo0_1_scanout[25];
5824assign ff_l2d_decc_out_c6_hi0_1_scanin[25]=ff_l2d_decc_out_c6_lo0_3_scanout[25];
5825assign ff_l2d_decc_out_c6_hi0_3_scanin[25]=ff_l2d_decc_out_c6_hi0_1_scanout[25];
5826assign ff_l2d_decc_out_c6_lo1_1_scanin[25]=ff_l2d_decc_out_c6_hi0_3_scanout[25];
5827assign ff_l2d_decc_out_c6_lo1_3_scanin[25]=ff_l2d_decc_out_c6_lo1_1_scanout[25];
5828assign ff_l2d_decc_out_c6_hi1_1_scanin[25]=ff_l2d_decc_out_c6_lo1_3_scanout[25];
5829assign ff_l2d_decc_out_c6_hi1_3_scanin[25]=ff_l2d_decc_out_c6_hi1_1_scanout[25];
5830assign ff_l2d_decc_out_c6_lo0_1_scanin[26]=ff_l2d_decc_out_c6_hi1_3_scanout[25];
5831assign ff_l2d_decc_out_c6_lo0_3_scanin[26]=ff_l2d_decc_out_c6_lo0_1_scanout[26];
5832assign ff_l2d_decc_out_c6_hi0_1_scanin[26]=ff_l2d_decc_out_c6_lo0_3_scanout[26];
5833assign ff_l2d_decc_out_c6_hi0_3_scanin[26]=ff_l2d_decc_out_c6_hi0_1_scanout[26];
5834assign ff_l2d_decc_out_c6_lo1_1_scanin[26]=ff_l2d_decc_out_c6_hi0_3_scanout[26];
5835assign ff_l2d_decc_out_c6_lo1_3_scanin[26]=ff_l2d_decc_out_c6_lo1_1_scanout[26];
5836assign ff_l2d_decc_out_c6_hi1_1_scanin[26]=ff_l2d_decc_out_c6_lo1_3_scanout[26];
5837assign ff_l2d_decc_out_c6_hi1_3_scanin[26]=ff_l2d_decc_out_c6_hi1_1_scanout[26];
5838assign ff_l2d_decc_out_c6_lo0_1_scanin[27]=ff_l2d_decc_out_c6_hi1_3_scanout[26];
5839assign ff_l2d_decc_out_c6_lo0_3_scanin[27]=ff_l2d_decc_out_c6_lo0_1_scanout[27];
5840assign ff_l2d_decc_out_c6_hi0_1_scanin[27]=ff_l2d_decc_out_c6_lo0_3_scanout[27];
5841assign ff_l2d_decc_out_c6_hi0_3_scanin[27]=ff_l2d_decc_out_c6_hi0_1_scanout[27];
5842assign ff_l2d_decc_out_c6_lo1_1_scanin[27]=ff_l2d_decc_out_c6_hi0_3_scanout[27];
5843assign ff_l2d_decc_out_c6_lo1_3_scanin[27]=ff_l2d_decc_out_c6_lo1_1_scanout[27];
5844assign ff_l2d_decc_out_c6_hi1_1_scanin[27]=ff_l2d_decc_out_c6_lo1_3_scanout[27];
5845assign ff_l2d_decc_out_c6_hi1_3_scanin[27]=ff_l2d_decc_out_c6_hi1_1_scanout[27];
5846assign ff_l2d_decc_out_c6_lo0_1_scanin[28]=ff_l2d_decc_out_c6_hi1_3_scanout[27];
5847assign ff_l2d_decc_out_c6_lo0_3_scanin[28]=ff_l2d_decc_out_c6_lo0_1_scanout[28];
5848assign ff_l2d_decc_out_c6_hi0_1_scanin[28]=ff_l2d_decc_out_c6_lo0_3_scanout[28];
5849assign ff_l2d_decc_out_c6_hi0_3_scanin[28]=ff_l2d_decc_out_c6_hi0_1_scanout[28];
5850assign ff_l2d_decc_out_c6_lo1_1_scanin[28]=ff_l2d_decc_out_c6_hi0_3_scanout[28];
5851assign ff_l2d_decc_out_c6_lo1_3_scanin[28]=ff_l2d_decc_out_c6_lo1_1_scanout[28];
5852assign ff_l2d_decc_out_c6_hi1_1_scanin[28]=ff_l2d_decc_out_c6_lo1_3_scanout[28];
5853assign ff_l2d_decc_out_c6_hi1_3_scanin[28]=ff_l2d_decc_out_c6_hi1_1_scanout[28];
5854assign ff_l2d_decc_out_c6_lo0_1_scanin[29]=ff_l2d_decc_out_c6_hi1_3_scanout[28];
5855assign ff_l2d_decc_out_c6_lo0_3_scanin[29]=ff_l2d_decc_out_c6_lo0_1_scanout[29];
5856assign ff_l2d_decc_out_c6_hi0_1_scanin[29]=ff_l2d_decc_out_c6_lo0_3_scanout[29];
5857assign ff_l2d_decc_out_c6_hi0_3_scanin[29]=ff_l2d_decc_out_c6_hi0_1_scanout[29];
5858assign ff_l2d_decc_out_c6_lo1_1_scanin[29]=ff_l2d_decc_out_c6_hi0_3_scanout[29];
5859assign ff_l2d_decc_out_c6_lo1_3_scanin[29]=ff_l2d_decc_out_c6_lo1_1_scanout[29];
5860assign ff_l2d_decc_out_c6_hi1_1_scanin[29]=ff_l2d_decc_out_c6_lo1_3_scanout[29];
5861assign ff_l2d_decc_out_c6_hi1_3_scanin[29]=ff_l2d_decc_out_c6_hi1_1_scanout[29];
5862assign ff_l2d_decc_out_c6_lo0_1_scanin[30]=ff_l2d_decc_out_c6_hi1_3_scanout[29];
5863assign ff_l2d_decc_out_c6_lo0_3_scanin[30]=ff_l2d_decc_out_c6_lo0_1_scanout[30];
5864assign ff_l2d_decc_out_c6_hi0_1_scanin[30]=ff_l2d_decc_out_c6_lo0_3_scanout[30];
5865assign ff_l2d_decc_out_c6_hi0_3_scanin[30]=ff_l2d_decc_out_c6_hi0_1_scanout[30];
5866assign ff_l2d_decc_out_c6_lo1_1_scanin[30]=ff_l2d_decc_out_c6_hi0_3_scanout[30];
5867assign ff_l2d_decc_out_c6_lo1_3_scanin[30]=ff_l2d_decc_out_c6_lo1_1_scanout[30];
5868assign ff_l2d_decc_out_c6_hi1_1_scanin[30]=ff_l2d_decc_out_c6_lo1_3_scanout[30];
5869assign ff_l2d_decc_out_c6_hi1_3_scanin[30]=ff_l2d_decc_out_c6_hi1_1_scanout[30];
5870assign ff_l2d_decc_out_c6_lo0_1_scanin[31]=ff_l2d_decc_out_c6_hi1_3_scanout[30];
5871assign ff_l2d_decc_out_c6_lo0_3_scanin[31]=ff_l2d_decc_out_c6_lo0_1_scanout[31];
5872assign ff_l2d_decc_out_c6_hi0_1_scanin[31]=ff_l2d_decc_out_c6_lo0_3_scanout[31];
5873assign ff_l2d_decc_out_c6_hi0_3_scanin[31]=ff_l2d_decc_out_c6_hi0_1_scanout[31];
5874assign ff_l2d_decc_out_c6_lo1_1_scanin[31]=ff_l2d_decc_out_c6_hi0_3_scanout[31];
5875assign ff_l2d_decc_out_c6_lo1_3_scanin[31]=ff_l2d_decc_out_c6_lo1_1_scanout[31];
5876assign ff_l2d_decc_out_c6_hi1_1_scanin[31]=ff_l2d_decc_out_c6_lo1_3_scanout[31];
5877assign ff_l2d_decc_out_c6_hi1_3_scanin[31]=ff_l2d_decc_out_c6_hi1_1_scanout[31];
5878assign ff_l2d_decc_out_c6_lo0_1_scanin[32]=ff_l2d_decc_out_c6_hi1_3_scanout[31];
5879assign ff_l2d_decc_out_c6_lo0_3_scanin[32]=ff_l2d_decc_out_c6_lo0_1_scanout[32];
5880assign ff_l2d_decc_out_c6_hi0_1_scanin[32]=ff_l2d_decc_out_c6_lo0_3_scanout[32];
5881assign ff_l2d_decc_out_c6_hi0_3_scanin[32]=ff_l2d_decc_out_c6_hi0_1_scanout[32];
5882assign ff_l2d_decc_out_c6_lo1_1_scanin[32]=ff_l2d_decc_out_c6_hi0_3_scanout[32];
5883assign ff_l2d_decc_out_c6_lo1_3_scanin[32]=ff_l2d_decc_out_c6_lo1_1_scanout[32];
5884assign ff_l2d_decc_out_c6_hi1_1_scanin[32]=ff_l2d_decc_out_c6_lo1_3_scanout[32];
5885assign ff_l2d_decc_out_c6_hi1_3_scanin[32]=ff_l2d_decc_out_c6_hi1_1_scanout[32];
5886assign ff_l2d_decc_out_c6_lo0_1_scanin[33]=ff_l2d_decc_out_c6_hi1_3_scanout[32];
5887assign ff_l2d_decc_out_c6_lo0_3_scanin[33]=ff_l2d_decc_out_c6_lo0_1_scanout[33];
5888assign ff_l2d_decc_out_c6_hi0_1_scanin[33]=ff_l2d_decc_out_c6_lo0_3_scanout[33];
5889assign ff_l2d_decc_out_c6_hi0_3_scanin[33]=ff_l2d_decc_out_c6_hi0_1_scanout[33];
5890assign ff_l2d_decc_out_c6_lo1_1_scanin[33]=ff_l2d_decc_out_c6_hi0_3_scanout[33];
5891assign ff_l2d_decc_out_c6_lo1_3_scanin[33]=ff_l2d_decc_out_c6_lo1_1_scanout[33];
5892assign ff_l2d_decc_out_c6_hi1_1_scanin[33]=ff_l2d_decc_out_c6_lo1_3_scanout[33];
5893assign ff_l2d_decc_out_c6_hi1_3_scanin[33]=ff_l2d_decc_out_c6_hi1_1_scanout[33];
5894assign ff_l2d_decc_out_c6_lo0_1_scanin[34]=ff_l2d_decc_out_c6_hi1_3_scanout[33];
5895assign ff_l2d_decc_out_c6_lo0_3_scanin[34]=ff_l2d_decc_out_c6_lo0_1_scanout[34];
5896assign ff_l2d_decc_out_c6_hi0_1_scanin[34]=ff_l2d_decc_out_c6_lo0_3_scanout[34];
5897assign ff_l2d_decc_out_c6_hi0_3_scanin[34]=ff_l2d_decc_out_c6_hi0_1_scanout[34];
5898assign ff_l2d_decc_out_c6_lo1_1_scanin[34]=ff_l2d_decc_out_c6_hi0_3_scanout[34];
5899assign ff_l2d_decc_out_c6_lo1_3_scanin[34]=ff_l2d_decc_out_c6_lo1_1_scanout[34];
5900assign ff_l2d_decc_out_c6_hi1_1_scanin[34]=ff_l2d_decc_out_c6_lo1_3_scanout[34];
5901assign ff_l2d_decc_out_c6_hi1_3_scanin[34]=ff_l2d_decc_out_c6_hi1_1_scanout[34];
5902assign ff_l2d_decc_out_c6_lo0_1_scanin[35]=ff_l2d_decc_out_c6_hi1_3_scanout[34];
5903assign ff_l2d_decc_out_c6_lo0_3_scanin[35]=ff_l2d_decc_out_c6_lo0_1_scanout[35];
5904assign ff_l2d_decc_out_c6_hi0_1_scanin[35]=ff_l2d_decc_out_c6_lo0_3_scanout[35];
5905assign ff_l2d_decc_out_c6_hi0_3_scanin[35]=ff_l2d_decc_out_c6_hi0_1_scanout[35];
5906assign ff_l2d_decc_out_c6_lo1_1_scanin[35]=ff_l2d_decc_out_c6_hi0_3_scanout[35];
5907assign ff_l2d_decc_out_c6_lo1_3_scanin[35]=ff_l2d_decc_out_c6_lo1_1_scanout[35];
5908assign ff_l2d_decc_out_c6_hi1_1_scanin[35]=ff_l2d_decc_out_c6_lo1_3_scanout[35];
5909assign ff_l2d_decc_out_c6_hi1_3_scanin[35]=ff_l2d_decc_out_c6_hi1_1_scanout[35];
5910assign ff_l2d_decc_out_c6_lo0_1_scanin[36]=ff_l2d_decc_out_c6_hi1_3_scanout[35];
5911assign ff_l2d_decc_out_c6_lo0_3_scanin[36]=ff_l2d_decc_out_c6_lo0_1_scanout[36];
5912assign ff_l2d_decc_out_c6_hi0_1_scanin[36]=ff_l2d_decc_out_c6_lo0_3_scanout[36];
5913assign ff_l2d_decc_out_c6_hi0_3_scanin[36]=ff_l2d_decc_out_c6_hi0_1_scanout[36];
5914assign ff_l2d_decc_out_c6_lo1_1_scanin[36]=ff_l2d_decc_out_c6_hi0_3_scanout[36];
5915assign ff_l2d_decc_out_c6_lo1_3_scanin[36]=ff_l2d_decc_out_c6_lo1_1_scanout[36];
5916assign ff_l2d_decc_out_c6_hi1_1_scanin[36]=ff_l2d_decc_out_c6_lo1_3_scanout[36];
5917assign ff_l2d_decc_out_c6_hi1_3_scanin[36]=ff_l2d_decc_out_c6_hi1_1_scanout[36];
5918assign ff_l2d_decc_out_c6_lo0_1_scanin[37]=ff_l2d_decc_out_c6_hi1_3_scanout[36];
5919assign ff_l2d_decc_out_c6_lo0_3_scanin[37]=ff_l2d_decc_out_c6_lo0_1_scanout[37];
5920assign ff_l2d_decc_out_c6_hi0_1_scanin[37]=ff_l2d_decc_out_c6_lo0_3_scanout[37];
5921assign ff_l2d_decc_out_c6_hi0_3_scanin[37]=ff_l2d_decc_out_c6_hi0_1_scanout[37];
5922assign ff_l2d_decc_out_c6_lo1_1_scanin[37]=ff_l2d_decc_out_c6_hi0_3_scanout[37];
5923assign ff_l2d_decc_out_c6_lo1_3_scanin[37]=ff_l2d_decc_out_c6_lo1_1_scanout[37];
5924assign ff_l2d_decc_out_c6_hi1_1_scanin[37]=ff_l2d_decc_out_c6_lo1_3_scanout[37];
5925assign ff_l2d_decc_out_c6_hi1_3_scanin[37]=ff_l2d_decc_out_c6_hi1_1_scanout[37];
5926assign ff_l2d_decc_out_c6_lo0_1_scanin[38]=ff_l2d_decc_out_c6_hi1_3_scanout[37];
5927assign ff_l2d_decc_out_c6_lo0_3_scanin[38]=ff_l2d_decc_out_c6_lo0_1_scanout[38];
5928assign ff_l2d_decc_out_c6_hi0_1_scanin[38]=ff_l2d_decc_out_c6_lo0_3_scanout[38];
5929assign ff_l2d_decc_out_c6_hi0_3_scanin[38]=ff_l2d_decc_out_c6_hi0_1_scanout[38];
5930assign ff_l2d_decc_out_c6_lo1_1_scanin[38]=ff_l2d_decc_out_c6_hi0_3_scanout[38];
5931assign ff_l2d_decc_out_c6_lo1_3_scanin[38]=ff_l2d_decc_out_c6_lo1_1_scanout[38];
5932assign ff_l2d_decc_out_c6_hi1_1_scanin[38]=ff_l2d_decc_out_c6_lo1_3_scanout[38];
5933assign ff_l2d_decc_out_c6_hi1_3_scanin[38]=ff_l2d_decc_out_c6_hi1_1_scanout[38];
5934assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[0]=ff_l2d_decc_out_c6_hi1_3_scanout[38];
5935assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[0]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[0];
5936assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[0]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[0];
5937assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[0]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[0];
5938assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[1]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[0];
5939assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[1]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[1];
5940assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[1]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[1];
5941assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[1]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[1];
5942assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[2]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[1];
5943assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[2]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[2];
5944assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[2]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[2];
5945assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[2]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[2];
5946assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[3]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[2];
5947assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[3]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[3];
5948assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[3]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[3];
5949assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[3]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[3];
5950assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[4]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[3];
5951assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[4]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[4];
5952assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[4]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[4];
5953assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[9]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[4];
5954assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[9]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[9];
5955assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[9]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[9];
5956assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[8]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[9];
5957assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[8]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[8];
5958assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[8]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[8];
5959assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[8]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[8];
5960assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[7]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[8];
5961assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[7]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[7];
5962assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[7]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[7];
5963assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[7]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[7];
5964assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[6]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[7];
5965assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[6]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[6];
5966assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[6]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[6];
5967assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[6]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[6];
5968assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[5]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[6];
5969assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[5]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[5];
5970assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[5]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[5];
5971assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[5]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[5];
5972assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[4]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[5];
5973assign ff_cache_col_offset_c5_001_scanin[0]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[4];
5974assign ff_cache_col_offset_c5_001_scanin[2]=ff_cache_col_offset_c5_001_scanout[0];
5975assign ff_cache_col_offset_c4_001_scanin[0]=ff_cache_col_offset_c5_001_scanout[2];
5976assign ff_cache_col_offset_c4_001_scanin[2]=ff_cache_col_offset_c4_001_scanout[0];
5977assign ff_cache_col_offset_c4_tog_001_scanin[0]=ff_cache_col_offset_c4_001_scanout[2];
5978assign ff_cache_cache_rd_wr_c5_00_scanin=ff_cache_col_offset_c4_tog_001_scanout[0];
5979assign ff_cache_col_offset_c5_001_scanin[1]=ff_cache_cache_rd_wr_c5_00_scanout;
5980assign ff_cache_col_offset_c5_001_scanin[3]=ff_cache_col_offset_c5_001_scanout[1];
5981assign ff_cache_col_offset_c4_001_scanin[1]=ff_cache_col_offset_c5_001_scanout[3];
5982assign ff_cache_col_offset_c4_001_scanin[3]=ff_cache_col_offset_c4_001_scanout[1];
5983assign ff_cache_col_offset_c4_tog_001_scanin[1]=ff_cache_col_offset_c4_001_scanout[3];
5984assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[19]=ff_cache_col_offset_c4_tog_001_scanout[1];
5985assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[19]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[19];
5986assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[18]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[19];
5987assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[18]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[18];
5988assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[18]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[18];
5989assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[18]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[18];
5990assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[17]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[18];
5991assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[17]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[17];
5992assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[17]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[17];
5993assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[17]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[17];
5994assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[16]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[17];
5995assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[16]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[16];
5996assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[16]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[16];
5997assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[16]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[16];
5998assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[15]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[16];
5999assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[15]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[15];
6000assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[15]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[15];
6001assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[15]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[15];
6002assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[14]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[15];
6003assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[9]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[14];
6004assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[10]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[9];
6005assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[10]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[10];
6006assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[10]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[10];
6007assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[10]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[10];
6008assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[11]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[10];
6009assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[11]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[11];
6010assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[11]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[11];
6011assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[11]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[11];
6012assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[12]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[11];
6013assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[12]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[12];
6014assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[12]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[12];
6015assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[12]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[12];
6016assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[13]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[12];
6017assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[13]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[13];
6018assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[13]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[13];
6019assign ff_l2b_l2d_fbdecc_c52_hi1_3_scanin[13]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[13];
6020assign ff_l2b_l2d_fbdecc_c52_lo0_3_scanin[14]=ff_l2b_l2d_fbdecc_c52_hi1_3_scanout[13];
6021assign ff_l2b_l2d_fbdecc_c52_hi0_3_scanin[14]=ff_l2b_l2d_fbdecc_c52_lo0_3_scanout[14];
6022assign ff_l2b_l2d_fbdecc_c52_lo1_3_scanin[14]=ff_l2b_l2d_fbdecc_c52_hi0_3_scanout[14];
6023assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[19]=ff_l2b_l2d_fbdecc_c52_lo1_3_scanout[14];
6024assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[19]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[19];
6025assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[18]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[19];
6026assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[18]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[18];
6027assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[18]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[18];
6028assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[18]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[18];
6029assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[17]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[18];
6030assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[17]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[17];
6031assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[17]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[17];
6032assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[17]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[17];
6033assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[16]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[17];
6034assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[16]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[16];
6035assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[16]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[16];
6036assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[16]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[16];
6037assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[15]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[16];
6038assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[15]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[15];
6039assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[15]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[15];
6040assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[15]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[15];
6041assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[14]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[15];
6042assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[9]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[14];
6043assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[10]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[9];
6044assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[10]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[10];
6045assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[10]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[10];
6046assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[10]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[10];
6047assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[11]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[10];
6048assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[11]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[11];
6049assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[11]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[11];
6050assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[11]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[11];
6051assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[12]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[11];
6052assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[12]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[12];
6053assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[12]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[12];
6054assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[12]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[12];
6055assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[13]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[12];
6056assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[13]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[13];
6057assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[13]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[13];
6058assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[13]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[13];
6059assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[14]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[13];
6060assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[14]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[14];
6061assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[14]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[14];
6062assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[0]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[14];
6063assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[0]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[0];
6064assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[0]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[0];
6065assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[0]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[0];
6066assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[1]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[0];
6067assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[1]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[1];
6068assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[1]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[1];
6069assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[1]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[1];
6070assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[2]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[1];
6071assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[2]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[2];
6072assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[2]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[2];
6073assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[2]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[2];
6074assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[3]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[2];
6075assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[3]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[3];
6076assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[3]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[3];
6077assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[3]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[3];
6078assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[4]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[3];
6079assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[4]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[4];
6080assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[4]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[4];
6081assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[9]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[4];
6082assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[9]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[9];
6083assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[9]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[9];
6084assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[8]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[9];
6085assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[8]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[8];
6086assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[8]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[8];
6087assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[8]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[8];
6088assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[7]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[8];
6089assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[7]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[7];
6090assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[7]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[7];
6091assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[7]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[7];
6092assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[6]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[7];
6093assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[6]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[6];
6094assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[6]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[6];
6095assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[6]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[6];
6096assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[5]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[6];
6097assign ff_l2b_l2d_fbdecc_c52_lo1_1_scanin[5]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[5];
6098assign ff_l2b_l2d_fbdecc_c52_hi0_1_scanin[5]=ff_l2b_l2d_fbdecc_c52_lo1_1_scanout[5];
6099assign ff_l2b_l2d_fbdecc_c52_lo0_1_scanin[5]=ff_l2b_l2d_fbdecc_c52_hi0_1_scanout[5];
6100assign ff_l2b_l2d_fbdecc_c52_hi1_1_scanin[4]=ff_l2b_l2d_fbdecc_c52_lo0_1_scanout[5];
6101assign ff_l2d_decc_out_c6_lo0_1_scanin[0]=ff_l2b_l2d_fbdecc_c52_hi1_1_scanout[4];
6102assign ff_l2d_decc_out_c6_lo0_3_scanin[0]=ff_l2d_decc_out_c6_lo0_1_scanout[0];
6103assign ff_l2d_decc_out_c6_hi0_1_scanin[0]=ff_l2d_decc_out_c6_lo0_3_scanout[0];
6104assign ff_l2d_decc_out_c6_hi0_3_scanin[0]=ff_l2d_decc_out_c6_hi0_1_scanout[0];
6105assign ff_l2d_decc_out_c6_lo1_1_scanin[0]=ff_l2d_decc_out_c6_hi0_3_scanout[0];
6106assign ff_l2d_decc_out_c6_lo1_3_scanin[0]=ff_l2d_decc_out_c6_lo1_1_scanout[0];
6107assign ff_l2d_decc_out_c6_hi1_1_scanin[0]=ff_l2d_decc_out_c6_lo1_3_scanout[0];
6108assign ff_l2d_decc_out_c6_hi1_3_scanin[0]=ff_l2d_decc_out_c6_hi1_1_scanout[0];
6109assign ff_l2d_decc_out_c6_lo0_1_scanin[1]=ff_l2d_decc_out_c6_hi1_3_scanout[0];
6110assign ff_l2d_decc_out_c6_lo0_3_scanin[1]=ff_l2d_decc_out_c6_lo0_1_scanout[1];
6111assign ff_l2d_decc_out_c6_hi0_1_scanin[1]=ff_l2d_decc_out_c6_lo0_3_scanout[1];
6112assign ff_l2d_decc_out_c6_hi0_3_scanin[1]=ff_l2d_decc_out_c6_hi0_1_scanout[1];
6113assign ff_l2d_decc_out_c6_lo1_1_scanin[1]=ff_l2d_decc_out_c6_hi0_3_scanout[1];
6114assign ff_l2d_decc_out_c6_lo1_3_scanin[1]=ff_l2d_decc_out_c6_lo1_1_scanout[1];
6115assign ff_l2d_decc_out_c6_hi1_1_scanin[1]=ff_l2d_decc_out_c6_lo1_3_scanout[1];
6116assign ff_l2d_decc_out_c6_hi1_3_scanin[1]=ff_l2d_decc_out_c6_hi1_1_scanout[1];
6117assign ff_l2d_decc_out_c6_lo0_1_scanin[2]=ff_l2d_decc_out_c6_hi1_3_scanout[1];
6118assign ff_l2d_decc_out_c6_lo0_3_scanin[2]=ff_l2d_decc_out_c6_lo0_1_scanout[2];
6119assign ff_l2d_decc_out_c6_hi0_1_scanin[2]=ff_l2d_decc_out_c6_lo0_3_scanout[2];
6120assign ff_l2d_decc_out_c6_hi0_3_scanin[2]=ff_l2d_decc_out_c6_hi0_1_scanout[2];
6121assign ff_l2d_decc_out_c6_lo1_1_scanin[2]=ff_l2d_decc_out_c6_hi0_3_scanout[2];
6122assign ff_l2d_decc_out_c6_lo1_3_scanin[2]=ff_l2d_decc_out_c6_lo1_1_scanout[2];
6123assign ff_l2d_decc_out_c6_hi1_1_scanin[2]=ff_l2d_decc_out_c6_lo1_3_scanout[2];
6124assign ff_l2d_decc_out_c6_hi1_3_scanin[2]=ff_l2d_decc_out_c6_hi1_1_scanout[2];
6125assign ff_l2d_decc_out_c6_lo0_1_scanin[3]=ff_l2d_decc_out_c6_hi1_3_scanout[2];
6126assign ff_l2d_decc_out_c6_lo0_3_scanin[3]=ff_l2d_decc_out_c6_lo0_1_scanout[3];
6127assign ff_l2d_decc_out_c6_hi0_1_scanin[3]=ff_l2d_decc_out_c6_lo0_3_scanout[3];
6128assign ff_l2d_decc_out_c6_hi0_3_scanin[3]=ff_l2d_decc_out_c6_hi0_1_scanout[3];
6129assign ff_l2d_decc_out_c6_lo1_1_scanin[3]=ff_l2d_decc_out_c6_hi0_3_scanout[3];
6130assign ff_l2d_decc_out_c6_lo1_3_scanin[3]=ff_l2d_decc_out_c6_lo1_1_scanout[3];
6131assign ff_l2d_decc_out_c6_hi1_1_scanin[3]=ff_l2d_decc_out_c6_lo1_3_scanout[3];
6132assign ff_l2d_decc_out_c6_hi1_3_scanin[3]=ff_l2d_decc_out_c6_hi1_1_scanout[3];
6133assign ff_l2d_decc_out_c6_lo0_1_scanin[4]=ff_l2d_decc_out_c6_hi1_3_scanout[3];
6134assign ff_l2d_decc_out_c6_lo0_3_scanin[4]=ff_l2d_decc_out_c6_lo0_1_scanout[4];
6135assign ff_l2d_decc_out_c6_hi0_1_scanin[4]=ff_l2d_decc_out_c6_lo0_3_scanout[4];
6136assign ff_l2d_decc_out_c6_hi0_3_scanin[4]=ff_l2d_decc_out_c6_hi0_1_scanout[4];
6137assign ff_l2d_decc_out_c6_lo1_1_scanin[4]=ff_l2d_decc_out_c6_hi0_3_scanout[4];
6138assign ff_l2d_decc_out_c6_lo1_3_scanin[4]=ff_l2d_decc_out_c6_lo1_1_scanout[4];
6139assign ff_l2d_decc_out_c6_hi1_1_scanin[4]=ff_l2d_decc_out_c6_lo1_3_scanout[4];
6140assign ff_l2d_decc_out_c6_hi1_3_scanin[4]=ff_l2d_decc_out_c6_hi1_1_scanout[4];
6141assign ff_l2d_decc_out_c6_lo0_1_scanin[5]=ff_l2d_decc_out_c6_hi1_3_scanout[4];
6142assign ff_l2d_decc_out_c6_lo0_3_scanin[5]=ff_l2d_decc_out_c6_lo0_1_scanout[5];
6143assign ff_l2d_decc_out_c6_hi0_1_scanin[5]=ff_l2d_decc_out_c6_lo0_3_scanout[5];
6144assign ff_l2d_decc_out_c6_hi0_3_scanin[5]=ff_l2d_decc_out_c6_hi0_1_scanout[5];
6145assign ff_l2d_decc_out_c6_lo1_1_scanin[5]=ff_l2d_decc_out_c6_hi0_3_scanout[5];
6146assign ff_l2d_decc_out_c6_lo1_3_scanin[5]=ff_l2d_decc_out_c6_lo1_1_scanout[5];
6147assign ff_l2d_decc_out_c6_hi1_1_scanin[5]=ff_l2d_decc_out_c6_lo1_3_scanout[5];
6148assign ff_l2d_decc_out_c6_hi1_3_scanin[5]=ff_l2d_decc_out_c6_hi1_1_scanout[5];
6149assign ff_l2d_decc_out_c6_lo0_1_scanin[6]=ff_l2d_decc_out_c6_hi1_3_scanout[5];
6150assign ff_l2d_decc_out_c6_lo0_3_scanin[6]=ff_l2d_decc_out_c6_lo0_1_scanout[6];
6151assign ff_l2d_decc_out_c6_hi0_1_scanin[6]=ff_l2d_decc_out_c6_lo0_3_scanout[6];
6152assign ff_l2d_decc_out_c6_hi0_3_scanin[6]=ff_l2d_decc_out_c6_hi0_1_scanout[6];
6153assign ff_l2d_decc_out_c6_lo1_1_scanin[6]=ff_l2d_decc_out_c6_hi0_3_scanout[6];
6154assign ff_l2d_decc_out_c6_lo1_3_scanin[6]=ff_l2d_decc_out_c6_lo1_1_scanout[6];
6155assign ff_l2d_decc_out_c6_hi1_1_scanin[6]=ff_l2d_decc_out_c6_lo1_3_scanout[6];
6156assign ff_l2d_decc_out_c6_hi1_3_scanin[6]=ff_l2d_decc_out_c6_hi1_1_scanout[6];
6157assign ff_l2d_decc_out_c6_lo0_1_scanin[7]=ff_l2d_decc_out_c6_hi1_3_scanout[6];
6158assign ff_l2d_decc_out_c6_lo0_3_scanin[7]=ff_l2d_decc_out_c6_lo0_1_scanout[7];
6159assign ff_l2d_decc_out_c6_hi0_1_scanin[7]=ff_l2d_decc_out_c6_lo0_3_scanout[7];
6160assign ff_l2d_decc_out_c6_hi0_3_scanin[7]=ff_l2d_decc_out_c6_hi0_1_scanout[7];
6161assign ff_l2d_decc_out_c6_lo1_1_scanin[7]=ff_l2d_decc_out_c6_hi0_3_scanout[7];
6162assign ff_l2d_decc_out_c6_lo1_3_scanin[7]=ff_l2d_decc_out_c6_lo1_1_scanout[7];
6163assign ff_l2d_decc_out_c6_hi1_1_scanin[7]=ff_l2d_decc_out_c6_lo1_3_scanout[7];
6164assign ff_l2d_decc_out_c6_hi1_3_scanin[7]=ff_l2d_decc_out_c6_hi1_1_scanout[7];
6165assign ff_l2d_decc_out_c6_lo0_1_scanin[8]=ff_l2d_decc_out_c6_hi1_3_scanout[7];
6166assign ff_l2d_decc_out_c6_lo0_3_scanin[8]=ff_l2d_decc_out_c6_lo0_1_scanout[8];
6167assign ff_l2d_decc_out_c6_hi0_1_scanin[8]=ff_l2d_decc_out_c6_lo0_3_scanout[8];
6168assign ff_l2d_decc_out_c6_hi0_3_scanin[8]=ff_l2d_decc_out_c6_hi0_1_scanout[8];
6169assign ff_l2d_decc_out_c6_lo1_1_scanin[8]=ff_l2d_decc_out_c6_hi0_3_scanout[8];
6170assign ff_l2d_decc_out_c6_lo1_3_scanin[8]=ff_l2d_decc_out_c6_lo1_1_scanout[8];
6171assign ff_l2d_decc_out_c6_hi1_1_scanin[8]=ff_l2d_decc_out_c6_lo1_3_scanout[8];
6172assign ff_l2d_decc_out_c6_hi1_3_scanin[8]=ff_l2d_decc_out_c6_hi1_1_scanout[8];
6173assign ff_l2d_decc_out_c6_lo0_1_scanin[9]=ff_l2d_decc_out_c6_hi1_3_scanout[8];
6174assign ff_l2d_decc_out_c6_lo0_3_scanin[9]=ff_l2d_decc_out_c6_lo0_1_scanout[9];
6175assign ff_l2d_decc_out_c6_hi0_1_scanin[9]=ff_l2d_decc_out_c6_lo0_3_scanout[9];
6176assign ff_l2d_decc_out_c6_hi0_3_scanin[9]=ff_l2d_decc_out_c6_hi0_1_scanout[9];
6177assign ff_l2d_decc_out_c6_lo1_1_scanin[9]=ff_l2d_decc_out_c6_hi0_3_scanout[9];
6178assign ff_l2d_decc_out_c6_lo1_3_scanin[9]=ff_l2d_decc_out_c6_lo1_1_scanout[9];
6179assign ff_l2d_decc_out_c6_hi1_1_scanin[9]=ff_l2d_decc_out_c6_lo1_3_scanout[9];
6180assign ff_l2d_decc_out_c6_hi1_3_scanin[9]=ff_l2d_decc_out_c6_hi1_1_scanout[9];
6181assign ff_l2d_decc_out_c6_lo0_1_scanin[10]=ff_l2d_decc_out_c6_hi1_3_scanout[9];
6182assign ff_l2d_decc_out_c6_lo0_3_scanin[10]=ff_l2d_decc_out_c6_lo0_1_scanout[10];
6183assign ff_l2d_decc_out_c6_hi0_1_scanin[10]=ff_l2d_decc_out_c6_lo0_3_scanout[10];
6184assign ff_l2d_decc_out_c6_hi0_3_scanin[10]=ff_l2d_decc_out_c6_hi0_1_scanout[10];
6185assign ff_l2d_decc_out_c6_lo1_1_scanin[10]=ff_l2d_decc_out_c6_hi0_3_scanout[10];
6186assign ff_l2d_decc_out_c6_lo1_3_scanin[10]=ff_l2d_decc_out_c6_lo1_1_scanout[10];
6187assign ff_l2d_decc_out_c6_hi1_1_scanin[10]=ff_l2d_decc_out_c6_lo1_3_scanout[10];
6188assign ff_l2d_decc_out_c6_hi1_3_scanin[10]=ff_l2d_decc_out_c6_hi1_1_scanout[10];
6189assign ff_l2d_decc_out_c6_lo0_1_scanin[11]=ff_l2d_decc_out_c6_hi1_3_scanout[10];
6190assign ff_l2d_decc_out_c6_lo0_3_scanin[11]=ff_l2d_decc_out_c6_lo0_1_scanout[11];
6191assign ff_l2d_decc_out_c6_hi0_1_scanin[11]=ff_l2d_decc_out_c6_lo0_3_scanout[11];
6192assign ff_l2d_decc_out_c6_hi0_3_scanin[11]=ff_l2d_decc_out_c6_hi0_1_scanout[11];
6193assign ff_l2d_decc_out_c6_lo1_1_scanin[11]=ff_l2d_decc_out_c6_hi0_3_scanout[11];
6194assign ff_l2d_decc_out_c6_lo1_3_scanin[11]=ff_l2d_decc_out_c6_lo1_1_scanout[11];
6195assign ff_l2d_decc_out_c6_hi1_1_scanin[11]=ff_l2d_decc_out_c6_lo1_3_scanout[11];
6196assign ff_l2d_decc_out_c6_hi1_3_scanin[11]=ff_l2d_decc_out_c6_hi1_1_scanout[11];
6197assign ff_l2d_decc_out_c6_lo0_1_scanin[12]=ff_l2d_decc_out_c6_hi1_3_scanout[11];
6198assign ff_l2d_decc_out_c6_lo0_3_scanin[12]=ff_l2d_decc_out_c6_lo0_1_scanout[12];
6199assign ff_l2d_decc_out_c6_hi0_1_scanin[12]=ff_l2d_decc_out_c6_lo0_3_scanout[12];
6200assign ff_l2d_decc_out_c6_hi0_3_scanin[12]=ff_l2d_decc_out_c6_hi0_1_scanout[12];
6201assign ff_l2d_decc_out_c6_lo1_1_scanin[12]=ff_l2d_decc_out_c6_hi0_3_scanout[12];
6202assign ff_l2d_decc_out_c6_lo1_3_scanin[12]=ff_l2d_decc_out_c6_lo1_1_scanout[12];
6203assign ff_l2d_decc_out_c6_hi1_1_scanin[12]=ff_l2d_decc_out_c6_lo1_3_scanout[12];
6204assign ff_l2d_decc_out_c6_hi1_3_scanin[12]=ff_l2d_decc_out_c6_hi1_1_scanout[12];
6205assign ff_l2d_decc_out_c6_lo0_1_scanin[13]=ff_l2d_decc_out_c6_hi1_3_scanout[12];
6206assign ff_l2d_decc_out_c6_lo0_3_scanin[13]=ff_l2d_decc_out_c6_lo0_1_scanout[13];
6207assign ff_l2d_decc_out_c6_hi0_1_scanin[13]=ff_l2d_decc_out_c6_lo0_3_scanout[13];
6208assign ff_l2d_decc_out_c6_hi0_3_scanin[13]=ff_l2d_decc_out_c6_hi0_1_scanout[13];
6209assign ff_l2d_decc_out_c6_lo1_1_scanin[13]=ff_l2d_decc_out_c6_hi0_3_scanout[13];
6210assign ff_l2d_decc_out_c6_lo1_3_scanin[13]=ff_l2d_decc_out_c6_lo1_1_scanout[13];
6211assign ff_l2d_decc_out_c6_hi1_1_scanin[13]=ff_l2d_decc_out_c6_lo1_3_scanout[13];
6212assign ff_l2d_decc_out_c6_hi1_3_scanin[13]=ff_l2d_decc_out_c6_hi1_1_scanout[13];
6213assign ff_l2d_decc_out_c6_lo0_1_scanin[14]=ff_l2d_decc_out_c6_hi1_3_scanout[13];
6214assign ff_l2d_decc_out_c6_lo0_3_scanin[14]=ff_l2d_decc_out_c6_lo0_1_scanout[14];
6215assign ff_l2d_decc_out_c6_hi0_1_scanin[14]=ff_l2d_decc_out_c6_lo0_3_scanout[14];
6216assign ff_l2d_decc_out_c6_hi0_3_scanin[14]=ff_l2d_decc_out_c6_hi0_1_scanout[14];
6217assign ff_l2d_decc_out_c6_lo1_1_scanin[14]=ff_l2d_decc_out_c6_hi0_3_scanout[14];
6218assign ff_l2d_decc_out_c6_lo1_3_scanin[14]=ff_l2d_decc_out_c6_lo1_1_scanout[14];
6219assign ff_l2d_decc_out_c6_hi1_1_scanin[14]=ff_l2d_decc_out_c6_lo1_3_scanout[14];
6220assign ff_l2d_decc_out_c6_hi1_3_scanin[14]=ff_l2d_decc_out_c6_hi1_1_scanout[14];
6221assign ff_l2d_decc_out_c6_lo0_1_scanin[15]=ff_l2d_decc_out_c6_hi1_3_scanout[14];
6222assign ff_l2d_decc_out_c6_lo0_3_scanin[15]=ff_l2d_decc_out_c6_lo0_1_scanout[15];
6223assign ff_l2d_decc_out_c6_hi0_1_scanin[15]=ff_l2d_decc_out_c6_lo0_3_scanout[15];
6224assign ff_l2d_decc_out_c6_hi0_3_scanin[15]=ff_l2d_decc_out_c6_hi0_1_scanout[15];
6225assign ff_l2d_decc_out_c6_lo1_1_scanin[15]=ff_l2d_decc_out_c6_hi0_3_scanout[15];
6226assign ff_l2d_decc_out_c6_lo1_3_scanin[15]=ff_l2d_decc_out_c6_lo1_1_scanout[15];
6227assign ff_l2d_decc_out_c6_hi1_1_scanin[15]=ff_l2d_decc_out_c6_lo1_3_scanout[15];
6228assign ff_l2d_decc_out_c6_hi1_3_scanin[15]=ff_l2d_decc_out_c6_hi1_1_scanout[15];
6229assign ff_l2d_decc_out_c6_lo0_1_scanin[16]=ff_l2d_decc_out_c6_hi1_3_scanout[15];
6230assign ff_l2d_decc_out_c6_lo0_3_scanin[16]=ff_l2d_decc_out_c6_lo0_1_scanout[16];
6231assign ff_l2d_decc_out_c6_hi0_1_scanin[16]=ff_l2d_decc_out_c6_lo0_3_scanout[16];
6232assign ff_l2d_decc_out_c6_hi0_3_scanin[16]=ff_l2d_decc_out_c6_hi0_1_scanout[16];
6233assign ff_l2d_decc_out_c6_lo1_1_scanin[16]=ff_l2d_decc_out_c6_hi0_3_scanout[16];
6234assign ff_l2d_decc_out_c6_lo1_3_scanin[16]=ff_l2d_decc_out_c6_lo1_1_scanout[16];
6235assign ff_l2d_decc_out_c6_hi1_1_scanin[16]=ff_l2d_decc_out_c6_lo1_3_scanout[16];
6236assign ff_l2d_decc_out_c6_hi1_3_scanin[16]=ff_l2d_decc_out_c6_hi1_1_scanout[16];
6237assign ff_l2d_decc_out_c6_lo0_1_scanin[17]=ff_l2d_decc_out_c6_hi1_3_scanout[16];
6238assign ff_l2d_decc_out_c6_lo0_3_scanin[17]=ff_l2d_decc_out_c6_lo0_1_scanout[17];
6239assign ff_l2d_decc_out_c6_hi0_1_scanin[17]=ff_l2d_decc_out_c6_lo0_3_scanout[17];
6240assign ff_l2d_decc_out_c6_hi0_3_scanin[17]=ff_l2d_decc_out_c6_hi0_1_scanout[17];
6241assign ff_l2d_decc_out_c6_lo1_1_scanin[17]=ff_l2d_decc_out_c6_hi0_3_scanout[17];
6242assign ff_l2d_decc_out_c6_lo1_3_scanin[17]=ff_l2d_decc_out_c6_lo1_1_scanout[17];
6243assign ff_l2d_decc_out_c6_hi1_1_scanin[17]=ff_l2d_decc_out_c6_lo1_3_scanout[17];
6244assign ff_l2d_decc_out_c6_hi1_3_scanin[17]=ff_l2d_decc_out_c6_hi1_1_scanout[17];
6245assign ff_l2d_decc_out_c6_lo0_1_scanin[18]=ff_l2d_decc_out_c6_hi1_3_scanout[17];
6246assign ff_l2d_decc_out_c6_lo0_3_scanin[18]=ff_l2d_decc_out_c6_lo0_1_scanout[18];
6247assign ff_l2d_decc_out_c6_hi0_1_scanin[18]=ff_l2d_decc_out_c6_lo0_3_scanout[18];
6248assign ff_l2d_decc_out_c6_hi0_3_scanin[18]=ff_l2d_decc_out_c6_hi0_1_scanout[18];
6249assign ff_l2d_decc_out_c6_lo1_1_scanin[18]=ff_l2d_decc_out_c6_hi0_3_scanout[18];
6250assign ff_l2d_decc_out_c6_lo1_3_scanin[18]=ff_l2d_decc_out_c6_lo1_1_scanout[18];
6251assign ff_l2d_decc_out_c6_hi1_1_scanin[18]=ff_l2d_decc_out_c6_lo1_3_scanout[18];
6252assign ff_l2d_decc_out_c6_hi1_3_scanin[18]=ff_l2d_decc_out_c6_hi1_1_scanout[18];
6253assign ff_l2d_decc_out_c6_lo0_1_scanin[19]=ff_l2d_decc_out_c6_hi1_3_scanout[18];
6254assign ff_l2d_decc_out_c6_lo0_3_scanin[19]=ff_l2d_decc_out_c6_lo0_1_scanout[19];
6255assign ff_l2d_decc_out_c6_hi0_1_scanin[19]=ff_l2d_decc_out_c6_lo0_3_scanout[19];
6256assign ff_l2d_decc_out_c6_hi0_3_scanin[19]=ff_l2d_decc_out_c6_hi0_1_scanout[19];
6257assign scan_out=ff_l2d_decc_out_c6_hi0_3_scanout[19];
6258// fixscan end
6259endmodule
6260
6261
6262
6263
6264
6265
6266// any PARAMS parms go into naming of macro
6267
6268module n2_l2d_ctrlio_cust_l1clkhdr_ctl_macro (
6269 l2clk,
6270 l1en,
6271 pce_ov,
6272 stop,
6273 se,
6274 l1clk);
6275
6276
6277 input l2clk;
6278 input l1en;
6279 input pce_ov;
6280 input stop;
6281 input se;
6282 output l1clk;
6283
6284
6285
6286
6287
6288cl_sc1_l1hdr_8x c_0 (
6289
6290
6291 .l2clk(l2clk),
6292 .pce(l1en),
6293 .l1clk(l1clk),
6294 .se(se),
6295 .pce_ov(pce_ov),
6296 .stop(stop)
6297);
6298
6299
6300
6301endmodule
6302
6303
6304
6305
6306
6307
6308
6309
6310
6311
6312
6313
6314
6315// any PARAMS parms go into naming of macro
6316
6317module n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_9 (
6318 din,
6319 l1clk,
6320 scan_in,
6321 siclk,
6322 soclk,
6323 dout,
6324 scan_out);
6325wire [8:0] fdin;
6326
6327 input [8:0] din;
6328 input l1clk;
6329 input [8:0] scan_in;
6330
6331
6332 input siclk;
6333 input soclk;
6334
6335 output [8:0] dout;
6336 output [8:0] scan_out;
6337assign fdin[8:0] = din[8:0];
6338
6339
6340
6341
6342
6343
6344dff #(9) d0_0 (
6345.l1clk(l1clk),
6346.siclk(siclk),
6347.soclk(soclk),
6348.d(fdin[8:0]),
6349.si(scan_in[8:0]),
6350.so(scan_out[8:0]),
6351.q(dout[8:0])
6352);
6353
6354
6355
6356
6357
6358
6359
6360
6361
6362
6363
6364
6365endmodule
6366
6367
6368
6369
6370
6371
6372
6373
6374
6375
6376
6377
6378
6379// any PARAMS parms go into naming of macro
6380
6381module n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_4 (
6382 din,
6383 l1clk,
6384 scan_in,
6385 siclk,
6386 soclk,
6387 dout,
6388 scan_out);
6389wire [3:0] fdin;
6390
6391 input [3:0] din;
6392 input l1clk;
6393 input [3:0] scan_in;
6394
6395
6396 input siclk;
6397 input soclk;
6398
6399 output [3:0] dout;
6400 output [3:0] scan_out;
6401assign fdin[3:0] = din[3:0];
6402
6403
6404
6405
6406
6407
6408dff #(4) d0_0 (
6409.l1clk(l1clk),
6410.siclk(siclk),
6411.soclk(soclk),
6412.d(fdin[3:0]),
6413.si(scan_in[3:0]),
6414.so(scan_out[3:0]),
6415.q(dout[3:0])
6416);
6417
6418
6419
6420
6421
6422
6423
6424
6425
6426
6427
6428
6429endmodule
6430
6431
6432
6433
6434
6435
6436
6437
6438
6439
6440
6441
6442
6443// any PARAMS parms go into naming of macro
6444
6445module n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_2 (
6446 din,
6447 l1clk,
6448 scan_in,
6449 siclk,
6450 soclk,
6451 dout,
6452 scan_out);
6453wire [1:0] fdin;
6454
6455 input [1:0] din;
6456 input l1clk;
6457 input [1:0] scan_in;
6458
6459
6460 input siclk;
6461 input soclk;
6462
6463 output [1:0] dout;
6464 output [1:0] scan_out;
6465assign fdin[1:0] = din[1:0];
6466
6467
6468
6469
6470
6471
6472dff #(2) d0_0 (
6473.l1clk(l1clk),
6474.siclk(siclk),
6475.soclk(soclk),
6476.d(fdin[1:0]),
6477.si(scan_in[1:0]),
6478.so(scan_out[1:0]),
6479.q(dout[1:0])
6480);
6481
6482
6483
6484
6485
6486
6487
6488
6489
6490
6491
6492
6493endmodule
6494
6495
6496
6497
6498
6499
6500
6501
6502
6503//
6504// or macro for ports = 2,3
6505//
6506//
6507
6508
6509
6510
6511
6512module n2_l2d_ctrlio_cust_or_macro__width_1 (
6513 din0,
6514 din1,
6515 dout);
6516 input [0:0] din0;
6517 input [0:0] din1;
6518 output [0:0] dout;
6519
6520
6521
6522
6523
6524
6525or2 #(1) d0_0 (
6526.in0(din0[0:0]),
6527.in1(din1[0:0]),
6528.out(dout[0:0])
6529);
6530
6531
6532
6533
6534
6535
6536
6537
6538
6539endmodule
6540
6541
6542
6543
6544
6545
6546
6547
6548
6549// any PARAMS parms go into naming of macro
6550
6551module n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 (
6552 din,
6553 l1clk,
6554 scan_in,
6555 siclk,
6556 soclk,
6557 dout,
6558 scan_out);
6559wire [0:0] fdin;
6560
6561 input [0:0] din;
6562 input l1clk;
6563 input [0:0] scan_in;
6564
6565
6566 input siclk;
6567 input soclk;
6568
6569 output [0:0] dout;
6570 output [0:0] scan_out;
6571assign fdin[0:0] = din[0:0];
6572
6573
6574
6575
6576
6577
6578dff #(1) d0_0 (
6579.l1clk(l1clk),
6580.siclk(siclk),
6581.soclk(soclk),
6582.d(fdin[0:0]),
6583.si(scan_in[0:0]),
6584.so(scan_out[0:0]),
6585.q(dout[0:0])
6586);
6587
6588
6589
6590
6591
6592
6593
6594
6595
6596
6597
6598
6599endmodule
6600
6601
6602
6603
6604
6605
6606
6607
6608
6609//
6610// and macro for ports = 2,3,4
6611//
6612//
6613
6614
6615
6616
6617
6618module n2_l2d_ctrlio_cust_and_macro__ports_4__width_1 (
6619 din0,
6620 din1,
6621 din2,
6622 din3,
6623 dout);
6624 input [0:0] din0;
6625 input [0:0] din1;
6626 input [0:0] din2;
6627 input [0:0] din3;
6628 output [0:0] dout;
6629
6630
6631
6632
6633
6634
6635and4 #(1) d0_0 (
6636.in0(din0[0:0]),
6637.in1(din1[0:0]),
6638.in2(din2[0:0]),
6639.in3(din3[0:0]),
6640.out(dout[0:0])
6641);
6642
6643
6644
6645
6646
6647
6648
6649
6650
6651endmodule
6652
6653
6654
6655
6656
6657//
6658// invert macro
6659//
6660//
6661
6662
6663
6664
6665
6666module n2_l2d_ctrlio_cust_inv_macro__width_1 (
6667 din,
6668 dout);
6669 input [0:0] din;
6670 output [0:0] dout;
6671
6672
6673
6674
6675
6676
6677inv #(1) d0_0 (
6678.in(din[0:0]),
6679.out(dout[0:0])
6680);
6681
6682
6683
6684
6685
6686
6687
6688
6689
6690endmodule
6691
6692
6693
6694
6695
6696//
6697// and macro for ports = 2,3,4
6698//
6699//
6700
6701
6702
6703
6704
6705module n2_l2d_ctrlio_cust_and_macro__width_1 (
6706 din0,
6707 din1,
6708 dout);
6709 input [0:0] din0;
6710 input [0:0] din1;
6711 output [0:0] dout;
6712
6713
6714
6715
6716
6717
6718and2 #(1) d0_0 (
6719.in0(din0[0:0]),
6720.in1(din1[0:0]),
6721.out(dout[0:0])
6722);
6723
6724
6725
6726
6727
6728
6729
6730
6731
6732endmodule
6733
6734
6735
6736
6737
6738//
6739// invert macro
6740//
6741//
6742
6743
6744
6745
6746
6747module n2_l2d_ctrlio_cust_inv_macro__width_8 (
6748 din,
6749 dout);
6750 input [7:0] din;
6751 output [7:0] dout;
6752
6753
6754
6755
6756
6757
6758inv #(8) d0_0 (
6759.in(din[7:0]),
6760.out(dout[7:0])
6761);
6762
6763
6764
6765
6766
6767
6768
6769
6770
6771endmodule
6772
6773
6774
6775
6776
6777//
6778// or macro for ports = 2,3
6779//
6780//
6781
6782
6783
6784
6785
6786module n2_l2d_ctrlio_cust_or_macro__width_8 (
6787 din0,
6788 din1,
6789 dout);
6790 input [7:0] din0;
6791 input [7:0] din1;
6792 output [7:0] dout;
6793
6794
6795
6796
6797
6798
6799or2 #(8) d0_0 (
6800.in0(din0[7:0]),
6801.in1(din1[7:0]),
6802.out(dout[7:0])
6803);
6804
6805
6806
6807
6808
6809
6810
6811
6812
6813endmodule
6814
6815
6816
6817
6818
6819//
6820// invert macro
6821//
6822//
6823
6824
6825
6826
6827
6828module n2_l2d_ctrlio_cust_inv_macro__width_4 (
6829 din,
6830 dout);
6831 input [3:0] din;
6832 output [3:0] dout;
6833
6834
6835
6836
6837
6838
6839inv #(4) d0_0 (
6840.in(din[3:0]),
6841.out(dout[3:0])
6842);
6843
6844
6845
6846
6847
6848
6849
6850
6851
6852endmodule
6853
6854
6855
6856
6857
6858//
6859// or macro for ports = 2,3
6860//
6861//
6862
6863
6864
6865
6866
6867module n2_l2d_ctrlio_cust_or_macro__width_4 (
6868 din0,
6869 din1,
6870 dout);
6871 input [3:0] din0;
6872 input [3:0] din1;
6873 output [3:0] dout;
6874
6875
6876
6877
6878
6879
6880or2 #(4) d0_0 (
6881.in0(din0[3:0]),
6882.in1(din1[3:0]),
6883.out(dout[3:0])
6884);
6885
6886
6887
6888
6889
6890
6891
6892
6893
6894endmodule
6895
6896
6897
6898
6899
6900//
6901// invert macro
6902//
6903//
6904
6905
6906
6907
6908
6909module n2_l2d_ctrlio_cust_inv_macro__width_2 (
6910 din,
6911 dout);
6912 input [1:0] din;
6913 output [1:0] dout;
6914
6915
6916
6917
6918
6919
6920inv #(2) d0_0 (
6921.in(din[1:0]),
6922.out(dout[1:0])
6923);
6924
6925
6926
6927
6928
6929
6930
6931
6932
6933endmodule
6934
6935
6936
6937
6938
6939//
6940// and macro for ports = 2,3,4
6941//
6942//
6943
6944
6945
6946
6947
6948module n2_l2d_ctrlio_cust_and_macro__width_2 (
6949 din0,
6950 din1,
6951 dout);
6952 input [1:0] din0;
6953 input [1:0] din1;
6954 output [1:0] dout;
6955
6956
6957
6958
6959
6960
6961and2 #(2) d0_0 (
6962.in0(din0[1:0]),
6963.in1(din1[1:0]),
6964.out(dout[1:0])
6965);
6966
6967
6968
6969
6970
6971
6972
6973
6974
6975endmodule
6976
6977
6978
6979
6980
6981//
6982// and macro for ports = 2,3,4
6983//
6984//
6985
6986
6987
6988
6989
6990module n2_l2d_ctrlio_cust_and_macro__width_4 (
6991 din0,
6992 din1,
6993 dout);
6994 input [3:0] din0;
6995 input [3:0] din1;
6996 output [3:0] dout;
6997
6998
6999
7000
7001
7002
7003and2 #(4) d0_0 (
7004.in0(din0[3:0]),
7005.in1(din1[3:0]),
7006.out(dout[3:0])
7007);
7008
7009
7010
7011
7012
7013
7014
7015
7016
7017endmodule
7018
7019
7020
7021
7022
7023//
7024// and macro for ports = 2,3,4
7025//
7026//
7027
7028
7029
7030
7031
7032module n2_l2d_ctrlio_cust_and_macro__width_8 (
7033 din0,
7034 din1,
7035 dout);
7036 input [7:0] din0;
7037 input [7:0] din1;
7038 output [7:0] dout;
7039
7040
7041
7042
7043
7044
7045and2 #(8) d0_0 (
7046.in0(din0[7:0]),
7047.in1(din1[7:0]),
7048.out(dout[7:0])
7049);
7050
7051
7052
7053
7054
7055
7056
7057
7058
7059endmodule
7060
7061
7062
7063
7064
7065
7066
7067
7068
7069// any PARAMS parms go into naming of macro
7070
7071module n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_16 (
7072 din,
7073 l1clk,
7074 scan_in,
7075 siclk,
7076 soclk,
7077 dout,
7078 scan_out);
7079wire [15:0] fdin;
7080
7081 input [15:0] din;
7082 input l1clk;
7083 input [15:0] scan_in;
7084
7085
7086 input siclk;
7087 input soclk;
7088
7089 output [15:0] dout;
7090 output [15:0] scan_out;
7091assign fdin[15:0] = din[15:0];
7092
7093
7094
7095
7096
7097
7098dff #(16) d0_0 (
7099.l1clk(l1clk),
7100.siclk(siclk),
7101.soclk(soclk),
7102.d(fdin[15:0]),
7103.si(scan_in[15:0]),
7104.so(scan_out[15:0]),
7105.q(dout[15:0])
7106);
7107
7108
7109
7110
7111
7112
7113
7114
7115
7116
7117
7118
7119endmodule
7120
7121
7122
7123
7124
7125
7126
7127
7128
7129
7130
7131
7132
7133// any PARAMS parms go into naming of macro
7134
7135module n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_78 (
7136 din,
7137 l1clk,
7138 scan_in,
7139 siclk,
7140 soclk,
7141 dout,
7142 scan_out);
7143wire [77:0] fdin;
7144
7145 input [77:0] din;
7146 input l1clk;
7147 input [77:0] scan_in;
7148
7149
7150 input siclk;
7151 input soclk;
7152
7153 output [77:0] dout;
7154 output [77:0] scan_out;
7155assign fdin[77:0] = din[77:0];
7156
7157
7158
7159
7160
7161
7162dff #(78) d0_0 (
7163.l1clk(l1clk),
7164.siclk(siclk),
7165.soclk(soclk),
7166.d(fdin[77:0]),
7167.si(scan_in[77:0]),
7168.so(scan_out[77:0]),
7169.q(dout[77:0])
7170);
7171
7172
7173
7174
7175
7176
7177
7178
7179
7180
7181
7182
7183endmodule
7184
7185
7186
7187
7188
7189
7190
7191
7192
7193// general mux macro for pass-gate and and-or muxes with/wout priority encoders
7194// also for pass-gate with decoder
7195
7196
7197
7198
7199
7200// any PARAMS parms go into naming of macro
7201
7202module n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_39 (
7203 din0,
7204 sel0,
7205 din1,
7206 sel1,
7207 dout);
7208wire buffout0;
7209wire buffout1;
7210
7211 input [38:0] din0;
7212 input sel0;
7213 input [38:0] din1;
7214 input sel1;
7215 output [38:0] dout;
7216
7217
7218
7219
7220
7221cl_dp1_muxbuff2_8x c0_0 (
7222 .in0(sel0),
7223 .in1(sel1),
7224 .out0(buffout0),
7225 .out1(buffout1)
7226);
7227mux2s #(39) d0_0 (
7228 .sel0(buffout0),
7229 .sel1(buffout1),
7230 .in0(din0[38:0]),
7231 .in1(din1[38:0]),
7232.dout(dout[38:0])
7233);
7234
7235
7236
7237
7238
7239
7240
7241
7242
7243
7244
7245
7246
7247endmodule
7248
7249
7250//
7251// macro for cl_mc1_tisram_blbi_8x flop
7252//
7253//
7254
7255
7256
7257
7258
7259module n2_l2d_ctrlio_cust_tisram_blbi_macro__width_624 (
7260 d_a,
7261 l1clk,
7262 q_b_l);
7263input [623:0] d_a;
7264input l1clk;
7265output [623:0] q_b_l;
7266
7267
7268
7269
7270
7271
7272tisram_blb_inv #(624) d0_0 (
7273.d(d_a[623:0]),
7274.l1clk(l1clk),
7275.latout_l(q_b_l[623:0])
7276);
7277
7278
7279
7280
7281
7282
7283
7284
7285
7286
7287//place::generic_place($width,$stack,$left);
7288
7289endmodule
7290
7291
7292
7293
7294
7295
7296
7297
7298
7299// any PARAMS parms go into naming of macro
7300
7301module n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_39 (
7302 din,
7303 l1clk,
7304 scan_in,
7305 siclk,
7306 soclk,
7307 dout,
7308 scan_out);
7309wire [38:0] fdin;
7310
7311 input [38:0] din;
7312 input l1clk;
7313 input [38:0] scan_in;
7314
7315
7316 input siclk;
7317 input soclk;
7318
7319 output [38:0] dout;
7320 output [38:0] scan_out;
7321assign fdin[38:0] = din[38:0];
7322
7323
7324
7325
7326
7327
7328dff #(39) d0_0 (
7329.l1clk(l1clk),
7330.siclk(siclk),
7331.soclk(soclk),
7332.d(fdin[38:0]),
7333.si(scan_in[38:0]),
7334.so(scan_out[38:0]),
7335.q(dout[38:0])
7336);
7337
7338
7339
7340
7341
7342
7343
7344
7345
7346
7347
7348
7349endmodule
7350
7351
7352
7353
7354
7355
7356
7357
7358
7359//
7360// macro for cl_mc1_tisram_bla_{4}x flops
7361//
7362//
7363
7364
7365
7366
7367
7368module n2_l2d_ctrlio_cust_tisram_bla_macro__width_156 (
7369 d_b,
7370 l1clk,
7371 q_a);
7372input [155:0] d_b;
7373input l1clk;
7374output [155:0] q_a;
7375
7376
7377
7378
7379
7380
7381tisram_bla #(156) d0_0 (
7382.d_b(d_b[155:0]),
7383.l1clk(l1clk),
7384.q_a(q_a[155:0])
7385);
7386
7387
7388
7389
7390
7391
7392
7393
7394
7395
7396//place::generic_place($width,$stack,$left);
7397
7398endmodule
7399
7400
7401
7402
7403
7404// general mux macro for pass-gate and and-or muxes with/wout priority encoders
7405// also for pass-gate with decoder
7406
7407
7408
7409
7410
7411// any PARAMS parms go into naming of macro
7412
7413module n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__stack_156c__width_156 (
7414 din0,
7415 sel0,
7416 din1,
7417 sel1,
7418 dout);
7419wire buffout0;
7420wire buffout1;
7421
7422 input [155:0] din0;
7423 input sel0;
7424 input [155:0] din1;
7425 input sel1;
7426 output [155:0] dout;
7427
7428
7429
7430
7431
7432cl_dp1_muxbuff2_8x c0_0 (
7433 .in0(sel0),
7434 .in1(sel1),
7435 .out0(buffout0),
7436 .out1(buffout1)
7437);
7438mux2s #(156) d0_0 (
7439 .sel0(buffout0),
7440 .sel1(buffout1),
7441 .in0(din0[155:0]),
7442 .in1(din1[155:0]),
7443.dout(dout[155:0])
7444);
7445
7446
7447
7448
7449
7450
7451
7452
7453
7454
7455
7456
7457
7458endmodule
7459
7460
7461//
7462// comparator macro (output is 1 if both inputs are equal; 0 otherwise)
7463//
7464//
7465
7466
7467
7468
7469
7470module n2_l2d_ctrlio_cust_cmp_macro__width_4 (
7471 din0,
7472 din1,
7473 dout);
7474 input [3:0] din0;
7475 input [3:0] din1;
7476 output dout;
7477
7478
7479
7480
7481
7482
7483cmp #(4) m0_0 (
7484.in0(din0[3:0]),
7485.in1(din1[3:0]),
7486.out(dout)
7487);
7488
7489
7490
7491
7492
7493
7494
7495
7496
7497
7498endmodule
7499
7500
7501
7502
7503
7504//
7505// nor macro for ports = 2,3
7506//
7507//
7508
7509
7510
7511
7512
7513module n2_l2d_ctrlio_cust_nor_macro__width_1 (
7514 din0,
7515 din1,
7516 dout);
7517 input [0:0] din0;
7518 input [0:0] din1;
7519 output [0:0] dout;
7520
7521
7522
7523
7524
7525
7526nor2 #(1) d0_0 (
7527.in0(din0[0:0]),
7528.in1(din1[0:0]),
7529.out(dout[0:0])
7530);
7531
7532
7533
7534
7535
7536
7537
7538endmodule
7539
7540
7541
7542
7543
7544// general mux macro for pass-gate and and-or muxes with/wout priority encoders
7545// also for pass-gate with decoder
7546
7547
7548
7549
7550
7551// any PARAMS parms go into naming of macro
7552
7553module n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_3__width_10 (
7554 din0,
7555 sel0,
7556 din1,
7557 sel1,
7558 din2,
7559 sel2,
7560 dout);
7561wire buffout0;
7562wire buffout1;
7563wire buffout2;
7564
7565 input [9:0] din0;
7566 input sel0;
7567 input [9:0] din1;
7568 input sel1;
7569 input [9:0] din2;
7570 input sel2;
7571 output [9:0] dout;
7572
7573
7574
7575
7576
7577cl_dp1_muxbuff3_8x c0_0 (
7578 .in0(sel0),
7579 .in1(sel1),
7580 .in2(sel2),
7581 .out0(buffout0),
7582 .out1(buffout1),
7583 .out2(buffout2)
7584);
7585mux3s #(10) d0_0 (
7586 .sel0(buffout0),
7587 .sel1(buffout1),
7588 .sel2(buffout2),
7589 .in0(din0[9:0]),
7590 .in1(din1[9:0]),
7591 .in2(din2[9:0]),
7592.dout(dout[9:0])
7593);
7594
7595
7596
7597
7598
7599
7600
7601
7602
7603
7604
7605
7606
7607endmodule
7608
7609
7610// general mux macro for pass-gate and and-or muxes with/wout priority encoders
7611// also for pass-gate with decoder
7612
7613
7614
7615
7616
7617// any PARAMS parms go into naming of macro
7618
7619module n2_l2d_ctrlio_cust_mux_macro__mux_aonpe__ports_2__width_10 (
7620 din0,
7621 sel0,
7622 din1,
7623 sel1,
7624 dout);
7625wire buffout0;
7626wire buffout1;
7627
7628 input [9:0] din0;
7629 input sel0;
7630 input [9:0] din1;
7631 input sel1;
7632 output [9:0] dout;
7633
7634
7635
7636
7637
7638cl_dp1_muxbuff2_8x c0_0 (
7639 .in0(sel0),
7640 .in1(sel1),
7641 .out0(buffout0),
7642 .out1(buffout1)
7643);
7644mux2s #(10) d0_0 (
7645 .sel0(buffout0),
7646 .sel1(buffout1),
7647 .in0(din0[9:0]),
7648 .in1(din1[9:0]),
7649.dout(dout[9:0])
7650);
7651
7652
7653
7654
7655
7656
7657
7658
7659
7660
7661
7662
7663
7664endmodule
7665
7666
7667
7668module n2_l2d_tstmod_cust (
7669 rd_wr_c3,
7670 wayerr_c3,
7671 wr_inhibit,
7672 coloff_c3,
7673 l2clk,
7674 scanen,
7675 si,
7676 siclk,
7677 soclk,
7678 so,
7679 delout20_rgt,
7680 delout31_lft,
7681 delout31_rgt,
7682 delout20_lft) ;
7683wire not_wayerr_c3;
7684wire coloff_c3_1_3;
7685wire coloff_c3_2_0;
7686wire [1:0] msff_read_c4_scanin;
7687wire [1:0] msff_read_c4_scanout;
7688wire msff_buf_out_top_scanin;
7689wire msff_buf_out_top_scanout;
7690wire msff_buf_out_bot_scanin;
7691wire msff_buf_out_bot_scanout;
7692wire [2:0] msff_buf_out_corse_scanin;
7693wire [2:0] msff_buf_out_corse_scanout;
7694wire [2:0] msff_buf_out_fine_scanin;
7695wire [2:0] msff_buf_out_fine_scanout;
7696wire wr_inhibit_n;
7697wire [2:0] ff_buf_out_corse_n;
7698wire [2:0] ff_buf_out_fine_n;
7699
7700//-----------------------------------------------------------
7701// I/O declarations
7702//-----------------------------------------------------------
7703input rd_wr_c3; //
7704input wayerr_c3; // added 9/21/2005
7705input wr_inhibit; //
7706// coloff_c3<3:0>,
7707input [3:0] coloff_c3; //
7708input l2clk; //
7709input scanen; //
7710input si; //
7711input siclk; //
7712input soclk; //
7713
7714output so; //
7715output delout20_rgt; //
7716output delout31_lft; //
7717output delout31_rgt; //
7718output delout20_lft; //
7719
7720
7721//-----------------------------------------------------------------------------
7722// Wire/reg declarations
7723//-----------------------------------------------------------------------------
7724
7725// connecting between n2_l2d_tstmod_logic & n2_l2d_tstmod_delay_cust
7726wire l1clk;
7727wire tst_bnken31_setb;
7728wire tst_bnken02_setb;
7729wire tst_bnken31_rstb;
7730wire tst_bnken02_rstb;
7731wire tst_bnken31_rstb_n;
7732wire tst_bnken02_rstb_n;
7733//wire so_internal;
7734wire tstmod_rst_l;
7735wire [5:0] corse_sel;
7736wire [7:0] fine_sel;
7737
7738
7739wire [1:0] tst_read_c3a;
7740//wire [1:0] tst_read_c3b;
7741//wire [3:0] tst_read_c4;
7742//wire [3:0] tst_so;
7743wire [1:0] tst_so;
7744wire [2:0] tst_so_corse;
7745wire [2:0] tst_so_fine;
7746wire tst_so_en0;
7747
7748wire ff_buf_out_top;
7749wire [2:0] ff_buf_out_corse;
7750wire [2:0] ff_buf_out_fine;
7751wire ff_buf_out_bot;
7752
7753
7754// start n2_l2d_tstmod_logic
7755
7756
7757n2_l2d_ctrlio_cust_l1clkhdr_ctl_macro l1_clk_hdr (
7758 .l2clk (l2clk),
7759 .se (scanen),
7760 .l1en (1'b1),
7761 .pce_ov (1'b1),
7762 .stop (1'b0),
7763 .l1clk (l1clk)
7764 );
7765
7766
7767
7768//assign tst_read_c3a[1] = (~wayerr_c3 & rd_wr_c3 & (coloff_c3[3] | coloff_c3[1]));
7769//assign tst_read_c3a[0] = (~wayerr_c3 & rd_wr_c3 & (coloff_c3[2] | coloff_c3[0]));
7770
7771
7772n2_l2d_ctrlio_cust_inv_macro__width_1 inv_wayerr_c3
7773 (
7774 .dout (not_wayerr_c3),
7775 .din (wayerr_c3)
7776 );
7777
7778n2_l2d_ctrlio_cust_or_macro__width_1 or_coloff_c3_1_3
7779 (
7780 .din0 (coloff_c3[1]),
7781 .din1 (coloff_c3[3]),
7782 .dout (coloff_c3_1_3)
7783 );
7784
7785
7786n2_l2d_ctrlio_cust_or_macro__width_1 or_coloff_c3_2_3
7787 (
7788 .din0 (coloff_c3[2]),
7789 .din1 (coloff_c3[0]),
7790 .dout (coloff_c3_2_0)
7791 );
7792
7793n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_tst_read_c3a_1
7794 (
7795 .dout (tst_read_c3a[1]),
7796 .din0 (not_wayerr_c3),
7797 .din1 (coloff_c3_1_3),
7798 .din2 (rd_wr_c3)
7799 );
7800
7801n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_tst_read_c3a_0
7802 (
7803 .dout (tst_read_c3a[0]),
7804 .din0 (not_wayerr_c3),
7805 .din1 (coloff_c3_2_0),
7806 .din2 (rd_wr_c3)
7807 );
7808
7809n2_l2d_ctrlio_cust_tisram_blb_macro__dmsff_4x__width_1 blb_read_c3_1
7810 (
7811 .l1clk (l1clk),
7812 .d_a (tst_read_c3a[1]),
7813 .q_b (tst_bnken31_setb)
7814 );
7815n2_l2d_ctrlio_cust_tisram_blb_macro__dmsff_4x__width_1 blb_read_c3_0
7816 (
7817 .l1clk (l1clk),
7818 .d_a (tst_read_c3a[0]),
7819 .q_b (tst_bnken02_setb)
7820 );
7821
7822//initialize
7823//assign tst_read_c4[3:0] = 4'b0;
7824
7825n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_2 msff_read_c4
7826 (
7827 .scan_in (msff_read_c4_scanin[1:0]),
7828 .scan_out (msff_read_c4_scanout[1:0]),
7829 .din ( tst_read_c3a[1:0] & {~tst_bnken31_rstb_n,~tst_bnken02_rstb_n} ),
7830 .l1clk ( l1clk ),
7831 .dout ( {tst_bnken31_rstb_n,tst_bnken02_rstb_n} ),
7832 .siclk(siclk),
7833 .soclk(soclk)
7834);
7835
7836
7837//assign tst_bnken31_rstb = ~tst_bnken31_rstb_n;
7838//assign tst_bnken02_rstb = ~tst_bnken02_rstb_n;
7839
7840n2_l2d_ctrlio_cust_inv_macro__width_1 inv_tst_bnken31_rstb
7841 (
7842 .dout (tst_bnken31_rstb),
7843 .din (tst_bnken31_rstb_n)
7844 );
7845
7846n2_l2d_ctrlio_cust_inv_macro__width_1 inv_tst_bnken02_rstb
7847 (
7848 .dout (tst_bnken02_rstb),
7849 .din (tst_bnken02_rstb_n)
7850 );
7851
7852n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 msff_buf_out_top
7853 (
7854 .scan_in (msff_buf_out_top_scanin),
7855 .scan_out (msff_buf_out_top_scanout),
7856 .din ( ff_buf_out_top ),
7857 .l1clk ( l1clk ),
7858 .dout ( ff_buf_out_top ),
7859 .siclk(siclk),
7860 .soclk(soclk)
7861);
7862
7863n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_1 msff_buf_out_bot
7864 (
7865 .scan_in (msff_buf_out_bot_scanin),
7866 .scan_out (msff_buf_out_bot_scanout),
7867 .din ( ff_buf_out_bot ),
7868 .l1clk ( l1clk ),
7869 .dout ( ff_buf_out_bot ),
7870 .siclk(siclk),
7871 .soclk(soclk)
7872);
7873
7874
7875n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_3 msff_buf_out_corse
7876 (
7877 .scan_in (msff_buf_out_corse_scanin[2:0]),
7878 .scan_out (msff_buf_out_corse_scanout[2:0]),
7879 .din ( ff_buf_out_corse[2:0] ),
7880 .l1clk ( l1clk ),
7881 .dout ( ff_buf_out_corse[2:0] ),
7882 .siclk(siclk),
7883 .soclk(soclk)
7884);
7885
7886n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_3 msff_buf_out_fine
7887 (
7888 .scan_in (msff_buf_out_fine_scanin[2:0]),
7889 .scan_out (msff_buf_out_fine_scanout[2:0]),
7890 .din ( ff_buf_out_fine[2:0] ),
7891 .l1clk ( l1clk ),
7892 .dout ( ff_buf_out_fine[2:0] ),
7893 .siclk(siclk),
7894 .soclk(soclk)
7895);
7896
7897//assign tstmod_rst_l = ff_buf_out_top & ff_buf_out_bot & ~wr_inhibit;
7898
7899
7900n2_l2d_ctrlio_cust_inv_macro__width_1 inv_wr_inhibit
7901 (
7902 .dout (wr_inhibit_n),
7903 .din (wr_inhibit)
7904 );
7905
7906n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_tstmod_rst_l
7907 (
7908 .dout (tstmod_rst_l),
7909 .din0 (ff_buf_out_top),
7910 .din1 (ff_buf_out_bot),
7911 .din2 (wr_inhibit_n)
7912 );
7913
7914
7915n2_l2d_ctrlio_cust_inv_macro__width_3 inv_ff_buf_out_corse_012
7916 (
7917 .dout (ff_buf_out_corse_n[2:0]),
7918 .din (ff_buf_out_corse[2:0])
7919 );
7920
7921
7922
7923
7924
7925//decoding: 3-to-8. 2/3, 1/0 swapped
7926//assign corse_sel[5] = ff_buf_out_corse[2] & ~ff_buf_out_corse[1] & ~ff_buf_out_corse[0];
7927
7928n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_corse_sel_5
7929 (
7930 .dout (corse_sel[5]),
7931 .din0 (ff_buf_out_corse[2]),
7932 .din1 (ff_buf_out_corse_n[1]),
7933 .din2 (ff_buf_out_corse_n[0])
7934 );
7935
7936//assign corse_sel[4] = ff_buf_out_corse[2] & ~ff_buf_out_corse[1] & ff_buf_out_corse[0];
7937
7938n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_corse_sel_4
7939 (
7940 .dout (corse_sel[4]),
7941 .din0 (ff_buf_out_corse[2]),
7942 .din1 (ff_buf_out_corse_n[1]),
7943 .din2 (ff_buf_out_corse[0])
7944 );
7945
7946//assign corse_sel[3] = ~ff_buf_out_corse[2] & ff_buf_out_corse[1] & ~ff_buf_out_corse[0];
7947
7948n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_corse_sel_3
7949 (
7950 .dout (corse_sel[3]),
7951 .din0 (ff_buf_out_corse_n[2]),
7952 .din1 (ff_buf_out_corse[1]),
7953 .din2 (ff_buf_out_corse_n[0])
7954 );
7955
7956//assign corse_sel[2] = ~ff_buf_out_corse[2] & ff_buf_out_corse[1] & ff_buf_out_corse[0];
7957
7958n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_corse_sel_2
7959 (
7960 .dout (corse_sel[2]),
7961 .din0 (ff_buf_out_corse_n[2]),
7962 .din1 (ff_buf_out_corse[1]),
7963 .din2 (ff_buf_out_corse[0])
7964 );
7965
7966//assign corse_sel[1] = ~ff_buf_out_corse[2] & ~ff_buf_out_corse[1] & ~ff_buf_out_corse[0];
7967
7968n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_corse_sel_1
7969 (
7970 .dout (corse_sel[1]),
7971 .din0 (ff_buf_out_corse_n[2]),
7972 .din1 (ff_buf_out_corse_n[1]),
7973 .din2 (ff_buf_out_corse_n[0])
7974 );
7975
7976//assign corse_sel[0] = ~ff_buf_out_corse[2] & ~ff_buf_out_corse[1] & ff_buf_out_corse[0];
7977
7978n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_corse_sel_0
7979 (
7980 .dout (corse_sel[0]),
7981 .din0 (ff_buf_out_corse_n[2]),
7982 .din1 (ff_buf_out_corse_n[1]),
7983 .din2 (ff_buf_out_corse[0])
7984 );
7985
7986n2_l2d_ctrlio_cust_inv_macro__width_3 inv_ff_buf_out_fine_n
7987 (
7988 .dout (ff_buf_out_fine_n[2:0]),
7989 .din (ff_buf_out_fine[2:0])
7990 );
7991
7992
7993//assign fine_sel[7] = ff_buf_out_fine[2] & ff_buf_out_fine[1] & ~ff_buf_out_fine[0];
7994
7995n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_fine_sel_7
7996 (
7997 .dout (fine_sel[7]),
7998 .din0 (ff_buf_out_fine[2]),
7999 .din1 (ff_buf_out_fine[1]),
8000 .din2 (ff_buf_out_fine_n[0])
8001 );
8002
8003//assign fine_sel[6] = ff_buf_out_fine[2] & ff_buf_out_fine[1] & ff_buf_out_fine[0];
8004
8005
8006n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_fine_sel_6
8007 (
8008 .dout (fine_sel[6]),
8009 .din0 (ff_buf_out_fine[2]),
8010 .din1 (ff_buf_out_fine[1]),
8011 .din2 (ff_buf_out_fine[0])
8012 );
8013
8014//assign fine_sel[5] = ff_buf_out_fine[2] & ~ff_buf_out_fine[1] & ~ff_buf_out_fine[0];
8015
8016
8017n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_fine_sel_5
8018 (
8019 .dout (fine_sel[5]),
8020 .din0 (ff_buf_out_fine[2]),
8021 .din1 (ff_buf_out_fine_n[1]),
8022 .din2 (ff_buf_out_fine_n[0])
8023 );
8024
8025//assign fine_sel[4] = ff_buf_out_fine[2] & ~ff_buf_out_fine[1] & ff_buf_out_fine[0];
8026
8027
8028n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_fine_sel_4
8029 (
8030 .dout (fine_sel[4]),
8031 .din0 (ff_buf_out_fine[2]),
8032 .din1 (ff_buf_out_fine_n[1]),
8033 .din2 (ff_buf_out_fine[0])
8034 );
8035
8036//assign fine_sel[3] = ~ff_buf_out_fine[2] & ff_buf_out_fine[1] & ~ff_buf_out_fine[0];
8037
8038
8039n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_fine_sel_3
8040 (
8041 .dout (fine_sel[3]),
8042 .din0 (ff_buf_out_fine_n[2]),
8043 .din1 (ff_buf_out_fine[1]),
8044 .din2 (ff_buf_out_fine_n[0])
8045 );
8046
8047//assign fine_sel[2] = ~ff_buf_out_fine[2] & ff_buf_out_fine[1] & ff_buf_out_fine[0];
8048
8049
8050n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_fine_sel_2
8051 (
8052 .dout (fine_sel[2]),
8053 .din0 (ff_buf_out_fine_n[2]),
8054 .din1 (ff_buf_out_fine[1]),
8055 .din2 (ff_buf_out_fine[0])
8056 );
8057
8058//assign fine_sel[1] = ~ff_buf_out_fine[2] & ~ff_buf_out_fine[1] & ~ff_buf_out_fine[0];
8059
8060
8061n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_fine_sel_1
8062 (
8063 .dout (fine_sel[1]),
8064 .din0 (ff_buf_out_fine_n[2]),
8065 .din1 (ff_buf_out_fine_n[1]),
8066 .din2 (ff_buf_out_fine_n[0])
8067 );
8068
8069//assign fine_sel[0] = ~ff_buf_out_fine[2] & ~ff_buf_out_fine[1] & ff_buf_out_fine[0];
8070
8071
8072n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 and_fine_sel_0
8073 (
8074 .dout (fine_sel[0]),
8075 .din0 (ff_buf_out_fine_n[2]),
8076 .din1 (ff_buf_out_fine_n[1]),
8077 .din2 (ff_buf_out_fine[0])
8078 );
8079
8080
8081//end of n2_l2d_tstmod_logic
8082
8083n2_l2d_tstmod_delay_cust tstmod_delay // NOT ATPGABLE
8084 (
8085 .l1clk (l1clk),
8086 .tstmod_rst_l (tstmod_rst_l),
8087 .tst_bnken31_setb(tst_bnken31_setb),
8088 .tst_bnken02_setb(tst_bnken02_setb),
8089 .tst_bnken31_rstb(tst_bnken31_rstb),
8090 .tst_bnken02_rstb(tst_bnken02_rstb),
8091 .corse_sel (corse_sel[5:0]),
8092 .fine_sel (fine_sel[7:0]),
8093 .delout31_lft (delout31_lft),
8094 .delout31_rgt (delout31_rgt),
8095 .delout20_lft (delout20_lft),
8096 .delout20_rgt (delout20_rgt)
8097 );
8098
8099
8100
8101// scanorder start
8102//msff_read_c4_scanin[1:0]
8103//msff_buf_out_top_scanin
8104//msff_buf_out_corse_scanin[0]
8105//msff_buf_out_corse_scanin[1]
8106//msff_buf_out_corse_scanin[2]
8107//msff_buf_out_fine_scanin[0]
8108//msff_buf_out_fine_scanin[1]
8109//msff_buf_out_fine_scanin[2]
8110//msff_buf_out_bot_scanin
8111// scanorder end281 // fixscan start
8112assign msff_read_c4_scanin[1]=si;
8113assign msff_read_c4_scanin[0]=msff_read_c4_scanout[1];
8114assign msff_buf_out_top_scanin=msff_read_c4_scanout[0];
8115assign msff_buf_out_corse_scanin[0]=msff_buf_out_top_scanout;
8116assign msff_buf_out_corse_scanin[1]=msff_buf_out_corse_scanout[0];
8117assign msff_buf_out_corse_scanin[2]=msff_buf_out_corse_scanout[1];
8118assign msff_buf_out_fine_scanin[0]=msff_buf_out_corse_scanout[2];
8119assign msff_buf_out_fine_scanin[1]=msff_buf_out_fine_scanout[0];
8120assign msff_buf_out_fine_scanin[2]=msff_buf_out_fine_scanout[1];
8121assign msff_buf_out_bot_scanin=msff_buf_out_fine_scanout[2];
8122assign so=msff_buf_out_bot_scanout;
8123// fixscan end
8124endmodule // main program
8125
8126//////////////////////////////////////////////
8127//////////////////////////////////////////////
8128//////////////////////////////////////////////
8129//////////////////////////////////////////////
8130//////////////////////////////////////////////
8131//////////////////////////////////////////////
8132//////////////////////////////////////////////
8133//////////////////////////////////////////////
8134//////////////////////////////////////////////
8135//////////////////////////////////////////////
8136//////////////////////////////////////////////
8137// THE FOLLOWING MODULE IS BLACKBOX TO ATPG
8138//////////////////////////////////////////////
8139
8140module n2_l2d_tstmod_delay_cust (
8141 l1clk,
8142 tstmod_rst_l,
8143 tst_bnken31_setb,
8144 tst_bnken02_setb,
8145 tst_bnken31_rstb,
8146 tst_bnken02_rstb,
8147 corse_sel,
8148 fine_sel,
8149 delout31_lft,
8150 delout31_rgt,
8151 delout20_lft,
8152 delout20_rgt) ;
8153
8154input l1clk;
8155input tstmod_rst_l;
8156input tst_bnken31_setb;
8157input tst_bnken02_setb;
8158input tst_bnken31_rstb;
8159input tst_bnken02_rstb;
8160input [5:0] corse_sel;
8161input [7:0] fine_sel;
8162
8163output delout31_lft;
8164output delout31_rgt;
8165output delout20_lft;
8166output delout20_rgt;
8167
8168wire [5:0] corse_sel_muxout;
8169wire [7:1] fine_sel_muxout;
8170
8171wire delayline_en_31;
8172wire delayline_en_02;
8173wire fine_dout_31;
8174wire fine_dout_02;
8175
8176assign corse_sel_muxout[5:0] = ( {1'b0,corse_sel_muxout[5:1]} & {~({5{tstmod_rst_l}} & corse_sel[5:1]),(tstmod_rst_l & ~corse_sel[0])}) |
8177 ({6{l1clk}} & {{{5{tstmod_rst_l}} & corse_sel[5:1]},(~(tstmod_rst_l & ~corse_sel[0])) & tstmod_rst_l});
8178
8179//
8180//assign fine_sel_muxout[7:1] = ( ({corse_sel_muxout[0],fine_sel_muxout[7:2]}) & (~fine_sel[7:1]) )
8181// | ({&{corse_sel_muxout[0]}} & fine_sel[7:1]);
8182
8183
8184assign fine_sel_muxout[7:1] = ( ({corse_sel_muxout[0],fine_sel_muxout[7:2]}) & (~fine_sel[7:1]) ) |
8185({7{corse_sel_muxout[0]}} & fine_sel[7:1]);
8186
8187
8188srlatch1 latch1_31
8189 (
8190 .setl (~(l1clk & tstmod_rst_l & tst_bnken31_setb)),
8191 .rstl1 (tstmod_rst_l),
8192 .rstl2 (l1clk|~tst_bnken31_rstb),
8193 .out (delayline_en_31)
8194 );
8195
8196srlatch1 latch1_02
8197 (
8198 .setl (~(l1clk & tstmod_rst_l & tst_bnken02_setb)),
8199 .rstl1 (tstmod_rst_l),
8200 .rstl2 (l1clk|~tst_bnken02_rstb),
8201 .out (delayline_en_02)
8202 );
8203
8204srlatch2 latch2_31
8205 (
8206 .setl1 (~(fine_sel_muxout[1] & delayline_en_31 & ~fine_sel[0])),
8207 .setl2 (~(corse_sel_muxout[0] & delayline_en_31 & fine_sel[0])),
8208 .rstl (delayline_en_31),
8209 .out (fine_dout_31)
8210 );
8211
8212srlatch2 latch2_02
8213 (
8214 .setl1 (~(fine_sel_muxout[1] & delayline_en_02 & ~fine_sel[0])),
8215 .setl2 (~(corse_sel_muxout[0] & delayline_en_02 & fine_sel[0])),
8216 .rstl (delayline_en_02),
8217 .out (fine_dout_02)
8218 );
8219
8220assign delout31_lft = ~fine_dout_31;
8221assign delout31_rgt = ~fine_dout_31;
8222assign delout20_lft = ~fine_dout_02;
8223assign delout20_rgt = ~fine_dout_02;
8224endmodule //n2_l2d_tstmod_delay_cust
8225
8226
8227module srlatch1 (
8228 setl,
8229 rstl1,
8230 rstl2,
8231 out) ;
8232
8233 input setl;
8234 input rstl1;
8235 input rstl2;
8236 output out;
8237
8238reg out;
8239
8240 always @(setl or rstl1 or rstl2)
8241 begin
8242 if(~setl) out = 1'b1;
8243 else if( ~(rstl1 & rstl2) ) out = 1'b0;
8244 end
8245endmodule // srlatch1
8246
8247
8248module srlatch2 (
8249 setl1,
8250 setl2,
8251 rstl,
8252 out) ;
8253
8254 input setl1;
8255 input setl2;
8256 input rstl;
8257 output out;
8258
8259reg out;
8260
8261 always @(setl1 or setl2 or rstl) begin
8262 if( (setl1==0) || (setl2==0)) out = 1'b1;
8263 else if(~rstl) out = 1'b0;
8264 end
8265endmodule // srlatch2
8266
8267
8268//
8269// and macro for ports = 2,3,4
8270//
8271//
8272
8273
8274
8275
8276
8277module n2_l2d_ctrlio_cust_and_macro__ports_3__width_1 (
8278 din0,
8279 din1,
8280 din2,
8281 dout);
8282 input [0:0] din0;
8283 input [0:0] din1;
8284 input [0:0] din2;
8285 output [0:0] dout;
8286
8287
8288
8289
8290
8291
8292and3 #(1) d0_0 (
8293.in0(din0[0:0]),
8294.in1(din1[0:0]),
8295.in2(din2[0:0]),
8296.out(dout[0:0])
8297);
8298
8299
8300
8301
8302
8303
8304
8305
8306
8307endmodule
8308
8309
8310
8311
8312
8313//
8314// macro for cl_mc1_tisram_blb_{8,4}x flops
8315//
8316//
8317
8318
8319
8320
8321
8322module n2_l2d_ctrlio_cust_tisram_blb_macro__dmsff_4x__width_1 (
8323 d_a,
8324 l1clk,
8325 q_b);
8326input [0:0] d_a;
8327input l1clk;
8328output [0:0] q_b;
8329
8330
8331
8332
8333
8334
8335tisram_blb #(1) d0_0 (
8336.d(d_a[0:0]),
8337.l1clk(l1clk),
8338.latout_l(q_b[0:0])
8339);
8340
8341
8342
8343
8344
8345
8346
8347
8348
8349
8350//place::generic_place($width,$stack,$left);
8351
8352endmodule
8353
8354
8355
8356
8357
8358
8359
8360
8361
8362// any PARAMS parms go into naming of macro
8363
8364module n2_l2d_ctrlio_cust_msff_ctl_macro__fs_1__width_3 (
8365 din,
8366 l1clk,
8367 scan_in,
8368 siclk,
8369 soclk,
8370 dout,
8371 scan_out);
8372wire [2:0] fdin;
8373
8374 input [2:0] din;
8375 input l1clk;
8376 input [2:0] scan_in;
8377
8378
8379 input siclk;
8380 input soclk;
8381
8382 output [2:0] dout;
8383 output [2:0] scan_out;
8384assign fdin[2:0] = din[2:0];
8385
8386
8387
8388
8389
8390
8391dff #(3) d0_0 (
8392.l1clk(l1clk),
8393.siclk(siclk),
8394.soclk(soclk),
8395.d(fdin[2:0]),
8396.si(scan_in[2:0]),
8397.so(scan_out[2:0]),
8398.q(dout[2:0])
8399);
8400
8401
8402
8403
8404
8405
8406
8407
8408
8409
8410
8411
8412endmodule
8413
8414
8415
8416
8417
8418
8419
8420
8421
8422//
8423// invert macro
8424//
8425//
8426
8427
8428
8429
8430
8431module n2_l2d_ctrlio_cust_inv_macro__width_3 (
8432 din,
8433 dout);
8434 input [2:0] din;
8435 output [2:0] dout;
8436
8437
8438
8439
8440
8441
8442inv #(3) d0_0 (
8443.in(din[2:0]),
8444.out(dout[2:0])
8445);
8446
8447
8448
8449
8450
8451
8452
8453
8454
8455endmodule
8456
8457
8458
8459