Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / diag / assembly / peu / PCIeDMARdAdr64.s
CommitLineData
86530b38
AT
1/*
2* ========== Copyright Header Begin ==========================================
3*
4* OpenSPARC T2 Processor File: PCIeDMARdAdr64.s
5* Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
6* 4150 Network Circle, Santa Clara, California 95054, U.S.A.
7*
8* DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
9*
10* This program is free software; you can redistribute it and/or modify
11* it under the terms of the GNU General Public License as published by
12* the Free Software Foundation; version 2 of the License.
13*
14* This program is distributed in the hope that it will be useful,
15* but WITHOUT ANY WARRANTY; without even the implied warranty of
16* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
17* GNU General Public License for more details.
18*
19* You should have received a copy of the GNU General Public License
20* along with this program; if not, write to the Free Software
21* Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
22*
23* For the avoidance of doubt, and except that if any non-GPL license
24* choice is available it will apply instead, Sun elects to use only
25* the General Public License version 2 (GPLv2) at this time for any
26* software where a choice of GPL license versions is made
27* available with the language indicating that GPLv2 or any later version
28* may be used, or where a choice of which version of the GPL is applied is
29* otherwise unspecified.
30*
31* Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
32* CA 95054 USA or visit www.sun.com if you need additional information or
33* have any questions.
34*
35*
36* ========== Copyright Header End ============================================
37*/
38#define ENABLE_PCIE_LINK_TRAINING
39#define ENABLE_PCIE_MPS_512
40#define MAIN_PAGE_HV_ALSO
41
42#include "hboot.s"
43#include "peu_defines.h"
44
45#define MEM32_RD_ADDR mpeval(N2_PCIE_BASE_ADDR + MEM32_OFFSET_BASE_REG_DATA)
46
47#define DMA_DATA_ADDR 0x0000000123456700
48#define DMA_DATA_BYP_ADDR mpeval(IOMMU_BYP_SADDR + DMA_DATA_ADDR)
49
50!!#define DMA_ADDR_1 mpeval(DMA_DATA_ADDR + 0*256, 16, 16)
51!!#define DMA_ADDR_2 mpeval(DMA_DATA_ADDR + 1*256, 16, 16)
52
53#define DMA_ADDR_1 mpeval(DMA_DATA_BYP_ADDR + 0*256, 16, 16)
54#define DMA_ADDR_2 mpeval(DMA_DATA_BYP_ADDR + 1*256, 16, 16)
55
56
57/************************************************************************
58 Test case code start
59 ************************************************************************/
60.text
61.global main
62
63main:
64 ta T_CHANGE_HPRIV
65 nop
66
67 ! enable bypass in IOMMU
68 setx FIRE_DLC_MMU_CSR_A_CTL_ADDR, %g1, %g2
69 setx FIRE_DLC_MMU_CSR_A_CTL__BYPASS_EN, %g1, %g3
70 stx %g3, [%g2]
71 ldx [%g2], %g3
72
73! Trigger some DMA Reads of various lengths
74
75Xmt1: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt1)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h1", 1 )
76 nop
77 nop
78Xmt2: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt2)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h2", 1 )
79 nop
80 nop
81Xmt3: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt3)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h3", 1 )
82 nop
83 nop
84Xmt4: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt4)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h4", 1 )
85 nop
86 nop
87Xmt5: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt5)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h3f", 1 )
88 nop
89 nop
90Xmt6: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt6)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h40", 1 )
91 nop
92 nop
93Xmt7: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt7)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h41", 1 )
94 nop
95 nop
96Xmt8: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt8)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h7f", 1 )
97 nop
98 nop
99Xmt9: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt9)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h80", 1 )
100 nop
101 nop
102Xmt10: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt10)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h81", 1 )
103 nop
104 nop
105Xmt11: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt11)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'hff", 1 )
106 nop
107 nop
108Xmt12: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt12)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h100", 1 )
109 nop
110 nop
111Xmt13: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt13)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h101", 1 )
112 nop
113 nop
114Xmt14: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt14)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h1ff", 1 )
115 nop
116 nop
117Xmt15: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt15)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h200", 1 )
118 nop
119 nop
120Xmt16: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt16)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h400", 1 )
121 nop
122 nop
123Xmt17: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt17)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h800", 1 )
124 nop
125 nop
126Xmt18: nop ! $EV trig_pc_d(1, @VA(.MAIN.Xmt18)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h1000", 1 )
127 nop
128 nop
129
130 ! select a MEM32 address in PCI address range and transmit the command to NCU
131
132 setx MEM32_RD_ADDR, %g1, %g2
133 setx FIRE_PLC_TLU_CTB_TLR_CSR_A_TLU_CTL_ADDR, %g1, %g3
134 setx 0x020, %g1, %g4 ! loop 32 times
135
136delay_loop:
137 ldx [%g3], %l1 ! PIU CSR READ
138 !!stx %g2, [%g2] ! MEM32 PIO Write
139 !!ldx [%g2], %l0 ! MEM32 PIO READ
140 add %g2, 8, %g2 ! increment PIO address
141
142 dec %g4 ! decrement counter
143 brnz %g4, delay_loop ! loop if not zero
144 nop
145
146
147test_passed:
148 EXIT_GOOD
149
150test_failed:
151 EXIT_BAD
152
153
154/************************************************************************
155 Test case data start
156************************************************************************/
157
158SECTION .DATA DATA_VA=DMA_DATA_ADDR
159attr_data {
160 Name = .DATA,
161 hypervisor,
162 compressimage
163}
164.data
165 .xword 0x0001020304050607
166 .xword 0x08090a0b0c0d0e0f
167 .xword 0x1011121314151617
168 .xword 0x18191a1b1c1d1e1f
169 .xword 0x2021222324252627
170 .xword 0x28292a2b2c2d2e2f
171 .xword 0x3031323334353637
172 .xword 0x38393a3b3c3d3e3f
173 .xword 0x4041424344454647
174 .xword 0x48494a4b4c4d4e4f
175 .xword 0x5051525354555657
176 .xword 0x58595a5b5c5d5e5f
177 .xword 0x6061626364656667
178 .xword 0x68696a6b6c6d6e6f
179 .xword 0x7071727374757677
180 .xword 0x78797a7b7c7d7e7f
181 .xword 0x8081828384858687
182 .xword 0x88898a8b8c8d8e8f
183 .xword 0x9091929394959697
184 .xword 0x98999a9b9c9d9e9f
185 .xword 0xa0a1a2a3a4a5a6a7
186 .xword 0xa8a9aaabacadaeaf
187 .xword 0xb0b1b2b3b4b5b6b7
188 .xword 0xb8b9babbbcbdbebf
189 .xword 0xc0c1c2c3c4c5c6c7
190 .xword 0xc8c9cacbcccdcecf
191 .xword 0xd0d1d2d3d4d5d6d7
192 .xword 0xd8d9dadbdcdddedf
193 .xword 0xe0e1e2e3e4e5e6e7
194 .xword 0xe8e9eaebecedeeef
195 .xword 0xf0f1f2f3f4f5f6f7
196 .xword 0xf8f9fafbfcfdfeff
197
198 .xword 0x0001020304050607
199 .xword 0x08090a0b0c0d0e0f
200 .xword 0x1011121314151617
201 .xword 0x18191a1b1c1d1e1f
202 .xword 0x2021222324252627
203 .xword 0x28292a2b2c2d2e2f
204 .xword 0x3031323334353637
205 .xword 0x38393a3b3c3d3e3f
206 .xword 0x4041424344454647
207 .xword 0x48494a4b4c4d4e4f
208 .xword 0x5051525354555657
209 .xword 0x58595a5b5c5d5e5f
210 .xword 0x6061626364656667
211 .xword 0x68696a6b6c6d6e6f
212 .xword 0x7071727374757677
213 .xword 0x78797a7b7c7d7e7f
214 .xword 0x8081828384858687
215 .xword 0x88898a8b8c8d8e8f
216 .xword 0x9091929394959697
217 .xword 0x98999a9b9c9d9e9f
218 .xword 0xa0a1a2a3a4a5a6a7
219 .xword 0xa8a9aaabacadaeaf
220 .xword 0xb0b1b2b3b4b5b6b7
221 .xword 0xb8b9babbbcbdbebf
222 .xword 0xc0c1c2c3c4c5c6c7
223 .xword 0xc8c9cacbcccdcecf
224 .xword 0xd0d1d2d3d4d5d6d7
225 .xword 0xd8d9dadbdcdddedf
226 .xword 0xe0e1e2e3e4e5e6e7
227 .xword 0xe8e9eaebecedeeef
228 .xword 0xf0f1f2f3f4f5f6f7
229 .xword 0xf8f9fafbfcfdfeff
230
231 .xword 0x0001020304050607
232 .xword 0x08090a0b0c0d0e0f
233 .xword 0x1011121314151617
234 .xword 0x18191a1b1c1d1e1f
235 .xword 0x2021222324252627
236 .xword 0x28292a2b2c2d2e2f
237 .xword 0x3031323334353637
238 .xword 0x38393a3b3c3d3e3f
239 .xword 0x4041424344454647
240 .xword 0x48494a4b4c4d4e4f
241 .xword 0x5051525354555657
242 .xword 0x58595a5b5c5d5e5f
243 .xword 0x6061626364656667
244 .xword 0x68696a6b6c6d6e6f
245 .xword 0x7071727374757677
246 .xword 0x78797a7b7c7d7e7f
247 .xword 0x8081828384858687
248 .xword 0x88898a8b8c8d8e8f
249 .xword 0x9091929394959697
250 .xword 0x98999a9b9c9d9e9f
251 .xword 0xa0a1a2a3a4a5a6a7
252 .xword 0xa8a9aaabacadaeaf
253 .xword 0xb0b1b2b3b4b5b6b7
254 .xword 0xb8b9babbbcbdbebf
255 .xword 0xc0c1c2c3c4c5c6c7
256 .xword 0xc8c9cacbcccdcecf
257 .xword 0xd0d1d2d3d4d5d6d7
258 .xword 0xd8d9dadbdcdddedf
259 .xword 0xe0e1e2e3e4e5e6e7
260 .xword 0xe8e9eaebecedeeef
261 .xword 0xf0f1f2f3f4f5f6f7
262 .xword 0xf8f9fafbfcfdfeff
263
264 .xword 0x0001020304050607
265 .xword 0x08090a0b0c0d0e0f
266 .xword 0x1011121314151617
267 .xword 0x18191a1b1c1d1e1f
268 .xword 0x2021222324252627
269 .xword 0x28292a2b2c2d2e2f
270 .xword 0x3031323334353637
271 .xword 0x38393a3b3c3d3e3f
272 .xword 0x4041424344454647
273 .xword 0x48494a4b4c4d4e4f
274 .xword 0x5051525354555657
275 .xword 0x58595a5b5c5d5e5f
276 .xword 0x6061626364656667
277 .xword 0x68696a6b6c6d6e6f
278 .xword 0x7071727374757677
279 .xword 0x78797a7b7c7d7e7f
280 .xword 0x8081828384858687
281 .xword 0x88898a8b8c8d8e8f
282 .xword 0x9091929394959697
283 .xword 0x98999a9b9c9d9e9f
284 .xword 0xa0a1a2a3a4a5a6a7
285 .xword 0xa8a9aaabacadaeaf
286 .xword 0xb0b1b2b3b4b5b6b7
287 .xword 0xb8b9babbbcbdbebf
288 .xword 0xc0c1c2c3c4c5c6c7
289 .xword 0xc8c9cacbcccdcecf
290 .xword 0xd0d1d2d3d4d5d6d7
291 .xword 0xd8d9dadbdcdddedf
292 .xword 0xe0e1e2e3e4e5e6e7
293 .xword 0xe8e9eaebecedeeef
294 .xword 0xf0f1f2f3f4f5f6f7
295 .xword 0xf8f9fafbfcfdfeff
296
297 .xword 0x0001020304050607
298 .xword 0x08090a0b0c0d0e0f
299 .xword 0x1011121314151617
300 .xword 0x18191a1b1c1d1e1f
301 .xword 0x2021222324252627
302 .xword 0x28292a2b2c2d2e2f
303 .xword 0x3031323334353637
304 .xword 0x38393a3b3c3d3e3f
305 .xword 0x4041424344454647
306 .xword 0x48494a4b4c4d4e4f
307 .xword 0x5051525354555657
308 .xword 0x58595a5b5c5d5e5f
309 .xword 0x6061626364656667
310 .xword 0x68696a6b6c6d6e6f
311 .xword 0x7071727374757677
312 .xword 0x78797a7b7c7d7e7f
313 .xword 0x8081828384858687
314 .xword 0x88898a8b8c8d8e8f
315 .xword 0x9091929394959697
316 .xword 0x98999a9b9c9d9e9f
317 .xword 0xa0a1a2a3a4a5a6a7
318 .xword 0xa8a9aaabacadaeaf
319 .xword 0xb0b1b2b3b4b5b6b7
320 .xword 0xb8b9babbbcbdbebf
321 .xword 0xc0c1c2c3c4c5c6c7
322 .xword 0xc8c9cacbcccdcecf
323 .xword 0xd0d1d2d3d4d5d6d7
324 .xword 0xd8d9dadbdcdddedf
325 .xword 0xe0e1e2e3e4e5e6e7
326 .xword 0xe8e9eaebecedeeef
327 .xword 0xf0f1f2f3f4f5f6f7
328 .xword 0xf8f9fafbfcfdfeff
329
330 .xword 0x0001020304050607
331 .xword 0x08090a0b0c0d0e0f
332 .xword 0x1011121314151617
333 .xword 0x18191a1b1c1d1e1f
334 .xword 0x2021222324252627
335 .xword 0x28292a2b2c2d2e2f
336 .xword 0x3031323334353637
337 .xword 0x38393a3b3c3d3e3f
338 .xword 0x4041424344454647
339 .xword 0x48494a4b4c4d4e4f
340 .xword 0x5051525354555657
341 .xword 0x58595a5b5c5d5e5f
342 .xword 0x6061626364656667
343 .xword 0x68696a6b6c6d6e6f
344 .xword 0x7071727374757677
345 .xword 0x78797a7b7c7d7e7f
346 .xword 0x8081828384858687
347 .xword 0x88898a8b8c8d8e8f
348 .xword 0x9091929394959697
349 .xword 0x98999a9b9c9d9e9f
350 .xword 0xa0a1a2a3a4a5a6a7
351 .xword 0xa8a9aaabacadaeaf
352 .xword 0xb0b1b2b3b4b5b6b7
353 .xword 0xb8b9babbbcbdbebf
354 .xword 0xc0c1c2c3c4c5c6c7
355 .xword 0xc8c9cacbcccdcecf
356 .xword 0xd0d1d2d3d4d5d6d7
357 .xword 0xd8d9dadbdcdddedf
358 .xword 0xe0e1e2e3e4e5e6e7
359 .xword 0xe8e9eaebecedeeef
360 .xword 0xf0f1f2f3f4f5f6f7
361 .xword 0xf8f9fafbfcfdfeff
362
363 .xword 0x0001020304050607
364 .xword 0x08090a0b0c0d0e0f
365 .xword 0x1011121314151617
366 .xword 0x18191a1b1c1d1e1f
367 .xword 0x2021222324252627
368 .xword 0x28292a2b2c2d2e2f
369 .xword 0x3031323334353637
370 .xword 0x38393a3b3c3d3e3f
371 .xword 0x4041424344454647
372 .xword 0x48494a4b4c4d4e4f
373 .xword 0x5051525354555657
374 .xword 0x58595a5b5c5d5e5f
375 .xword 0x6061626364656667
376 .xword 0x68696a6b6c6d6e6f
377 .xword 0x7071727374757677
378 .xword 0x78797a7b7c7d7e7f
379 .xword 0x8081828384858687
380 .xword 0x88898a8b8c8d8e8f
381 .xword 0x9091929394959697
382 .xword 0x98999a9b9c9d9e9f
383 .xword 0xa0a1a2a3a4a5a6a7
384 .xword 0xa8a9aaabacadaeaf
385 .xword 0xb0b1b2b3b4b5b6b7
386 .xword 0xb8b9babbbcbdbebf
387 .xword 0xc0c1c2c3c4c5c6c7
388 .xword 0xc8c9cacbcccdcecf
389 .xword 0xd0d1d2d3d4d5d6d7
390 .xword 0xd8d9dadbdcdddedf
391 .xword 0xe0e1e2e3e4e5e6e7
392 .xword 0xe8e9eaebecedeeef
393 .xword 0xf0f1f2f3f4f5f6f7
394 .xword 0xf8f9fafbfcfdfeff
395
396 .xword 0x0001020304050607
397 .xword 0x08090a0b0c0d0e0f
398 .xword 0x1011121314151617
399 .xword 0x18191a1b1c1d1e1f
400 .xword 0x2021222324252627
401 .xword 0x28292a2b2c2d2e2f
402 .xword 0x3031323334353637
403 .xword 0x38393a3b3c3d3e3f
404 .xword 0x4041424344454647
405 .xword 0x48494a4b4c4d4e4f
406 .xword 0x5051525354555657
407 .xword 0x58595a5b5c5d5e5f
408 .xword 0x6061626364656667
409 .xword 0x68696a6b6c6d6e6f
410 .xword 0x7071727374757677
411 .xword 0x78797a7b7c7d7e7f
412 .xword 0x8081828384858687
413 .xword 0x88898a8b8c8d8e8f
414 .xword 0x9091929394959697
415 .xword 0x98999a9b9c9d9e9f
416 .xword 0xa0a1a2a3a4a5a6a7
417 .xword 0xa8a9aaabacadaeaf
418 .xword 0xb0b1b2b3b4b5b6b7
419 .xword 0xb8b9babbbcbdbebf
420 .xword 0xc0c1c2c3c4c5c6c7
421 .xword 0xc8c9cacbcccdcecf
422 .xword 0xd0d1d2d3d4d5d6d7
423 .xword 0xd8d9dadbdcdddedf
424 .xword 0xe0e1e2e3e4e5e6e7
425 .xword 0xe8e9eaebecedeeef
426 .xword 0xf0f1f2f3f4f5f6f7
427 .xword 0xf8f9fafbfcfdfeff
428
429 .xword 0x0001020304050607
430 .xword 0x08090a0b0c0d0e0f
431 .xword 0x1011121314151617
432 .xword 0x18191a1b1c1d1e1f
433 .xword 0x2021222324252627
434 .xword 0x28292a2b2c2d2e2f
435 .xword 0x3031323334353637
436 .xword 0x38393a3b3c3d3e3f
437 .xword 0x4041424344454647
438 .xword 0x48494a4b4c4d4e4f
439 .xword 0x5051525354555657
440 .xword 0x58595a5b5c5d5e5f
441 .xword 0x6061626364656667
442 .xword 0x68696a6b6c6d6e6f
443 .xword 0x7071727374757677
444 .xword 0x78797a7b7c7d7e7f
445 .xword 0x8081828384858687
446 .xword 0x88898a8b8c8d8e8f
447 .xword 0x9091929394959697
448 .xword 0x98999a9b9c9d9e9f
449 .xword 0xa0a1a2a3a4a5a6a7
450 .xword 0xa8a9aaabacadaeaf
451 .xword 0xb0b1b2b3b4b5b6b7
452 .xword 0xb8b9babbbcbdbebf
453 .xword 0xc0c1c2c3c4c5c6c7
454 .xword 0xc8c9cacbcccdcecf
455 .xword 0xd0d1d2d3d4d5d6d7
456 .xword 0xd8d9dadbdcdddedf
457 .xword 0xe0e1e2e3e4e5e6e7
458 .xword 0xe8e9eaebecedeeef
459 .xword 0xf0f1f2f3f4f5f6f7
460 .xword 0xf8f9fafbfcfdfeff
461
462 .xword 0x0001020304050607
463 .xword 0x08090a0b0c0d0e0f
464 .xword 0x1011121314151617
465 .xword 0x18191a1b1c1d1e1f
466 .xword 0x2021222324252627
467 .xword 0x28292a2b2c2d2e2f
468 .xword 0x3031323334353637
469 .xword 0x38393a3b3c3d3e3f
470 .xword 0x4041424344454647
471 .xword 0x48494a4b4c4d4e4f
472 .xword 0x5051525354555657
473 .xword 0x58595a5b5c5d5e5f
474 .xword 0x6061626364656667
475 .xword 0x68696a6b6c6d6e6f
476 .xword 0x7071727374757677
477 .xword 0x78797a7b7c7d7e7f
478 .xword 0x8081828384858687
479 .xword 0x88898a8b8c8d8e8f
480 .xword 0x9091929394959697
481 .xword 0x98999a9b9c9d9e9f
482 .xword 0xa0a1a2a3a4a5a6a7
483 .xword 0xa8a9aaabacadaeaf
484 .xword 0xb0b1b2b3b4b5b6b7
485 .xword 0xb8b9babbbcbdbebf
486 .xword 0xc0c1c2c3c4c5c6c7
487 .xword 0xc8c9cacbcccdcecf
488 .xword 0xd0d1d2d3d4d5d6d7
489 .xword 0xd8d9dadbdcdddedf
490 .xword 0xe0e1e2e3e4e5e6e7
491 .xword 0xe8e9eaebecedeeef
492 .xword 0xf0f1f2f3f4f5f6f7
493 .xword 0xf8f9fafbfcfdfeff
494
495 .xword 0x0001020304050607
496 .xword 0x08090a0b0c0d0e0f
497 .xword 0x1011121314151617
498 .xword 0x18191a1b1c1d1e1f
499 .xword 0x2021222324252627
500 .xword 0x28292a2b2c2d2e2f
501 .xword 0x3031323334353637
502 .xword 0x38393a3b3c3d3e3f
503 .xword 0x4041424344454647
504 .xword 0x48494a4b4c4d4e4f
505 .xword 0x5051525354555657
506 .xword 0x58595a5b5c5d5e5f
507 .xword 0x6061626364656667
508 .xword 0x68696a6b6c6d6e6f
509 .xword 0x7071727374757677
510 .xword 0x78797a7b7c7d7e7f
511 .xword 0x8081828384858687
512 .xword 0x88898a8b8c8d8e8f
513 .xword 0x9091929394959697
514 .xword 0x98999a9b9c9d9e9f
515 .xword 0xa0a1a2a3a4a5a6a7
516 .xword 0xa8a9aaabacadaeaf
517 .xword 0xb0b1b2b3b4b5b6b7
518 .xword 0xb8b9babbbcbdbebf
519 .xword 0xc0c1c2c3c4c5c6c7
520 .xword 0xc8c9cacbcccdcecf
521 .xword 0xd0d1d2d3d4d5d6d7
522 .xword 0xd8d9dadbdcdddedf
523 .xword 0xe0e1e2e3e4e5e6e7
524 .xword 0xe8e9eaebecedeeef
525 .xword 0xf0f1f2f3f4f5f6f7
526 .xword 0xf8f9fafbfcfdfeff
527
528 .xword 0x0001020304050607
529 .xword 0x08090a0b0c0d0e0f
530 .xword 0x1011121314151617
531 .xword 0x18191a1b1c1d1e1f
532 .xword 0x2021222324252627
533 .xword 0x28292a2b2c2d2e2f
534 .xword 0x3031323334353637
535 .xword 0x38393a3b3c3d3e3f
536 .xword 0x4041424344454647
537 .xword 0x48494a4b4c4d4e4f
538 .xword 0x5051525354555657
539 .xword 0x58595a5b5c5d5e5f
540 .xword 0x6061626364656667
541 .xword 0x68696a6b6c6d6e6f
542 .xword 0x7071727374757677
543 .xword 0x78797a7b7c7d7e7f
544 .xword 0x8081828384858687
545 .xword 0x88898a8b8c8d8e8f
546 .xword 0x9091929394959697
547 .xword 0x98999a9b9c9d9e9f
548 .xword 0xa0a1a2a3a4a5a6a7
549 .xword 0xa8a9aaabacadaeaf
550 .xword 0xb0b1b2b3b4b5b6b7
551 .xword 0xb8b9babbbcbdbebf
552 .xword 0xc0c1c2c3c4c5c6c7
553 .xword 0xc8c9cacbcccdcecf
554 .xword 0xd0d1d2d3d4d5d6d7
555 .xword 0xd8d9dadbdcdddedf
556 .xword 0xe0e1e2e3e4e5e6e7
557 .xword 0xe8e9eaebecedeeef
558 .xword 0xf0f1f2f3f4f5f6f7
559 .xword 0xf8f9fafbfcfdfeff
560
561 .xword 0x0001020304050607
562 .xword 0x08090a0b0c0d0e0f
563 .xword 0x1011121314151617
564 .xword 0x18191a1b1c1d1e1f
565 .xword 0x2021222324252627
566 .xword 0x28292a2b2c2d2e2f
567 .xword 0x3031323334353637
568 .xword 0x38393a3b3c3d3e3f
569 .xword 0x4041424344454647
570 .xword 0x48494a4b4c4d4e4f
571 .xword 0x5051525354555657
572 .xword 0x58595a5b5c5d5e5f
573 .xword 0x6061626364656667
574 .xword 0x68696a6b6c6d6e6f
575 .xword 0x7071727374757677
576 .xword 0x78797a7b7c7d7e7f
577 .xword 0x8081828384858687
578 .xword 0x88898a8b8c8d8e8f
579 .xword 0x9091929394959697
580 .xword 0x98999a9b9c9d9e9f
581 .xword 0xa0a1a2a3a4a5a6a7
582 .xword 0xa8a9aaabacadaeaf
583 .xword 0xb0b1b2b3b4b5b6b7
584 .xword 0xb8b9babbbcbdbebf
585 .xword 0xc0c1c2c3c4c5c6c7
586 .xword 0xc8c9cacbcccdcecf
587 .xword 0xd0d1d2d3d4d5d6d7
588 .xword 0xd8d9dadbdcdddedf
589 .xword 0xe0e1e2e3e4e5e6e7
590 .xword 0xe8e9eaebecedeeef
591 .xword 0xf0f1f2f3f4f5f6f7
592 .xword 0xf8f9fafbfcfdfeff
593
594 .xword 0x0001020304050607
595 .xword 0x08090a0b0c0d0e0f
596 .xword 0x1011121314151617
597 .xword 0x18191a1b1c1d1e1f
598 .xword 0x2021222324252627
599 .xword 0x28292a2b2c2d2e2f
600 .xword 0x3031323334353637
601 .xword 0x38393a3b3c3d3e3f
602 .xword 0x4041424344454647
603 .xword 0x48494a4b4c4d4e4f
604 .xword 0x5051525354555657
605 .xword 0x58595a5b5c5d5e5f
606 .xword 0x6061626364656667
607 .xword 0x68696a6b6c6d6e6f
608 .xword 0x7071727374757677
609 .xword 0x78797a7b7c7d7e7f
610 .xword 0x8081828384858687
611 .xword 0x88898a8b8c8d8e8f
612 .xword 0x9091929394959697
613 .xword 0x98999a9b9c9d9e9f
614 .xword 0xa0a1a2a3a4a5a6a7
615 .xword 0xa8a9aaabacadaeaf
616 .xword 0xb0b1b2b3b4b5b6b7
617 .xword 0xb8b9babbbcbdbebf
618 .xword 0xc0c1c2c3c4c5c6c7
619 .xword 0xc8c9cacbcccdcecf
620 .xword 0xd0d1d2d3d4d5d6d7
621 .xword 0xd8d9dadbdcdddedf
622 .xword 0xe0e1e2e3e4e5e6e7
623 .xword 0xe8e9eaebecedeeef
624 .xword 0xf0f1f2f3f4f5f6f7
625 .xword 0xf8f9fafbfcfdfeff
626
627 .xword 0x0001020304050607
628 .xword 0x08090a0b0c0d0e0f
629 .xword 0x1011121314151617
630 .xword 0x18191a1b1c1d1e1f
631 .xword 0x2021222324252627
632 .xword 0x28292a2b2c2d2e2f
633 .xword 0x3031323334353637
634 .xword 0x38393a3b3c3d3e3f
635 .xword 0x4041424344454647
636 .xword 0x48494a4b4c4d4e4f
637 .xword 0x5051525354555657
638 .xword 0x58595a5b5c5d5e5f
639 .xword 0x6061626364656667
640 .xword 0x68696a6b6c6d6e6f
641 .xword 0x7071727374757677
642 .xword 0x78797a7b7c7d7e7f
643 .xword 0x8081828384858687
644 .xword 0x88898a8b8c8d8e8f
645 .xword 0x9091929394959697
646 .xword 0x98999a9b9c9d9e9f
647 .xword 0xa0a1a2a3a4a5a6a7
648 .xword 0xa8a9aaabacadaeaf
649 .xword 0xb0b1b2b3b4b5b6b7
650 .xword 0xb8b9babbbcbdbebf
651 .xword 0xc0c1c2c3c4c5c6c7
652 .xword 0xc8c9cacbcccdcecf
653 .xword 0xd0d1d2d3d4d5d6d7
654 .xword 0xd8d9dadbdcdddedf
655 .xword 0xe0e1e2e3e4e5e6e7
656 .xword 0xe8e9eaebecedeeef
657 .xword 0xf0f1f2f3f4f5f6f7
658 .xword 0xf8f9fafbfcfdfeff
659
660 .xword 0x0001020304050607
661 .xword 0x08090a0b0c0d0e0f
662 .xword 0x1011121314151617
663 .xword 0x18191a1b1c1d1e1f
664 .xword 0x2021222324252627
665 .xword 0x28292a2b2c2d2e2f
666 .xword 0x3031323334353637
667 .xword 0x38393a3b3c3d3e3f
668 .xword 0x4041424344454647
669 .xword 0x48494a4b4c4d4e4f
670 .xword 0x5051525354555657
671 .xword 0x58595a5b5c5d5e5f
672 .xword 0x6061626364656667
673 .xword 0x68696a6b6c6d6e6f
674 .xword 0x7071727374757677
675 .xword 0x78797a7b7c7d7e7f
676 .xword 0x8081828384858687
677 .xword 0x88898a8b8c8d8e8f
678 .xword 0x9091929394959697
679 .xword 0x98999a9b9c9d9e9f
680 .xword 0xa0a1a2a3a4a5a6a7
681 .xword 0xa8a9aaabacadaeaf
682 .xword 0xb0b1b2b3b4b5b6b7
683 .xword 0xb8b9babbbcbdbebf
684 .xword 0xc0c1c2c3c4c5c6c7
685 .xword 0xc8c9cacbcccdcecf
686 .xword 0xd0d1d2d3d4d5d6d7
687 .xword 0xd8d9dadbdcdddedf
688 .xword 0xe0e1e2e3e4e5e6e7
689 .xword 0xe8e9eaebecedeeef
690 .xword 0xf0f1f2f3f4f5f6f7
691 .xword 0xf8f9fafbfcfdfeff
692
693 .xword 0x0001020304050607
694 .xword 0x08090a0b0c0d0e0f
695 .xword 0x1011121314151617
696 .xword 0x18191a1b1c1d1e1f
697 .xword 0x2021222324252627
698 .xword 0x28292a2b2c2d2e2f
699 .xword 0x3031323334353637
700 .xword 0x38393a3b3c3d3e3f
701 .xword 0x4041424344454647
702 .xword 0x48494a4b4c4d4e4f
703 .xword 0x5051525354555657
704 .xword 0x58595a5b5c5d5e5f
705 .xword 0x6061626364656667
706 .xword 0x68696a6b6c6d6e6f
707 .xword 0x7071727374757677
708 .xword 0x78797a7b7c7d7e7f
709 .xword 0x8081828384858687
710 .xword 0x88898a8b8c8d8e8f
711 .xword 0x9091929394959697
712 .xword 0x98999a9b9c9d9e9f
713 .xword 0xa0a1a2a3a4a5a6a7
714 .xword 0xa8a9aaabacadaeaf
715 .xword 0xb0b1b2b3b4b5b6b7
716 .xword 0xb8b9babbbcbdbebf
717 .xword 0xc0c1c2c3c4c5c6c7
718 .xword 0xc8c9cacbcccdcecf
719 .xword 0xd0d1d2d3d4d5d6d7
720 .xword 0xd8d9dadbdcdddedf
721 .xword 0xe0e1e2e3e4e5e6e7
722 .xword 0xe8e9eaebecedeeef
723 .xword 0xf0f1f2f3f4f5f6f7
724 .xword 0xf8f9fafbfcfdfeff
725
726 .xword 0x0001020304050607
727 .xword 0x08090a0b0c0d0e0f
728 .xword 0x1011121314151617
729 .xword 0x18191a1b1c1d1e1f
730 .xword 0x2021222324252627
731 .xword 0x28292a2b2c2d2e2f
732 .xword 0x3031323334353637
733 .xword 0x38393a3b3c3d3e3f
734 .xword 0x4041424344454647
735 .xword 0x48494a4b4c4d4e4f
736 .xword 0x5051525354555657
737 .xword 0x58595a5b5c5d5e5f
738 .xword 0x6061626364656667
739 .xword 0x68696a6b6c6d6e6f
740 .xword 0x7071727374757677
741 .xword 0x78797a7b7c7d7e7f
742 .xword 0x8081828384858687
743 .xword 0x88898a8b8c8d8e8f
744 .xword 0x9091929394959697
745 .xword 0x98999a9b9c9d9e9f
746 .xword 0xa0a1a2a3a4a5a6a7
747 .xword 0xa8a9aaabacadaeaf
748 .xword 0xb0b1b2b3b4b5b6b7
749 .xword 0xb8b9babbbcbdbebf
750 .xword 0xc0c1c2c3c4c5c6c7
751 .xword 0xc8c9cacbcccdcecf
752 .xword 0xd0d1d2d3d4d5d6d7
753 .xword 0xd8d9dadbdcdddedf
754 .xword 0xe0e1e2e3e4e5e6e7
755 .xword 0xe8e9eaebecedeeef
756 .xword 0xf0f1f2f3f4f5f6f7
757 .xword 0xf8f9fafbfcfdfeff
758
759 .xword 0x0001020304050607
760 .xword 0x08090a0b0c0d0e0f
761 .xword 0x1011121314151617
762 .xword 0x18191a1b1c1d1e1f
763 .xword 0x2021222324252627
764 .xword 0x28292a2b2c2d2e2f
765 .xword 0x3031323334353637
766 .xword 0x38393a3b3c3d3e3f
767 .xword 0x4041424344454647
768 .xword 0x48494a4b4c4d4e4f
769 .xword 0x5051525354555657
770 .xword 0x58595a5b5c5d5e5f
771 .xword 0x6061626364656667
772 .xword 0x68696a6b6c6d6e6f
773 .xword 0x7071727374757677
774 .xword 0x78797a7b7c7d7e7f
775 .xword 0x8081828384858687
776 .xword 0x88898a8b8c8d8e8f
777 .xword 0x9091929394959697
778 .xword 0x98999a9b9c9d9e9f
779 .xword 0xa0a1a2a3a4a5a6a7
780 .xword 0xa8a9aaabacadaeaf
781 .xword 0xb0b1b2b3b4b5b6b7
782 .xword 0xb8b9babbbcbdbebf
783 .xword 0xc0c1c2c3c4c5c6c7
784 .xword 0xc8c9cacbcccdcecf
785 .xword 0xd0d1d2d3d4d5d6d7
786 .xword 0xd8d9dadbdcdddedf
787 .xword 0xe0e1e2e3e4e5e6e7
788 .xword 0xe8e9eaebecedeeef
789 .xword 0xf0f1f2f3f4f5f6f7
790 .xword 0xf8f9fafbfcfdfeff
791
792 .xword 0x0001020304050607
793 .xword 0x08090a0b0c0d0e0f
794 .xword 0x1011121314151617
795 .xword 0x18191a1b1c1d1e1f
796 .xword 0x2021222324252627
797 .xword 0x28292a2b2c2d2e2f
798 .xword 0x3031323334353637
799 .xword 0x38393a3b3c3d3e3f
800 .xword 0x4041424344454647
801 .xword 0x48494a4b4c4d4e4f
802 .xword 0x5051525354555657
803 .xword 0x58595a5b5c5d5e5f
804 .xword 0x6061626364656667
805 .xword 0x68696a6b6c6d6e6f
806 .xword 0x7071727374757677
807 .xword 0x78797a7b7c7d7e7f
808 .xword 0x8081828384858687
809 .xword 0x88898a8b8c8d8e8f
810 .xword 0x9091929394959697
811 .xword 0x98999a9b9c9d9e9f
812 .xword 0xa0a1a2a3a4a5a6a7
813 .xword 0xa8a9aaabacadaeaf
814 .xword 0xb0b1b2b3b4b5b6b7
815 .xword 0xb8b9babbbcbdbebf
816 .xword 0xc0c1c2c3c4c5c6c7
817 .xword 0xc8c9cacbcccdcecf
818 .xword 0xd0d1d2d3d4d5d6d7
819 .xword 0xd8d9dadbdcdddedf
820 .xword 0xe0e1e2e3e4e5e6e7
821 .xword 0xe8e9eaebecedeeef
822 .xword 0xf0f1f2f3f4f5f6f7
823 .xword 0xf8f9fafbfcfdfeff
824
825
826/************************************************************************/