Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / diag / assembly / peu / PCIeDMARdMPS256Rcb.s
CommitLineData
86530b38
AT
1/*
2* ========== Copyright Header Begin ==========================================
3*
4* OpenSPARC T2 Processor File: PCIeDMARdMPS256Rcb.s
5* Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
6* 4150 Network Circle, Santa Clara, California 95054, U.S.A.
7*
8* DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
9*
10* This program is free software; you can redistribute it and/or modify
11* it under the terms of the GNU General Public License as published by
12* the Free Software Foundation; version 2 of the License.
13*
14* This program is distributed in the hope that it will be useful,
15* but WITHOUT ANY WARRANTY; without even the implied warranty of
16* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
17* GNU General Public License for more details.
18*
19* You should have received a copy of the GNU General Public License
20* along with this program; if not, write to the Free Software
21* Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
22*
23* For the avoidance of doubt, and except that if any non-GPL license
24* choice is available it will apply instead, Sun elects to use only
25* the General Public License version 2 (GPLv2) at this time for any
26* software where a choice of GPL license versions is made
27* available with the language indicating that GPLv2 or any later version
28* may be used, or where a choice of which version of the GPL is applied is
29* otherwise unspecified.
30*
31* Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
32* CA 95054 USA or visit www.sun.com if you need additional information or
33* have any questions.
34*
35*
36* ========== Copyright Header End ============================================
37*/
38#define ENABLE_PCIE_LINK_TRAINING
39#define ENABLE_PCIE_MPS_256
40!!#define ENABLE_PCIE_MPS_512
41#define MAIN_PAGE_HV_ALSO
42
43#include "hboot.s"
44#include "peu_defines.h"
45
46#define MEM32_RD_ADDR mpeval(N2_PCIE_BASE_ADDR + MEM32_OFFSET_BASE_REG_DATA)
47
48#define DMA_DATA_ADDR 0x0000000123456700
49#define DMA_DATA_BYP_ADDR mpeval(IOMMU_BYP_SADDR + DMA_DATA_ADDR)
50
51#define DMA_ADDR_1 mpeval(DMA_DATA_BYP_ADDR + 6*256, 16, 16)
52#define DMA_ADDR_2 mpeval(DMA_DATA_BYP_ADDR + 9*256, 16, 16)
53#define DMA_ADDR_3 mpeval(DMA_DATA_BYP_ADDR + 9*256, 16, 16)
54#define DMA_ADDR_4 mpeval(DMA_DATA_BYP_ADDR +10*256, 16, 16)
55#define DMA_ADDR_5 mpeval(DMA_DATA_BYP_ADDR +11*256, 16, 16)
56#define DMA_ADDR_6 mpeval(DMA_DATA_BYP_ADDR +12*256, 16, 16)
57#define DMA_ADDR_7 mpeval(DMA_DATA_BYP_ADDR +13*256, 16, 16)
58
59
60/************************************************************************
61 Test case code start
62 ************************************************************************/
63.text
64.global main
65
66main:
67 ta T_CHANGE_HPRIV
68 nop
69
70 ! enable bypass in IOMMU
71 setx FIRE_DLC_MMU_CSR_A_CTL_ADDR, %g1, %g2
72 setx FIRE_DLC_MMU_CSR_A_CTL__BYPASS_EN, %g1, %g3
73 stx %g3, [%g2]
74 ldx [%g2], %g3
75
76! Trigger some DMA Reads of various lengths
77
78Xmt1: nop
79! $EV trig_pc_d(1, @VA(.MAIN.Xmt1)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h188", 1 )
80 nop
81 nop
82Xmt2: nop
83! $EV trig_pc_d(1, @VA(.MAIN.Xmt2)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h196", 1 )
84 nop
85 nop
86Xmt3: nop
87! $EV trig_pc_d(1, @VA(.MAIN.Xmt3)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h1aa", 1 )
88 nop
89 nop
90Xmt4: nop
91! $EV trig_pc_d(1, @VA(.MAIN.Xmt4)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h1bb", 1 )
92 nop
93 nop
94Xmt5: nop
95! $EV trig_pc_d(1, @VA(.MAIN.Xmt5)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h1cc", 1 )
96 nop
97 nop
98Xmt6: nop
99! $EV trig_pc_d(1, @VA(.MAIN.Xmt6)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h1dd", 1 )
100 nop
101 nop
102Xmt7: nop
103! $EV trig_pc_d(1, @VA(.MAIN.Xmt7)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h1ee", 1 )
104 nop
105 nop
106Xmt8: nop
107! $EV trig_pc_d(1, @VA(.MAIN.Xmt8)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h1ff", 1 )
108 nop
109 nop
110Xmt9: nop
111! $EV trig_pc_d(1, @VA(.MAIN.Xmt9)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h200", 1 )
112 nop
113 nop
114Xmt10: nop
115! $EV trig_pc_d(1, @VA(.MAIN.Xmt10)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h211", 1 )
116 nop
117 nop
118Xmt11: nop
119! $EV trig_pc_d(1, @VA(.MAIN.Xmt11)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h2ff", 1 )
120 nop
121 nop
122Xmt12: nop
123! $EV trig_pc_d(1, @VA(.MAIN.Xmt12)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h200", 1 )
124 nop
125 nop
126Xmt13: nop
127! $EV trig_pc_d(1, @VA(.MAIN.Xmt13)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h201", 1 )
128 nop
129 nop
130Xmt14: nop
131! $EV trig_pc_d(1, @VA(.MAIN.Xmt14)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h2ff", 1 )
132 nop
133 nop
134Xmt15: nop
135! $EV trig_pc_d(1, @VA(.MAIN.Xmt15)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h233", 1 )
136 nop
137 nop
138Xmt16: nop
139! $EV trig_pc_d(1, @VA(.MAIN.Xmt16)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h240", 1 )
140 nop
141 nop
142Xmt17: nop
143! $EV trig_pc_d(1, @VA(.MAIN.Xmt17)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h280", 1 )
144 nop
145 nop
146Xmt18: nop
147! $EV trig_pc_d(1, @VA(.MAIN.Xmt18)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h2cc", 1 )
148 nop
149 nop
150
151
152 !!! pick coverage points for a few specific # DWs: 28, 312, 7, 700, 741, 853, 907
153
154Xmt19: nop
155! $EV trig_pc_d(1, @VA(.MAIN.Xmt19)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_1, "64'h070", 1 )
156 nop
157 nop
158 nop
159Xmt20: nop
160! $EV trig_pc_d(1, @VA(.MAIN.Xmt20)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_2, DMA_ADDR_2, "64'h4e0", 1 )
161 nop
162 nop
163 nop
164Xmt21: nop
165! $EV trig_pc_d(1, @VA(.MAIN.Xmt21)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_3, DMA_ADDR_3, "64'h01c", 1 )
166 nop
167 nop
168Xmt22: nop
169! $EV trig_pc_d(1, @VA(.MAIN.Xmt22)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_4, DMA_ADDR_4, "64'haf0", 1 )
170 nop
171 nop
172 nop
173Xmt23: nop
174! $EV trig_pc_d(1, @VA(.MAIN.Xmt23)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_5, DMA_ADDR_5, "64'hb94", 1 )
175 nop
176 nop
177 nop
178Xmt24: nop
179! $EV trig_pc_d(1, @VA(.MAIN.Xmt24)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_6, DMA_ADDR_6, "64'hd54", 1 )
180 nop
181 nop
182Xmt25: nop
183! $EV trig_pc_d(1, @VA(.MAIN.Xmt25)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_7, DMA_ADDR_7, "64'he2c", 1 )
184 nop
185 nop
186
187
188
189 setx FIRE_PLC_TLU_CTB_TLR_CSR_A_TLU_CTL_ADDR, %g1, %g3
190 setx 0x030, %g1, %g4 ! loop 48 times
191
192delay_loop:
193 ldx [%g3], %l1 ! PIU CSR READ
194
195 dec %g4 ! decrement counter
196 brnz %g4, delay_loop ! loop if not zero
197 nop
198
199
200test_passed:
201 EXIT_GOOD
202
203test_failed:
204 EXIT_BAD
205
206
207/************************************************************************
208 Test case data start
209************************************************************************/
210
211SECTION .DATA DATA_VA=DMA_DATA_ADDR
212attr_data {
213 Name = .DATA,
214 hypervisor,
215 compressimage
216}
217.data
218 .xword 0x0001020304050607
219 .xword 0x08090a0b0c0d0e0f
220 .xword 0x1011121314151617
221 .xword 0x18191a1b1c1d1e1f
222 .xword 0x2021222324252627
223 .xword 0x28292a2b2c2d2e2f
224 .xword 0x3031323334353637
225 .xword 0x38393a3b3c3d3e3f
226 .xword 0x4041424344454647
227 .xword 0x48494a4b4c4d4e4f
228 .xword 0x5051525354555657
229 .xword 0x58595a5b5c5d5e5f
230 .xword 0x6061626364656667
231 .xword 0x68696a6b6c6d6e6f
232 .xword 0x7071727374757677
233 .xword 0x78797a7b7c7d7e7f
234 .xword 0x8081828384858687
235 .xword 0x88898a8b8c8d8e8f
236 .xword 0x9091929394959697
237 .xword 0x98999a9b9c9d9e9f
238 .xword 0xa0a1a2a3a4a5a6a7
239 .xword 0xa8a9aaabacadaeaf
240 .xword 0xb0b1b2b3b4b5b6b7
241 .xword 0xb8b9babbbcbdbebf
242 .xword 0xc0c1c2c3c4c5c6c7
243 .xword 0xc8c9cacbcccdcecf
244 .xword 0xd0d1d2d3d4d5d6d7
245 .xword 0xd8d9dadbdcdddedf
246 .xword 0xe0e1e2e3e4e5e6e7
247 .xword 0xe8e9eaebecedeeef
248 .xword 0xf0f1f2f3f4f5f6f7
249 .xword 0xf8f9fafbfcfdfeff
250
251 .xword 0x0001020304050607
252 .xword 0x08090a0b0c0d0e0f
253 .xword 0x1011121314151617
254 .xword 0x18191a1b1c1d1e1f
255 .xword 0x2021222324252627
256 .xword 0x28292a2b2c2d2e2f
257 .xword 0x3031323334353637
258 .xword 0x38393a3b3c3d3e3f
259 .xword 0x4041424344454647
260 .xword 0x48494a4b4c4d4e4f
261 .xword 0x5051525354555657
262 .xword 0x58595a5b5c5d5e5f
263 .xword 0x6061626364656667
264 .xword 0x68696a6b6c6d6e6f
265 .xword 0x7071727374757677
266 .xword 0x78797a7b7c7d7e7f
267 .xword 0x8081828384858687
268 .xword 0x88898a8b8c8d8e8f
269 .xword 0x9091929394959697
270 .xword 0x98999a9b9c9d9e9f
271 .xword 0xa0a1a2a3a4a5a6a7
272 .xword 0xa8a9aaabacadaeaf
273 .xword 0xb0b1b2b3b4b5b6b7
274 .xword 0xb8b9babbbcbdbebf
275 .xword 0xc0c1c2c3c4c5c6c7
276 .xword 0xc8c9cacbcccdcecf
277 .xword 0xd0d1d2d3d4d5d6d7
278 .xword 0xd8d9dadbdcdddedf
279 .xword 0xe0e1e2e3e4e5e6e7
280 .xword 0xe8e9eaebecedeeef
281 .xword 0xf0f1f2f3f4f5f6f7
282 .xword 0xf8f9fafbfcfdfeff
283
284 .xword 0x0001020304050607
285 .xword 0x08090a0b0c0d0e0f
286 .xword 0x1011121314151617
287 .xword 0x18191a1b1c1d1e1f
288 .xword 0x2021222324252627
289 .xword 0x28292a2b2c2d2e2f
290 .xword 0x3031323334353637
291 .xword 0x38393a3b3c3d3e3f
292 .xword 0x4041424344454647
293 .xword 0x48494a4b4c4d4e4f
294 .xword 0x5051525354555657
295 .xword 0x58595a5b5c5d5e5f
296 .xword 0x6061626364656667
297 .xword 0x68696a6b6c6d6e6f
298 .xword 0x7071727374757677
299 .xword 0x78797a7b7c7d7e7f
300 .xword 0x8081828384858687
301 .xword 0x88898a8b8c8d8e8f
302 .xword 0x9091929394959697
303 .xword 0x98999a9b9c9d9e9f
304 .xword 0xa0a1a2a3a4a5a6a7
305 .xword 0xa8a9aaabacadaeaf
306 .xword 0xb0b1b2b3b4b5b6b7
307 .xword 0xb8b9babbbcbdbebf
308 .xword 0xc0c1c2c3c4c5c6c7
309 .xword 0xc8c9cacbcccdcecf
310 .xword 0xd0d1d2d3d4d5d6d7
311 .xword 0xd8d9dadbdcdddedf
312 .xword 0xe0e1e2e3e4e5e6e7
313 .xword 0xe8e9eaebecedeeef
314 .xword 0xf0f1f2f3f4f5f6f7
315 .xword 0xf8f9fafbfcfdfeff
316
317 .xword 0x0001020304050607
318 .xword 0x08090a0b0c0d0e0f
319 .xword 0x1011121314151617
320 .xword 0x18191a1b1c1d1e1f
321 .xword 0x2021222324252627
322 .xword 0x28292a2b2c2d2e2f
323 .xword 0x3031323334353637
324 .xword 0x38393a3b3c3d3e3f
325 .xword 0x4041424344454647
326 .xword 0x48494a4b4c4d4e4f
327 .xword 0x5051525354555657
328 .xword 0x58595a5b5c5d5e5f
329 .xword 0x6061626364656667
330 .xword 0x68696a6b6c6d6e6f
331 .xword 0x7071727374757677
332 .xword 0x78797a7b7c7d7e7f
333 .xword 0x8081828384858687
334 .xword 0x88898a8b8c8d8e8f
335 .xword 0x9091929394959697
336 .xword 0x98999a9b9c9d9e9f
337 .xword 0xa0a1a2a3a4a5a6a7
338 .xword 0xa8a9aaabacadaeaf
339 .xword 0xb0b1b2b3b4b5b6b7
340 .xword 0xb8b9babbbcbdbebf
341 .xword 0xc0c1c2c3c4c5c6c7
342 .xword 0xc8c9cacbcccdcecf
343 .xword 0xd0d1d2d3d4d5d6d7
344 .xword 0xd8d9dadbdcdddedf
345 .xword 0xe0e1e2e3e4e5e6e7
346 .xword 0xe8e9eaebecedeeef
347 .xword 0xf0f1f2f3f4f5f6f7
348 .xword 0xf8f9fafbfcfdfeff
349
350 .xword 0x0001020304050607
351 .xword 0x08090a0b0c0d0e0f
352 .xword 0x1011121314151617
353 .xword 0x18191a1b1c1d1e1f
354 .xword 0x2021222324252627
355 .xword 0x28292a2b2c2d2e2f
356 .xword 0x3031323334353637
357 .xword 0x38393a3b3c3d3e3f
358 .xword 0x4041424344454647
359 .xword 0x48494a4b4c4d4e4f
360 .xword 0x5051525354555657
361 .xword 0x58595a5b5c5d5e5f
362 .xword 0x6061626364656667
363 .xword 0x68696a6b6c6d6e6f
364 .xword 0x7071727374757677
365 .xword 0x78797a7b7c7d7e7f
366 .xword 0x8081828384858687
367 .xword 0x88898a8b8c8d8e8f
368 .xword 0x9091929394959697
369 .xword 0x98999a9b9c9d9e9f
370 .xword 0xa0a1a2a3a4a5a6a7
371 .xword 0xa8a9aaabacadaeaf
372 .xword 0xb0b1b2b3b4b5b6b7
373 .xword 0xb8b9babbbcbdbebf
374 .xword 0xc0c1c2c3c4c5c6c7
375 .xword 0xc8c9cacbcccdcecf
376 .xword 0xd0d1d2d3d4d5d6d7
377 .xword 0xd8d9dadbdcdddedf
378 .xword 0xe0e1e2e3e4e5e6e7
379 .xword 0xe8e9eaebecedeeef
380 .xword 0xf0f1f2f3f4f5f6f7
381 .xword 0xf8f9fafbfcfdfeff
382
383 .xword 0x0001020304050607
384 .xword 0x08090a0b0c0d0e0f
385 .xword 0x1011121314151617
386 .xword 0x18191a1b1c1d1e1f
387 .xword 0x2021222324252627
388 .xword 0x28292a2b2c2d2e2f
389 .xword 0x3031323334353637
390 .xword 0x38393a3b3c3d3e3f
391 .xword 0x4041424344454647
392 .xword 0x48494a4b4c4d4e4f
393 .xword 0x5051525354555657
394 .xword 0x58595a5b5c5d5e5f
395 .xword 0x6061626364656667
396 .xword 0x68696a6b6c6d6e6f
397 .xword 0x7071727374757677
398 .xword 0x78797a7b7c7d7e7f
399 .xword 0x8081828384858687
400 .xword 0x88898a8b8c8d8e8f
401 .xword 0x9091929394959697
402 .xword 0x98999a9b9c9d9e9f
403 .xword 0xa0a1a2a3a4a5a6a7
404 .xword 0xa8a9aaabacadaeaf
405 .xword 0xb0b1b2b3b4b5b6b7
406 .xword 0xb8b9babbbcbdbebf
407 .xword 0xc0c1c2c3c4c5c6c7
408 .xword 0xc8c9cacbcccdcecf
409 .xword 0xd0d1d2d3d4d5d6d7
410 .xword 0xd8d9dadbdcdddedf
411 .xword 0xe0e1e2e3e4e5e6e7
412 .xword 0xe8e9eaebecedeeef
413 .xword 0xf0f1f2f3f4f5f6f7
414 .xword 0xf8f9fafbfcfdfeff
415
416 .xword 0x0001020304050607
417 .xword 0x08090a0b0c0d0e0f
418 .xword 0x1011121314151617
419 .xword 0x18191a1b1c1d1e1f
420 .xword 0x2021222324252627
421 .xword 0x28292a2b2c2d2e2f
422 .xword 0x3031323334353637
423 .xword 0x38393a3b3c3d3e3f
424 .xword 0x4041424344454647
425 .xword 0x48494a4b4c4d4e4f
426 .xword 0x5051525354555657
427 .xword 0x58595a5b5c5d5e5f
428 .xword 0x6061626364656667
429 .xword 0x68696a6b6c6d6e6f
430 .xword 0x7071727374757677
431 .xword 0x78797a7b7c7d7e7f
432 .xword 0x8081828384858687
433 .xword 0x88898a8b8c8d8e8f
434 .xword 0x9091929394959697
435 .xword 0x98999a9b9c9d9e9f
436 .xword 0xa0a1a2a3a4a5a6a7
437 .xword 0xa8a9aaabacadaeaf
438 .xword 0xb0b1b2b3b4b5b6b7
439 .xword 0xb8b9babbbcbdbebf
440 .xword 0xc0c1c2c3c4c5c6c7
441 .xword 0xc8c9cacbcccdcecf
442 .xword 0xd0d1d2d3d4d5d6d7
443 .xword 0xd8d9dadbdcdddedf
444 .xword 0xe0e1e2e3e4e5e6e7
445 .xword 0xe8e9eaebecedeeef
446 .xword 0xf0f1f2f3f4f5f6f7
447 .xword 0xf8f9fafbfcfdfeff
448
449 .xword 0x0001020304050607
450 .xword 0x08090a0b0c0d0e0f
451 .xword 0x1011121314151617
452 .xword 0x18191a1b1c1d1e1f
453 .xword 0x2021222324252627
454 .xword 0x28292a2b2c2d2e2f
455 .xword 0x3031323334353637
456 .xword 0x38393a3b3c3d3e3f
457 .xword 0x4041424344454647
458 .xword 0x48494a4b4c4d4e4f
459 .xword 0x5051525354555657
460 .xword 0x58595a5b5c5d5e5f
461 .xword 0x6061626364656667
462 .xword 0x68696a6b6c6d6e6f
463 .xword 0x7071727374757677
464 .xword 0x78797a7b7c7d7e7f
465 .xword 0x8081828384858687
466 .xword 0x88898a8b8c8d8e8f
467 .xword 0x9091929394959697
468 .xword 0x98999a9b9c9d9e9f
469 .xword 0xa0a1a2a3a4a5a6a7
470 .xword 0xa8a9aaabacadaeaf
471 .xword 0xb0b1b2b3b4b5b6b7
472 .xword 0xb8b9babbbcbdbebf
473 .xword 0xc0c1c2c3c4c5c6c7
474 .xword 0xc8c9cacbcccdcecf
475 .xword 0xd0d1d2d3d4d5d6d7
476 .xword 0xd8d9dadbdcdddedf
477 .xword 0xe0e1e2e3e4e5e6e7
478 .xword 0xe8e9eaebecedeeef
479 .xword 0xf0f1f2f3f4f5f6f7
480 .xword 0xf8f9fafbfcfdfeff
481
482 .xword 0x0001020304050607
483 .xword 0x08090a0b0c0d0e0f
484 .xword 0x1011121314151617
485 .xword 0x18191a1b1c1d1e1f
486 .xword 0x2021222324252627
487 .xword 0x28292a2b2c2d2e2f
488 .xword 0x3031323334353637
489 .xword 0x38393a3b3c3d3e3f
490 .xword 0x4041424344454647
491 .xword 0x48494a4b4c4d4e4f
492 .xword 0x5051525354555657
493 .xword 0x58595a5b5c5d5e5f
494 .xword 0x6061626364656667
495 .xword 0x68696a6b6c6d6e6f
496 .xword 0x7071727374757677
497 .xword 0x78797a7b7c7d7e7f
498 .xword 0x8081828384858687
499 .xword 0x88898a8b8c8d8e8f
500 .xword 0x9091929394959697
501 .xword 0x98999a9b9c9d9e9f
502 .xword 0xa0a1a2a3a4a5a6a7
503 .xword 0xa8a9aaabacadaeaf
504 .xword 0xb0b1b2b3b4b5b6b7
505 .xword 0xb8b9babbbcbdbebf
506 .xword 0xc0c1c2c3c4c5c6c7
507 .xword 0xc8c9cacbcccdcecf
508 .xword 0xd0d1d2d3d4d5d6d7
509 .xword 0xd8d9dadbdcdddedf
510 .xword 0xe0e1e2e3e4e5e6e7
511 .xword 0xe8e9eaebecedeeef
512 .xword 0xf0f1f2f3f4f5f6f7
513 .xword 0xf8f9fafbfcfdfeff
514
515 .xword 0x0001020304050607
516 .xword 0x08090a0b0c0d0e0f
517 .xword 0x1011121314151617
518 .xword 0x18191a1b1c1d1e1f
519 .xword 0x2021222324252627
520 .xword 0x28292a2b2c2d2e2f
521 .xword 0x3031323334353637
522 .xword 0x38393a3b3c3d3e3f
523 .xword 0x4041424344454647
524 .xword 0x48494a4b4c4d4e4f
525 .xword 0x5051525354555657
526 .xword 0x58595a5b5c5d5e5f
527 .xword 0x6061626364656667
528 .xword 0x68696a6b6c6d6e6f
529 .xword 0x7071727374757677
530 .xword 0x78797a7b7c7d7e7f
531 .xword 0x8081828384858687
532 .xword 0x88898a8b8c8d8e8f
533 .xword 0x9091929394959697
534 .xword 0x98999a9b9c9d9e9f
535 .xword 0xa0a1a2a3a4a5a6a7
536 .xword 0xa8a9aaabacadaeaf
537 .xword 0xb0b1b2b3b4b5b6b7
538 .xword 0xb8b9babbbcbdbebf
539 .xword 0xc0c1c2c3c4c5c6c7
540 .xword 0xc8c9cacbcccdcecf
541 .xword 0xd0d1d2d3d4d5d6d7
542 .xword 0xd8d9dadbdcdddedf
543 .xword 0xe0e1e2e3e4e5e6e7
544 .xword 0xe8e9eaebecedeeef
545 .xword 0xf0f1f2f3f4f5f6f7
546 .xword 0xf8f9fafbfcfdfeff
547
548 .xword 0x0001020304050607
549 .xword 0x08090a0b0c0d0e0f
550 .xword 0x1011121314151617
551 .xword 0x18191a1b1c1d1e1f
552 .xword 0x2021222324252627
553 .xword 0x28292a2b2c2d2e2f
554 .xword 0x3031323334353637
555 .xword 0x38393a3b3c3d3e3f
556 .xword 0x4041424344454647
557 .xword 0x48494a4b4c4d4e4f
558 .xword 0x5051525354555657
559 .xword 0x58595a5b5c5d5e5f
560 .xword 0x6061626364656667
561 .xword 0x68696a6b6c6d6e6f
562 .xword 0x7071727374757677
563 .xword 0x78797a7b7c7d7e7f
564 .xword 0x8081828384858687
565 .xword 0x88898a8b8c8d8e8f
566 .xword 0x9091929394959697
567 .xword 0x98999a9b9c9d9e9f
568 .xword 0xa0a1a2a3a4a5a6a7
569 .xword 0xa8a9aaabacadaeaf
570 .xword 0xb0b1b2b3b4b5b6b7
571 .xword 0xb8b9babbbcbdbebf
572 .xword 0xc0c1c2c3c4c5c6c7
573 .xword 0xc8c9cacbcccdcecf
574 .xword 0xd0d1d2d3d4d5d6d7
575 .xword 0xd8d9dadbdcdddedf
576 .xword 0xe0e1e2e3e4e5e6e7
577 .xword 0xe8e9eaebecedeeef
578 .xword 0xf0f1f2f3f4f5f6f7
579 .xword 0xf8f9fafbfcfdfeff
580
581 .xword 0x0001020304050607
582 .xword 0x08090a0b0c0d0e0f
583 .xword 0x1011121314151617
584 .xword 0x18191a1b1c1d1e1f
585 .xword 0x2021222324252627
586 .xword 0x28292a2b2c2d2e2f
587 .xword 0x3031323334353637
588 .xword 0x38393a3b3c3d3e3f
589 .xword 0x4041424344454647
590 .xword 0x48494a4b4c4d4e4f
591 .xword 0x5051525354555657
592 .xword 0x58595a5b5c5d5e5f
593 .xword 0x6061626364656667
594 .xword 0x68696a6b6c6d6e6f
595 .xword 0x7071727374757677
596 .xword 0x78797a7b7c7d7e7f
597 .xword 0x8081828384858687
598 .xword 0x88898a8b8c8d8e8f
599 .xword 0x9091929394959697
600 .xword 0x98999a9b9c9d9e9f
601 .xword 0xa0a1a2a3a4a5a6a7
602 .xword 0xa8a9aaabacadaeaf
603 .xword 0xb0b1b2b3b4b5b6b7
604 .xword 0xb8b9babbbcbdbebf
605 .xword 0xc0c1c2c3c4c5c6c7
606 .xword 0xc8c9cacbcccdcecf
607 .xword 0xd0d1d2d3d4d5d6d7
608 .xword 0xd8d9dadbdcdddedf
609 .xword 0xe0e1e2e3e4e5e6e7
610 .xword 0xe8e9eaebecedeeef
611 .xword 0xf0f1f2f3f4f5f6f7
612 .xword 0xf8f9fafbfcfdfeff
613
614 .xword 0x0001020304050607
615 .xword 0x08090a0b0c0d0e0f
616 .xword 0x1011121314151617
617 .xword 0x18191a1b1c1d1e1f
618 .xword 0x2021222324252627
619 .xword 0x28292a2b2c2d2e2f
620 .xword 0x3031323334353637
621 .xword 0x38393a3b3c3d3e3f
622 .xword 0x4041424344454647
623 .xword 0x48494a4b4c4d4e4f
624 .xword 0x5051525354555657
625 .xword 0x58595a5b5c5d5e5f
626 .xword 0x6061626364656667
627 .xword 0x68696a6b6c6d6e6f
628 .xword 0x7071727374757677
629 .xword 0x78797a7b7c7d7e7f
630 .xword 0x8081828384858687
631 .xword 0x88898a8b8c8d8e8f
632 .xword 0x9091929394959697
633 .xword 0x98999a9b9c9d9e9f
634 .xword 0xa0a1a2a3a4a5a6a7
635 .xword 0xa8a9aaabacadaeaf
636 .xword 0xb0b1b2b3b4b5b6b7
637 .xword 0xb8b9babbbcbdbebf
638 .xword 0xc0c1c2c3c4c5c6c7
639 .xword 0xc8c9cacbcccdcecf
640 .xword 0xd0d1d2d3d4d5d6d7
641 .xword 0xd8d9dadbdcdddedf
642 .xword 0xe0e1e2e3e4e5e6e7
643 .xword 0xe8e9eaebecedeeef
644 .xword 0xf0f1f2f3f4f5f6f7
645 .xword 0xf8f9fafbfcfdfeff
646
647 .xword 0x0001020304050607
648 .xword 0x08090a0b0c0d0e0f
649 .xword 0x1011121314151617
650 .xword 0x18191a1b1c1d1e1f
651 .xword 0x2021222324252627
652 .xword 0x28292a2b2c2d2e2f
653 .xword 0x3031323334353637
654 .xword 0x38393a3b3c3d3e3f
655 .xword 0x4041424344454647
656 .xword 0x48494a4b4c4d4e4f
657 .xword 0x5051525354555657
658 .xword 0x58595a5b5c5d5e5f
659 .xword 0x6061626364656667
660 .xword 0x68696a6b6c6d6e6f
661 .xword 0x7071727374757677
662 .xword 0x78797a7b7c7d7e7f
663 .xword 0x8081828384858687
664 .xword 0x88898a8b8c8d8e8f
665 .xword 0x9091929394959697
666 .xword 0x98999a9b9c9d9e9f
667 .xword 0xa0a1a2a3a4a5a6a7
668 .xword 0xa8a9aaabacadaeaf
669 .xword 0xb0b1b2b3b4b5b6b7
670 .xword 0xb8b9babbbcbdbebf
671 .xword 0xc0c1c2c3c4c5c6c7
672 .xword 0xc8c9cacbcccdcecf
673 .xword 0xd0d1d2d3d4d5d6d7
674 .xword 0xd8d9dadbdcdddedf
675 .xword 0xe0e1e2e3e4e5e6e7
676 .xword 0xe8e9eaebecedeeef
677 .xword 0xf0f1f2f3f4f5f6f7
678 .xword 0xf8f9fafbfcfdfeff
679
680 .xword 0x0001020304050607
681 .xword 0x08090a0b0c0d0e0f
682 .xword 0x1011121314151617
683 .xword 0x18191a1b1c1d1e1f
684 .xword 0x2021222324252627
685 .xword 0x28292a2b2c2d2e2f
686 .xword 0x3031323334353637
687 .xword 0x38393a3b3c3d3e3f
688 .xword 0x4041424344454647
689 .xword 0x48494a4b4c4d4e4f
690 .xword 0x5051525354555657
691 .xword 0x58595a5b5c5d5e5f
692 .xword 0x6061626364656667
693 .xword 0x68696a6b6c6d6e6f
694 .xword 0x7071727374757677
695 .xword 0x78797a7b7c7d7e7f
696 .xword 0x8081828384858687
697 .xword 0x88898a8b8c8d8e8f
698 .xword 0x9091929394959697
699 .xword 0x98999a9b9c9d9e9f
700 .xword 0xa0a1a2a3a4a5a6a7
701 .xword 0xa8a9aaabacadaeaf
702 .xword 0xb0b1b2b3b4b5b6b7
703 .xword 0xb8b9babbbcbdbebf
704 .xword 0xc0c1c2c3c4c5c6c7
705 .xword 0xc8c9cacbcccdcecf
706 .xword 0xd0d1d2d3d4d5d6d7
707 .xword 0xd8d9dadbdcdddedf
708 .xword 0xe0e1e2e3e4e5e6e7
709 .xword 0xe8e9eaebecedeeef
710 .xword 0xf0f1f2f3f4f5f6f7
711 .xword 0xf8f9fafbfcfdfeff
712
713 .xword 0x0001020304050607
714 .xword 0x08090a0b0c0d0e0f
715 .xword 0x1011121314151617
716 .xword 0x18191a1b1c1d1e1f
717 .xword 0x2021222324252627
718 .xword 0x28292a2b2c2d2e2f
719 .xword 0x3031323334353637
720 .xword 0x38393a3b3c3d3e3f
721 .xword 0x4041424344454647
722 .xword 0x48494a4b4c4d4e4f
723 .xword 0x5051525354555657
724 .xword 0x58595a5b5c5d5e5f
725 .xword 0x6061626364656667
726 .xword 0x68696a6b6c6d6e6f
727 .xword 0x7071727374757677
728 .xword 0x78797a7b7c7d7e7f
729 .xword 0x8081828384858687
730 .xword 0x88898a8b8c8d8e8f
731 .xword 0x9091929394959697
732 .xword 0x98999a9b9c9d9e9f
733 .xword 0xa0a1a2a3a4a5a6a7
734 .xword 0xa8a9aaabacadaeaf
735 .xword 0xb0b1b2b3b4b5b6b7
736 .xword 0xb8b9babbbcbdbebf
737 .xword 0xc0c1c2c3c4c5c6c7
738 .xword 0xc8c9cacbcccdcecf
739 .xword 0xd0d1d2d3d4d5d6d7
740 .xword 0xd8d9dadbdcdddedf
741 .xword 0xe0e1e2e3e4e5e6e7
742 .xword 0xe8e9eaebecedeeef
743 .xword 0xf0f1f2f3f4f5f6f7
744 .xword 0xf8f9fafbfcfdfeff
745
746 .xword 0x0001020304050607
747 .xword 0x08090a0b0c0d0e0f
748 .xword 0x1011121314151617
749 .xword 0x18191a1b1c1d1e1f
750 .xword 0x2021222324252627
751 .xword 0x28292a2b2c2d2e2f
752 .xword 0x3031323334353637
753 .xword 0x38393a3b3c3d3e3f
754 .xword 0x4041424344454647
755 .xword 0x48494a4b4c4d4e4f
756 .xword 0x5051525354555657
757 .xword 0x58595a5b5c5d5e5f
758 .xword 0x6061626364656667
759 .xword 0x68696a6b6c6d6e6f
760 .xword 0x7071727374757677
761 .xword 0x78797a7b7c7d7e7f
762 .xword 0x8081828384858687
763 .xword 0x88898a8b8c8d8e8f
764 .xword 0x9091929394959697
765 .xword 0x98999a9b9c9d9e9f
766 .xword 0xa0a1a2a3a4a5a6a7
767 .xword 0xa8a9aaabacadaeaf
768 .xword 0xb0b1b2b3b4b5b6b7
769 .xword 0xb8b9babbbcbdbebf
770 .xword 0xc0c1c2c3c4c5c6c7
771 .xword 0xc8c9cacbcccdcecf
772 .xword 0xd0d1d2d3d4d5d6d7
773 .xword 0xd8d9dadbdcdddedf
774 .xword 0xe0e1e2e3e4e5e6e7
775 .xword 0xe8e9eaebecedeeef
776 .xword 0xf0f1f2f3f4f5f6f7
777 .xword 0xf8f9fafbfcfdfeff
778
779 .xword 0x0001020304050607
780 .xword 0x08090a0b0c0d0e0f
781 .xword 0x1011121314151617
782 .xword 0x18191a1b1c1d1e1f
783 .xword 0x2021222324252627
784 .xword 0x28292a2b2c2d2e2f
785 .xword 0x3031323334353637
786 .xword 0x38393a3b3c3d3e3f
787 .xword 0x4041424344454647
788 .xword 0x48494a4b4c4d4e4f
789 .xword 0x5051525354555657
790 .xword 0x58595a5b5c5d5e5f
791 .xword 0x6061626364656667
792 .xword 0x68696a6b6c6d6e6f
793 .xword 0x7071727374757677
794 .xword 0x78797a7b7c7d7e7f
795 .xword 0x8081828384858687
796 .xword 0x88898a8b8c8d8e8f
797 .xword 0x9091929394959697
798 .xword 0x98999a9b9c9d9e9f
799 .xword 0xa0a1a2a3a4a5a6a7
800 .xword 0xa8a9aaabacadaeaf
801 .xword 0xb0b1b2b3b4b5b6b7
802 .xword 0xb8b9babbbcbdbebf
803 .xword 0xc0c1c2c3c4c5c6c7
804 .xword 0xc8c9cacbcccdcecf
805 .xword 0xd0d1d2d3d4d5d6d7
806 .xword 0xd8d9dadbdcdddedf
807 .xword 0xe0e1e2e3e4e5e6e7
808 .xword 0xe8e9eaebecedeeef
809 .xword 0xf0f1f2f3f4f5f6f7
810 .xword 0xf8f9fafbfcfdfeff
811
812 .xword 0x0001020304050607
813 .xword 0x08090a0b0c0d0e0f
814 .xword 0x1011121314151617
815 .xword 0x18191a1b1c1d1e1f
816 .xword 0x2021222324252627
817 .xword 0x28292a2b2c2d2e2f
818 .xword 0x3031323334353637
819 .xword 0x38393a3b3c3d3e3f
820 .xword 0x4041424344454647
821 .xword 0x48494a4b4c4d4e4f
822 .xword 0x5051525354555657
823 .xword 0x58595a5b5c5d5e5f
824 .xword 0x6061626364656667
825 .xword 0x68696a6b6c6d6e6f
826 .xword 0x7071727374757677
827 .xword 0x78797a7b7c7d7e7f
828 .xword 0x8081828384858687
829 .xword 0x88898a8b8c8d8e8f
830 .xword 0x9091929394959697
831 .xword 0x98999a9b9c9d9e9f
832 .xword 0xa0a1a2a3a4a5a6a7
833 .xword 0xa8a9aaabacadaeaf
834 .xword 0xb0b1b2b3b4b5b6b7
835 .xword 0xb8b9babbbcbdbebf
836 .xword 0xc0c1c2c3c4c5c6c7
837 .xword 0xc8c9cacbcccdcecf
838 .xword 0xd0d1d2d3d4d5d6d7
839 .xword 0xd8d9dadbdcdddedf
840 .xword 0xe0e1e2e3e4e5e6e7
841 .xword 0xe8e9eaebecedeeef
842 .xword 0xf0f1f2f3f4f5f6f7
843 .xword 0xf8f9fafbfcfdfeff
844
845 .xword 0x0001020304050607
846 .xword 0x08090a0b0c0d0e0f
847 .xword 0x1011121314151617
848 .xword 0x18191a1b1c1d1e1f
849 .xword 0x2021222324252627
850 .xword 0x28292a2b2c2d2e2f
851 .xword 0x3031323334353637
852 .xword 0x38393a3b3c3d3e3f
853 .xword 0x4041424344454647
854 .xword 0x48494a4b4c4d4e4f
855 .xword 0x5051525354555657
856 .xword 0x58595a5b5c5d5e5f
857 .xword 0x6061626364656667
858 .xword 0x68696a6b6c6d6e6f
859 .xword 0x7071727374757677
860 .xword 0x78797a7b7c7d7e7f
861 .xword 0x8081828384858687
862 .xword 0x88898a8b8c8d8e8f
863 .xword 0x9091929394959697
864 .xword 0x98999a9b9c9d9e9f
865 .xword 0xa0a1a2a3a4a5a6a7
866 .xword 0xa8a9aaabacadaeaf
867 .xword 0xb0b1b2b3b4b5b6b7
868 .xword 0xb8b9babbbcbdbebf
869 .xword 0xc0c1c2c3c4c5c6c7
870 .xword 0xc8c9cacbcccdcecf
871 .xword 0xd0d1d2d3d4d5d6d7
872 .xword 0xd8d9dadbdcdddedf
873 .xword 0xe0e1e2e3e4e5e6e7
874 .xword 0xe8e9eaebecedeeef
875 .xword 0xf0f1f2f3f4f5f6f7
876 .xword 0xf8f9fafbfcfdfeff
877
878
879/************************************************************************/