Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / diag / assembly / peu / PCIeDMARdMPS512Rcb.s
CommitLineData
86530b38
AT
1/*
2* ========== Copyright Header Begin ==========================================
3*
4* OpenSPARC T2 Processor File: PCIeDMARdMPS512Rcb.s
5* Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
6* 4150 Network Circle, Santa Clara, California 95054, U.S.A.
7*
8* DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
9*
10* This program is free software; you can redistribute it and/or modify
11* it under the terms of the GNU General Public License as published by
12* the Free Software Foundation; version 2 of the License.
13*
14* This program is distributed in the hope that it will be useful,
15* but WITHOUT ANY WARRANTY; without even the implied warranty of
16* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
17* GNU General Public License for more details.
18*
19* You should have received a copy of the GNU General Public License
20* along with this program; if not, write to the Free Software
21* Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
22*
23* For the avoidance of doubt, and except that if any non-GPL license
24* choice is available it will apply instead, Sun elects to use only
25* the General Public License version 2 (GPLv2) at this time for any
26* software where a choice of GPL license versions is made
27* available with the language indicating that GPLv2 or any later version
28* may be used, or where a choice of which version of the GPL is applied is
29* otherwise unspecified.
30*
31* Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
32* CA 95054 USA or visit www.sun.com if you need additional information or
33* have any questions.
34*
35*
36* ========== Copyright Header End ============================================
37*/
38#define ENABLE_PCIE_LINK_TRAINING
39#define ENABLE_PCIE_MPS_512
40#define MAIN_PAGE_HV_ALSO
41
42#include "hboot.s"
43#include "peu_defines.h"
44
45#define MEM32_RD_ADDR mpeval(N2_PCIE_BASE_ADDR + MEM32_OFFSET_BASE_REG_DATA)
46
47#define DMA_DATA_ADDR 0x0000000123456700
48#define DMA_DATA_BYP_ADDR mpeval(IOMMU_BYP_SADDR + DMA_DATA_ADDR)
49
50#define DMA_ADDR_1 mpeval(DMA_DATA_BYP_ADDR + 5*256, 16, 16)
51#define DMA_ADDR_2 mpeval(DMA_DATA_BYP_ADDR + 10*256, 16, 16)
52#define DMA_ADDR_3 mpeval(DMA_DATA_BYP_ADDR + 11*256, 16, 16)
53#define DMA_ADDR_4 mpeval(DMA_DATA_BYP_ADDR + 12*256, 16, 16)
54
55
56/************************************************************************
57 Test case code start
58 ************************************************************************/
59.text
60.global main
61
62main:
63 ta T_CHANGE_HPRIV
64 nop
65
66 ! enable bypass in IOMMU
67 setx FIRE_DLC_MMU_CSR_A_CTL_ADDR, %g1, %g2
68 setx FIRE_DLC_MMU_CSR_A_CTL__BYPASS_EN, %g1, %g3
69 stx %g3, [%g2]
70 ldx [%g2], %g3
71
72! Trigger some DMA Reads of various lengths
73
74Xmt1: nop
75! $EV trig_pc_d(1, @VA(.MAIN.Xmt1)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h288", 1 )
76 nop
77 nop
78Xmt2: nop
79! $EV trig_pc_d(1, @VA(.MAIN.Xmt2)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h296", 1 )
80 nop
81 nop
82Xmt3: nop
83! $EV trig_pc_d(1, @VA(.MAIN.Xmt3)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h2aa", 1 )
84 nop
85 nop
86Xmt4: nop
87! $EV trig_pc_d(1, @VA(.MAIN.Xmt4)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h2bb", 1 )
88 nop
89 nop
90Xmt5: nop
91! $EV trig_pc_d(1, @VA(.MAIN.Xmt5)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h2cc", 1 )
92 nop
93 nop
94Xmt6: nop
95! $EV trig_pc_d(1, @VA(.MAIN.Xmt6)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h2dd", 1 )
96 nop
97 nop
98Xmt7: nop
99! $EV trig_pc_d(1, @VA(.MAIN.Xmt7)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h2ee", 1 )
100 nop
101 nop
102Xmt8: nop
103! $EV trig_pc_d(1, @VA(.MAIN.Xmt8)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h2ff", 1 )
104 nop
105 nop
106Xmt9: nop
107! $EV trig_pc_d(1, @VA(.MAIN.Xmt9)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h300", 1 )
108 nop
109 nop
110Xmt10: nop
111! $EV trig_pc_d(1, @VA(.MAIN.Xmt10)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h311", 1 )
112 nop
113 nop
114Xmt11: nop
115! $EV trig_pc_d(1, @VA(.MAIN.Xmt11)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h3ff", 1 )
116 nop
117 nop
118Xmt12: nop
119! $EV trig_pc_d(1, @VA(.MAIN.Xmt12)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h233", 1 )
120 nop
121 nop
122Xmt13: nop
123! $EV trig_pc_d(1, @VA(.MAIN.Xmt13)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h245", 1 )
124 nop
125 nop
126Xmt14: nop
127! $EV trig_pc_d(1, @VA(.MAIN.Xmt14)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h2ff", 1 )
128 nop
129 nop
130Xmt15: nop
131! $EV trig_pc_d(1, @VA(.MAIN.Xmt15)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h333", 1 )
132 nop
133 nop
134Xmt16: nop
135! $EV trig_pc_d(1, @VA(.MAIN.Xmt16)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h400", 1 )
136 nop
137 nop
138 nop
139Xmt18: nop
140! $EV trig_pc_d(1, @VA(.MAIN.Xmt18)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_1, DMA_ADDR_2, "64'h300", 1 )
141 nop
142 nop
143
144 !!! pick coverage points for a few specific # DWs: 106, 527, and 630
145
146Xmt19: nop
147! $EV trig_pc_d(1, @VA(.MAIN.Xmt19)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_2, DMA_ADDR_2, "64'h1a8", 1 )
148 nop
149 nop
150 nop
151Xmt20: nop
152! $EV trig_pc_d(1, @VA(.MAIN.Xmt20)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_3, DMA_ADDR_3, "64'h83c", 1 )
153 nop
154 nop
155 nop
156Xmt21: nop
157! $EV trig_pc_d(1, @VA(.MAIN.Xmt21)) -> EnablePCIeIgCmd ("DMARD", DMA_ADDR_4, DMA_ADDR_4, "64'h9d8", 1 )
158 nop
159 nop
160
161INTA:
162! $EV trig_pc_d(1,@VA(.MAIN.INTA)) -> EnablePCIeIgCmd("DMARD_INTA", DMA_ADDR_2, DMA_ADDR_2, "64'h0",1)
163 setx FIRE_DLC_IMU_RDS_INTX_CSR_A_INTX_STATUS_REG_ADDR, %g1, %g2
164 mov 100, %g3 ! loop count
165 mov 8, %g4 ! INTA asserted bit
166
167inta_wait:
168 ldx [%g2], %g5
169 cmp %g4,%g5
170 be got_inta
171
172 dec %g3
173 cmp %g3, 0
174 bne inta_wait
175 nop
176
177test_failed0:
178! $EV trig_pc_d(1, @VA(.MAIN.test_failed0)) -> printf("\n ERROR: timeout while waiting for INTA\n")
179 EXIT_BAD
180 nop
181
182got_inta:
183test_passed:
184 EXIT_GOOD
185
186test_failed:
187 EXIT_BAD
188
189
190/************************************************************************
191 Test case data start
192************************************************************************/
193
194SECTION .DATA DATA_VA=DMA_DATA_ADDR
195attr_data {
196 Name = .DATA,
197 hypervisor,
198 compressimage
199}
200.data
201 .xword 0x0001020304050607
202 .xword 0x08090a0b0c0d0e0f
203 .xword 0x1011121314151617
204 .xword 0x18191a1b1c1d1e1f
205 .xword 0x2021222324252627
206 .xword 0x28292a2b2c2d2e2f
207 .xword 0x3031323334353637
208 .xword 0x38393a3b3c3d3e3f
209 .xword 0x4041424344454647
210 .xword 0x48494a4b4c4d4e4f
211 .xword 0x5051525354555657
212 .xword 0x58595a5b5c5d5e5f
213 .xword 0x6061626364656667
214 .xword 0x68696a6b6c6d6e6f
215 .xword 0x7071727374757677
216 .xword 0x78797a7b7c7d7e7f
217 .xword 0x8081828384858687
218 .xword 0x88898a8b8c8d8e8f
219 .xword 0x9091929394959697
220 .xword 0x98999a9b9c9d9e9f
221 .xword 0xa0a1a2a3a4a5a6a7
222 .xword 0xa8a9aaabacadaeaf
223 .xword 0xb0b1b2b3b4b5b6b7
224 .xword 0xb8b9babbbcbdbebf
225 .xword 0xc0c1c2c3c4c5c6c7
226 .xword 0xc8c9cacbcccdcecf
227 .xword 0xd0d1d2d3d4d5d6d7
228 .xword 0xd8d9dadbdcdddedf
229 .xword 0xe0e1e2e3e4e5e6e7
230 .xword 0xe8e9eaebecedeeef
231 .xword 0xf0f1f2f3f4f5f6f7
232 .xword 0xf8f9fafbfcfdfeff
233
234 .xword 0x0001020304050607
235 .xword 0x08090a0b0c0d0e0f
236 .xword 0x1011121314151617
237 .xword 0x18191a1b1c1d1e1f
238 .xword 0x2021222324252627
239 .xword 0x28292a2b2c2d2e2f
240 .xword 0x3031323334353637
241 .xword 0x38393a3b3c3d3e3f
242 .xword 0x4041424344454647
243 .xword 0x48494a4b4c4d4e4f
244 .xword 0x5051525354555657
245 .xword 0x58595a5b5c5d5e5f
246 .xword 0x6061626364656667
247 .xword 0x68696a6b6c6d6e6f
248 .xword 0x7071727374757677
249 .xword 0x78797a7b7c7d7e7f
250 .xword 0x8081828384858687
251 .xword 0x88898a8b8c8d8e8f
252 .xword 0x9091929394959697
253 .xword 0x98999a9b9c9d9e9f
254 .xword 0xa0a1a2a3a4a5a6a7
255 .xword 0xa8a9aaabacadaeaf
256 .xword 0xb0b1b2b3b4b5b6b7
257 .xword 0xb8b9babbbcbdbebf
258 .xword 0xc0c1c2c3c4c5c6c7
259 .xword 0xc8c9cacbcccdcecf
260 .xword 0xd0d1d2d3d4d5d6d7
261 .xword 0xd8d9dadbdcdddedf
262 .xword 0xe0e1e2e3e4e5e6e7
263 .xword 0xe8e9eaebecedeeef
264 .xword 0xf0f1f2f3f4f5f6f7
265 .xword 0xf8f9fafbfcfdfeff
266
267 .xword 0x0001020304050607
268 .xword 0x08090a0b0c0d0e0f
269 .xword 0x1011121314151617
270 .xword 0x18191a1b1c1d1e1f
271 .xword 0x2021222324252627
272 .xword 0x28292a2b2c2d2e2f
273 .xword 0x3031323334353637
274 .xword 0x38393a3b3c3d3e3f
275 .xword 0x4041424344454647
276 .xword 0x48494a4b4c4d4e4f
277 .xword 0x5051525354555657
278 .xword 0x58595a5b5c5d5e5f
279 .xword 0x6061626364656667
280 .xword 0x68696a6b6c6d6e6f
281 .xword 0x7071727374757677
282 .xword 0x78797a7b7c7d7e7f
283 .xword 0x8081828384858687
284 .xword 0x88898a8b8c8d8e8f
285 .xword 0x9091929394959697
286 .xword 0x98999a9b9c9d9e9f
287 .xword 0xa0a1a2a3a4a5a6a7
288 .xword 0xa8a9aaabacadaeaf
289 .xword 0xb0b1b2b3b4b5b6b7
290 .xword 0xb8b9babbbcbdbebf
291 .xword 0xc0c1c2c3c4c5c6c7
292 .xword 0xc8c9cacbcccdcecf
293 .xword 0xd0d1d2d3d4d5d6d7
294 .xword 0xd8d9dadbdcdddedf
295 .xword 0xe0e1e2e3e4e5e6e7
296 .xword 0xe8e9eaebecedeeef
297 .xword 0xf0f1f2f3f4f5f6f7
298 .xword 0xf8f9fafbfcfdfeff
299
300 .xword 0x0001020304050607
301 .xword 0x08090a0b0c0d0e0f
302 .xword 0x1011121314151617
303 .xword 0x18191a1b1c1d1e1f
304 .xword 0x2021222324252627
305 .xword 0x28292a2b2c2d2e2f
306 .xword 0x3031323334353637
307 .xword 0x38393a3b3c3d3e3f
308 .xword 0x4041424344454647
309 .xword 0x48494a4b4c4d4e4f
310 .xword 0x5051525354555657
311 .xword 0x58595a5b5c5d5e5f
312 .xword 0x6061626364656667
313 .xword 0x68696a6b6c6d6e6f
314 .xword 0x7071727374757677
315 .xword 0x78797a7b7c7d7e7f
316 .xword 0x8081828384858687
317 .xword 0x88898a8b8c8d8e8f
318 .xword 0x9091929394959697
319 .xword 0x98999a9b9c9d9e9f
320 .xword 0xa0a1a2a3a4a5a6a7
321 .xword 0xa8a9aaabacadaeaf
322 .xword 0xb0b1b2b3b4b5b6b7
323 .xword 0xb8b9babbbcbdbebf
324 .xword 0xc0c1c2c3c4c5c6c7
325 .xword 0xc8c9cacbcccdcecf
326 .xword 0xd0d1d2d3d4d5d6d7
327 .xword 0xd8d9dadbdcdddedf
328 .xword 0xe0e1e2e3e4e5e6e7
329 .xword 0xe8e9eaebecedeeef
330 .xword 0xf0f1f2f3f4f5f6f7
331 .xword 0xf8f9fafbfcfdfeff
332
333 .xword 0x0001020304050607
334 .xword 0x08090a0b0c0d0e0f
335 .xword 0x1011121314151617
336 .xword 0x18191a1b1c1d1e1f
337 .xword 0x2021222324252627
338 .xword 0x28292a2b2c2d2e2f
339 .xword 0x3031323334353637
340 .xword 0x38393a3b3c3d3e3f
341 .xword 0x4041424344454647
342 .xword 0x48494a4b4c4d4e4f
343 .xword 0x5051525354555657
344 .xword 0x58595a5b5c5d5e5f
345 .xword 0x6061626364656667
346 .xword 0x68696a6b6c6d6e6f
347 .xword 0x7071727374757677
348 .xword 0x78797a7b7c7d7e7f
349 .xword 0x8081828384858687
350 .xword 0x88898a8b8c8d8e8f
351 .xword 0x9091929394959697
352 .xword 0x98999a9b9c9d9e9f
353 .xword 0xa0a1a2a3a4a5a6a7
354 .xword 0xa8a9aaabacadaeaf
355 .xword 0xb0b1b2b3b4b5b6b7
356 .xword 0xb8b9babbbcbdbebf
357 .xword 0xc0c1c2c3c4c5c6c7
358 .xword 0xc8c9cacbcccdcecf
359 .xword 0xd0d1d2d3d4d5d6d7
360 .xword 0xd8d9dadbdcdddedf
361 .xword 0xe0e1e2e3e4e5e6e7
362 .xword 0xe8e9eaebecedeeef
363 .xword 0xf0f1f2f3f4f5f6f7
364 .xword 0xf8f9fafbfcfdfeff
365
366 .xword 0x0001020304050607
367 .xword 0x08090a0b0c0d0e0f
368 .xword 0x1011121314151617
369 .xword 0x18191a1b1c1d1e1f
370 .xword 0x2021222324252627
371 .xword 0x28292a2b2c2d2e2f
372 .xword 0x3031323334353637
373 .xword 0x38393a3b3c3d3e3f
374 .xword 0x4041424344454647
375 .xword 0x48494a4b4c4d4e4f
376 .xword 0x5051525354555657
377 .xword 0x58595a5b5c5d5e5f
378 .xword 0x6061626364656667
379 .xword 0x68696a6b6c6d6e6f
380 .xword 0x7071727374757677
381 .xword 0x78797a7b7c7d7e7f
382 .xword 0x8081828384858687
383 .xword 0x88898a8b8c8d8e8f
384 .xword 0x9091929394959697
385 .xword 0x98999a9b9c9d9e9f
386 .xword 0xa0a1a2a3a4a5a6a7
387 .xword 0xa8a9aaabacadaeaf
388 .xword 0xb0b1b2b3b4b5b6b7
389 .xword 0xb8b9babbbcbdbebf
390 .xword 0xc0c1c2c3c4c5c6c7
391 .xword 0xc8c9cacbcccdcecf
392 .xword 0xd0d1d2d3d4d5d6d7
393 .xword 0xd8d9dadbdcdddedf
394 .xword 0xe0e1e2e3e4e5e6e7
395 .xword 0xe8e9eaebecedeeef
396 .xword 0xf0f1f2f3f4f5f6f7
397 .xword 0xf8f9fafbfcfdfeff
398
399 .xword 0x0001020304050607
400 .xword 0x08090a0b0c0d0e0f
401 .xword 0x1011121314151617
402 .xword 0x18191a1b1c1d1e1f
403 .xword 0x2021222324252627
404 .xword 0x28292a2b2c2d2e2f
405 .xword 0x3031323334353637
406 .xword 0x38393a3b3c3d3e3f
407 .xword 0x4041424344454647
408 .xword 0x48494a4b4c4d4e4f
409 .xword 0x5051525354555657
410 .xword 0x58595a5b5c5d5e5f
411 .xword 0x6061626364656667
412 .xword 0x68696a6b6c6d6e6f
413 .xword 0x7071727374757677
414 .xword 0x78797a7b7c7d7e7f
415 .xword 0x8081828384858687
416 .xword 0x88898a8b8c8d8e8f
417 .xword 0x9091929394959697
418 .xword 0x98999a9b9c9d9e9f
419 .xword 0xa0a1a2a3a4a5a6a7
420 .xword 0xa8a9aaabacadaeaf
421 .xword 0xb0b1b2b3b4b5b6b7
422 .xword 0xb8b9babbbcbdbebf
423 .xword 0xc0c1c2c3c4c5c6c7
424 .xword 0xc8c9cacbcccdcecf
425 .xword 0xd0d1d2d3d4d5d6d7
426 .xword 0xd8d9dadbdcdddedf
427 .xword 0xe0e1e2e3e4e5e6e7
428 .xword 0xe8e9eaebecedeeef
429 .xword 0xf0f1f2f3f4f5f6f7
430 .xword 0xf8f9fafbfcfdfeff
431
432 .xword 0x0001020304050607
433 .xword 0x08090a0b0c0d0e0f
434 .xword 0x1011121314151617
435 .xword 0x18191a1b1c1d1e1f
436 .xword 0x2021222324252627
437 .xword 0x28292a2b2c2d2e2f
438 .xword 0x3031323334353637
439 .xword 0x38393a3b3c3d3e3f
440 .xword 0x4041424344454647
441 .xword 0x48494a4b4c4d4e4f
442 .xword 0x5051525354555657
443 .xword 0x58595a5b5c5d5e5f
444 .xword 0x6061626364656667
445 .xword 0x68696a6b6c6d6e6f
446 .xword 0x7071727374757677
447 .xword 0x78797a7b7c7d7e7f
448 .xword 0x8081828384858687
449 .xword 0x88898a8b8c8d8e8f
450 .xword 0x9091929394959697
451 .xword 0x98999a9b9c9d9e9f
452 .xword 0xa0a1a2a3a4a5a6a7
453 .xword 0xa8a9aaabacadaeaf
454 .xword 0xb0b1b2b3b4b5b6b7
455 .xword 0xb8b9babbbcbdbebf
456 .xword 0xc0c1c2c3c4c5c6c7
457 .xword 0xc8c9cacbcccdcecf
458 .xword 0xd0d1d2d3d4d5d6d7
459 .xword 0xd8d9dadbdcdddedf
460 .xword 0xe0e1e2e3e4e5e6e7
461 .xword 0xe8e9eaebecedeeef
462 .xword 0xf0f1f2f3f4f5f6f7
463 .xword 0xf8f9fafbfcfdfeff
464
465 .xword 0x0001020304050607
466 .xword 0x08090a0b0c0d0e0f
467 .xword 0x1011121314151617
468 .xword 0x18191a1b1c1d1e1f
469 .xword 0x2021222324252627
470 .xword 0x28292a2b2c2d2e2f
471 .xword 0x3031323334353637
472 .xword 0x38393a3b3c3d3e3f
473 .xword 0x4041424344454647
474 .xword 0x48494a4b4c4d4e4f
475 .xword 0x5051525354555657
476 .xword 0x58595a5b5c5d5e5f
477 .xword 0x6061626364656667
478 .xword 0x68696a6b6c6d6e6f
479 .xword 0x7071727374757677
480 .xword 0x78797a7b7c7d7e7f
481 .xword 0x8081828384858687
482 .xword 0x88898a8b8c8d8e8f
483 .xword 0x9091929394959697
484 .xword 0x98999a9b9c9d9e9f
485 .xword 0xa0a1a2a3a4a5a6a7
486 .xword 0xa8a9aaabacadaeaf
487 .xword 0xb0b1b2b3b4b5b6b7
488 .xword 0xb8b9babbbcbdbebf
489 .xword 0xc0c1c2c3c4c5c6c7
490 .xword 0xc8c9cacbcccdcecf
491 .xword 0xd0d1d2d3d4d5d6d7
492 .xword 0xd8d9dadbdcdddedf
493 .xword 0xe0e1e2e3e4e5e6e7
494 .xword 0xe8e9eaebecedeeef
495 .xword 0xf0f1f2f3f4f5f6f7
496 .xword 0xf8f9fafbfcfdfeff
497
498 .xword 0x0001020304050607
499 .xword 0x08090a0b0c0d0e0f
500 .xword 0x1011121314151617
501 .xword 0x18191a1b1c1d1e1f
502 .xword 0x2021222324252627
503 .xword 0x28292a2b2c2d2e2f
504 .xword 0x3031323334353637
505 .xword 0x38393a3b3c3d3e3f
506 .xword 0x4041424344454647
507 .xword 0x48494a4b4c4d4e4f
508 .xword 0x5051525354555657
509 .xword 0x58595a5b5c5d5e5f
510 .xword 0x6061626364656667
511 .xword 0x68696a6b6c6d6e6f
512 .xword 0x7071727374757677
513 .xword 0x78797a7b7c7d7e7f
514 .xword 0x8081828384858687
515 .xword 0x88898a8b8c8d8e8f
516 .xword 0x9091929394959697
517 .xword 0x98999a9b9c9d9e9f
518 .xword 0xa0a1a2a3a4a5a6a7
519 .xword 0xa8a9aaabacadaeaf
520 .xword 0xb0b1b2b3b4b5b6b7
521 .xword 0xb8b9babbbcbdbebf
522 .xword 0xc0c1c2c3c4c5c6c7
523 .xword 0xc8c9cacbcccdcecf
524 .xword 0xd0d1d2d3d4d5d6d7
525 .xword 0xd8d9dadbdcdddedf
526 .xword 0xe0e1e2e3e4e5e6e7
527 .xword 0xe8e9eaebecedeeef
528 .xword 0xf0f1f2f3f4f5f6f7
529 .xword 0xf8f9fafbfcfdfeff
530
531 .xword 0x0001020304050607
532 .xword 0x08090a0b0c0d0e0f
533 .xword 0x1011121314151617
534 .xword 0x18191a1b1c1d1e1f
535 .xword 0x2021222324252627
536 .xword 0x28292a2b2c2d2e2f
537 .xword 0x3031323334353637
538 .xword 0x38393a3b3c3d3e3f
539 .xword 0x4041424344454647
540 .xword 0x48494a4b4c4d4e4f
541 .xword 0x5051525354555657
542 .xword 0x58595a5b5c5d5e5f
543 .xword 0x6061626364656667
544 .xword 0x68696a6b6c6d6e6f
545 .xword 0x7071727374757677
546 .xword 0x78797a7b7c7d7e7f
547 .xword 0x8081828384858687
548 .xword 0x88898a8b8c8d8e8f
549 .xword 0x9091929394959697
550 .xword 0x98999a9b9c9d9e9f
551 .xword 0xa0a1a2a3a4a5a6a7
552 .xword 0xa8a9aaabacadaeaf
553 .xword 0xb0b1b2b3b4b5b6b7
554 .xword 0xb8b9babbbcbdbebf
555 .xword 0xc0c1c2c3c4c5c6c7
556 .xword 0xc8c9cacbcccdcecf
557 .xword 0xd0d1d2d3d4d5d6d7
558 .xword 0xd8d9dadbdcdddedf
559 .xword 0xe0e1e2e3e4e5e6e7
560 .xword 0xe8e9eaebecedeeef
561 .xword 0xf0f1f2f3f4f5f6f7
562 .xword 0xf8f9fafbfcfdfeff
563
564 .xword 0x0001020304050607
565 .xword 0x08090a0b0c0d0e0f
566 .xword 0x1011121314151617
567 .xword 0x18191a1b1c1d1e1f
568 .xword 0x2021222324252627
569 .xword 0x28292a2b2c2d2e2f
570 .xword 0x3031323334353637
571 .xword 0x38393a3b3c3d3e3f
572 .xword 0x4041424344454647
573 .xword 0x48494a4b4c4d4e4f
574 .xword 0x5051525354555657
575 .xword 0x58595a5b5c5d5e5f
576 .xword 0x6061626364656667
577 .xword 0x68696a6b6c6d6e6f
578 .xword 0x7071727374757677
579 .xword 0x78797a7b7c7d7e7f
580 .xword 0x8081828384858687
581 .xword 0x88898a8b8c8d8e8f
582 .xword 0x9091929394959697
583 .xword 0x98999a9b9c9d9e9f
584 .xword 0xa0a1a2a3a4a5a6a7
585 .xword 0xa8a9aaabacadaeaf
586 .xword 0xb0b1b2b3b4b5b6b7
587 .xword 0xb8b9babbbcbdbebf
588 .xword 0xc0c1c2c3c4c5c6c7
589 .xword 0xc8c9cacbcccdcecf
590 .xword 0xd0d1d2d3d4d5d6d7
591 .xword 0xd8d9dadbdcdddedf
592 .xword 0xe0e1e2e3e4e5e6e7
593 .xword 0xe8e9eaebecedeeef
594 .xword 0xf0f1f2f3f4f5f6f7
595 .xword 0xf8f9fafbfcfdfeff
596
597 .xword 0x0001020304050607
598 .xword 0x08090a0b0c0d0e0f
599 .xword 0x1011121314151617
600 .xword 0x18191a1b1c1d1e1f
601 .xword 0x2021222324252627
602 .xword 0x28292a2b2c2d2e2f
603 .xword 0x3031323334353637
604 .xword 0x38393a3b3c3d3e3f
605 .xword 0x4041424344454647
606 .xword 0x48494a4b4c4d4e4f
607 .xword 0x5051525354555657
608 .xword 0x58595a5b5c5d5e5f
609 .xword 0x6061626364656667
610 .xword 0x68696a6b6c6d6e6f
611 .xword 0x7071727374757677
612 .xword 0x78797a7b7c7d7e7f
613 .xword 0x8081828384858687
614 .xword 0x88898a8b8c8d8e8f
615 .xword 0x9091929394959697
616 .xword 0x98999a9b9c9d9e9f
617 .xword 0xa0a1a2a3a4a5a6a7
618 .xword 0xa8a9aaabacadaeaf
619 .xword 0xb0b1b2b3b4b5b6b7
620 .xword 0xb8b9babbbcbdbebf
621 .xword 0xc0c1c2c3c4c5c6c7
622 .xword 0xc8c9cacbcccdcecf
623 .xword 0xd0d1d2d3d4d5d6d7
624 .xword 0xd8d9dadbdcdddedf
625 .xword 0xe0e1e2e3e4e5e6e7
626 .xword 0xe8e9eaebecedeeef
627 .xword 0xf0f1f2f3f4f5f6f7
628 .xword 0xf8f9fafbfcfdfeff
629
630 .xword 0x0001020304050607
631 .xword 0x08090a0b0c0d0e0f
632 .xword 0x1011121314151617
633 .xword 0x18191a1b1c1d1e1f
634 .xword 0x2021222324252627
635 .xword 0x28292a2b2c2d2e2f
636 .xword 0x3031323334353637
637 .xword 0x38393a3b3c3d3e3f
638 .xword 0x4041424344454647
639 .xword 0x48494a4b4c4d4e4f
640 .xword 0x5051525354555657
641 .xword 0x58595a5b5c5d5e5f
642 .xword 0x6061626364656667
643 .xword 0x68696a6b6c6d6e6f
644 .xword 0x7071727374757677
645 .xword 0x78797a7b7c7d7e7f
646 .xword 0x8081828384858687
647 .xword 0x88898a8b8c8d8e8f
648 .xword 0x9091929394959697
649 .xword 0x98999a9b9c9d9e9f
650 .xword 0xa0a1a2a3a4a5a6a7
651 .xword 0xa8a9aaabacadaeaf
652 .xword 0xb0b1b2b3b4b5b6b7
653 .xword 0xb8b9babbbcbdbebf
654 .xword 0xc0c1c2c3c4c5c6c7
655 .xword 0xc8c9cacbcccdcecf
656 .xword 0xd0d1d2d3d4d5d6d7
657 .xword 0xd8d9dadbdcdddedf
658 .xword 0xe0e1e2e3e4e5e6e7
659 .xword 0xe8e9eaebecedeeef
660 .xword 0xf0f1f2f3f4f5f6f7
661 .xword 0xf8f9fafbfcfdfeff
662
663 .xword 0x0001020304050607
664 .xword 0x08090a0b0c0d0e0f
665 .xword 0x1011121314151617
666 .xword 0x18191a1b1c1d1e1f
667 .xword 0x2021222324252627
668 .xword 0x28292a2b2c2d2e2f
669 .xword 0x3031323334353637
670 .xword 0x38393a3b3c3d3e3f
671 .xword 0x4041424344454647
672 .xword 0x48494a4b4c4d4e4f
673 .xword 0x5051525354555657
674 .xword 0x58595a5b5c5d5e5f
675 .xword 0x6061626364656667
676 .xword 0x68696a6b6c6d6e6f
677 .xword 0x7071727374757677
678 .xword 0x78797a7b7c7d7e7f
679 .xword 0x8081828384858687
680 .xword 0x88898a8b8c8d8e8f
681 .xword 0x9091929394959697
682 .xword 0x98999a9b9c9d9e9f
683 .xword 0xa0a1a2a3a4a5a6a7
684 .xword 0xa8a9aaabacadaeaf
685 .xword 0xb0b1b2b3b4b5b6b7
686 .xword 0xb8b9babbbcbdbebf
687 .xword 0xc0c1c2c3c4c5c6c7
688 .xword 0xc8c9cacbcccdcecf
689 .xword 0xd0d1d2d3d4d5d6d7
690 .xword 0xd8d9dadbdcdddedf
691 .xword 0xe0e1e2e3e4e5e6e7
692 .xword 0xe8e9eaebecedeeef
693 .xword 0xf0f1f2f3f4f5f6f7
694 .xword 0xf8f9fafbfcfdfeff
695
696 .xword 0x0001020304050607
697 .xword 0x08090a0b0c0d0e0f
698 .xword 0x1011121314151617
699 .xword 0x18191a1b1c1d1e1f
700 .xword 0x2021222324252627
701 .xword 0x28292a2b2c2d2e2f
702 .xword 0x3031323334353637
703 .xword 0x38393a3b3c3d3e3f
704 .xword 0x4041424344454647
705 .xword 0x48494a4b4c4d4e4f
706 .xword 0x5051525354555657
707 .xword 0x58595a5b5c5d5e5f
708 .xword 0x6061626364656667
709 .xword 0x68696a6b6c6d6e6f
710 .xword 0x7071727374757677
711 .xword 0x78797a7b7c7d7e7f
712 .xword 0x8081828384858687
713 .xword 0x88898a8b8c8d8e8f
714 .xword 0x9091929394959697
715 .xword 0x98999a9b9c9d9e9f
716 .xword 0xa0a1a2a3a4a5a6a7
717 .xword 0xa8a9aaabacadaeaf
718 .xword 0xb0b1b2b3b4b5b6b7
719 .xword 0xb8b9babbbcbdbebf
720 .xword 0xc0c1c2c3c4c5c6c7
721 .xword 0xc8c9cacbcccdcecf
722 .xword 0xd0d1d2d3d4d5d6d7
723 .xword 0xd8d9dadbdcdddedf
724 .xword 0xe0e1e2e3e4e5e6e7
725 .xword 0xe8e9eaebecedeeef
726 .xword 0xf0f1f2f3f4f5f6f7
727 .xword 0xf8f9fafbfcfdfeff
728
729 .xword 0x0001020304050607
730 .xword 0x08090a0b0c0d0e0f
731 .xword 0x1011121314151617
732 .xword 0x18191a1b1c1d1e1f
733 .xword 0x2021222324252627
734 .xword 0x28292a2b2c2d2e2f
735 .xword 0x3031323334353637
736 .xword 0x38393a3b3c3d3e3f
737 .xword 0x4041424344454647
738 .xword 0x48494a4b4c4d4e4f
739 .xword 0x5051525354555657
740 .xword 0x58595a5b5c5d5e5f
741 .xword 0x6061626364656667
742 .xword 0x68696a6b6c6d6e6f
743 .xword 0x7071727374757677
744 .xword 0x78797a7b7c7d7e7f
745 .xword 0x8081828384858687
746 .xword 0x88898a8b8c8d8e8f
747 .xword 0x9091929394959697
748 .xword 0x98999a9b9c9d9e9f
749 .xword 0xa0a1a2a3a4a5a6a7
750 .xword 0xa8a9aaabacadaeaf
751 .xword 0xb0b1b2b3b4b5b6b7
752 .xword 0xb8b9babbbcbdbebf
753 .xword 0xc0c1c2c3c4c5c6c7
754 .xword 0xc8c9cacbcccdcecf
755 .xword 0xd0d1d2d3d4d5d6d7
756 .xword 0xd8d9dadbdcdddedf
757 .xword 0xe0e1e2e3e4e5e6e7
758 .xword 0xe8e9eaebecedeeef
759 .xword 0xf0f1f2f3f4f5f6f7
760 .xword 0xf8f9fafbfcfdfeff
761
762 .xword 0x0001020304050607
763 .xword 0x08090a0b0c0d0e0f
764 .xword 0x1011121314151617
765 .xword 0x18191a1b1c1d1e1f
766 .xword 0x2021222324252627
767 .xword 0x28292a2b2c2d2e2f
768 .xword 0x3031323334353637
769 .xword 0x38393a3b3c3d3e3f
770 .xword 0x4041424344454647
771 .xword 0x48494a4b4c4d4e4f
772 .xword 0x5051525354555657
773 .xword 0x58595a5b5c5d5e5f
774 .xword 0x6061626364656667
775 .xword 0x68696a6b6c6d6e6f
776 .xword 0x7071727374757677
777 .xword 0x78797a7b7c7d7e7f
778 .xword 0x8081828384858687
779 .xword 0x88898a8b8c8d8e8f
780 .xword 0x9091929394959697
781 .xword 0x98999a9b9c9d9e9f
782 .xword 0xa0a1a2a3a4a5a6a7
783 .xword 0xa8a9aaabacadaeaf
784 .xword 0xb0b1b2b3b4b5b6b7
785 .xword 0xb8b9babbbcbdbebf
786 .xword 0xc0c1c2c3c4c5c6c7
787 .xword 0xc8c9cacbcccdcecf
788 .xword 0xd0d1d2d3d4d5d6d7
789 .xword 0xd8d9dadbdcdddedf
790 .xword 0xe0e1e2e3e4e5e6e7
791 .xword 0xe8e9eaebecedeeef
792 .xword 0xf0f1f2f3f4f5f6f7
793 .xword 0xf8f9fafbfcfdfeff
794
795 .xword 0x0001020304050607
796 .xword 0x08090a0b0c0d0e0f
797 .xword 0x1011121314151617
798 .xword 0x18191a1b1c1d1e1f
799 .xword 0x2021222324252627
800 .xword 0x28292a2b2c2d2e2f
801 .xword 0x3031323334353637
802 .xword 0x38393a3b3c3d3e3f
803 .xword 0x4041424344454647
804 .xword 0x48494a4b4c4d4e4f
805 .xword 0x5051525354555657
806 .xword 0x58595a5b5c5d5e5f
807 .xword 0x6061626364656667
808 .xword 0x68696a6b6c6d6e6f
809 .xword 0x7071727374757677
810 .xword 0x78797a7b7c7d7e7f
811 .xword 0x8081828384858687
812 .xword 0x88898a8b8c8d8e8f
813 .xword 0x9091929394959697
814 .xword 0x98999a9b9c9d9e9f
815 .xword 0xa0a1a2a3a4a5a6a7
816 .xword 0xa8a9aaabacadaeaf
817 .xword 0xb0b1b2b3b4b5b6b7
818 .xword 0xb8b9babbbcbdbebf
819 .xword 0xc0c1c2c3c4c5c6c7
820 .xword 0xc8c9cacbcccdcecf
821 .xword 0xd0d1d2d3d4d5d6d7
822 .xword 0xd8d9dadbdcdddedf
823 .xword 0xe0e1e2e3e4e5e6e7
824 .xword 0xe8e9eaebecedeeef
825 .xword 0xf0f1f2f3f4f5f6f7
826 .xword 0xf8f9fafbfcfdfeff
827
828 .xword 0x0001020304050607
829 .xword 0x08090a0b0c0d0e0f
830 .xword 0x1011121314151617
831 .xword 0x18191a1b1c1d1e1f
832 .xword 0x2021222324252627
833 .xword 0x28292a2b2c2d2e2f
834 .xword 0x3031323334353637
835 .xword 0x38393a3b3c3d3e3f
836 .xword 0x4041424344454647
837 .xword 0x48494a4b4c4d4e4f
838 .xword 0x5051525354555657
839 .xword 0x58595a5b5c5d5e5f
840 .xword 0x6061626364656667
841 .xword 0x68696a6b6c6d6e6f
842 .xword 0x7071727374757677
843 .xword 0x78797a7b7c7d7e7f
844 .xword 0x8081828384858687
845 .xword 0x88898a8b8c8d8e8f
846 .xword 0x9091929394959697
847 .xword 0x98999a9b9c9d9e9f
848 .xword 0xa0a1a2a3a4a5a6a7
849 .xword 0xa8a9aaabacadaeaf
850 .xword 0xb0b1b2b3b4b5b6b7
851 .xword 0xb8b9babbbcbdbebf
852 .xword 0xc0c1c2c3c4c5c6c7
853 .xword 0xc8c9cacbcccdcecf
854 .xword 0xd0d1d2d3d4d5d6d7
855 .xword 0xd8d9dadbdcdddedf
856 .xword 0xe0e1e2e3e4e5e6e7
857 .xword 0xe8e9eaebecedeeef
858 .xword 0xf0f1f2f3f4f5f6f7
859 .xword 0xf8f9fafbfcfdfeff
860
861
862/************************************************************************/