Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / diag / assembly / uarch / tlu / diag / newfcrand05 / fcrand05_rand_37.s
CommitLineData
86530b38
AT
1/*
2* ========== Copyright Header Begin ==========================================
3*
4* OpenSPARC T2 Processor File: fcrand05_rand_37.s
5* Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
6* 4150 Network Circle, Santa Clara, California 95054, U.S.A.
7*
8* DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
9*
10* This program is free software; you can redistribute it and/or modify
11* it under the terms of the GNU General Public License as published by
12* the Free Software Foundation; version 2 of the License.
13*
14* This program is distributed in the hope that it will be useful,
15* but WITHOUT ANY WARRANTY; without even the implied warranty of
16* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
17* GNU General Public License for more details.
18*
19* You should have received a copy of the GNU General Public License
20* along with this program; if not, write to the Free Software
21* Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
22*
23* For the avoidance of doubt, and except that if any non-GPL license
24* choice is available it will apply instead, Sun elects to use only
25* the General Public License version 2 (GPLv2) at this time for any
26* software where a choice of GPL license versions is made
27* available with the language indicating that GPLv2 or any later version
28* may be used, or where a choice of which version of the GPL is applied is
29* otherwise unspecified.
30*
31* Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
32* CA 95054 USA or visit www.sun.com if you need additional information or
33* have any questions.
34*
35*
36* ========== Copyright Header End ============================================
37*/
38#define NO_INTERNAL_SPU
39#define IMMU_SKIP_IF_NO_TTE
40#define DMMU_SKIP_IF_NO_TTE
41#define MAIN_PAGE_NUCLEUS_ALSO
42#define MAIN_PAGE_HV_ALSO
43#define MAIN_PAGE_VA_IS_RA_ALSO
44#define DISABLE_PART_LIMIT_CHECK
45#define MAIN_PAGE_USE_CONFIG 3
46#define PART0_Z_TSB_SIZE_3 10
47#define PART0_Z_PAGE_SIZE_3 1
48#define PART0_NZ_TSB_SIZE_3 10
49#define PART0_NZ_PAGE_SIZE_3 1
50#define PART0_Z_TSB_SIZE_1 3
51#define PART0_NZ_TSB_SIZE_1 3
52
53#define PART_0_BASE 0x0
54#define USER_PAGE_CUSTOM_MAP
55#define MAIN_BASE_TEXT_VA 0x333000000
56#define MAIN_BASE_TEXT_RA 0x033000000
57#define MAIN_BASE_DATA_VA 0x379400000
58#define MAIN_BASE_DATA_RA 0x079400000
59#define HIGHVA_HIGHNUM 0x3
60
61#d
62#define NO_EOB_MARKER
63
64#undef INC_ERR_TRAPS
65
66#undef H_HT0_Instruction_Access_MMU_Error_0x71
67#define H_HT0_Instruction_Access_MMU_Error_0x71
68#define SUN_H_HT0_Instruction_Access_MMU_Error_0x71 \
69 mov 0x80, %l3;\
70 stxa %g0, [%l3]0x57;\
71 retry;
72#undef H_HT0_Instruction_access_error_0x0a
73#define H_HT0_Instruction_access_error_0x0a
74#define SUN_H_HT0_Instruction_access_error_0x0a retry
75#undef H_HT0_Internal_Processor_Error_0x29
76#define H_HT0_Internal_Processor_Error_0x29
77#define SUN_H_HT0_Internal_Processor_Error_0x29 retry
78#undef H_HT0_Data_Access_MMU_Error_0x72
79#define H_HT0_Data_Access_MMU_Error_0x72
80#define SUN_H_HT0_Data_Access_MMU_Error_0x72 \
81 mov 0x80, %l3;\
82 stxa %g0, [%l3]0x5f;\
83 retry;
84#undef H_HT0_Data_access_error_0x32
85#define H_HT0_Data_access_error_0x32
86#define SUN_H_HT0_Data_access_error_0x32 \
87 add %g0, 0x18, %i1;\
88 ldxa [%i1] 0x58, %i2;\
89 cmp %i2, 0x4;\
90 bne 1f;\
91 nop;\
92 done;\
93 1:retry
94#undef H_HT0_Hw_Corrected_Error_0x63
95#define H_HT0_Hw_Corrected_Error_0x63
96#define SUN_H_HT0_Hw_Corrected_Error_0x63 ldxa [%g0]ASI_DESR, %i1; retry
97#undef H_HT0_Sw_Recoverable_Error_0x40
98#define H_HT0_Sw_Recoverable_Error_0x40
99#define SUN_H_HT0_Sw_Recoverable_Error_0x40 ldxa [%g0]ASI_DESR, %i1; retry
100#undef H_HT0_Store_Error_0x07
101#define H_HT0_Store_Error_0x07
102#define SUN_H_HT0_Store_Error_0x07 retry
103
104#define DAE_SKIP_IF_SOCU_ERROR
105#ifndef T_HANDLER_RAND4_1
106#define T_HANDLER_RAND4_1 b .+16;\
107 sdiv %r1, %r0, %l4;nop;nop
108#endif
109#ifndef T_HANDLER_RAND7_1
110#define T_HANDLER_RAND7_1 b .+28;\
111 pdist %f4, %f6, %f20; \
112 nop; nop ; nop; nop; illtrap
113#endif
114#ifndef T_HANDLER_RAND4_2
115#define T_HANDLER_RAND4_2 save %i7, %g0, %i7; \
116 save %i7, %g0, %i7; \
117 restore %i7, %g0, %i7;\
118 restore %i7, %g0, %i7;
119#endif
120#ifndef T_HANDLER_RAND7_2
121#define T_HANDLER_RAND7_2 b .+8 ;\
122 rdpr %pstate, %l2;\
123 b .+8 ;\
124 rdpr %tstate, %l3;\
125 b .+12 ;\
126 wrpr %l3, %r0, %tstate; nop
127#endif
128#ifndef T_HANDLER_RAND4_3
129#define T_HANDLER_RAND4_3 save %i7, %g0, %i7;\
130 restore %i7, %g0, %i7;\
131 save %i7, %g0, %i7; \
132 restore %i7, %g0, %i7;
133#endif
134#ifndef T_HANDLER_RAND7_3
135#define T_HANDLER_RAND7_3 b .+8 ;\
136 rdpr %tnpc, %l2;\
137 and %l2, 0xfc0, %l2;\
138 add %i7, %l2, %l2;\
139 stda %f16,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY ;\
140 b .+8 ;\
141 stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE ;
142#endif
143#ifndef T_HANDLER_RAND4_4
144#define T_HANDLER_RAND4_4 b .+4 ; b .+4; b .+4; b .+4
145#endif
146#ifndef T_HANDLER_RAND7_4
147#define T_HANDLER_RAND7_4 b .+8;\
148 save %i7, %g0, %i7; \
149 b,a .+8;\
150 b .+12;\
151 stw %i7, [%i7];\
152 b .-8;;\
153 restore %i7, %g0, %i7;
154
155#endif
156#ifndef T_HANDLER_RAND4_5
157#define T_HANDLER_RAND4_5 ldda [%r31]ASI_NUCLEUS_QUAD_LDD, %f16;\
158 sdiv %l4, %l5, %l7;\
159 add %r31, 128, %l5;\
160 stda %l4, [%i7]ASI_BLOCK_PRIMARY_LITTLE;
161#endif
162#ifndef T_HANDLER_RAND7_5
163#define T_HANDLER_RAND7_5 save %i7, %g0, %i7;\
164 rdpr %tnpc, %l2;\
165 wrpr %l2, %tpc;\
166 add %l2, 4, %l2;\
167 wrpr %l2, %tnpc;\
168 restore %i7, %g0, %i7;\
169 retry;
170#endif
171#ifndef T_HANDLER_RAND4_6
172#define T_HANDLER_RAND4_6 ldda [%r31]ASI_BLOCK_AS_IF_USER_PRIMARY, %f32;\
173 rd %fprs, %l2; \
174 wr %l2, 0x4, %fprs ;\
175 stda %f0,[%r31]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE;
176#endif
177#ifndef T_HANDLER_RAND7_6
178#define T_HANDLER_RAND7_6 umul %o4, 2, %o5;\
179 rdpr %tnpc, %l2;\
180 wrpr %l2, %tpc;\
181 add %l2, 4, %l2;\
182 wrpr %l2, %tnpc;\
183 stw %l2, [%i7];\
184 retry;
185#endif
186!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
187#ifndef HT_HANDLER_RAND4_1
188#define HT_HANDLER_RAND4_1 mov 0x80, %l3;\
189 b .+12;\
190 stxa %l3, [%l3]0x57 ;\
191 nop
192#endif
193#ifndef HT_HANDLER_RAND7_1
194#define HT_HANDLER_RAND7_1 b .+28;\
195 pdist %f4, %f4, %f20;\
196 nop; nop ; nop; nop; illtrap
197#endif
198#ifndef HT_HANDLER_RAND4_2
199#define HT_HANDLER_RAND4_2 rdpr %tstate, %l2;\
200 b .+12;\
201 wrpr %l2, 0x800, %tstate;\
202 nop;
203#endif
204#ifndef HT_HANDLER_RAND7_2
205#define HT_HANDLER_RAND7_2 b .+8 ;\
206 rdhpr %hpstate, %l2;\
207 b .+8 ;\
208 rdhpr %htstate, %l3;\
209 b .+12 ;\
210 wrhpr %l3, %r0, %htstate; nop
211#endif
212#ifndef HT_HANDLER_RAND4_3
213#define HT_HANDLER_RAND4_3 stxa %l4, [%r31]ASI_AS_IF_USER_PRIMARY;\
214 mov 0x80, %l3;\
215 stxa %l3, [%l3]0x5f ;\
216 b .+8 ;\
217 ldxa [%r31]ASI_AS_IF_USER_PRIMARY, %l4;
218#endif
219#ifndef HT_HANDLER_RAND7_3
220#define HT_HANDLER_RAND7_3 b .+8 ;\
221 rdpr %tnpc, %l2;\
222 and %l2, 0xfc0, %l2;\
223 add %i7, %l2, %l2;\
224 stda %f16,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY ;\
225 b .+8 ;\
226 stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE ;
227#endif
228#ifndef HT_HANDLER_RAND4_4
229#define HT_HANDLER_RAND4_4 ldda [%i7]ASI_BLOCK_PRIMARY_LITTLE, %f0;\
230 b .+12 ;\
231 stxa %l3, [%g0]ASI_LSU_CONTROL; nop
232#endif
233#ifndef HT_HANDLER_RAND7_4
234#define HT_HANDLER_RAND7_4 rdpr %tnpc, %l3;\
235 and %l3, 0xff, %l3;\
236 sllx %l3, 26, %l3;\
237 ldxa [%g0]0x45, %l4;\
238 or %l3, %l4, %l3 ;\
239 stxa %l3, [%g0]0x45 ;\
240 nop;
241#endif
242#ifndef HT_HANDLER_RAND4_5
243#define HT_HANDLER_RAND4_5 ldda [%r31]ASI_NUCLEUS_QUAD_LDD, %f48;\
244 sdiv %l4, %l5, %l6;\
245 sdiv %l3, %l6, %l7;\
246 stda %f32, [%r31]ASI_BLOCK_PRIMARY_LITTLE;
247#endif
248#ifndef HT_HANDLER_RAND7_5
249#define HT_HANDLER_RAND7_5 save %i7, %g0, %i7;\
250 rdpr %tnpc, %l2;\
251 wrpr %l2, %tpc;\
252 add %l2, 4, %l2;\
253 wrpr %l2, %tnpc;\
254 restore %i7, %g0, %i7;\
255 retry;
256#endif
257#ifndef HT_HANDLER_RAND4_6
258#define HT_HANDLER_RAND4_6 ld [%r31], %l2;\
259 rd %fprs, %l2; \
260 wr %l2, 0x4, %fprs ;\
261 stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE;
262#endif
263#ifndef HT_HANDLER_RAND7_6
264#define HT_HANDLER_RAND7_6 rdhpr %htstate, %o4;\
265 rdpr %tnpc, %l2;\
266 wrpr %l2, %tpc;\
267 add %l2, 4, %l2;\
268 wrpr %l2, %tnpc;\
269 wrhpr %o4, %r0, %htstate;\
270 retry;
271#endif
272
273!!!!!!!!!!!!!!!!!!!!!!!!!
274!! Disable trap checking
275#define NO_TRAPCHECK
276
277! Enable Traps
278#define ENABLE_T1_Privileged_Opcode_0x11
279#define ENABLE_T1_Fp_Disabled_0x20
280#define ENABLE_HT0_Watchdog_Reset_0x02
281
282#define FILL_TRAP_RETRY
283#define SPILL_TRAP_RETRY
284#define CLEAN_WIN_RETRY
285
286#define My_RED_Mode_Other_Reset
287#define My_RED_Mode_Other_Reset \
288 ba red_other_ext;\
289 nop;retry;nop;nop;nop;nop;nop
290
291#define H_HT0_Software_Initiated_Reset_0x04
292#define SUN_H_HT0_Software_Initiated_Reset_0x04 \
293 setx Software_Reset_Handler, %g1, %g2 ;\
294 jmp %g2 ;\
295 nop
296#define H_T1_Clean_Window_0x24
297#define SUN_H_T1_Clean_Window_0x24 \
298 rdpr %cleanwin, %l1;\
299 add %l1,1,%l1;\
300 wrpr %l1, %g0, %cleanwin;\
301 retry; nop; nop; nop; nop
302
303#define H_T1_Clean_Window_0x25
304#define SUN_H_T1_Clean_Window_0x25 \
305 rdpr %cleanwin, %l1;\
306 add %l1,1,%l1;\
307 wrpr %l1, %g0, %cleanwin;\
308 retry; nop; nop; nop; nop
309
310#define H_T1_Clean_Window_0x26
311#define SUN_H_T1_Clean_Window_0x26 \
312 rdpr %cleanwin, %l1;\
313 add %l1,1,%l1;\
314 wrpr %l1, %g0, %cleanwin;\
315 retry; nop; nop; nop; nop
316
317#define H_T1_Clean_Window_0x27
318#define SUN_H_T1_Clean_Window_0x27 \
319 rdpr %cleanwin, %l1;\
320 add %l1,1,%l1;\
321 wrpr %l1, %g0, %cleanwin;\
322 retry; nop; nop; nop; nop
323#define H_HT0_Tag_Overflow
324#define My_HT0_Tag_Overflow \
325 HT_HANDLER_RAND7_1 ;\
326 done
327
328#define H_T0_Tag_Overflow
329#define My_T0_Tag_Overflow \
330 T_HANDLER_RAND7_2 ;\
331 done
332
333#define H_T1_Tag_Overflow_0x23
334#define SUN_H_T1_Tag_Overflow_0x23 \
335 T_HANDLER_RAND7_3 ;\
336 done
337
338#define H_T0_Window_Spill_0_Normal_Trap
339#define SUN_H_T0_Window_Spill_0_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
340
341#define H_T0_Window_Spill_1_Normal_Trap
342#define SUN_H_T0_Window_Spill_1_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
343
344#define H_T0_Window_Spill_2_Normal_Trap
345#define SUN_H_T0_Window_Spill_2_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
346
347#define H_T0_Window_Spill_3_Normal_Trap
348#define SUN_H_T0_Window_Spill_3_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
349
350#define H_T0_Window_Spill_4_Normal_Trap
351#define SUN_H_T0_Window_Spill_4_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
352
353#define H_T0_Window_Spill_5_Normal_Trap
354#define SUN_H_T0_Window_Spill_5_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
355
356#define H_T0_Window_Spill_6_Normal_Trap
357#define SUN_H_T0_Window_Spill_6_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
358
359#define H_T0_Window_Spill_7_Normal_Trap
360#define SUN_H_T0_Window_Spill_7_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
361
362#define H_T0_Window_Spill_0_Other_Trap
363#define SUN_H_T0_Window_Spill_0_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
364
365#define H_T0_Window_Spill_1_Other_Trap
366#define SUN_H_T0_Window_Spill_1_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
367
368#define H_T0_Window_Spill_2_Other_Trap
369#define SUN_H_T0_Window_Spill_2_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
370
371#define H_T0_Window_Spill_3_Other_Trap
372#define SUN_H_T0_Window_Spill_3_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
373
374#define H_T0_Window_Spill_4_Other_Trap
375#define SUN_H_T0_Window_Spill_4_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
376
377#define H_T0_Window_Spill_5_Other_Trap
378#define SUN_H_T0_Window_Spill_5_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
379
380#define H_T0_Window_Spill_6_Other_Trap
381#define SUN_H_T0_Window_Spill_6_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
382
383#define H_T0_Window_Spill_7_Other_Trap
384#define SUN_H_T0_Window_Spill_7_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
385
386#define H_T0_Window_Fill_0_Normal_Trap
387#define SUN_H_T0_Window_Fill_0_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
388
389#define H_T0_Window_Fill_1_Normal_Trap
390#define SUN_H_T0_Window_Fill_1_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
391
392#define H_T0_Window_Fill_2_Normal_Trap
393#define SUN_H_T0_Window_Fill_2_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
394
395#define H_T0_Window_Fill_3_Normal_Trap
396#define SUN_H_T0_Window_Fill_3_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
397
398#define H_T0_Window_Fill_4_Normal_Trap
399#define SUN_H_T0_Window_Fill_4_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
400
401#define H_T0_Window_Fill_5_Normal_Trap
402#define SUN_H_T0_Window_Fill_5_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
403
404#define H_T0_Window_Fill_6_Normal_Trap
405#define SUN_H_T0_Window_Fill_6_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
406
407#define H_T0_Window_Fill_7_Normal_Trap
408#define SUN_H_T0_Window_Fill_7_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
409
410#define H_T0_Window_Fill_0_Other_Trap
411#define SUN_H_T0_Window_Fill_0_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
412
413#define H_T0_Window_Fill_1_Other_Trap
414#define SUN_H_T0_Window_Fill_1_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
415
416#define H_T0_Window_Fill_2_Other_Trap
417#define SUN_H_T0_Window_Fill_2_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
418
419#define H_T0_Window_Fill_3_Other_Trap
420#define SUN_H_T0_Window_Fill_3_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
421
422#define H_T0_Window_Fill_4_Other_Trap
423#define SUN_H_T0_Window_Fill_4_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
424
425#define H_T0_Window_Fill_5_Other_Trap
426#define SUN_H_T0_Window_Fill_5_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
427
428#define H_T0_Window_Fill_6_Other_Trap
429#define SUN_H_T0_Window_Fill_6_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
430
431#define H_T0_Window_Fill_7_Other_Trap
432#define SUN_H_T0_Window_Fill_7_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
433#define H_T1_Window_Spill_0_Normal_Trap
434#define SUN_H_T1_Window_Spill_0_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
435
436#define H_T1_Window_Spill_1_Normal_Trap
437#define SUN_H_T1_Window_Spill_1_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
438
439#define H_T1_Window_Spill_2_Normal_Trap
440#define SUN_H_T1_Window_Spill_2_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
441
442#define H_T1_Window_Spill_3_Normal_Trap
443#define SUN_H_T1_Window_Spill_3_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
444
445#define H_T1_Window_Spill_4_Normal_Trap
446#define SUN_H_T1_Window_Spill_4_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
447
448#define H_T1_Window_Spill_5_Normal_Trap
449#define SUN_H_T1_Window_Spill_5_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
450
451#define H_T1_Window_Spill_6_Normal_Trap
452#define SUN_H_T1_Window_Spill_6_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
453
454#define H_T1_Window_Spill_7_Normal_Trap
455#define SUN_H_T1_Window_Spill_7_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
456
457#define H_T1_Window_Spill_0_Other_Trap
458#define SUN_H_T1_Window_Spill_0_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
459
460#define H_T1_Window_Spill_1_Other_Trap
461#define SUN_H_T1_Window_Spill_1_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
462
463#define H_T1_Window_Spill_2_Other_Trap
464#define SUN_H_T1_Window_Spill_2_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
465
466#define H_T1_Window_Spill_3_Other_Trap
467#define SUN_H_T1_Window_Spill_3_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
468
469#define H_T1_Window_Spill_4_Other_Trap
470#define SUN_H_T1_Window_Spill_4_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
471
472#define H_T1_Window_Spill_5_Other_Trap
473#define SUN_H_T1_Window_Spill_5_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
474
475#define H_T1_Window_Spill_6_Other_Trap
476#define SUN_H_T1_Window_Spill_6_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
477
478#define H_T1_Window_Spill_7_Other_Trap
479#define SUN_H_T1_Window_Spill_7_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
480
481#define H_T1_Window_Fill_0_Normal_Trap
482#define SUN_H_T1_Window_Fill_0_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
483
484#define H_T1_Window_Fill_1_Normal_Trap
485#define SUN_H_T1_Window_Fill_1_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
486
487#define H_T1_Window_Fill_2_Normal_Trap
488#define SUN_H_T1_Window_Fill_2_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
489
490#define H_T1_Window_Fill_3_Normal_Trap
491#define SUN_H_T1_Window_Fill_3_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
492
493#define H_T1_Window_Fill_4_Normal_Trap
494#define SUN_H_T1_Window_Fill_4_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
495
496#define H_T1_Window_Fill_5_Normal_Trap
497#define SUN_H_T1_Window_Fill_5_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
498
499#define H_T1_Window_Fill_6_Normal_Trap
500#define SUN_H_T1_Window_Fill_6_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
501
502#define H_T1_Window_Fill_7_Normal_Trap
503#define SUN_H_T1_Window_Fill_7_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
504
505#define H_T1_Window_Fill_0_Other_Trap
506#define SUN_H_T1_Window_Fill_0_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
507
508#define H_T1_Window_Fill_1_Other_Trap
509#define SUN_H_T1_Window_Fill_1_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
510
511#define H_T1_Window_Fill_2_Other_Trap
512#define SUN_H_T1_Window_Fill_2_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
513
514#define H_T1_Window_Fill_3_Other_Trap
515#define SUN_H_T1_Window_Fill_3_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
516
517#define H_T1_Window_Fill_4_Other_Trap
518#define SUN_H_T1_Window_Fill_4_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
519
520#define H_T1_Window_Fill_5_Other_Trap
521#define SUN_H_T1_Window_Fill_5_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
522
523#define H_T1_Window_Fill_6_Other_Trap
524#define SUN_H_T1_Window_Fill_6_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
525
526#define H_T1_Window_Fill_7_Other_Trap
527#define SUN_H_T1_Window_Fill_7_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
528
529#define H_T0_Trap_Instruction_0
530#define My_T0_Trap_Instruction_0 \
531 T_HANDLER_RAND7_5 ;\
532 done;
533
534#define H_T0_Trap_Instruction_1
535#define My_T0_Trap_Instruction_1 \
536 T_HANDLER_RAND7_6 ;\
537 done;
538
539#define H_T0_Trap_Instruction_2
540#define My_T0_Trap_Instruction_2 \
541 inc %o3;\
542 umul %o3, 2, %o4;\
543 ba 1f; \
544 save %i7, %g0, %i7; \
545 2: done; \
546 nop; \
547 1: ba 2b; \
548 restore %i7, %g0, %i7
549#define H_T0_Trap_Instruction_3
550#define My_T0_Trap_Instruction_3 \
551 save %i7, %g0, %i7 ;\
552 T_HANDLER_RAND4_5;\
553 stw %o4, [%i7];\
554 restore %i7, %g0, %i7 ;\
555 done
556#define H_T0_Trap_Instruction_4
557#define My_T0_Trap_Instruction_4 \
558 T_HANDLER_RAND7_6 ;\
559 done;
560
561#define H_T0_Trap_Instruction_5
562#define My_T0_Trap_Instruction_5 \
563 T_HANDLER_RAND4_5;\
564 done;
565
566#define H_T1_Trap_Instruction_0
567#define My_T1_Trap_Instruction_0 \
568 inc %o4;\
569 umul %o4, 2, %o5;\
570 ba 3f; \
571 save %i7, %g0, %i7; \
572 4: done; \
573 nop; \
574 3: ba 4b; \
575 restore %i7, %g0, %i7
576#define H_T1_Trap_Instruction_1
577#define My_T1_Trap_Instruction_1 \
578 T_HANDLER_RAND7_3;\
579 done
580#define H_T1_Trap_Instruction_2
581#define My_T1_Trap_Instruction_2 \
582 inc %o3;\
583 umul %o3, 2, %o4;\
584 ba 5f; \
585 save %i7, %g0, %i7; \
586 6: done; \
587 nop; \
588 5: ba 6b; \
589 restore %i7, %g0, %i7
590#define H_T1_Trap_Instruction_3
591#define My_T1_Trap_Instruction_3 \
592 T_HANDLER_RAND4_1;\
593 done;
594
595#define H_T1_Trap_Instruction_4
596#define My_T1_Trap_Instruction_4 \
597 T_HANDLER_RAND7_1;\
598 done;
599#define H_T1_Trap_Instruction_5
600#define My_T1_Trap_Instruction_5 \
601 T_HANDLER_RAND7_2;\
602 done
603#define H_HT0_Trap_Instruction_0
604#define My_HT0_Trap_Instruction_0 \
605 HT_HANDLER_RAND4_1 ;\
606 done;
607#define H_HT0_Trap_Instruction_1
608#define My_HT0_Trap_Instruction_1 \
609 HT_HANDLER_RAND4_3 ;\
610 done
611#define H_HT0_Trap_Instruction_2
612#define My_HT0_Trap_Instruction_2 \
613 HT_HANDLER_RAND7_5 ;\
614 done;
615#define H_HT0_Trap_Instruction_3
616#define My_HT0_Trap_Instruction_3 \
617 HT_HANDLER_RAND4_5 ;\
618 done
619#define H_HT0_Trap_Instruction_4
620#define My_HT0_Trap_Instruction_4 \
621 HT_HANDLER_RAND7_4 ;\
622 done
623#define H_HT0_Trap_Instruction_5
624#define My_HT0_Trap_Instruction_5 \
625 ba htrap_5_ext;\
626 nop; retry;\
627 nop; nop; nop; nop; nop
628
629#define H_HT0_Mem_Address_Not_Aligned_0x34
630#define My_HT0_Mem_Address_Not_Aligned_0x34 \
631 HT_HANDLER_RAND4_2 ;\
632 done ;
633#define H_HT0_Illegal_instruction_0x10
634#define My_HT0_Illegal_instruction_0x10 \
635 done;
636
637#define H_HT0_DAE_so_page_0x30
638#define My_HT0_DAE_so_page_0x30 \
639 done;
640#define H_HT0_DAE_invalid_asi_0x14
641#define SUN_H_HT0_DAE_invalid_asi_0x14 \
642 done
643#define H_HT0_DAE_privilege_violation_0x15
644#define SUN_H_HT0_DAE_privilege_violation_0x15 \
645 done;
646#define H_HT0_Privileged_Action_0x37
647#define My_HT0_Privileged_Action_0x37 \
648 done; \
649 nop; nop
650#define H_HT0_Lddf_Mem_Address_Not_Aligned_0x35
651#define My_HT0_Lddf_Mem_Address_Not_Aligned_0x35 \
652 HT_HANDLER_RAND4_3 ;\
653 done
654#define H_HT0_Stdf_Mem_Address_Not_Aligned_0x36
655#define My_HT0_Stdf_Mem_Address_Not_Aligned_0x36 \
656 HT_HANDLER_RAND7_1;\
657 done
658#define H_HT0_Fp_exception_ieee_754_0x21
659#define My_HT0_Fp_exception_ieee_754_0x21 \
660 HT_HANDLER_RAND4_2 ;\
661 done
662#define H_HT0_Fp_exception_other_0x22
663#define My_HT0_Fp_exception_other_0x22 \
664 HT_HANDLER_RAND7_2 ;\
665 done
666#define H_HT0_Division_By_Zero
667#define My_HT0_Division_By_Zero \
668 HT_HANDLER_RAND4_6;\
669 done
670#define H_T0_Division_By_Zero
671#define My_T0_Division_By_Zero \
672 T_HANDLER_RAND4_3;\
673 done
674#define H_T1_Division_By_Zero_0x28
675#define My_H_T1_Division_By_Zero_0x28 \
676 T_HANDLER_RAND4_3;\
677 done
678#define H_T0_Division_By_Zero
679#define My_T0_Division_By_Zero\
680 T_HANDLER_RAND4_4 ;\
681 done
682#define H_T0_Fp_exception_ieee_754_0x21
683#define My_T0_Fp_exception_ieee_754_0x21 \
684 T_HANDLER_RAND4_3 ;\
685 done
686#define H_T1_Fp_Exception_Ieee_754_0x21
687#define My_H_T1_Fp_Exception_Ieee_754_0x21 \
688 T_HANDLER_RAND4_4 ;\
689 done
690#define H_T1_Fp_Exception_Other_0x22
691#define My_H_T1_Fp_Exception_Other_0x22 \
692 T_HANDLER_RAND4_5 ;\
693 done
694#define H_T1_Privileged_Opcode_0x11
695#define SUN_H_T1_Privileged_Opcode_0x11 \
696 T_HANDLER_RAND4_6 ;\
697 done
698
699#define H_HT0_Privileged_opcode_0x11
700#define My_HT0_Privileged_opcode_0x11 \
701 HT_HANDLER_RAND4_1;\
702 done;
703
704#define H_HT0_Fp_disabled_0x20
705#define My_HT0_Fp_disabled_0x20 \
706 mov 0x4, %l2 ;\
707 wr %l2, 0x0, %fprs ;\
708 sllx %l2, 10, %l3; \
709 rdpr %tstate, %l2;\
710 or %l2, %l3, %l2 ;\
711 stw %l2, [%i7];\
712 wrpr %l2, 0x0, %tstate;\
713 retry;
714
715#define H_T0_Fp_disabled_0x20
716#define My_T0_Fp_disabled_0x20 \
717 mov 0x4, %l2 ;\
718 wr %l2, 0x0, %fprs ;\
719 sllx %l2, 10, %l3; \
720 rdpr %tstate, %l2;\
721 or %l2, %l3, %l2 ;\
722 wrpr %l2, 0x0, %tstate;\
723 retry; nop
724
725#define H_T1_Fp_Disabled_0x20
726#define My_H_T1_Fp_Disabled_0x20 \
727 mov 0x4, %l2 ;\
728 wr %l2, 0x0, %fprs ;\
729 sllx %l2, 10, %l3; \
730 rdpr %tstate, %l2;\
731 or %l2, %l3, %l2 ;\
732 wrpr %l2, 0x0, %tstate;\
733 stw %l2, [%i7];\
734 retry
735
736#define H_HT0_Watchdog_Reset_0x02
737#define My_HT0_Watchdog_Reset_0x02 \
738 ba wdog_2_ext;\
739 nop;retry;nop;nop;nop;nop;nop
740
741#define H_T0_Privileged_opcode_0x11
742#define My_T0_Privileged_opcode_0x11 \
743 T_HANDLER_RAND4_4;\
744 done
745
746#define H_T1_Fp_exception_other_0x22
747#define My_T1_Fp_exception_other_0x22 \
748 T_HANDLER_RAND7_3 ;\
749 done;
750
751#define H_T0_Fp_exception_other_0x22
752#define My_T0_Fp_exception_other_0x22 \
753 T_HANDLER_RAND7_4;\
754 done
755
756#define H_HT0_Trap_Level_Zero_0x5f
757#define My_HT0_Trap_Level_Zero_0x5f \
758 not %g0, %r13; \
759 rdhpr %hpstate, %l3;\
760 jmp %r13;\
761 rdhpr %htstate, %l3;\
762 and %l3, 0xfe, %l3;\
763 wrhpr %l3, 0, %htstate;\
764 stw %r13, [%i7];\
765 retry
766
767#define My_Watchdog_Reset
768#define My_Watchdog_Reset \
769 ba wdog_red_ext;\
770 nop;retry;nop;nop;nop;nop;nop
771
772#define H_HT0_Control_Transfer_Instr_0x74
773#define My_H_HT0_Control_Transfer_Instr_0x74 \
774 rdpr %tstate, %l3;\
775 mov 1, %l4;\
776 sllx %l4, 20, %l4;\
777 wrpr %l3, %l4, %tstate ;\
778 retry;nop;
779
780#define H_T0_Control_Transfer_Instr_0x74
781#define My_H_T0_Control_Transfer_Instr_0x74 \
782 rdpr %tstate, %l3;\
783 mov 1, %l4;\
784 sllx %l4, 20, %l4;\
785 wrpr %l3, %l4, %tstate ;\
786 retry;nop;
787
788#define H_T1_Control_Transfer_Instr_0x74
789#define My_H_T1_Control_Transfer_Instr_0x74 \
790 rdpr %tstate, %l3;\
791 mov 1, %l4;\
792 sllx %l4, 20, %l4;\
793 wrpr %l3, %l4, %tstate ;\
794 retry;nop;
795#define H_HT0_data_access_protection_0x6c
796#define SUN_H_HT0_data_access_protection_0x6c ba daccess_prot_handler; nop
797
798#define H_HT0_PA_Watchpoint_0x61
799#define My_H_HT0_PA_Watchpoint_0x61 \
800 HT_HANDLER_RAND7_4;\
801 done
802
803#ifndef H_HT0_Data_access_error_0x32
804#define H_HT0_Data_access_error_0x32
805#define SUN_H_HT0_Data_access_error_0x32 \
806 done;nop
807#endif
808#define H_T0_VA_Watchpoint_0x62
809#define My_T0_VA_Watchpoint_0x62 \
810 T_HANDLER_RAND7_5;\
811 done
812
813#define H_T1_VA_Watchpoint_0x62
814#define SUN_H_T1_VA_Watchpoint_0x62 \
815 T_HANDLER_RAND7_3;\
816 done
817
818#define H_HT0_VA_Watchpoint_0x62
819#define My_H_HT0_VA_Watchpoint_0x62 \
820 HT_HANDLER_RAND7_5;\
821 done
822
823#define H_HT0_Instruction_VA_Watchpoint_0x75
824#define SUN_H_HT0_Instruction_VA_Watchpoint_0x75 \
825 done;
826
827#define H_HT0_Instruction_Breakpoint_0x76
828#define SUN_H_HT0_Instruction_Breakpoint_0x76 \
829 rdhpr %htstate, %g1;\
830 wrhpr %g1, 0x400, %htstate;\
831 retry;nop
832#define H_HT0_Instruction_address_range_0x0d
833#define SUN_H_HT0_Instruction_address_range_0x0d \
834 HT_HANDLER_RAND4_1;\
835 done;
836
837#define H_HT0_Instruction_real_range_0x0e
838#define SUN_H_HT0_Instruction_real_range_0x0e \
839 HT_HANDLER_RAND4_1;\
840 done;
841
842#define H_HT0_mem_real_range_0x2d
843#define SUN_H_HT0_mem_real_range_0x2d \
844 HT_HANDLER_RAND4_2;\
845 done;
846#define H_HT0_mem_address_range_0x2e
847#define SUN_H_HT0_mem_address_range_0x2e \
848 HT_HANDLER_RAND4_3;\
849 done;
850
851#define H_HT0_DAE_nc_page_0x16
852#define SUN_H_HT0_DAE_nc_page_0x16 \
853 done;
854
855#define H_HT0_DAE_nfo_page_0x17
856#define SUN_H_HT0_DAE_nfo_page_0x17 \
857 done;
858#define H_HT0_IAE_unauth_access_0x0b
859#define SUN_H_HT0_IAE_unauth_access_0x0b \
860 HT_HANDLER_RAND7_3;\
861 done;
862#define H_HT0_IAE_nfo_page_0x0c
863#define SUN_H_HT0_IAE_nfo_page_0x0c \
864 HT_HANDLER_RAND7_6;\
865 done;
866#define H_HT0_Reserved_0x3b
867#define SUN_H_HT0_Reserved_0x3b \
868 mov 0x80, %l3;\
869 stxa %l3, [%l3]0x5f ;\
870 stxa %l3, [%l3]0x57 ;\
871 done;
872#define H_HT0_IAE_privilege_violation_0x08
873#define My_HT0_IAE_privilege_violation_0x08 \
874 HT_HANDLER_RAND7_2;\
875 done;
876
877#ifndef H_HT0_Instruction_Access_MMU_Error_0x71
878#define H_HT0_Instruction_Access_MMU_Error_0x71
879#define SUN_H_HT0_Instruction_Access_MMU_Error_0x71 \
880 mov 0x80, %l3;\
881 stxa %l3, [%l3]0x5f ;\
882 stxa %l3, [%l3]0x57 ;\
883 retry;
884#endif
885
886#ifndef H_HT0_Data_Access_MMU_Error_0x72
887#define H_HT0_Data_Access_MMU_Error_0x72
888#define SUN_H_HT0_Data_Access_MMU_Error_0x72 \
889 mov 0x80, %l3;\
890 stxa %l3, [%l3]0x5f ;\
891 stxa %l3, [%l3]0x57 ;\
892 retry;
893#endif
894
895!!!!!!!!!!!!!!!!!!!!!! END of all handlers !!!!!!!!!!!!!!!!!!!
896!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
897!!!!!!!!!!!!!!!! START of Interrupt Handlers !!!!!!!!!!!!!!!!!
898
899#ifndef INT_HANDLER_RAND4_1
900#define INT_HANDLER_RAND4_1 retry; nop; nop; nop
901#endif
902#ifndef INT_HANDLER_RAND7_1
903#define INT_HANDLER_RAND7_1 retry; nop; nop; nop ; nop; nop; nop
904#endif
905#ifndef INT_HANDLER_RAND4_2
906#define INT_HANDLER_RAND4_2 retry; nop; nop; nop
907#endif
908#ifndef INT_HANDLER_RAND7_2
909#define INT_HANDLER_RAND7_2 retry; nop; nop; nop ; nop; nop; nop
910#endif
911#ifndef INT_HANDLER_RAND4_3
912#define INT_HANDLER_RAND4_3 retry; nop; nop; nop
913#endif
914#ifndef INT_HANDLER_RAND7_3
915#define INT_HANDLER_RAND7_3 retry; nop; nop; nop ; nop; nop; nop
916#endif
917#define H_HT0_Externally_Initiated_Reset_0x03
918#define SUN_H_HT0_Externally_Initiated_Reset_0x03 \
919 ldxa [%g0] ASI_LSU_CTL_REG, %g1; \
920 set cregs_lsu_ctl_reg_r64, %g1; \
921 stxa %g1, [%g0] ASI_LSU_CTL_REG; \
922 retry;nop
923
924#define My_External_Reset \
925 ldxa [%g0] ASI_LSU_CTL_REG, %l5; \
926 set cregs_lsu_ctl_reg_r64, %l5; \
927 stxa %l5, [%g0] ASI_LSU_CTL_REG; \
928 retry;nop
929
930!!!!! SPU Interrupt Handlers
931
932#define H_HT0_Control_Word_Queue_Interrupt_0x3c
933#define My_HT0_Control_Word_Queue_Interrupt_0x3c \
934 INT_HANDLER_RAND7_1 ;\
935 retry ;
936
937#define H_HT0_Modular_Arithmetic_Interrupt_0x3d
938#define My_H_HT0_Modular_Arithmetic_Interrupt_0x3d \
939 INT_HANDLER_RAND7_2 ;\
940 retry ;
941!!!!! HW interrupt handlers
942
943#define H_HT0_Interrupt_0x60
944#define My_HT0_Interrupt_0x60 \
945 ldxa [%g0] ASI_SWVR_INTR_RECEIVE, %g5 ;\
946 ldxa [%g0] ASI_SWVR_INTR_R, %g4 ;\
947 ldxa [%g0] ASI_SWVR_INTR_RECEIVE, %g3 ;\
948 INT_HANDLER_RAND4_1 ;\
949 retry;
950
951!!!!! Queue interrupt handler
952#define H_T0_Cpu_Mondo_Trap_0x7c
953#define My_T0_Cpu_Mondo_Trap_0x7c \
954 mov 0x3c8, %g3; \
955 ldxa [%g3] 0x25, %g5; \
956 mov 0x3c0, %g3; \
957 stxa %g5, [%g3] 0x25; \
958 retry; \
959 nop; \
960 nop; \
961 nop
962
963#define H_T0_Dev_Mondo_Trap_0x7d
964#define My_T0_Dev_Mondo_Trap_0x7d \
965 mov 0x3d8, %g3; \
966 ldxa [%g3] 0x25, %g5; \
967 mov 0x3d0, %g3; \
968 stxa %g5, [%g3] 0x25; \
969 retry; \
970 nop; \
971 nop; \
972 nop
973
974#define H_T0_Resumable_Error_0x7e
975#define My_T0_Resumable_Error_0x7e \
976 mov 0x3e8, %g3; \
977 ldxa [%g3] 0x25, %g5; \
978 mov 0x3e0, %g3; \
979 stxa %g5, [%g3] 0x25; \
980 retry; \
981 nop; \
982 nop; \
983 nop
984
985#define H_T1_Cpu_Mondo_Trap_0x7c
986#define My_T1_Cpu_Mondo_Trap_0x7c \
987 mov 0x3c8, %g3; \
988 ldxa [%g3] 0x25, %g5; \
989 mov 0x3c0, %g3; \
990 stxa %g5, [%g3] 0x25; \
991 retry; \
992 nop; \
993 nop; \
994 nop
995
996#define H_T1_Dev_Mondo_Trap_0x7d
997#define My_T1_Dev_Mondo_Trap_0x7d \
998 mov 0x3d8, %g3; \
999 ldxa [%g3] 0x25, %g5; \
1000 mov 0x3d0, %g3; \
1001 stxa %g5, [%g3] 0x25; \
1002 retry; \
1003 nop; \
1004 nop; \
1005 nop
1006
1007#define H_T1_Resumable_Error_0x7e
1008#define My_T1_Resumable_Error_0x7e \
1009 mov 0x3e8, %g3; \
1010 ldxa [%g3] 0x25, %g5; \
1011 mov 0x3e0, %g3; \
1012 stxa %g5, [%g3] 0x25; \
1013 retry; \
1014 nop; \
1015 nop; \
1016 nop
1017
1018#define H_HT0_Reserved_0x7c
1019#define SUN_H_HT0_Reserved_0x7c \
1020 mov 0x3c8, %g3; \
1021 ldxa [%g3] 0x25, %g5; \
1022 mov 0x3c0, %g3; \
1023 stxa %g5, [%g3] 0x25; \
1024 retry; \
1025 nop; \
1026 nop; \
1027 nop
1028
1029#define H_HT0_Reserved_0x7d
1030#define SUN_H_HT0_Reserved_0x7d \
1031 mov 0x3d8, %g3; \
1032 ldxa [%g3] 0x25, %g5; \
1033 mov 0x3d0, %g3; \
1034 stxa %g5, [%g3] 0x25; \
1035 retry; \
1036 nop; \
1037 nop; \
1038 nop
1039
1040#define H_HT0_Reserved_0x7e
1041#define SUN_H_HT0_Reserved_0x7e \
1042 mov 0x3e8, %g3; \
1043 ldxa [%g3] 0x25, %g5; \
1044 mov 0x3e0, %g3; \
1045 stxa %g5, [%g3] 0x25; \
1046 retry; \
1047 nop; \
1048 nop; \
1049 nop
1050!!!!! Hstick-match trap handler
1051#define H_T0_Reserved_0x5e
1052#define My_T0_Reserved_0x5e \
1053 rdhpr %hintp, %g3; \
1054 wrhpr %g3, %g3, %hintp; \
1055 retry; \
1056 nop; \
1057 nop; \
1058 nop; \
1059 nop; \
1060 nop
1061
1062#define H_HT0_Hstick_Match_0x5e
1063#define My_HT0_Hstick_Match_0x5e \
1064 rdhpr %hintp, %g3; \
1065 wrhpr %g3, %g3, %hintp; \
1066 retry; \
1067 nop; \
1068 nop; \
1069 nop; \
1070 nop; \
1071 nop
1072
1073#define H_T0_Reserved_0x5e
1074#define My_T0_Reserved_0x5e \
1075 rdhpr %hintp, %g3; \
1076 wrhpr %g3, %g3, %hintp; \
1077 retry; \
1078 nop; \
1079 nop; \
1080 nop; \
1081 nop; \
1082 nop
1083
1084#define H_T1_Reserved_0x5e
1085#define My_T1_Reserved_0x5e \
1086 rdhpr %hintp, %g3; \
1087 wrhpr %g3, %g3, %hintp; \
1088 retry; \
1089 nop; \
1090 nop; \
1091 nop; \
1092 nop; \
1093 nop
1094!!!!! SW interuupt handlers
1095#define H_T0_Interrupt_Level_14_0x4e
1096#define My_T0_Interrupt_Level_14_0x4e \
1097 rd %softint, %g3; \
1098 sethi %hi(0x14000), %g3; \
1099 or %g3, 0x1, %g3; \
1100 wr %g3, %g0, %clear_softint; \
1101 rd %tick, %g3 ;\
1102 retry; \
1103
1104#define H_T0_Interrupt_Level_1_0x41
1105#define My_T0_Interrupt_Level_1_0x41 \
1106 rd %softint, %g3; \
1107 or %g0, 0x2, %g3; \
1108 wr %g3, %g0, %clear_softint; \
1109 retry; \
1110 nop; \
1111 nop; \
1112 nop; \
1113 nop
1114
1115#define H_T0_Interrupt_Level_2_0x42
1116#define My_T0_Interrupt_Level_2_0x42 \
1117 rd %softint, %g3; \
1118 or %g0, 0x4, %g3; \
1119 wr %g3, %g0, %clear_softint; \
1120 retry; \
1121 nop; \
1122 nop; \
1123 nop; \
1124 nop
1125
1126#define H_T0_Interrupt_Level_3_0x43
1127#define My_T0_Interrupt_Level_3_0x43 \
1128 rd %softint, %g3; \
1129 or %g0, 0x8, %g3; \
1130 wr %g3, %g0, %clear_softint; \
1131 retry; \
1132 nop; \
1133 nop; \
1134 nop; \
1135 nop
1136
1137#define H_T0_Interrupt_Level_4_0x44
1138#define My_T0_Interrupt_Level_4_0x44 \
1139 rd %softint, %g3; \
1140 or %g0, 0x10, %g3; \
1141 wr %g3, %g0, %clear_softint; \
1142 retry; \
1143 nop; \
1144 nop; \
1145 nop; \
1146 nop
1147
1148#define H_T0_Interrupt_Level_5_0x45
1149#define My_T0_Interrupt_Level_5_0x45 \
1150 rd %softint, %g3; \
1151 or %g0, 0x20, %g3; \
1152 wr %g3, %g0, %clear_softint; \
1153 retry; \
1154 nop; \
1155 nop; \
1156 nop; \
1157 nop
1158
1159#define H_T0_Interrupt_Level_6_0x46
1160#define My_T0_Interrupt_Level_6_0x46 \
1161 rd %softint, %g3; \
1162 or %g0, 0x40, %g3; \
1163 wr %g3, %g0, %clear_softint; \
1164 retry; \
1165 nop; \
1166 nop; \
1167 nop; \
1168 nop
1169
1170#define H_T0_Interrupt_Level_7_0x47
1171#define My_T0_Interrupt_Level_7_0x47 \
1172 rd %softint, %g3; \
1173 or %g0, 0x80, %g3; \
1174 wr %g3, %g0, %clear_softint; \
1175 retry; \
1176 nop; \
1177 nop; \
1178 nop; \
1179 nop
1180
1181#define H_T0_Interrupt_Level_8_0x48
1182#define My_T0_Interrupt_Level_8_0x48 \
1183 rd %softint, %g3; \
1184 or %g0, 0x100, %g3; \
1185 wr %g3, %g0, %clear_softint; \
1186 retry; \
1187 nop; \
1188 nop; \
1189 nop; \
1190 nop
1191
1192#define H_T0_Interrupt_Level_9_0x49
1193#define My_T0_Interrupt_Level_9_0x49 \
1194 rd %softint, %g3; \
1195 or %g0, 0x200, %g3; \
1196 wr %g3, %g0, %clear_softint; \
1197 retry; \
1198 nop; \
1199 nop; \
1200 nop; \
1201 nop
1202
1203#define H_T0_Interrupt_Level_10_0x4a
1204#define My_T0_Interrupt_Level_10_0x4a \
1205 rd %softint, %g3; \
1206 or %g0, 0x400, %g3; \
1207 wr %g3, %g0, %clear_softint; \
1208 retry; \
1209 nop; \
1210 nop; \
1211 nop; \
1212 nop
1213
1214#define H_T0_Interrupt_Level_11_0x4b
1215#define My_T0_Interrupt_Level_11_0x4b \
1216 rd %softint, %g3; \
1217 or %g0, 0x800, %g3; \
1218 wr %g3, %g0, %clear_softint; \
1219 retry; \
1220 nop; \
1221 nop; \
1222 nop; \
1223 nop
1224
1225#define H_T0_Interrupt_Level_12_0x4c
1226#define My_T0_Interrupt_Level_12_0x4c \
1227 rd %softint, %g3; \
1228 sethi %hi(0x1000), %g3; \
1229 wr %g3, %g0, %clear_softint; \
1230 retry; \
1231 nop; \
1232 nop; \
1233 nop; \
1234 nop
1235
1236#define H_T0_Interrupt_Level_13_0x4d
1237#define My_T0_Interrupt_Level_13_0x4d \
1238 rd %softint, %g3; \
1239 sethi %hi(0x2000), %g3; \
1240 wr %g3, %g0, %clear_softint; \
1241 retry; \
1242 nop; \
1243 nop; \
1244 nop; \
1245 nop
1246
1247#define H_T0_Interrupt_Level_15_0x4f
1248#define My_T0_Interrupt_Level_15_0x4f \
1249 sethi %hi(0x8000), %g3; \
1250 wr %g3, %g0, %clear_softint; \
1251 wr %g0, %g0, %pic;\
1252 sethi %hi(0x80040000), %g2;\
1253 rd %pcr, %g3;\
1254 andn %g3, %g2, %g3;\
1255 wr %g3, %g0, %pcr;\
1256 retry;
1257
1258#define H_T1_Interrupt_Level_14_0x4e
1259#define My_T1_Interrupt_Level_14_0x4e \
1260 rd %softint, %g3; \
1261 sethi %hi(0x14000), %g3; \
1262 or %g3, 0x1, %g3; \
1263 wr %g3, %g0, %clear_softint; \
1264 rd %tick, %g3 ;\
1265 retry; \
1266
1267#define H_T1_Interrupt_Level_1_0x41
1268#define My_T1_Interrupt_Level_1_0x41 \
1269 rd %softint, %g3; \
1270 or %g0, 0x2, %g3; \
1271 wr %g3, %g0, %clear_softint; \
1272 retry; \
1273 nop; \
1274 nop; \
1275 nop; \
1276 nop
1277
1278#define H_T1_Interrupt_Level_2_0x42
1279#define My_T1_Interrupt_Level_2_0x42 \
1280 rd %softint, %g3; \
1281 or %g0, 0x4, %g3; \
1282 wr %g3, %g0, %clear_softint; \
1283 retry; \
1284 nop; \
1285 nop; \
1286 nop; \
1287 nop
1288
1289#define H_T1_Interrupt_Level_3_0x43
1290#define My_T1_Interrupt_Level_3_0x43 \
1291 rd %softint, %g3; \
1292 or %g0, 0x8, %g3; \
1293 wr %g3, %g0, %clear_softint; \
1294 retry; \
1295 nop; \
1296 nop; \
1297 nop; \
1298 nop
1299
1300#define H_T1_Interrupt_Level_4_0x44
1301#define My_T1_Interrupt_Level_4_0x44 \
1302 rd %softint, %g3; \
1303 or %g0, 0x10, %g3; \
1304 wr %g3, %g0, %clear_softint; \
1305 retry; \
1306 nop; \
1307 nop; \
1308 nop; \
1309 nop
1310
1311#define H_T1_Interrupt_Level_5_0x45
1312#define My_T1_Interrupt_Level_5_0x45 \
1313 rd %softint, %g3; \
1314 or %g0, 0x20, %g3; \
1315 wr %g3, %g0, %clear_softint; \
1316 retry; \
1317 nop; \
1318 nop; \
1319 nop; \
1320 nop
1321
1322#define H_T1_Interrupt_Level_6_0x46
1323#define My_T1_Interrupt_Level_6_0x46 \
1324 rd %softint, %g3; \
1325 or %g0, 0x40, %g3; \
1326 wr %g3, %g0, %clear_softint; \
1327 retry; \
1328 nop; \
1329 nop; \
1330 nop; \
1331 nop
1332
1333#define H_T1_Interrupt_Level_7_0x47
1334#define My_T1_Interrupt_Level_7_0x47 \
1335 rd %softint, %g3; \
1336 or %g0, 0x80, %g3; \
1337 wr %g3, %g0, %clear_softint; \
1338 retry; \
1339 nop; \
1340 nop; \
1341 nop; \
1342 nop
1343
1344#define H_T1_Interrupt_Level_8_0x48
1345#define My_T1_Interrupt_Level_8_0x48 \
1346 rd %softint, %g3; \
1347 or %g0, 0x100, %g3; \
1348 wr %g3, %g0, %clear_softint; \
1349 retry; \
1350 nop; \
1351 nop; \
1352 nop; \
1353 nop
1354
1355#define H_T1_Interrupt_Level_9_0x49
1356#define My_T1_Interrupt_Level_9_0x49 \
1357 rd %softint, %g3; \
1358 or %g0, 0x200, %g3; \
1359 wr %g3, %g0, %clear_softint; \
1360 retry; \
1361 nop; \
1362 nop; \
1363 nop; \
1364 nop
1365
1366#define H_T1_Interrupt_Level_10_0x4a
1367#define My_T1_Interrupt_Level_10_0x4a \
1368 rd %softint, %g3; \
1369 or %g0, 0x400, %g3; \
1370 wr %g3, %g0, %clear_softint; \
1371 retry; \
1372 nop; \
1373 nop; \
1374 nop; \
1375 nop
1376
1377#define H_T1_Interrupt_Level_11_0x4b
1378#define My_T1_Interrupt_Level_11_0x4b \
1379 rd %softint, %g3; \
1380 or %g0, 0x800, %g3; \
1381 wr %g3, %g0, %clear_softint; \
1382 retry; \
1383 nop; \
1384 nop; \
1385 nop; \
1386 nop
1387
1388#define H_T1_Interrupt_Level_12_0x4c
1389#define My_T1_Interrupt_Level_12_0x4c \
1390 rd %softint, %g3; \
1391 sethi %hi(0x1000), %g3; \
1392 wr %g3, %g0, %clear_softint; \
1393 retry; \
1394 nop; \
1395 nop; \
1396 nop; \
1397 nop
1398
1399#define H_T1_Interrupt_Level_13_0x4d
1400#define My_T1_Interrupt_Level_13_0x4d \
1401 rd %softint, %g3; \
1402 sethi %hi(0x2000), %g3; \
1403 wr %g3, %g0, %clear_softint; \
1404 retry; \
1405 nop; \
1406 nop; \
1407 nop; \
1408 nop
1409
1410#define H_T1_Interrupt_Level_15_0x4f
1411#define My_T1_Interrupt_Level_15_0x4f \
1412 sethi %hi(0x8000), %g3; \
1413 wr %g3, %g0, %clear_softint; \
1414 wr %g0, %g0, %pic;\
1415 sethi %hi(0x80040000), %g2;\
1416 rd %pcr, %g3;\
1417 andn %g3, %g2, %g3;\
1418 wr %g3, %g0, %pcr;\
1419 retry;
1420
1421#define H_HT0_Interrupt_Level_14_0x4e
1422#define My_HT0_Interrupt_Level_14_0x4e \
1423 rd %softint, %g3; \
1424 sethi %hi(0x14000), %g3; \
1425 or %g3, 0x1, %g3; \
1426 wr %g3, %g0, %clear_softint; \
1427 rd %tick, %g3 ;\
1428 sub %g3, 0x80, %g3;\
1429 wrpr %g3, %g0, %tick;\
1430 retry; \
1431
1432#define H_HT0_Interrupt_Level_1_0x41
1433#define My_HT0_Interrupt_Level_1_0x41 \
1434 rd %softint, %g3; \
1435 or %g0, 0x2, %g3; \
1436 wr %g3, %g0, %clear_softint; \
1437 retry; \
1438 nop; \
1439 nop; \
1440 nop; \
1441 nop
1442
1443#define H_HT0_Interrupt_Level_2_0x42
1444#define My_HT0_Interrupt_Level_2_0x42 \
1445 rd %softint, %g3; \
1446 or %g0, 0x4, %g3; \
1447 wr %g3, %g0, %clear_softint; \
1448 retry; \
1449 nop; \
1450 nop; \
1451 nop; \
1452 nop
1453
1454#define H_HT0_Interrupt_Level_3_0x43
1455#define My_HT0_Interrupt_Level_3_0x43 \
1456 rd %softint, %g3; \
1457 or %g0, 0x8, %g3; \
1458 wr %g3, %g0, %clear_softint; \
1459 retry; \
1460 nop; \
1461 nop; \
1462 nop; \
1463 nop
1464
1465#define H_HT0_Interrupt_Level_4_0x44
1466#define My_HT0_Interrupt_Level_4_0x44 \
1467 rd %softint, %g3; \
1468 or %g0, 0x10, %g3; \
1469 wr %g3, %g0, %clear_softint; \
1470 retry; \
1471 nop; \
1472 nop; \
1473 nop; \
1474 nop
1475
1476#define H_HT0_Interrupt_Level_5_0x45
1477#define My_HT0_Interrupt_Level_5_0x45 \
1478 rd %softint, %g3; \
1479 or %g0, 0x20, %g3; \
1480 wr %g3, %g0, %clear_softint; \
1481 retry; \
1482 nop; \
1483 nop; \
1484 nop; \
1485 nop
1486
1487#define H_HT0_Interrupt_Level_6_0x46
1488#define My_HT0_Interrupt_Level_6_0x46 \
1489 rd %softint, %g3; \
1490 or %g0, 0x40, %g3; \
1491 wr %g3, %g0, %clear_softint; \
1492 retry; \
1493 nop; \
1494 nop; \
1495 nop; \
1496 nop
1497
1498#define H_HT0_Interrupt_Level_7_0x47
1499#define My_HT0_Interrupt_Level_7_0x47 \
1500 rd %softint, %g3; \
1501 or %g0, 0x80, %g3; \
1502 wr %g3, %g0, %clear_softint; \
1503 retry; \
1504 nop; \
1505 nop; \
1506 nop; \
1507 nop
1508
1509#define H_HT0_Interrupt_Level_8_0x48
1510#define My_HT0_Interrupt_Level_8_0x48 \
1511 rd %softint, %g3; \
1512 or %g0, 0x100, %g3; \
1513 wr %g3, %g0, %clear_softint; \
1514 retry; \
1515 nop; \
1516 nop; \
1517 nop; \
1518 nop
1519
1520#define H_HT0_Interrupt_Level_9_0x49
1521#define My_HT0_Interrupt_Level_9_0x49 \
1522 rd %softint, %g3; \
1523 or %g0, 0x200, %g3; \
1524 wr %g3, %g0, %clear_softint; \
1525 retry; \
1526 nop; \
1527 nop; \
1528 nop; \
1529 nop
1530
1531#define H_HT0_Interrupt_Level_10_0x4a
1532#define My_HT0_Interrupt_Level_10_0x4a \
1533 rd %softint, %g3; \
1534 or %g0, 0x400, %g3; \
1535 wr %g3, %g0, %clear_softint; \
1536 retry; \
1537 nop; \
1538 nop; \
1539 nop; \
1540 nop
1541
1542#define H_HT0_Interrupt_Level_11_0x4b
1543#define My_HT0_Interrupt_Level_11_0x4b \
1544 rd %softint, %g3; \
1545 or %g0, 0x800, %g3; \
1546 wr %g3, %g0, %clear_softint; \
1547 retry; \
1548 nop; \
1549 nop; \
1550 nop; \
1551 nop
1552
1553#define H_HT0_Interrupt_Level_12_0x4c
1554#define My_HT0_Interrupt_Level_12_0x4c \
1555 rd %softint, %g3; \
1556 sethi %hi(0x1000), %g3; \
1557 wr %g3, %g0, %clear_softint; \
1558 retry; \
1559 nop; \
1560 nop; \
1561 nop; \
1562 nop
1563
1564#define H_HT0_Interrupt_Level_13_0x4d
1565#define My_HT0_Interrupt_Level_13_0x4d \
1566 rd %softint, %g3; \
1567 sethi %hi(0x2000), %g3; \
1568 wr %g3, %g0, %clear_softint; \
1569 retry; \
1570 nop; \
1571 nop; \
1572 nop; \
1573 nop
1574
1575#define H_HT0_Interrupt_Level_15_0x4f
1576#define My_HT0_Interrupt_Level_15_0x4f \
1577 sethi %hi(0x8000), %g3; \
1578 wr %g3, %g0, %clear_softint; \
1579 wr %g0, %g0, %pic;\
1580 sethi %hi(0x80040000), %g2;\
1581 rd %pcr, %g3;\
1582 andn %g3, %g2, %g3;\
1583 wr %g3, %g0, %pcr;\
1584 retry;
1585!!!!!!!!!!!!!!!!!!!!!! END of all handlers !!!!!!!!!!!!!!!!!!!
1586!# Steer towards main TBA on these errors ..
1587!# These are redefines ...
1588#undef My_RED_Mode_Other_Reset
1589#define My_RED_Mode_Other_Reset
1590#define My_RED_Mode_Other_Reset \
1591 mov ZRED_Mode_Other_Reset ,%r1;\
1592 jmp %g1; nop;retry;nop;nop;nop;nop
1593#undef SUN_H_HT0_IAE_unauth_access_0x0b
1594#define SUN_H_HT0_IAE_unauth_access_0x0b \
1595 set resolve_bad_tte, %g3;\
1596 jmp %g3;\
1597 nop
1598
1599#undef My_HT0_IAE_privilege_violation_0x08
1600#define My_HT0_IAE_privilege_violation_0x08 \
1601 set resolve_bad_tte, %g3;\
1602 jmp %g3;\
1603 nop
1604
1605#define H_HT0_Instruction_address_range_0x0d
1606#define SUN_H_HT0_Instruction_address_range_0x0d \
1607 rdpr %tpc, %g1;\
1608 rdpr %tnpc, %g2;\
1609 stw %g1, [%i7];\
1610 stw %g2, [%i7+4];\
1611 jmpl %r27+8, %r27;\
1612 fdivd %f0, %f4, %f4;\
1613 nop;
1614
1615#define H_HT0_Instruction_real_range_0x0e
1616#define SUN_H_HT0_Instruction_real_range_0x0e \
1617 rdpr %tpc, %g1;\
1618 rdpr %tnpc, %g2;\
1619 stw %g1, [%i7];\
1620 stw %g2, [%i7+4];\
1621 jmpl %r27+8, %r27;\
1622 fdivd %f0, %f4, %f4;\
1623 nop;
1624
1625#undef SUN_H_HT0_IAE_nfo_page_0x0c
1626#define SUN_H_HT0_IAE_nfo_page_0x0c \
1627 set resolve_bad_tte, %g3;\
1628 jmp %g3;\
1629 nop
1630
1631#define H_HT0_Instruction_Invalid_TSB_Entry_0x2a
1632#define SUN_H_HT0_Instruction_Invalid_TSB_Entry_0x2a \
1633 set restore_range_regs, %g3;\
1634 jmp %g3;\
1635 nop
1636
1637#define H_HT0_Data_Invalid_TSB_Entry_0x2b
1638#define SUN_H_HT0_Data_Invalid_TSB_Entry_0x2b \
1639 set restore_range_regs, %g3;\
1640 jmp %g3;\
1641 nop
1642
1643#define H_T1_Reserved_0x00
1644#define SUN_H_T1_Reserved_0x00 \
1645 nop;\
1646 jmpl %r27+8, %r0;\
1647 nop;
1648
1649#undef FAST_BOOT
1650#include "hboot.s"
1651
1652#ifndef MULTIPASS
1653#define MULTIPASS 0
1654#endif
1655#define LOMEIN_TEXT_VA [0x]mpeval(MAIN_BASE_TEXT_VA&0xffffffff,16)
1656#define LOMEIN_DATA_VA [0x]mpeval(MAIN_BASE_DATA_VA&0xffffffff,16)
1657changequote([, ])dnl
1658SECTION .LOMEIN TEXT_VA=LOMEIN_TEXT_VA, DATA_VA=LOMEIN_DATA_VA
1659attr_text {
1660 Name = .LOMEIN,
1661 VA= LOMEIN_TEXT_VA,
1662 RA= MAIN_BASE_TEXT_RA,
1663 PA= ra2pa2(MAIN_BASE_TEXT_RA, 0),
1664 part_0_ctx_nonzero_tsb_config_1,
1665 part_0_ctx_zero_tsb_config_1,
1666 TTE_G=1, TTE_Context=0x44, TTE_V=1,
1667 TTE_Size=0, TTE_NFO=0, TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1668 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=1,
1669 tsbonly
1670 }
1671attr_data {
1672 Name = .LOMEIN,
1673 VA= LOMEIN_DATA_VA,
1674 RA= MAIN_BASE_DATA_RA,
1675 PA= ra2pa2(MAIN_BASE_DATA_RA, 0),
1676 part_0_ctx_nonzero_tsb_config_2,
1677 part_0_ctx_zero_tsb_config_2
1678 TTE_G=1, TTE_Context=0x44, TTE_V=1, TTE_Size=0, TTE_NFO=0,
1679 TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1680 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
1681 tsbonly
1682 }
1683attr_data {
1684 Name = .LOMEIN,
1685 VA= LOMEIN_DATA_VA,
1686 RA= MAIN_BASE_DATA_RA,
1687 PA= ra2pa2(MAIN_BASE_DATA_RA, 0),
1688 part_0_ctx_nonzero_tsb_config_3,
1689 part_0_ctx_zero_tsb_config_3
1690 TTE_G=1, TTE_Context=0x55, TTE_V=1, TTE_Size=0, TTE_NFO=0,
1691 TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1692 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
1693 tsbonly
1694 }
1695.text
1696.align 0x100000
1697 nop
1698.data
1699 .word 0x0
1700
1701SECTION .MAIN TEXT_VA=MAIN_BASE_TEXT_VA, DATA_VA=MAIN_BASE_DATA_VA
1702attr_text {
1703 Name = .MAIN,
1704 VA=MAIN_BASE_TEXT_VA,
1705 RA= LOMEIN_TEXT_VA,
1706 PA= LOMEIN_TEXT_VA,
1707 part_0_ctx_nonzero_tsb_config_2,
1708 part_0_ctx_zero_tsb_config_2,
1709 TTE_G=1, TTE_Context=0x44, TTE_V=1,
1710 TTE_Size=0, TTE_NFO=0, TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1711 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=1,
1712 }
1713
1714attr_data {
1715 Name = .MAIN,
1716 VA=MAIN_BASE_DATA_VA
1717 RA= LOMEIN_DATA_VA,
1718 PA= LOMEIN_DATA_VA,
1719 part_0_ctx_nonzero_tsb_config_1,
1720 part_0_ctx_zero_tsb_config_1
1721 TTE_G=1, TTE_Context=0x44, TTE_V=1, TTE_Size=0, TTE_NFO=0,
1722 TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1723 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
1724 }
1725
1726attr_data {
1727 Name = .MAIN,
1728 VA=MAIN_BASE_DATA_VA
1729 RA= LOMEIN_DATA_VA,
1730 PA= LOMEIN_DATA_VA,
1731 part_0_ctx_nonzero_tsb_config_3,
1732 part_0_ctx_zero_tsb_config_3
1733 TTE_G=1, TTE_Context=0x55, TTE_V=1, TTE_Size=0, TTE_NFO=0,
1734 TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1735 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
1736 tsbonly
1737 }
1738
1739attr_text {
1740 Name = .MAIN,
1741 VA=MAIN_BASE_TEXT_VA,
1742 hypervisor
1743}
1744
1745attr_data {
1746 Name = .MAIN,
1747 VA=MAIN_BASE_DATA_VA
1748 hypervisor
1749}
1750changequote(`,')dnl'
1751
1752.text
1753.global main
1754main:
1755
1756 ! Set up ld/st area per thread
1757 ta T_CHANGE_HPRIV
1758 ldxa [%g0]0x63, %o2
1759 and %o2, 0x7, %o1
1760 brnz %o1, init_start
1761 mov 0xff, %r11
1762lock_sync_thds:
1763 set sync_thr_counter4, %r23
1764#if(!defined SPC && !defined PORTABLE_CORE)
1765 and %o2, 0x38, %o2
1766 add %o2,%r23,%r23 !Core's sync counter
1767#endif
1768 st %r11, [%r23] !lock sync_thr_counter4
1769 add %r23, 64, %r23
1770 st %r11, [%r23] !lock sync_thr_counter5
1771 add %r23, 64, %r23
1772 st %r11, [%r23] !lock sync_thr_counter6
1773init_start:
1774 wrhpr %g0, 0x0, %hpstate ! ta T_CHANGE_NONHPRIV
1775 umul %r9, 256, %r31
1776 setx user_data_start, %r1, %r3
1777 add %r31, %r3, %r31
1778 wr %r0, 0x4, %asi
1779
1780!Initializing integer registers
1781 ldx [%r31+0], %r0
1782 ldx [%r31+8], %r1
1783 ldx [%r31+16], %r2
1784 ldx [%r31+24], %r3
1785 ldx [%r31+32], %r4
1786 ldx [%r31+40], %r5
1787 ldx [%r31+48], %r6
1788 ldx [%r31+56], %r7
1789 ldx [%r31+64], %r8
1790 ldx [%r31+72], %r9
1791 ldx [%r31+80], %r10
1792 ldx [%r31+88], %r11
1793 ldx [%r31+96], %r12
1794 ldx [%r31+104], %r13
1795 ldx [%r31+112], %r14
1796 mov %r31, %r15
1797 ldx [%r31+128], %r16
1798 ldx [%r31+136], %r17
1799 ldx [%r31+144], %r18
1800 ldx [%r31+152], %r19
1801 ldx [%r31+160], %r20
1802 ldx [%r31+168], %r21
1803 ldx [%r31+176], %r22
1804 ldx [%r31+184], %r23
1805 ldx [%r31+192], %r24
1806 ldx [%r31+200], %r25
1807 ldx [%r31+208], %r26
1808 ldx [%r31+216], %r27
1809 ldx [%r31+224], %r28
1810 ldx [%r31+232], %r29
1811 mov 0xb0, %r14
1812 mov 0x32, %r30
1813 save %r31, %r0, %r31
1814 ldx [%r31+0], %r0
1815 ldx [%r31+8], %r1
1816 ldx [%r31+16], %r2
1817 ldx [%r31+24], %r3
1818 ldx [%r31+32], %r4
1819 ldx [%r31+40], %r5
1820 ldx [%r31+48], %r6
1821 ldx [%r31+56], %r7
1822 ldx [%r31+64], %r8
1823 ldx [%r31+72], %r9
1824 ldx [%r31+80], %r10
1825 ldx [%r31+88], %r11
1826 ldx [%r31+96], %r12
1827 ldx [%r31+104], %r13
1828 ldx [%r31+112], %r14
1829 mov %r31, %r15
1830 ldx [%r31+128], %r16
1831 ldx [%r31+136], %r17
1832 ldx [%r31+144], %r18
1833 ldx [%r31+152], %r19
1834 ldx [%r31+160], %r20
1835 ldx [%r31+168], %r21
1836 ldx [%r31+176], %r22
1837 ldx [%r31+184], %r23
1838 ldx [%r31+192], %r24
1839 ldx [%r31+200], %r25
1840 ldx [%r31+208], %r26
1841 ldx [%r31+216], %r27
1842 ldx [%r31+224], %r28
1843 ldx [%r31+232], %r29
1844 mov 0xb4, %r14
1845 mov 0x34, %r30
1846 save %r31, %r0, %r31
1847 ldx [%r31+0], %r0
1848 ldx [%r31+8], %r1
1849 ldx [%r31+16], %r2
1850 ldx [%r31+24], %r3
1851 ldx [%r31+32], %r4
1852 ldx [%r31+40], %r5
1853 ldx [%r31+48], %r6
1854 ldx [%r31+56], %r7
1855 ldx [%r31+64], %r8
1856 ldx [%r31+72], %r9
1857 ldx [%r31+80], %r10
1858 ldx [%r31+88], %r11
1859 ldx [%r31+96], %r12
1860 ldx [%r31+104], %r13
1861 ldx [%r31+112], %r14
1862 mov %r31, %r15
1863 ldx [%r31+128], %r16
1864 ldx [%r31+136], %r17
1865 ldx [%r31+144], %r18
1866 ldx [%r31+152], %r19
1867 ldx [%r31+160], %r20
1868 ldx [%r31+168], %r21
1869 ldx [%r31+176], %r22
1870 ldx [%r31+184], %r23
1871 ldx [%r31+192], %r24
1872 ldx [%r31+200], %r25
1873 ldx [%r31+208], %r26
1874 ldx [%r31+216], %r27
1875 ldx [%r31+224], %r28
1876 ldx [%r31+232], %r29
1877 mov 0xb5, %r14
1878 mov 0x31, %r30
1879 save %r31, %r0, %r31
1880 ldx [%r31+0], %r0
1881 ldx [%r31+8], %r1
1882 ldx [%r31+16], %r2
1883 ldx [%r31+24], %r3
1884 ldx [%r31+32], %r4
1885 ldx [%r31+40], %r5
1886 ldx [%r31+48], %r6
1887 ldx [%r31+56], %r7
1888 ldx [%r31+64], %r8
1889 ldx [%r31+72], %r9
1890 ldx [%r31+80], %r10
1891 ldx [%r31+88], %r11
1892 ldx [%r31+96], %r12
1893 ldx [%r31+104], %r13
1894 ldx [%r31+112], %r14
1895 mov %r31, %r15
1896 ldx [%r31+128], %r16
1897 ldx [%r31+136], %r17
1898 ldx [%r31+144], %r18
1899 ldx [%r31+152], %r19
1900 ldx [%r31+160], %r20
1901 ldx [%r31+168], %r21
1902 ldx [%r31+176], %r22
1903 ldx [%r31+184], %r23
1904 ldx [%r31+192], %r24
1905 ldx [%r31+200], %r25
1906 ldx [%r31+208], %r26
1907 ldx [%r31+216], %r27
1908 ldx [%r31+224], %r28
1909 ldx [%r31+232], %r29
1910 mov 0x31, %r14
1911 mov 0x34, %r30
1912 save %r31, %r0, %r31
1913 ldx [%r31+0], %r0
1914 ldx [%r31+8], %r1
1915 ldx [%r31+16], %r2
1916 ldx [%r31+24], %r3
1917 ldx [%r31+32], %r4
1918 ldx [%r31+40], %r5
1919 ldx [%r31+48], %r6
1920 ldx [%r31+56], %r7
1921 ldx [%r31+64], %r8
1922 ldx [%r31+72], %r9
1923 ldx [%r31+80], %r10
1924 ldx [%r31+88], %r11
1925 ldx [%r31+96], %r12
1926 ldx [%r31+104], %r13
1927 ldx [%r31+112], %r14
1928 mov %r31, %r15
1929 ldx [%r31+128], %r16
1930 ldx [%r31+136], %r17
1931 ldx [%r31+144], %r18
1932 ldx [%r31+152], %r19
1933 ldx [%r31+160], %r20
1934 ldx [%r31+168], %r21
1935 ldx [%r31+176], %r22
1936 ldx [%r31+184], %r23
1937 ldx [%r31+192], %r24
1938 ldx [%r31+200], %r25
1939 ldx [%r31+208], %r26
1940 ldx [%r31+216], %r27
1941 ldx [%r31+224], %r28
1942 ldx [%r31+232], %r29
1943 mov 0x35, %r14
1944 mov 0x33, %r30
1945 save %r31, %r0, %r31
1946 ldx [%r31+0], %r0
1947 ldx [%r31+8], %r1
1948 ldx [%r31+16], %r2
1949 ldx [%r31+24], %r3
1950 ldx [%r31+32], %r4
1951 ldx [%r31+40], %r5
1952 ldx [%r31+48], %r6
1953 ldx [%r31+56], %r7
1954 ldx [%r31+64], %r8
1955 ldx [%r31+72], %r9
1956 ldx [%r31+80], %r10
1957 ldx [%r31+88], %r11
1958 ldx [%r31+96], %r12
1959 ldx [%r31+104], %r13
1960 ldx [%r31+112], %r14
1961 mov %r31, %r15
1962 ldx [%r31+128], %r16
1963 ldx [%r31+136], %r17
1964 ldx [%r31+144], %r18
1965 ldx [%r31+152], %r19
1966 ldx [%r31+160], %r20
1967 ldx [%r31+168], %r21
1968 ldx [%r31+176], %r22
1969 ldx [%r31+184], %r23
1970 ldx [%r31+192], %r24
1971 ldx [%r31+200], %r25
1972 ldx [%r31+208], %r26
1973 ldx [%r31+216], %r27
1974 ldx [%r31+224], %r28
1975 ldx [%r31+232], %r29
1976 mov 0xb2, %r14
1977 mov 0x35, %r30
1978 save %r31, %r0, %r31
1979 ldx [%r31+0], %r0
1980 ldx [%r31+8], %r1
1981 ldx [%r31+16], %r2
1982 ldx [%r31+24], %r3
1983 ldx [%r31+32], %r4
1984 ldx [%r31+40], %r5
1985 ldx [%r31+48], %r6
1986 ldx [%r31+56], %r7
1987 ldx [%r31+64], %r8
1988 ldx [%r31+72], %r9
1989 ldx [%r31+80], %r10
1990 ldx [%r31+88], %r11
1991 ldx [%r31+96], %r12
1992 ldx [%r31+104], %r13
1993 ldx [%r31+112], %r14
1994 mov %r31, %r15
1995 ldx [%r31+128], %r16
1996 ldx [%r31+136], %r17
1997 ldx [%r31+144], %r18
1998 ldx [%r31+152], %r19
1999 ldx [%r31+160], %r20
2000 ldx [%r31+168], %r21
2001 ldx [%r31+176], %r22
2002 ldx [%r31+184], %r23
2003 ldx [%r31+192], %r24
2004 ldx [%r31+200], %r25
2005 ldx [%r31+208], %r26
2006 ldx [%r31+216], %r27
2007 ldx [%r31+224], %r28
2008 ldx [%r31+232], %r29
2009 mov 0xb2, %r14
2010 mov 0xb5, %r30
2011 save %r31, %r0, %r31
2012 restore
2013 restore
2014 restore
2015!Initializing float registers
2016 ldd [%r31+0], %f0
2017 ldd [%r31+16], %f2
2018 ldd [%r31+32], %f4
2019 ldd [%r31+48], %f6
2020 ldd [%r31+64], %f8
2021 ldd [%r31+80], %f10
2022 ldd [%r31+96], %f12
2023 ldd [%r31+112], %f14
2024 ldd [%r31+128], %f16
2025 ldd [%r31+144], %f18
2026 ldd [%r31+160], %f20
2027 ldd [%r31+176], %f22
2028 ldd [%r31+192], %f24
2029 ldd [%r31+208], %f26
2030 ldd [%r31+224], %f28
2031 ldd [%r31+240], %f30
2032 !! Set TPC/TNPC to diag-finish in case we get to a strange TL ..
2033 ta T_CHANGE_HPRIV
2034 setx diag_finish, %r29, %r28
2035 add %r28, 4, %r29
2036 wrpr %g0, 1, %tl
2037 wrpr %r28, %tpc
2038 wrpr %r29, %tnpc
2039 wrpr %g0, 2, %tl
2040 wrpr %r28, %tpc
2041 wrpr %r29, %tnpc
2042 wrpr %g0, 3, %tl
2043 wrpr %r28, %tpc
2044 wrpr %r29, %tnpc
2045 wrpr %g0, 4, %tl
2046 wrpr %r28, %tpc
2047 wrpr %r29, %tnpc
2048 wrpr %g0, 5, %tl
2049 wrpr %r28, %tpc
2050 wrpr %r29, %tnpc
2051 wrpr %g0, 6, %tl
2052 wrpr %r28, %tpc
2053 wrpr %r29, %tnpc
2054 wrpr %g0, 0, %tl
2055
2056 !Initializing Tick Cmprs
2057 mov 1, %g2
2058 sllx %g2, 63, %g2
2059 or %g1, %g2, %g1
2060 wrhpr %g1, %g0, %hsys_tick_cmpr
2061 wr %g1, %g0, %tick_cmpr
2062 wr %g1, %g0, %sys_tick_cmpr
2063
2064#if (MULTIPASS > 0)
2065 mov 0x38, %g1
2066 stxa %r0, [%g1]ASI_SCRATCHPAD
2067#endif
2068
2069 ! Set up fpr PMU traps
2070 set 0x1bb15b3b, %g2
2071 b fork_threads
2072 wr %g2, %g0, %pcr
2073.align 128
2074common_target:
2075 nop
2076 sub %r27, 8, %r27
2077 and %r27, 8, %r12
2078 mov HIGHVA_HIGHNUM, %r11
2079 sllx %r11, 32, %r11
2080 or %r27, %r11, %r27
2081 brz,a %r12, .+8
2082 lduw [%r27], %r12 ! load jmp dest into dcache - xinval
2083 return %r27
2084 .word 0x819c6618 ! 1: WRHPR_HPSTATE_I wrhpr %r17, 0x0618, %hpstate
2085 nop
2086 jmp %r27
2087 nop
2088!$EV trig_pc_d(1,@VA(.MAIN.fork_threads)) -> marker(bootEnd, *, 1)
2089fork_threads:
2090 rd %tick, %r17
2091 mov 0x40, %g1
2092setup_hwtw_config:
2093 stxa %r17, [%g1]0x58
2094 ta %icc, T_RD_THID
2095! fork: source strm = 0xffffffffffffffff; target strm = 0x1
2096 cmp %o1, 0
2097 setx fork_lbl_0_1, %g2, %g3
2098 be,a .+8
2099 jmp %g3
2100 nop
2101! fork: source strm = 0xffffffffffffffff; target strm = 0x2
2102 cmp %o1, 1
2103 setx fork_lbl_0_2, %g2, %g3
2104 be,a .+8
2105 jmp %g3
2106 nop
2107! fork: source strm = 0xffffffffffffffff; target strm = 0x4
2108 cmp %o1, 2
2109 setx fork_lbl_0_3, %g2, %g3
2110 be,a .+8
2111 jmp %g3
2112 nop
2113! fork: source strm = 0xffffffffffffffff; target strm = 0x8
2114 cmp %o1, 3
2115 setx fork_lbl_0_4, %g2, %g3
2116 be,a .+8
2117 jmp %g3
2118 nop
2119! fork: source strm = 0xffffffffffffffff; target strm = 0x10
2120 cmp %o1, 4
2121 setx fork_lbl_0_5, %g2, %g3
2122 be,a .+8
2123 jmp %g3
2124 nop
2125! fork: source strm = 0xffffffffffffffff; target strm = 0x20
2126 cmp %o1, 5
2127 setx fork_lbl_0_6, %g2, %g3
2128 be,a .+8
2129 jmp %g3
2130 nop
2131! fork: source strm = 0xffffffffffffffff; target strm = 0x40
2132 cmp %o1, 6
2133 setx fork_lbl_0_7, %g2, %g3
2134 be,a .+8
2135 jmp %g3
2136 nop
2137! fork: source strm = 0xffffffffffffffff; target strm = 0x80
2138 cmp %o1, 7
2139 setx fork_lbl_0_8, %g2, %g3
2140 be,a .+8
2141 jmp %g3
2142 nop
2143.text
2144 setx join_lbl_0_0, %g1, %g2
2145 jmp %g2
2146 nop
2147.text
2148 setx join_lbl_0_0, %g1, %g2
2149 jmp %g2
2150 nop
2151fork_lbl_0_8:
2152 wrhpr %g0, 0x2ca, %hpstate ! ta T_CHANGE_NONHPRIV
2153br_longdelay4_80_0:
2154 nop
2155 not %g0, %r27
2156 jmpl %r27+0, %r27
2157brcommon2_80_1:
2158 nop
2159 nop
2160 setx common_target, %r12, %r27
2161 ba,a .+12
2162 .word 0xe9150001 ! 1: LDQF_R - [%r20, %r1], %f20
2163 ba,a .+8
2164 jmpl %r27-4, %r27
2165 .word 0xe1bfc2c0 ! 1: STDFA_R stda %f16, [%r0, %r31]
2166brcommon2_80_4:
2167 nop
2168 nop
2169 setx common_target, %r12, %r27
2170 ba,a .+12
2171 .word 0x97a049d1 ! 1: FDIVd fdivd %f32, %f48, %f42
2172 ba,a .+8
2173 jmpl %r27-0, %r27
2174 .word 0xe1bfde20 ! 5: STDFA_R stda %f16, [%r0, %r31]
2175dvapa_80_6:
2176 nop
2177 nop
2178 ta T_CHANGE_HPRIV
2179 mov 0x82d, %r20
2180 mov 0x1f, %r19
2181 sllx %r20, 23, %r20
2182 or %r19, %r20, %r19
2183 stxa %r19, [%g0] ASI_LSU_CONTROL
2184 mov 0x38, %r18
2185 stxa %r31, [%r18]0x58
2186 wrhpr %g0, 0x98b, %hpstate ! ta T_CHANGE_NONHPRIV
2187 .word 0x93b4c489 ! 9: FCMPLE32 fcmple32 %d50, %d40, %r9
2188demap_80_9:
2189 nop
2190 mov 0x80, %g3
2191 ta T_CHANGE_HPRIV
2192 .word 0x23400001 ! 1: FBPNE fbne,a,pn %fcc0, <label_0x1>
2193 stxa %g3, [%g3] 0x57
2194 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2195 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2196 stxa %g3, [%g3] 0x57
2197 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2198 wrhpr %g0, 0xf48, %hpstate ! ta T_CHANGE_NONHPRIV
2199 .word 0xe61fe131 ! 13: LDD_I ldd [%r31 + 0x0131], %r19
2200 .word 0xe737c000 ! 17: STQF_R - %f19, [%r0, %r31]
2201 .word 0x8980000a ! 21: WRTICK_R wr %r0, %r10, %tick
2202memptr_80_14:
2203 set 0x60140000, %r31
2204 .word 0x8584680b ! 25: WRCCR_I wr %r17, 0x080b, %ccr
2205jmptr_80_17:
2206 nop
2207 nop
2208 best_set_reg(0xe0a00000, %r20, %r27)
2209 .word 0xb7c6c000 ! 29: JMPL_R jmpl %r27 + %r0, %r27
2210 .word 0xe73fe1a0 ! 33: STDF_I std %f19, [0x01a0, %r31]
2211jmptr_80_19:
2212 nop
2213 nop
2214 best_set_reg(0xe1a00000, %r20, %r27)
2215 .word 0xb7c6c000 ! 37: JMPL_R jmpl %r27 + %r0, %r27
2216intveclr_80_20:
2217 nop
2218 nop
2219 ta T_CHANGE_HPRIV
2220 setx 0x4bafbcee54430c36, %r1, %r28
2221 stxa %r28, [%g0] 0x72
2222 wrhpr %g0, 0x7d9, %hpstate ! ta T_CHANGE_NONHPRIV
2223 .word 0x25400001 ! 41: FBPLG fblg,a,pn %fcc0, <label_0x1>
2224 nop
2225 nop
2226 ta T_CHANGE_HPRIV ! macro
2227donret_80_21:
2228 rd %pc, %r12
2229 mov HIGHVA_HIGHNUM, %r10
2230 sllx %r10, 32, %r10
2231 or %r12, %r10, %r12
2232 add %r12, (donretarg_80_21-donret_80_21+4), %r12
2233 add %r12, 0x4, %r11 ! seq tnpc
2234 wrpr %g0, 0x1, %tl
2235 wrpr %g0, %r12, %tpc
2236 wrpr %g0, %r11, %tnpc
2237 set (0x00c52800 | (57 << 24)), %r13
2238 and %r12, 0xfff, %r14
2239 sllx %r14, 32, %r14
2240 or %r13, %r14, %r20
2241 wrpr %r20, %g0, %tstate
2242 wrhpr %g0, 0x1957, %htstate
2243 best_set_reg(0x1821, %g1, %g2)
2244 wrpr %g0, %g2, %pstate ! rand=0 (80)
2245 done
2246.align 512
2247donretarg_80_21:
2248 .word 0xe66fe172 ! 45: LDSTUB_I ldstub %r19, [%r31 + 0x0172]
2249 .word 0xc1bfc3e0 ! 49: STDFA_R stda %f0, [%r0, %r31]
2250cancelint_80_26:
2251 rdhpr %halt, %r10
2252 .word 0x85880000 ! 53: ALLCLEAN <illegal instruction>
2253 .word 0x8d903d30 ! 57: WRPR_PSTATE_I wrpr %r0, 0x1d30, %pstate
2254 .word 0x9f80274d ! 61: SIR sir 0x074d
2255ibp_80_32:
2256 nop
2257 nop
2258 .word 0xc19fdd40 ! 65: LDDFA_R ldda [%r31, %r0], %f0
2259br_longdelay3_80_35:
2260 nop
2261 not %g0, %r27
2262 jmpl %r27+0, %r27
2263 .word 0x80000001 ! 69: ILLTRAP add %r0, %r1, %r0
2264cancelint_80_38:
2265 rdhpr %halt, %r18
2266 .word 0x85880000 ! 73: ALLCLEAN <illegal instruction>
2267 nop
2268 nop
2269 ta T_CHANGE_HPRIV
2270 mov 0x1, %r11
2271splash_cmpr_80_40:
2272 sllx %r11, 63, %r11
2273 not %r11, %r11
2274 rd %tick, %r10
2275#if (defined SPC || defined CMP1)
2276 add %r10, 0x250, %r10
2277#else
2278 add %r10, 0x700, %r10
2279#endif
2280 and %r10, %r11, %r10
2281 wrhpr %r10, %g0, %hsys_tick_cmpr
2282 wrhpr %g0, 0x0, %halt ! HALT
2283 rd %tick, %r10
2284#if (defined SPC || defined CMP1)
2285 add %r10, 0x150, %r10
2286#else
2287 add %r10, 0xc00, %r10
2288#endif
2289 and %r10, %r11, %r10
2290 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
2291#if (defined SPC || defined CMP1)
2292!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_40)+8 , 16, 16)) -> intp(4,0,27,*,648,*,ee,1)
2293!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_40)&0xffffffff)+8 , 16, 16)) -> intp(0,0,23,*,976,*,ee,1)
2294#endif
2295 wrhpr %g0, 0x0, %halt ! HALT
2296 .word 0x81982a07 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0a07, %hpstate
2297 .word 0x81b01021 ! 77: SIAM siam 1
2298 nop
2299 nop
2300 set 0x5ee04c38, %r28 !TTID : 4 (mask2tid(0x80))
2301#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2302 sethi %hi(0x3800), %r27
2303 andn %r28, %r27, %r28
2304#ifdef PORTABLE_CORE
2305 ! Add CID to vector
2306 ta T_CHANGE_HPRIV
2307 ldxa [%g0]0x63, %r27
2308 sllx %r27, 8, %r27
2309 or %r27, %r28, %r28
2310#endif
2311#else
2312 ! Add CID IF tid matches
2313ifelse(4,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2314#endif
2315 sethi %hi(0x30000), %r27
2316 andn %r28, %r27, %r28
2317 ta T_CHANGE_HPRIV
2318ifelse(4,mask2tid(0x80),`.align 16')
2319 stxa %r28, [%g0] 0x73
2320intvec_80_43:
2321 .word 0xa9a209cb ! 81: FDIVd fdivd %f8, %f42, %f20
2322brcommon2_80_45:
2323 nop
2324 nop
2325 setx common_target, %r12, %r27
2326 ba,a .+12
2327 .word 0xe1144002 ! 1: LDQF_R - [%r17, %r2], %f16
2328 ba,a .+8
2329 jmpl %r27-0, %r27
2330 .word 0xc19fde00 ! 85: LDDFA_R ldda [%r31, %r0], %f0
2331brcommon1_80_48:
2332 nop
2333 nop
2334 setx common_target, %r12, %r27
2335 lduw [%r27-4], %r12 ! Load common dest into dcache ..
2336 stuw %r12, [%r27-4] ! Load common dest into dcache ..
2337 ba,a .+12
2338 .word 0xda6fe150 ! 1: LDSTUB_I ldstub %r13, [%r31 + 0x0150]
2339 ba,a .+8
2340 jmpl %r27-4, %r27
2341 .word 0xa5b4048d ! 89: FCMPLE32 fcmple32 %d16, %d44, %r18
2342 .word 0x9192800c ! 93: WRPR_PIL_R wrpr %r10, %r12, %pil
2343 .word 0xd8bfe050 ! 97: STDA_I stda %r12, [%r31 + 0x0050] %asi
2344 nop
2345 nop
2346 ta T_CHANGE_HPRIV ! macro
2347donret_80_54:
2348 rd %pc, %r12
2349 mov HIGHVA_HIGHNUM, %r10
2350 sllx %r10, 32, %r10
2351 or %r12, %r10, %r12
2352 add %r12, (donretarg_80_54-donret_80_54), %r12
2353 add %r12, 0x4, %r11 ! seq tnpc
2354 wrpr %g0, 0x1, %tl
2355 wrpr %g0, %r12, %tpc
2356 wrpr %g0, %r11, %tnpc
2357 set (0x00caf200 | (48 << 24)), %r13
2358 and %r12, 0xfff, %r14
2359 sllx %r14, 32, %r14
2360 or %r13, %r14, %r20
2361 wrpr %r20, %g0, %tstate
2362 wrhpr %g0, 0x6df, %htstate
2363 best_set_reg(0x4fb, %g1, %g2)
2364 wrpr %g0, %g2, %pstate ! rand=0 (80)
2365 ldx [%r12+%r0], %g1
2366 retry
2367donretarg_80_54:
2368 .word 0xd86fe007 ! 101: LDSTUB_I ldstub %r12, [%r31 + 0x0007]
2369splash_lsu_80_57:
2370 nop
2371 nop
2372 ta T_CHANGE_HPRIV
2373 set 0x4c1da5c4, %r2
2374 mov 0x7, %r1
2375 sllx %r1, 32, %r1
2376 or %r1, %r2, %r2
2377 stxa %r2, [%r0] ASI_LSU_CONTROL
2378 .word 0x3d400002 ! 105: FBPULE fbule,a,pn %fcc0, <label_0x2>
2379 .word 0xc19fe0e0 ! 109: LDDFA_I ldda [%r31, 0x00e0], %f0
2380 .word 0xc1bfe080 ! 113: STDFA_I stda %f0, [0x0080, %r31]
2381splash_lsu_80_62:
2382 nop
2383 nop
2384 ta T_CHANGE_HPRIV
2385 set 0xe4bb51ba, %r2
2386 mov 0x4, %r1
2387 sllx %r1, 32, %r1
2388 or %r1, %r2, %r2
2389 stxa %r2, [%r0] ASI_LSU_CONTROL
2390 .word 0x3d400001 ! 117: FBPULE fbule,a,pn %fcc0, <label_0x1>
2391 fbue skip_80_64
2392 stxa %r10, [%r0] ASI_LSU_CONTROL
2393 fbne skip_80_64
2394 stxa %r9, [%r0] ASI_LSU_CONTROL
2395.align 4096
2396skip_80_64:
2397 .word 0xc32fc000 ! 121: STXFSR_R st-sfr %f1, [%r0, %r31]
2398 .word 0xd89fe1d0 ! 125: LDDA_I ldda [%r31, + 0x01d0] %asi, %r12
2399demap_80_68:
2400 nop
2401 mov 0x80, %g3
2402 ta T_CHANGE_HPRIV
2403 .word 0x10800002 ! 1: BA ba <label_0x2>
2404 stxa %g3, [%g3] 0x57
2405 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2406 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2407 wrhpr %g0, 0x381, %hpstate ! ta T_CHANGE_NONHPRIV
2408 .word 0xd81fe1a1 ! 129: LDD_I ldd [%r31 + 0x01a1], %r12
2409splash_lsu_80_72:
2410 nop
2411 nop
2412 ta T_CHANGE_HPRIV
2413 set 0x328d429d, %r2
2414 mov 0x3, %r1
2415 sllx %r1, 32, %r1
2416 or %r1, %r2, %r2
2417 .word 0x08800001 ! 1: BLEU bleu <label_0x1>
2418 stxa %r2, [%r0] ASI_LSU_CONTROL
2419 .word 0x3d400002 ! 133: FBPULE fbule,a,pn %fcc0, <label_0x2>
2420 .word 0xd83fc000 ! 137: STD_R std %r12, [%r31 + %r0]
2421cancelint_80_76:
2422 rdhpr %halt, %r12
2423 .word 0x85880000 ! 141: ALLCLEAN <illegal instruction>
2424cancelint_80_78:
2425 rdhpr %halt, %r12
2426 .word 0x85880000 ! 145: ALLCLEAN <illegal instruction>
2427frzptr_80_82:
2428 nop
2429 nop
2430 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
2431 ldx [%r27+0xc], %r20
2432 jmpl %r27+4, %r27
2433 .word 0x91b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d8
2434 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
2435 jmpl %r27, %r27
2436 .word 0x00800001 ! 149: BN bn <label_0x1>
2437splash_lsu_80_85:
2438 nop
2439 nop
2440 ta T_CHANGE_HPRIV
2441 set 0x837d1690, %r2
2442 mov 0x6, %r1
2443 sllx %r1, 32, %r1
2444 or %r1, %r2, %r2
2445 .word 0x00800001 ! 1: BN bn <label_0x1>
2446 stxa %r2, [%r0] ASI_LSU_CONTROL
2447 .word 0x3d400001 ! 153: FBPULE fbule,a,pn %fcc0, <label_0x1>
2448 .word 0x8980000a ! 157: WRTICK_R wr %r0, %r10, %tick
2449frzptr_80_91:
2450 nop
2451 nop
2452 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
2453 ldx [%r27+0xc], %r20
2454 jmpl %r27, %r27
2455 .word 0x00800001 ! 161: BN bn <label_0x1>
2456brcommon3_80_93:
2457 nop
2458 nop
2459 setx common_target, %r12, %r27
2460 lduw [%r27-0], %r12 ! Load common dest into dcache ..
2461 stuw %r12, [%r27-0] ! Load common dest into dcache ..
2462 ba,a .+12
2463 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
2464 ba,a .+8
2465 jmpl %r27+0, %r27
2466 .word 0x00800001 ! 165: BN bn <label_0x1>
2467 .word 0x9191c00d ! 169: WRPR_PIL_R wrpr %r7, %r13, %pil
2468splash_lsu_80_97:
2469 nop
2470 nop
2471 ta T_CHANGE_HPRIV
2472 set 0x32bab063, %r2
2473 mov 0x4, %r1
2474 sllx %r1, 32, %r1
2475 or %r1, %r2, %r2
2476 .word 0x25400002 ! 1: FBPLG fblg,a,pn %fcc0, <label_0x2>
2477 stxa %r2, [%r0] ASI_LSU_CONTROL
2478 .word 0x3d400001 ! 173: FBPULE fbule,a,pn %fcc0, <label_0x1>
2479 .word 0xd047e1c0 ! 177: LDSW_I ldsw [%r31 + 0x01c0], %r8
2480 .word 0xe1bfde00 ! 181: STDFA_R stda %f16, [%r0, %r31]
2481brcommon3_80_100:
2482 nop
2483 nop
2484 setx common_target, %r12, %r27
2485 lduw [%r27-0], %r12 ! Load common dest into dcache ..
2486 stuw %r12, [%r27-0] ! Load common dest into dcache ..
2487 ba,a .+12
2488 .word 0xd137e170 ! 1: STQF_I - %f8, [0x0170, %r31]
2489 ba,a .+8
2490 jmpl %r27+0, %r27
2491 .word 0x00800001 ! 185: BN bn <label_0x1>
2492 .word 0xd01fe1e0 ! 189: LDD_I ldd [%r31 + 0x01e0], %r8
2493jmptr_80_104:
2494 nop
2495 nop
2496 best_set_reg(0xe0a00000, %r20, %r27)
2497 .word 0xb7c6c000 ! 193: JMPL_R jmpl %r27 + %r0, %r27
2498intveclr_80_106:
2499 nop
2500 nop
2501 ta T_CHANGE_HPRIV
2502 setx 0xc3ddf2d987962c86, %r1, %r28
2503 stxa %r28, [%g0] 0x72
2504 wrhpr %g0, 0x8da, %hpstate ! ta T_CHANGE_NONHPRIV
2505 .word 0x25400001 ! 197: FBPLG fblg,a,pn %fcc0, <label_0x1>
2506 brnz,a,pt %r18, skip_80_108
2507 ba,a skip_80_108
2508.align 2048
2509skip_80_108:
2510 .word 0xa1a449d4 ! 201: FDIVd fdivd %f48, %f20, %f16
2511 .word 0xe88008a0 ! 205: LDUWA_R lduwa [%r0, %r0] 0x45, %r20
2512 nop
2513 nop
2514 ta T_CHANGE_HPRIV ! macro
2515donret_80_111:
2516 rd %pc, %r12
2517 mov HIGHVA_HIGHNUM, %r10
2518 sllx %r10, 32, %r10
2519 or %r12, %r10, %r12
2520 add %r12, (donretarg_80_111-donret_80_111+4), %r12
2521 add %r12, 0x4, %r11 ! seq tnpc
2522 andn %r11, %r10, %r11 ! low VA tnpc
2523 wrpr %g0, 0x2, %tl
2524 wrpr %g0, %r12, %tpc
2525 wrpr %g0, %r11, %tnpc
2526 set (0x005ee000 | (20 << 24)), %r13
2527 and %r12, 0xfff, %r14
2528 sllx %r14, 32, %r14
2529 or %r13, %r14, %r20
2530 wrpr %r20, %g0, %tstate
2531 wrhpr %g0, 0x1e4f, %htstate
2532 best_set_reg(0x2c8, %g1, %g2)
2533 wrpr %g0, %g2, %pstate ! rand=0 (80)
2534 ldx [%r11+%r0], %g1
2535 done
2536donretarg_80_111:
2537 .word 0x81982f8c ! 209: WRHPR_HPSTATE_I wrhpr %r0, 0x0f8c, %hpstate
2538 .word 0x9f803c65 ! 213: SIR sir 0x1c65
2539intveclr_80_115:
2540 nop
2541 nop
2542 ta T_CHANGE_HPRIV
2543 setx 0x618e41d2bf4680a5, %r1, %r28
2544 stxa %r28, [%g0] 0x72
2545 wrhpr %g0, 0x791, %hpstate ! ta T_CHANGE_NONHPRIV
2546 .word 0x25400002 ! 217: FBPLG fblg,a,pn %fcc0, <label_0x2>
2547 .word 0xe927e16d ! 221: STF_I st %f20, [0x016d, %r31]
2548 .word 0x91944014 ! 225: WRPR_PIL_R wrpr %r17, %r20, %pil
2549splash_tba_80_121:
2550 nop
2551 ta T_CHANGE_PRIV
2552 setx 0x00000000003a0000, %r11, %r12
2553 .word 0x8b90000c ! 229: WRPR_TBA_R wrpr %r0, %r12, %tba
2554 .word 0xe937c000 ! 233: STQF_R - %f20, [%r0, %r31]
2555memptr_80_123:
2556 set user_data_start, %r31
2557 .word 0x8584e686 ! 237: WRCCR_I wr %r19, 0x0686, %ccr
2558br_badelay2_80_124:
2559 .word 0x22800001 ! 1: BE be,a <label_0x1>
2560 pdist %f4, %f30, %f2
2561 .word 0x93b20314 ! 241: ALIGNADDRESS alignaddr %r8, %r20, %r9
2562 .word 0xd0dfc280 ! 245: LDXA_R ldxa [%r31, %r0] 0x14, %r8
2563brcommon3_80_127:
2564 nop
2565 nop
2566 setx common_target, %r12, %r27
2567 lduw [%r27-4], %r12 ! Load common dest into dcache ..
2568 stuw %r12, [%r27-4] ! Load common dest into dcache ..
2569 ba,a .+12
2570 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
2571 ba,a .+8
2572 jmpl %r27+0, %r27
2573 .word 0x8d903619 ! 249: WRPR_PSTATE_I wrpr %r0, 0x1619, %pstate
2574memptr_80_129:
2575 set user_data_start, %r31
2576 .word 0x8584b893 ! 253: WRCCR_I wr %r18, 0x1893, %ccr
2577splash_lsu_80_132:
2578 nop
2579 nop
2580 ta T_CHANGE_HPRIV
2581 set 0xef5bfa91, %r2
2582 mov 0x5, %r1
2583 sllx %r1, 32, %r1
2584 or %r1, %r2, %r2
2585 .word 0x04c90002 ! 1: BRLEZ brlez,pt %r4,<label_0x90002>
2586 stxa %r2, [%r0] ASI_LSU_CONTROL
2587 ta T_CHANGE_NONHPRIV
2588 .word 0x3d400001 ! 257: FBPULE fbule,a,pn %fcc0, <label_0x1>
2589 brnz,pt %r20, skip_80_136
2590 stxa %r7, [%r0] ASI_LSU_CONTROL
2591 fbe,a,pn %fcc0, skip_80_136
2592 stxa %r7, [%r0] ASI_LSU_CONTROL
2593.align 512
2594skip_80_136:
2595 .word 0xc30fc000 ! 261: LDXFSR_R ld-fsr [%r31, %r0], %f1
2596frzptr_80_139:
2597 nop
2598 nop
2599 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
2600 ldx [%r27+0xc], %r20
2601 jmpl %r27, %r27
2602 .word 0x20800001 ! 265: BN bn,a <label_0x1>
2603 .word 0xe19fdd40 ! 269: LDDFA_R ldda [%r31, %r0], %f16
2604 .word 0x91948006 ! 273: WRPR_PIL_R wrpr %r18, %r6, %pil
2605 fbug,a,pn %fcc0, skip_80_145
2606 stxa %r19, [%r0] ASI_LSU_CONTROL
2607 .word 0x87ad0a4b ! 1: FCMPd fcmpd %fcc<n>, %f20, %f42
2608 stxa %r8, [%r0] ASI_LSU_CONTROL
2609.align 1024
2610skip_80_145:
2611 .word 0xc32fc000 ! 277: STXFSR_R st-sfr %f1, [%r0, %r31]
2612brcommon3_80_147:
2613 nop
2614 nop
2615 setx common_target, %r12, %r27
2616 lduw [%r27], %r12 ! Load common dest into dcache ..
2617 stuw %r12, [%r27] ! Load common dest into dcache ..
2618 ba,a .+12
2619 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
2620 ba,a .+8
2621 jmpl %r27+0, %r27
2622 stxa %r9, [%r0] ASI_LSU_CONTROL
2623 .word 0x93aac834 ! 281: FMOVGE fmovs %fcc1, %f20, %f9
2624br_badelay2_80_151:
2625 .word 0x14800001 ! 1: BG bg <label_0x1>
2626 pdist %f28, %f12, %f30
2627 .word 0xa3b30310 ! 285: ALIGNADDRESS alignaddr %r12, %r16, %r17
2628 .word 0xd497c180 ! 289: LDUHA_R lduha [%r31, %r0] 0x0c, %r10
2629 .word 0xd53fe1e0 ! 293: STDF_I std %f10, [0x01e0, %r31]
2630 .word 0xe19fe0a0 ! 297: LDDFA_I ldda [%r31, 0x00a0], %f16
2631 .word 0xd41fe1f0 ! 301: LDD_I ldd [%r31 + 0x01f0], %r10
2632 .word 0xc19fe080 ! 305: LDDFA_I ldda [%r31, 0x0080], %f0
2633 nop
2634 nop
2635 ta T_CHANGE_HPRIV ! macro
2636donret_80_161:
2637 rd %pc, %r12
2638 mov HIGHVA_HIGHNUM, %r10
2639 sllx %r10, 32, %r10
2640 or %r12, %r10, %r12
2641 add %r12, (donretarg_80_161-donret_80_161+4), %r12
2642 add %r12, 0x4, %r11 ! seq tnpc
2643 wrpr %g0, 0x1, %tl
2644 wrpr %g0, %r12, %tpc
2645 wrpr %g0, %r11, %tnpc
2646 set (0x000b0300 | (16 << 24)), %r13
2647 and %r12, 0xfff, %r14
2648 sllx %r14, 32, %r14
2649 or %r13, %r14, %r20
2650 wrpr %r20, %g0, %tstate
2651 wrhpr %g0, 0x16e7, %htstate
2652 wrhpr %g0, 0xe1b, %hpstate ! rand=1 (80)
2653 done
2654.align 512
2655donretarg_80_161:
2656 .word 0xd4ffc600 ! 309: SWAPA_R swapa %r10, [%r31 + %r0] 0x30
2657 .word 0xa1454000 ! 313: RD_CLEAR_SOFTINT rd %clear_softint, %r16
2658 .word 0x91910012 ! 317: WRPR_PIL_R wrpr %r4, %r18, %pil
2659br_longdelay3_80_166:
2660 nop
2661 not %g0, %r27
2662 jmpl %r27+0, %r27
2663 .word 0x80000001 ! 321: ILLTRAP add %r0, %r1, %r0
2664 .word 0xe1bfe0a0 ! 325: STDFA_I stda %f16, [0x00a0, %r31]
2665cancelint_80_171:
2666 rdhpr %halt, %r19
2667 .word 0x85880000 ! 329: ALLCLEAN <illegal instruction>
2668 .word 0xc1bfe140 ! 333: STDFA_I stda %f0, [0x0140, %r31]
2669 brnz,a,pn %r19, skip_80_175
2670 bcc skip_80_175
2671.align 2048
2672skip_80_175:
2673 .word 0x24ca4002 ! 337: BRLEZ brlez,a,pt %r9,<label_0xa4002>
2674frzptr_80_177:
2675 nop
2676 nop
2677 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
2678 jmpl %r27+4, %r27
2679 .word 0xa3a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f48
2680 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
2681 jmpl %r27, %r27
2682 .word 0x00800002 ! 341: BN bn <label_0x2>
2683demap_80_180:
2684 nop
2685 mov 0x80, %g3
2686 ta T_CHANGE_HPRIV
2687 .word 0x01400001 ! 1: FBPN fbn
2688 stxa %g3, [%g3] 0x5f
2689 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2690 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2691 stxa %g3, [%g3] 0x57
2692 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2693 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2694 stxa %g3, [%g3] 0x5f
2695 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2696 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2697 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2698 stxa %g3, [%g3] 0x57
2699 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2700 wrhpr %g0, 0x6da, %hpstate ! ta T_CHANGE_NONHPRIV
2701 .word 0xe21fe0d5 ! 345: LDD_I ldd [%r31 + 0x00d5], %r17
2702 .word 0x38800001 ! 1: BGU bgu,a <label_0x1>
2703 .word 0x8d9036a1 ! 349: WRPR_PSTATE_I wrpr %r0, 0x16a1, %pstate
2704 fbge,a,pn %fcc0, skip_80_184
2705 stxa %r16, [%r0] ASI_LSU_CONTROL
2706 fbuge,a,pn %fcc0, skip_80_184
2707 stxa %r16, [%r0] ASI_LSU_CONTROL
2708.align 128
2709skip_80_184:
2710 .word 0xe23fe088 ! 353: STD_I std %r17, [%r31 + 0x0088]
2711splash_tba_80_187:
2712 nop
2713 ta T_CHANGE_PRIV
2714 set 0x120000, %r12
2715 .word 0x8b90000c ! 357: WRPR_TBA_R wrpr %r0, %r12, %tba
2716splash_lsu_80_189:
2717 nop
2718 nop
2719 ta T_CHANGE_HPRIV
2720 set 0xac499836, %r2
2721 mov 0x6, %r1
2722 sllx %r1, 32, %r1
2723 or %r1, %r2, %r2
2724 .word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
2725 stxa %r2, [%r0] ASI_LSU_CONTROL
2726 ta T_CHANGE_NONHPRIV
2727 .word 0x3d400001 ! 361: FBPULE fbule,a,pn %fcc0, <label_0x1>
2728 fbuge,a,pn %fcc0, skip_80_192
2729 fbuge,a,pn %fcc0, skip_80_192
2730.align 4096
2731skip_80_192:
2732 .word 0x97b344c1 ! 365: FCMPNE32 fcmpne32 %d44, %d32, %r11
2733cancelint_80_194:
2734 rdhpr %halt, %r9
2735 .word 0x85880000 ! 369: ALLCLEAN <illegal instruction>
2736br_badelay3_80_195:
2737 .word 0x91a4c9d3 ! 1: FDIVd fdivd %f50, %f50, %f8
2738 .word 0x20800001 ! 1: BN bn,a <label_0x1>
2739 .word 0xa7a489d3 ! 1: FDIVd fdivd %f18, %f50, %f50
2740 .word 0x9ba48826 ! 373: FADDs fadds %f18, %f6, %f13
2741#if (defined SPC || defined CMP1)
2742!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_198) , 16, 16)) -> intp(6,0,6,*,984,*,a0,1)
2743!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_198)&0xffffffff) , 16, 16)) -> intp(2,0,16,*,696,*,a0,1)
2744#else
2745 nop
2746 nop
2747 set 0x690fb7e, %r28 !TTID : 3 (mask2tid(0x80))
2748#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2749 sethi %hi(0x3800), %r27
2750 andn %r28, %r27, %r28
2751#ifdef PORTABLE_CORE
2752 ! Add CID to vector
2753 ta T_CHANGE_HPRIV
2754 ldxa [%g0]0x63, %r27
2755 sllx %r27, 8, %r27
2756 or %r27, %r28, %r28
2757#endif
2758#else
2759 ! Add CID IF tid matches
2760ifelse(3,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2761#endif
2762ifelse(3,mask2tid(0x80),`.align 16')
2763 stxa %r28, [%g0] 0x73
2764#endif
2765intvec_80_198:
2766#if (defined SPC || defined CMP1)
2767 wrhpr %g0, 0x0, %halt ! HALT
2768#else
2769ifelse(3,mask2tid(0x80),`wrhpr %g0, 0x0, %halt ! HALT')
2770#endif
2771 .word 0x39400001 ! 377: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
2772 fbul,a,pn %fcc0, skip_80_199
2773 .word 0x95b144c6 ! 1: FCMPNE32 fcmpne32 %d36, %d6, %r10
2774.align 2048
2775skip_80_199:
2776 .word 0x04cc8001 ! 381: BRLEZ brlez,pt %r18,<label_0xc8001>
2777 brz,pt %r16, skip_80_200
2778 brz,pn %r16, skip_80_200
2779.align 128
2780skip_80_200:
2781 .word 0x39400001 ! 385: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
2782brcommon3_80_203:
2783 nop
2784 nop
2785 setx common_target, %r12, %r27
2786 lduw [%r27-4], %r12 ! Load common dest into dcache ..
2787 stuw %r12, [%r27-4] ! Load common dest into dcache ..
2788 ba,a .+12
2789 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
2790 ba,a .+8
2791 jmpl %r27+0, %r27
2792 .word 0x20800001 ! 389: BN bn,a <label_0x1>
2793 .word 0xe937e0f6 ! 393: STQF_I - %f20, [0x00f6, %r31]
2794br_longdelay3_80_207:
2795 nop
2796 not %g0, %r27
2797 jmpl %r27+0, %r27
2798 .word 0x81983616 ! 397: WRHPR_HPSTATE_I wrhpr %r0, 0x1616, %hpstate
2799jmptr_80_209:
2800 nop
2801 nop
2802 best_set_reg(0xe1a00000, %r20, %r27)
2803 .word 0xb7c6c000 ! 401: JMPL_R jmpl %r27 + %r0, %r27
2804intveclr_80_213:
2805 nop
2806 nop
2807 ta T_CHANGE_HPRIV
2808 setx 0x3b7a3fe471eea5ea, %r1, %r28
2809 stxa %r28, [%g0] 0x72
2810 .word 0x25400002 ! 405: FBPLG fblg,a,pn %fcc0, <label_0x2>
2811 fbul skip_80_216
2812 brgez,pn %r17, skip_80_216
2813.align 1024
2814skip_80_216:
2815 .word 0x93b284c3 ! 409: FCMPNE32 fcmpne32 %d10, %d34, %r9
2816frzptr_80_219:
2817 nop
2818 nop
2819 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
2820 ldx [%r27+0xc], %r20
2821 jmpl %r27, %r27
2822 .word 0x20800001 ! 413: BN bn,a <label_0x1>
2823 fble skip_80_221
2824 .word 0x87ac0a50 ! 1: FCMPd fcmpd %fcc<n>, %f16, %f16
2825.align 512
2826skip_80_221:
2827 .word 0x99b404c1 ! 417: FCMPNE32 fcmpne32 %d16, %d32, %r12
2828#if (defined SPC || defined CMP1)
2829!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_224) , 16, 16)) -> intp(7,0,2,*,736,*,cf,1)
2830!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_224)&0xffffffff) , 16, 16)) -> intp(2,0,8,*,896,*,cf,1)
2831#else
2832 nop
2833 nop
2834 set 0x6130e89a, %r28 !TTID : 0 (mask2tid(0x80))
2835#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2836 sethi %hi(0x3800), %r27
2837 andn %r28, %r27, %r28
2838#ifdef PORTABLE_CORE
2839 ! Add CID to vector
2840 ta T_CHANGE_HPRIV
2841 ldxa [%g0]0x63, %r27
2842 sllx %r27, 8, %r27
2843 or %r27, %r28, %r28
2844#endif
2845#else
2846 ! Add CID IF tid matches
2847ifelse(0,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2848#endif
2849ifelse(0,mask2tid(0x80),`.align 16')
2850 stxa %r28, [%g0] 0x73
2851#endif
2852intvec_80_224:
2853#if (defined SPC || defined CMP1)
2854 wrhpr %g0, 0x0, %halt ! HALT
2855#else
2856ifelse(0,mask2tid(0x80),`wrhpr %g0, 0x0, %halt ! HALT')
2857#endif
2858 .word 0x9bb104c4 ! 421: FCMPNE32 fcmpne32 %d4, %d4, %r13
2859br_longdelay2_80_226:
2860 .word 0x15400002 ! 1: FBPUE fbue
2861 .word 0xd5e7d160 ! 425: CASA_I casa [%r31] 0x8b, %r0, %r10
2862 bgu skip_80_229
2863 fbg,a,pn %fcc0, skip_80_229
2864.align 128
2865skip_80_229:
2866 .word 0x39400001 ! 429: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
2867 .word 0xd69fd140 ! 433: LDDA_R ldda [%r31, %r0] 0x8a, %r11
2868 nop
2869 nop
2870 set 0xe060be66, %r28 !TTID : 6 (mask2tid(0x80))
2871#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2872 sethi %hi(0x3800), %r27
2873 andn %r28, %r27, %r28
2874#ifdef PORTABLE_CORE
2875 ! Add CID to vector
2876 ta T_CHANGE_HPRIV
2877 ldxa [%g0]0x63, %r27
2878 sllx %r27, 8, %r27
2879 or %r27, %r28, %r28
2880#endif
2881#else
2882 ! Add CID IF tid matches
2883ifelse(6,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2884#endif
2885 sethi %hi(0x30000), %r27
2886 andn %r28, %r27, %r28
2887 ta T_CHANGE_HPRIV
2888ifelse(6,mask2tid(0x80),`.align 16')
2889 stxa %r28, [%g0] 0x73
2890intvec_80_233:
2891 .word 0xa3a449c5 ! 437: FDIVd fdivd %f48, %f36, %f48
2892 .word 0x91948009 ! 441: WRPR_PIL_R wrpr %r18, %r9, %pil
2893jmptr_80_238:
2894 nop
2895 nop
2896 best_set_reg(0xe0a00000, %r20, %r27)
2897 .word 0xb7c6c000 ! 445: JMPL_R jmpl %r27 + %r0, %r27
2898 .word 0xd49fc080 ! 449: LDDA_R ldda [%r31, %r0] 0x04, %r10
2899 .word 0x2aca0001 ! 1: BRNZ brnz,a,pt %r8,<label_0xa0001>
2900br_longdelay5_80_242:
2901#if (defined SPC || defined CMP1)
2902!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_80_242)&0xffffffff) , 16, 16)) -> intp(mask2tid(0x80),0,0,*,720,*,ffffffffffffffff,1)
2903!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_80_242)) , 16, 16)) -> intp(mask2tid(0x80),0,0,*,984,*,ffffffffffffffff,1)
2904 wrhpr %g0, 0x0, %halt ! HALT
2905#endif
2906 .word 0xd43fe140 ! 453: STD_I std %r10, [%r31 + 0x0140]
2907 nop
2908 nop
2909 set 0x750e56c, %r28 !TTID : 5 (mask2tid(0x80))
2910#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2911 sethi %hi(0x3800), %r27
2912 andn %r28, %r27, %r28
2913#ifdef PORTABLE_CORE
2914 ! Add CID to vector
2915 ta T_CHANGE_HPRIV
2916 ldxa [%g0]0x63, %r27
2917 sllx %r27, 8, %r27
2918 or %r27, %r28, %r28
2919#endif
2920#else
2921 ! Add CID IF tid matches
2922ifelse(5,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2923#endif
2924 sethi %hi(0x30000), %r27
2925 andn %r28, %r27, %r28
2926 ta T_CHANGE_HPRIV
2927ifelse(5,mask2tid(0x80),`.align 16')
2928 stxa %r28, [%g0] 0x73
2929intvec_80_243:
2930 .word 0x39400001 ! 457: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
2931brcommon2_80_246:
2932 nop
2933 nop
2934 setx common_target, %r12, %r27
2935 ba,a .+12
2936 .word 0xd5148014 ! 1: LDQF_R - [%r18, %r20], %f10
2937 ba,a .+8
2938 jmpl %r27-4, %r27
2939 .word 0x20800001 ! 461: BN bn,a <label_0x1>
2940demap_80_248:
2941 nop
2942 mov 0x80, %g3
2943 ta T_CHANGE_HPRIV
2944 .word 0x2d400001 ! 1: FBPG fbg,a,pn %fcc0, <label_0x1>
2945 stxa %g3, [%g3] 0x57
2946 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2947 stxa %g3, [%g3] 0x57
2948 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2949 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2950 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2951 stxa %g3, [%g3] 0x5f
2952 stxa %g3, [%g3] 0x57
2953 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2954 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2955 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2956 stxa %g3, [%g3] 0x57
2957 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2958 stxa %g3, [%g3] 0x5f
2959 stxa %g3, [%g3] 0x57
2960 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2961 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2962 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2963 wrhpr %g0, 0xc13, %hpstate ! ta T_CHANGE_NONHPRIV
2964 .word 0xe01fe181 ! 465: LDD_I ldd [%r31 + 0x0181], %r16
2965iaw_80_250:
2966 nop
2967 nop
2968 ta T_CHANGE_HPRIV
2969 mov 8, %r18
2970 rd %asi, %r12
2971 wr %r0, 0x41, %asi
2972 set sync_thr_counter4, %r23
2973#ifndef SPC
2974 ldxa [%g0]0x63, %r8
2975 and %r8, 0x38, %r8 ! Core ID
2976#ifndef PORTABLE_CORE
2977 add %r8, %r23, %r23
2978#endif
2979#else
2980 mov 0, %r8
2981#endif
2982 mov 0x80, %r16
2983iaw_startwait80_250:
2984 cas [%r23],%g0,%r16 !lock
2985 brz,a %r16, continue_iaw_80_250
2986 mov (~0x80&0xf0), %r16
2987 ld [%r23], %r16
2988iaw_wait80_250:
2989 brnz %r16, iaw_wait80_250
2990 ld [%r23], %r16
2991 ba iaw_startwait80_250
2992 mov 0x80, %r16
2993continue_iaw_80_250:
2994 sllx %r16, %r8, %r16 !Mask for my core only
2995 ldxa [0x58]%asi, %r17 !Running_status
2996wait_for_stat_80_250:
2997 ldxa [0x50]%asi, %r13 !Running_rw
2998 cmp %r13, %r17
2999 bne,a %xcc, wait_for_stat_80_250
3000 ldxa [0x58]%asi, %r17 !Running_status
3001 stxa %r16, [0x68]%asi !Park (W1C)
3002 ldxa [0x50]%asi, %r14 !Running_rw
3003wait_for_iaw_80_250:
3004 ldxa [0x58]%asi, %r17 !Running_status
3005 cmp %r14, %r17
3006 bne,a %xcc, wait_for_iaw_80_250
3007 ldxa [0x50]%asi, %r14 !Running_rw
3008iaw_doit80_250:
3009 mov 0x38, %r18
3010iaw1_80_250:
3011 best_set_reg(0x00000000e1a00000, %r20, %r19)
3012 or %r19, 0x1, %r19
3013 stxa %r19, [%r18]0x50
3014 stxa %r16, [0x60] %asi ! Unpark (W1S)
3015 st %g0, [%r23] !clear lock
3016 wr %r0, %r12, %asi ! restore %asi
3017 wrhpr %g0, 0xa19, %hpstate ! ta T_CHANGE_NONHPRIV
3018 .word 0xe19fdb40 ! 469: LDDFA_R ldda [%r31, %r0], %f16
3019splash_lsu_80_254:
3020 nop
3021 nop
3022 ta T_CHANGE_HPRIV
3023 set 0xe4aecfeb, %r2
3024 mov 0x3, %r1
3025 sllx %r1, 32, %r1
3026 or %r1, %r2, %r2
3027 .word 0x2d400001 ! 1: FBPG fbg,a,pn %fcc0, <label_0x1>
3028 stxa %r2, [%r0] ASI_LSU_CONTROL
3029 .word 0x3d400001 ! 473: FBPULE fbule,a,pn %fcc0, <label_0x1>
3030 .word 0xe09fe1b0 ! 477: LDDA_I ldda [%r31, + 0x01b0] %asi, %r16
3031 .word 0x91940013 ! 481: WRPR_PIL_R wrpr %r16, %r19, %pil
3032 .word 0x8980000a ! 485: WRTICK_R wr %r0, %r10, %tick
3033mondo_80_262:
3034 nop
3035 nop
3036 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
3037 stxa %r6, [%r0+0x3e0] %asi
3038 stxa %r16, [%r0+0x3e0] %asi
3039 .word 0x87802030 ! 1: WRASI_I wr %r0, 0x0030, %asi
3040 .word 0x9d92c00c ! 489: WRPR_WSTATE_R wrpr %r11, %r12, %wstate
3041#if (defined SPC || defined CMP1)
3042!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_266) , 16, 16)) -> intp(2,0,26,*,720,*,f3,1)
3043!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_266)&0xffffffff) , 16, 16)) -> intp(1,0,26,*,936,*,f3,1)
3044#else
3045 nop
3046 nop
3047 set 0xbdb02a94, %r28 !TTID : 2 (mask2tid(0x80))
3048#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3049 sethi %hi(0x3800), %r27
3050 andn %r28, %r27, %r28
3051#ifdef PORTABLE_CORE
3052 ! Add CID to vector
3053 ta T_CHANGE_HPRIV
3054 ldxa [%g0]0x63, %r27
3055 sllx %r27, 8, %r27
3056 or %r27, %r28, %r28
3057#endif
3058#else
3059 ! Add CID IF tid matches
3060ifelse(2,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3061#endif
3062ifelse(2,mask2tid(0x80),`.align 16')
3063 stxa %r28, [%g0] 0x73
3064#endif
3065intvec_80_266:
3066#if (defined SPC || defined CMP1)
3067 wrhpr %g0, 0x0, %halt ! HALT
3068#else
3069ifelse(2,mask2tid(0x80),`wrhpr %g0, 0x0, %halt ! HALT')
3070#endif
3071 .word 0x93a449cc ! 493: FDIVd fdivd %f48, %f12, %f40
3072trapasi_80_270:
3073 nop
3074 mov 0x38, %r1 ! (VA for ASI 0x5a)
3075 .word 0xd4d84b40 ! 497: LDXA_R ldxa [%r1, %r0] 0x5a, %r10
3076splash_hpstate_80_272:
3077 .word 0x2ccc0001 ! 1: BRGZ brgz,a,pt %r16,<label_0xc0001>
3078 .word 0x81983ac4 ! 501: WRHPR_HPSTATE_I wrhpr %r0, 0x1ac4, %hpstate
3079 .word 0xd41fe0f0 ! 505: LDD_I ldd [%r31 + 0x00f0], %r10
3080memptr_80_278:
3081 set 0x60340000, %r31
3082 .word 0x85843a4e ! 509: WRCCR_I wr %r16, 0x1a4e, %ccr
3083jmptr_80_281:
3084 nop
3085 nop
3086 best_set_reg(0xe0a00000, %r20, %r27)
3087 .word 0xb7c6c000 ! 513: JMPL_R jmpl %r27 + %r0, %r27
3088 .word 0x8f902000 ! 1: WRPR_TL_I wrpr %r0, 0x0000, %tl
3089reduce_priv_lvl_80_283:
3090 ta T_CHANGE_NONHPRIV ! macro
3091 fbn,a,pn %fcc0, skip_80_284
3092 stxa %r13, [%r0] ASI_LSU_CONTROL
3093 .word 0xa3a489c4 ! 1: FDIVd fdivd %f18, %f4, %f48
3094 stxa %r9, [%r0] ASI_LSU_CONTROL
3095.align 2048
3096skip_80_284:
3097 .word 0xd5e7c600 ! 521: CASA_I casa [%r31] 0x30, %r0, %r10
3098memptr_80_288:
3099 set user_data_start, %r31
3100 .word 0x85846302 ! 525: WRCCR_I wr %r17, 0x0302, %ccr
3101 .word 0xd537c000 ! 529: STQF_R - %f10, [%r0, %r31]
3102 .word 0x34780001 ! 533: BPG <illegal instruction>
3103splash_hpstate_80_291:
3104 .word 0x17400001 ! 1: FBPGE fbge
3105 .word 0x81982e0c ! 537: WRHPR_HPSTATE_I wrhpr %r0, 0x0e0c, %hpstate
3106memptr_80_293:
3107 set 0x60140000, %r31
3108 .word 0x8580b452 ! 541: WRCCR_I wr %r2, 0x1452, %ccr
3109 .word 0x91924007 ! 545: WRPR_PIL_R wrpr %r9, %r7, %pil
3110iaw_80_297:
3111 nop
3112 nop
3113 ta T_CHANGE_HPRIV
3114 mov 8, %r18
3115 rd %asi, %r12
3116 wr %r0, 0x41, %asi
3117 set sync_thr_counter4, %r23
3118#ifndef SPC
3119 ldxa [%g0]0x63, %r8
3120 and %r8, 0x38, %r8 ! Core ID
3121#ifndef PORTABLE_CORE
3122 add %r8, %r23, %r23
3123#endif
3124#else
3125 mov 0, %r8
3126#endif
3127 mov 0x80, %r16
3128iaw_startwait80_297:
3129 cas [%r23],%g0,%r16 !lock
3130 brz,a %r16, continue_iaw_80_297
3131 mov (~0x80&0xf0), %r16
3132 ld [%r23], %r16
3133iaw_wait80_297:
3134 brnz %r16, iaw_wait80_297
3135 ld [%r23], %r16
3136 ba iaw_startwait80_297
3137 mov 0x80, %r16
3138continue_iaw_80_297:
3139 sllx %r16, %r8, %r16 !Mask for my core only
3140 ldxa [0x58]%asi, %r17 !Running_status
3141wait_for_stat_80_297:
3142 ldxa [0x50]%asi, %r13 !Running_rw
3143 cmp %r13, %r17
3144 bne,a %xcc, wait_for_stat_80_297
3145 ldxa [0x58]%asi, %r17 !Running_status
3146 stxa %r16, [0x68]%asi !Park (W1C)
3147 ldxa [0x50]%asi, %r14 !Running_rw
3148wait_for_iaw_80_297:
3149 ldxa [0x58]%asi, %r17 !Running_status
3150 cmp %r14, %r17
3151 bne,a %xcc, wait_for_iaw_80_297
3152 ldxa [0x50]%asi, %r14 !Running_rw
3153iaw_doit80_297:
3154 mov 0x38, %r18
3155iaw1_80_297:
3156 best_set_reg(0x00000000e1a00000, %r20, %r19)
3157 or %r19, 0x1, %r19
3158 stxa %r19, [%r18]0x50
3159 stxa %r16, [0x60] %asi ! Unpark (W1S)
3160 st %g0, [%r23] !clear lock
3161 wr %r0, %r12, %asi ! restore %asi
3162 wrhpr %g0, 0x8db, %hpstate ! ta T_CHANGE_NONHPRIV
3163 .word 0xe1bfda60 ! 549: STDFA_R stda %f16, [%r0, %r31]
3164brcommon2_80_299:
3165 nop
3166 nop
3167 setx common_target, %r12, %r27
3168 ba,a .+12
3169 .word 0xd7148014 ! 1: LDQF_R - [%r18, %r20], %f11
3170 ba,a .+8
3171 jmpl %r27-4, %r27
3172 .word 0xc19fdc40 ! 553: LDDFA_R ldda [%r31, %r0], %f0
3173frzptr_80_301:
3174 nop
3175 nop
3176 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
3177 jmpl %r27, %r27
3178 .word 0xc1bfde20 ! 557: STDFA_R stda %f0, [%r0, %r31]
3179 nop
3180 nop
3181 set 0x3c50b19a, %r28 !TTID : 1 (mask2tid(0x80))
3182#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3183 sethi %hi(0x3800), %r27
3184 andn %r28, %r27, %r28
3185#ifdef PORTABLE_CORE
3186 ! Add CID to vector
3187 ta T_CHANGE_HPRIV
3188 ldxa [%g0]0x63, %r27
3189 sllx %r27, 8, %r27
3190 or %r27, %r28, %r28
3191#endif
3192#else
3193 ! Add CID IF tid matches
3194ifelse(1,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3195#endif
3196 sethi %hi(0x30000), %r27
3197 andn %r28, %r27, %r28
3198 ta T_CHANGE_HPRIV
3199ifelse(1,mask2tid(0x80),`.align 16')
3200 stxa %r28, [%g0] 0x73
3201intvec_80_303:
3202 .word 0xa3b444c9 ! 561: FCMPNE32 fcmpne32 %d48, %d40, %r17
3203 .word 0xe19fe1c0 ! 565: LDDFA_I ldda [%r31, 0x01c0], %f16
3204splash_hpstate_80_307:
3205 .word 0x81983407 ! 569: WRHPR_HPSTATE_I wrhpr %r0, 0x1407, %hpstate
3206demap_80_309:
3207 nop
3208 mov 0x80, %g3
3209 ta T_CHANGE_HPRIV
3210 stxa %r13, [%r0] ASI_LSU_CONTROL
3211 stxa %g3, [%g3] 0x57
3212 stxa %g3, [%g3] 0x5f
3213 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3214 stxa %g3, [%g3] 0x57
3215 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3216 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3217 stxa %g3, [%g3] 0x5f
3218 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3219 stxa %g3, [%g3] 0x57
3220 stxa %g3, [%g3] 0x57
3221 stxa %g3, [%g3] 0x5f
3222 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3223 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3224 wrhpr %g0, 0x280, %hpstate ! ta T_CHANGE_NONHPRIV
3225 .word 0xd21fe10a ! 573: LDD_I ldd [%r31 + 0x010a], %r9
3226 .word 0x04780002 ! 577: BPLE <illegal instruction>
3227splash_lsu_80_313:
3228 nop
3229 nop
3230 ta T_CHANGE_HPRIV
3231 set 0xf1c2620f, %r2
3232 mov 0x2, %r1
3233 sllx %r1, 32, %r1
3234 or %r1, %r2, %r2
3235 .word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
3236 stxa %r2, [%r0] ASI_LSU_CONTROL
3237 ta T_CHANGE_NONHPRIV
3238 .word 0x3d400001 ! 581: FBPULE fbule,a,pn %fcc0, <label_0x1>
3239demap_80_317:
3240 nop
3241 mov 0x80, %g3
3242 ta T_CHANGE_HPRIV
3243 stxa %r19, [%r0] ASI_LSU_CONTROL
3244 stxa %g3, [%g3] 0x57
3245 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3246 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3247 stxa %g3, [%g3] 0x57
3248 wrhpr %g0, 0x5db, %hpstate ! ta T_CHANGE_NONHPRIV
3249 .word 0xd21fe1f9 ! 585: LDD_I ldd [%r31 + 0x01f9], %r9
3250br_badelay1_80_318:
3251 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
3252 .word 0xd337c000 ! 1: STQF_R - %f9, [%r0, %r31]
3253 .word 0xd3e7c600 ! 1: CASA_I casa [%r31] 0x30, %r0, %r9
3254 normalw
3255 .word 0xa9458000 ! 589: RD_SOFTINT_REG rd %softint, %r20
3256cancelint_80_322:
3257 rdhpr %halt, %r17
3258 .word 0x85880000 ! 593: ALLCLEAN <illegal instruction>
3259brcommon1_80_324:
3260 nop
3261 nop
3262 setx common_target, %r12, %r27
3263 lduw [%r27-4], %r12 ! Load common dest into dcache ..
3264 stuw %r12, [%r27-4] ! Load common dest into dcache ..
3265 ba,a .+12
3266 .word 0xc32fe0d0 ! 1: STXFSR_I st-sfr %f1, [0x00d0, %r31]
3267 ba,a .+8
3268 jmpl %r27-4, %r27
3269 .word 0xa5b1c482 ! 597: FCMPLE32 fcmple32 %d38, %d2, %r18
3270 .word 0x91914008 ! 601: WRPR_PIL_R wrpr %r5, %r8, %pil
3271 .word 0xda8fd000 ! 605: LDUBA_R lduba [%r31, %r0] 0x80, %r13
3272 .word 0xe19fdf20 ! 609: LDDFA_R ldda [%r31, %r0], %f16
3273#if (defined SPC || defined CMP1)
3274!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_330) , 16, 16)) -> intp(6,0,8,*,984,*,d6,1)
3275!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_330)&0xffffffff) , 16, 16)) -> intp(5,0,30,*,728,*,d6,1)
3276#else
3277 nop
3278 nop
3279 set 0x6fd027a7, %r28 !TTID : 7 (mask2tid(0x80))
3280#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3281 sethi %hi(0x3800), %r27
3282 andn %r28, %r27, %r28
3283#ifdef PORTABLE_CORE
3284 ! Add CID to vector
3285 ta T_CHANGE_HPRIV
3286 ldxa [%g0]0x63, %r27
3287 sllx %r27, 8, %r27
3288 or %r27, %r28, %r28
3289#endif
3290#else
3291 ! Add CID IF tid matches
3292ifelse(7,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3293#endif
3294ifelse(7,mask2tid(0x80),`.align 16')
3295 stxa %r28, [%g0] 0x73
3296#endif
3297intvec_80_330:
3298#if (defined SPC || defined CMP1)
3299 wrhpr %g0, 0x0, %halt ! HALT
3300#else
3301ifelse(7,mask2tid(0x80),`wrhpr %g0, 0x0, %halt ! HALT')
3302#endif
3303 .word 0x91b104c1 ! 613: FCMPNE32 fcmpne32 %d4, %d32, %r8
3304 fbul skip_80_332
3305 brlez,pt %r4, skip_80_332
3306.align 2048
3307skip_80_332:
3308 .word 0x87a90a46 ! 617: FCMPd fcmpd %fcc<n>, %f4, %f6
3309 .word 0xe19fdf00 ! 621: LDDFA_R ldda [%r31, %r0], %f16
3310br_longdelay3_80_336:
3311 nop
3312 not %g0, %r27
3313 jmpl %r27+0, %r27
3314 .word 0x80000001 ! 625: ILLTRAP add %r0, %r1, %r0
3315brcommon3_80_339:
3316 nop
3317 nop
3318 setx common_target, %r12, %r27
3319 lduw [%r27], %r12 ! Load common dest into dcache ..
3320 stuw %r12, [%r27] ! Load common dest into dcache ..
3321 ba,a .+12
3322 .word 0xe137c000 ! 1: STQF_R - %f16, [%r0, %r31]
3323 ba,a .+8
3324 jmpl %r27+0, %r27
3325 stxa %r19, [%r0] ASI_LSU_CONTROL
3326 .word 0x93aac832 ! 629: FMOVGE fmovs %fcc1, %f18, %f9
3327demap_80_341:
3328 nop
3329 mov 0x80, %g3
3330 ta T_CHANGE_HPRIV
3331 .word 0x02cb0001 ! 1: BRZ brz,pt %r12,<label_0xb0001>
3332 stxa %g3, [%g3] 0x5f
3333 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3334 stxa %g3, [%g3] 0x5f
3335 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3336 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3337 stxa %g3, [%g3] 0x57
3338 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3339 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3340 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3341 stxa %g3, [%g3] 0x5f
3342 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3343 stxa %g3, [%g3] 0x57
3344 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3345 wrhpr %g0, 0xc18, %hpstate ! ta T_CHANGE_NONHPRIV
3346 .word 0xe61fe118 ! 633: LDD_I ldd [%r31 + 0x0118], %r19
3347splash_lsu_80_343:
3348 nop
3349 nop
3350 ta T_CHANGE_HPRIV
3351 set 0x68488e45, %r2
3352 mov 0x3, %r1
3353 sllx %r1, 32, %r1
3354 or %r1, %r2, %r2
3355 stxa %r2, [%r0] ASI_LSU_CONTROL
3356 .word 0x1d400001 ! 637: FBPULE fbule
3357 nop
3358 nop
3359 ta T_CHANGE_HPRIV ! macro
3360donret_80_345:
3361 rd %pc, %r12
3362 mov HIGHVA_HIGHNUM, %r10
3363 sllx %r10, 32, %r10
3364 or %r12, %r10, %r12
3365 add %r12, (donretarg_80_345-donret_80_345), %r12
3366 add %r12, 0x4, %r11 ! seq tnpc
3367 andn %r11, %r10, %r11 ! low VA tnpc
3368 wrpr %g0, 0x2, %tl
3369 wrpr %g0, %r12, %tpc
3370 wrpr %g0, %r11, %tnpc
3371 set (0x00d5c600 | (22 << 24)), %r13
3372 and %r12, 0xfff, %r14
3373 sllx %r14, 32, %r14
3374 or %r13, %r14, %r20
3375 wrpr %r20, %g0, %tstate
3376 wrhpr %g0, 0x645, %htstate
3377 wrhpr %g0, 0x59, %hpstate ! rand=1 (80)
3378 ldx [%r11+%r0], %g1
3379 done
3380donretarg_80_345:
3381 .word 0xe66fe079 ! 641: LDSTUB_I ldstub %r19, [%r31 + 0x0079]
3382splash_lsu_80_348:
3383 nop
3384 nop
3385 ta T_CHANGE_HPRIV
3386 set 0x7ceadcd9, %r2
3387 mov 0x4, %r1
3388 sllx %r1, 32, %r1
3389 or %r1, %r2, %r2
3390 stxa %r2, [%r0] ASI_LSU_CONTROL
3391 .word 0x3d400001 ! 645: FBPULE fbule,a,pn %fcc0, <label_0x1>
3392splash_lsu_80_351:
3393 nop
3394 nop
3395 ta T_CHANGE_HPRIV
3396 set 0xd4c6c78d, %r2
3397 mov 0x2, %r1
3398 sllx %r1, 32, %r1
3399 or %r1, %r2, %r2
3400 stxa %r2, [%r0] ASI_LSU_CONTROL
3401 ta T_CHANGE_NONHPRIV
3402 .word 0x1d400001 ! 649: FBPULE fbule
3403 .word 0xe68008a0 ! 653: LDUWA_R lduwa [%r0, %r0] 0x45, %r19
3404pmu_80_353:
3405 nop
3406 nop
3407 ta T_CHANGE_PRIV
3408 setx 0xffffffb0ffffffa6, %g1, %g7
3409 .word 0xa3800007 ! 657: WR_PERF_COUNTER_R wr %r0, %r7, %-
3410cancelint_80_355:
3411 rdhpr %halt, %r17
3412 .word 0x85880000 ! 661: ALLCLEAN <illegal instruction>
3413splash_lsu_80_357:
3414 nop
3415 nop
3416 ta T_CHANGE_HPRIV
3417 set 0x780ec162, %r2
3418 mov 0x1, %r1
3419 sllx %r1, 32, %r1
3420 or %r1, %r2, %r2
3421 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
3422 stxa %r2, [%r0] ASI_LSU_CONTROL
3423 ta T_CHANGE_NONHPRIV
3424 .word 0x1d400001 ! 665: FBPULE fbule
3425 .word 0xdadfd160 ! 669: LDXA_R ldxa [%r31, %r0] 0x8b, %r13
3426 .word 0xdacfc2e0 ! 673: LDSBA_R ldsba [%r31, %r0] 0x17, %r13
3427 fbug skip_80_367
3428 stxa %r9, [%r0] ASI_LSU_CONTROL
3429 fbuge skip_80_367
3430 stxa %r18, [%r0] ASI_LSU_CONTROL
3431.align 2048
3432skip_80_367:
3433 .word 0xda3fe0f9 ! 677: STD_I std %r13, [%r31 + 0x00f9]
3434demap_80_370:
3435 nop
3436 mov 0x80, %g3
3437 ta T_CHANGE_HPRIV
3438 .word 0x34800001 ! 1: BG bg,a <label_0x1>
3439 stxa %g3, [%g3] 0x5f
3440 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3441 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3442 stxa %g3, [%g3] 0x57
3443 wrhpr %g0, 0xf49, %hpstate ! ta T_CHANGE_NONHPRIV
3444 .word 0xda1fe108 ! 681: LDD_I ldd [%r31 + 0x0108], %r13
3445br_longdelay4_80_373:
3446 nop
3447 not %g0, %r27
3448 jmpl %r27+0, %r27
3449 .word 0x9d902004 ! 685: WRPR_WSTATE_I wrpr %r0, 0x0004, %wstate
3450#if (defined SPC || defined CMP1)
3451!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_376) , 16, 16)) -> intp(0,0,0,*,912,*,86,1)
3452!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_376)&0xffffffff) , 16, 16)) -> intp(5,0,24,*,944,*,86,1)
3453#else
3454 nop
3455 nop
3456 set 0x7d20eddb, %r28 !TTID : 5 (mask2tid(0x80))
3457#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3458 sethi %hi(0x3800), %r27
3459 andn %r28, %r27, %r28
3460#ifdef PORTABLE_CORE
3461 ! Add CID to vector
3462 ta T_CHANGE_HPRIV
3463 ldxa [%g0]0x63, %r27
3464 sllx %r27, 8, %r27
3465 or %r27, %r28, %r28
3466#endif
3467#else
3468 ! Add CID IF tid matches
3469ifelse(5,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3470#endif
3471ifelse(5,mask2tid(0x80),`.align 16')
3472 stxa %r28, [%g0] 0x73
3473#endif
3474intvec_80_376:
3475 .word 0xa1b244d0 ! 689: FCMPNE32 fcmpne32 %d40, %d16, %r16
3476 .word 0x93a00160 ! 693: FABSq dis not found
3477
3478 nop
3479 nop
3480 set 0x421061c6, %r28 !TTID : 1 (mask2tid(0x80))
3481#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3482 sethi %hi(0x3800), %r27
3483 andn %r28, %r27, %r28
3484#ifdef PORTABLE_CORE
3485 ! Add CID to vector
3486 ta T_CHANGE_HPRIV
3487 ldxa [%g0]0x63, %r27
3488 sllx %r27, 8, %r27
3489 or %r27, %r28, %r28
3490#endif
3491#else
3492 ! Add CID IF tid matches
3493ifelse(1,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3494#endif
3495 sethi %hi(0x30000), %r27
3496 andn %r28, %r27, %r28
3497 ta T_CHANGE_HPRIV
3498ifelse(1,mask2tid(0x80),`.align 16')
3499 stxa %r28, [%g0] 0x73
3500intvec_80_381:
3501 .word 0xa3b504d2 ! 697: FCMPNE32 fcmpne32 %d20, %d18, %r17
3502 nop
3503 nop
3504 ta T_CHANGE_HPRIV
3505 mov 0x0, %r11
3506splash_cmpr_80_383:
3507 sllx %r11, 63, %r11
3508 not %r11, %r11
3509 rd %tick, %r10
3510#if (defined SPC || defined CMP1)
3511 add %r10, 0x300, %r10
3512#else
3513 add %r10, 0xc00, %r10
3514#endif
3515 and %r10, %r11, %r10
3516 wrhpr %r10, %g0, %hsys_tick_cmpr
3517 rd %tick, %r10
3518#if (defined SPC || defined CMP1)
3519 add %r10, 0x250, %r10
3520#else
3521 add %r10, 0x550, %r10
3522#endif
3523 and %r10, %r11, %r10
3524 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
3525 .word 0x81982a58 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0a58, %hpstate
3526 .word 0x81b01021 ! 701: SIAM siam 1
3527memptr_80_385:
3528 set 0x60540000, %r31
3529 .word 0x8584646a ! 705: WRCCR_I wr %r17, 0x046a, %ccr
3530 .word 0x02780001 ! 709: BPE <illegal instruction>
3531 .word 0xd13fe082 ! 713: STDF_I std %f8, [0x0082, %r31]
3532 nop
3533 nop
3534 set 0x5b3041c0, %r28 !TTID : 1 (mask2tid(0x80))
3535#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3536 sethi %hi(0x3800), %r27
3537 andn %r28, %r27, %r28
3538#ifdef PORTABLE_CORE
3539 ! Add CID to vector
3540 ta T_CHANGE_HPRIV
3541 ldxa [%g0]0x63, %r27
3542 sllx %r27, 8, %r27
3543 or %r27, %r28, %r28
3544#endif
3545#else
3546 ! Add CID IF tid matches
3547ifelse(1,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3548#endif
3549 sethi %hi(0x30000), %r27
3550 andn %r28, %r27, %r28
3551 ta T_CHANGE_HPRIV
3552ifelse(1,mask2tid(0x80),`.align 16')
3553 stxa %r28, [%g0] 0x73
3554intvec_80_391:
3555 .word 0x19400001 ! 717: FBPUGE fbuge
3556 nop
3557 nop
3558 ta T_CHANGE_HPRIV ! macro
3559donret_80_392:
3560 rd %pc, %r12
3561 mov HIGHVA_HIGHNUM, %r10
3562 sllx %r10, 32, %r10
3563 or %r12, %r10, %r12
3564 add %r12, (donretarg_80_392-donret_80_392), %r12
3565 add %r12, 0x8, %r11 ! nonseq tnpc
3566 wrpr %g0, 0x1, %tl
3567 wrpr %g0, %r12, %tpc
3568 wrpr %g0, %r11, %tnpc
3569 set (0x00569b00 | (0x8b << 24)), %r13
3570 and %r12, 0xfff, %r14
3571 sllx %r14, 32, %r14
3572 or %r13, %r14, %r20
3573 wrpr %r20, %g0, %tstate
3574 wrhpr %g0, 0x154a, %htstate
3575 best_set_reg(0x191a, %g1, %g2)
3576 wrpr %g0, %g2, %pstate ! rand=0 (80)
3577 ldx [%r12+%r0], %g1
3578 retry
3579donretarg_80_392:
3580 .word 0x33400001 ! 721: FBPE fbe,a,pn %fcc0, <label_0x1>
3581 .word 0x04780001 ! 725: BPLE <illegal instruction>
3582splash_tba_80_395:
3583 nop
3584 ta T_CHANGE_PRIV
3585 set 0x120000, %r12
3586 .word 0x8b90000c ! 729: WRPR_TBA_R wrpr %r0, %r12, %tba
3587 fbul,a,pn %fcc0, skip_80_396
3588 .word 0x87ac8a41 ! 1: FCMPd fcmpd %fcc<n>, %f18, %f32
3589.align 512
3590skip_80_396:
3591 .word 0x87ab4a48 ! 733: FCMPd fcmpd %fcc<n>, %f44, %f8
3592 .word 0xc1bfe1e0 ! 737: STDFA_I stda %f0, [0x01e0, %r31]
3593 .word 0xe8c7d160 ! 741: LDSWA_R ldswa [%r31, %r0] 0x8b, %r20
3594intveclr_80_399:
3595 nop
3596 nop
3597 ta T_CHANGE_HPRIV
3598 setx 0x99b2e2ba9f9f9f07, %r1, %r28
3599 stxa %r28, [%g0] 0x72
3600 .word 0x25400001 ! 745: FBPLG fblg,a,pn %fcc0, <label_0x1>
3601jmptr_80_402:
3602 nop
3603 nop
3604 best_set_reg(0xe0a00000, %r20, %r27)
3605 .word 0xb7c6c000 ! 749: JMPL_R jmpl %r27 + %r0, %r27
3606brcommon3_80_405:
3607 nop
3608 nop
3609 setx common_target, %r12, %r27
3610 lduw [%r27-4], %r12 ! Load common dest into dcache ..
3611 stuw %r12, [%r27-0] ! Load common dest into dcache ..
3612 ba,a .+12
3613 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
3614 ba,a .+8
3615 jmpl %r27-4, %r27
3616 .word 0xe89fd160 ! 753: LDDA_R ldda [%r31, %r0] 0x8b, %r20
3617jmptr_80_407:
3618 nop
3619 nop
3620 best_set_reg(0xe1a00000, %r20, %r27)
3621 .word 0xb7c6c000 ! 757: JMPL_R jmpl %r27 + %r0, %r27
3622 .word 0xe927e1e4 ! 761: STF_I st %f20, [0x01e4, %r31]
3623splash_lsu_80_412:
3624 nop
3625 nop
3626 ta T_CHANGE_HPRIV
3627 set 0x4332e52e, %r2
3628 mov 0x7, %r1
3629 sllx %r1, 32, %r1
3630 or %r1, %r2, %r2
3631 .word 0x02cc4001 ! 1: BRZ brz,pt %r17,<label_0xc4001>
3632 stxa %r2, [%r0] ASI_LSU_CONTROL
3633 .word 0x3d400001 ! 765: FBPULE fbule,a,pn %fcc0, <label_0x1>
3634memptr_80_415:
3635 set 0x60740000, %r31
3636 .word 0x8580b773 ! 769: WRCCR_I wr %r2, 0x1773, %ccr
3637 nop
3638 nop
3639 ta T_CHANGE_HPRIV ! macro
3640donret_80_417:
3641 rd %pc, %r12
3642 mov HIGHVA_HIGHNUM, %r10
3643 sllx %r10, 32, %r10
3644 or %r12, %r10, %r12
3645 add %r12, (donretarg_80_417-donret_80_417), %r12
3646 add %r12, 0x4, %r11 ! seq tnpc
3647 andn %r11, %r10, %r11 ! low VA tnpc
3648 wrpr %g0, 0x2, %tl
3649 wrpr %g0, %r12, %tpc
3650 wrpr %g0, %r11, %tnpc
3651 set (0x00a11600 | (22 << 24)), %r13
3652 and %r12, 0xfff, %r14
3653 sllx %r14, 32, %r14
3654 or %r13, %r14, %r20
3655 wrpr %r20, %g0, %tstate
3656 wrhpr %g0, 0x846, %htstate
3657 best_set_reg(0x9d9, %g1, %g2)
3658 wrpr %g0, %g2, %pstate ! rand=0 (80)
3659 ldx [%r11+%r0], %g1
3660 done
3661.align 512
3662donretarg_80_417:
3663 .word 0x2c800001 ! 773: BNEG bneg,a <label_0x1>
3664 .word 0xe87fe150 ! 777: SWAP_I swap %r20, [%r31 + 0x0150]
3665 bgu skip_80_420
3666 .word 0x9ba409ca ! 1: FDIVd fdivd %f16, %f10, %f44
3667.align 1024
3668skip_80_420:
3669 .word 0xa7b244d4 ! 781: FCMPNE32 fcmpne32 %d40, %d20, %r19
3670trapasi_80_422:
3671 nop
3672 mov 0x0, %r1 ! (VA for ASI 0x73)
3673 .word 0xd4d84e60 ! 785: LDXA_R ldxa [%r1, %r0] 0x73, %r10
3674splash_hpstate_80_423:
3675 ta T_CHANGE_NONHPRIV
3676 .word 0x81982c4d ! 789: WRHPR_HPSTATE_I wrhpr %r0, 0x0c4d, %hpstate
3677 .word 0xe1bfe0c0 ! 793: STDFA_I stda %f16, [0x00c0, %r31]
3678 .word 0xc30fc000 ! 797: LDXFSR_R ld-fsr [%r31, %r0], %f1
3679 nop
3680 nop
3681 ta T_CHANGE_PRIV
3682 wrpr %g0, %g0, %gl
3683 nop
3684 nop
3685.text
3686 setx join_lbl_0_0, %g1, %g2
3687 jmp %g2
3688 nop
3689fork_lbl_0_7:
3690#ifndef PORTABLE_CORE
3691#define SPU_8
3692#endif
3693
3694#define MAX_TIMEOUT 0x002
3695#define WAIT_LOOP 0x100
3696.text
3697.global _t2_main
3698
3699_t2_main:
3700
3701 setx 0x0000deadbeefbad0, %l5, %l4
3702
3703 !# Switch to hpriv mode
3704 ta T_CHANGE_HPRIV
3705
3706 set 0x3c77, %g3
3707 stxa %g3, [%g0] ASI_SPARC_PWR_MGMT
3708
3709 ! Set up for PMU
3710 set 0x2a89d678, %g2
3711 wr %g2, %g0, %pcr
3712 setx 0xffffffb8ffffffa1, %g2, %g7
3713 wr %g7, %g0, %pic
3714
3715 ! Get core ID & offset
3716
3717#ifndef PORTABLE_CORE
3718 ldxa [%g0]0x63, %o1
3719 srlx %o1, 3, %o1
3720 sllx %o1, 20, %o1 !! %o1 has core ID offset
3721#else
3722 mov %g0, %o1
3723#endif
3724
3725 or %g0, 0x0, %g2 !# Operation Step
3726 or %g0, 0x0, %g4 !# Operand Step
3727
3728 !# Execute Main Diag ..
3729 !# Write address of data region to load from in MA_PA reg, and check
3730 setx _t2_ma_operands, %g6, %l7
3731#ifdef SPU_8
3732#if (MAX_THREADS > 8)
3733 ! Add core ID offset
3734 or %l7, %o1, %l7
3735#endif
3736#endif
3737 add %l7, 0, %l7 !# _t2_WARNING : Misaligned _t2_address
3738 add %l7, %g4, %l7
3739 wr %g0, 0x40, %asi
3740 stxa %l7, [%g0 + 0x88] %asi
3741
3742_t2_ma_load:
3743 !# Write MAMEM address, start at 0
3744 or %g0, 0x0, %l2
3745 stxa %l2, [%g0 + 0x90] %asi
3746
3747 !# write MA_CTL
3748 set 0x00020029, %l1
3749 mov 6, %g7
3750 sllx %g7, 18, %g7
3751 or %l1, %g7, %l1
3752 stxa %l1, [%g0 + 0x80] %asi !# LOAD
3753
3754 !# setup mask to check for INVOP
3755 or %g0, 0x1, %l2
3756 sllx %l2, 21, %l2
3757
3758 #! Try MA_SYNC operation...
3759_t2_wait:
3760 ldxa [%g0 + 0xA0] %asi, %l1
3761 ldxa [%g0 + 0x80] %asi, %l1
3762 andcc %l1, %l2, %l1
3763 bne,pn %xcc, _t2_fail
3764 nop
3765
3766
3767_t2_loop_0:
3768 wrpr %g0, 0x70, %pstate
3769 !# write NPRIME
3770 setx 0xbc96ce61f64c6c19, %g7, %l2
3771 stxa %l2, [%g0 + 0x98] %asi
3772
3773 setx _t2_ma_operations, %g6, %l7
3774#ifdef SPU_8
3775#if (MAX_THREADS > 8)
3776 ! Add core ID offset
3777 or %l7, %o1, %l7
3778#endif
3779#endif
3780 or %g0, 11, %i0 !# OPSELECT
3781 umul %i0, 16, %i0
3782 add %i0, %g2, %i0
3783
3784 !# write MA_ADDR
3785 ldx [%l7 + %i0], %l1
3786 stxa %l1, [%g0 + 0x90] %asi
3787
3788 !# write MA_CTL
3789 add %i0, 8, %i0
3790 ldx [%l7 + %i0], %l1
3791 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
3792
3793 !# setup mask to check for INVOP
3794 or %g0, 0x1, %l2
3795 sllx %l2, 21, %l2
3796
3797 #! Try MA_SYNC operation...
3798_t2_wait2_0:
3799 ldxa [%g0 + 0xA0] %asi, %l1
3800 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
3801 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
3802 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
3803 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
3804 andcc %l1, %l2, %l1
3805 bne,pn %xcc, _t2_fail
3806 nop
3807
3808 rdhpr %halt, %g7
3809 wrpr %g0, 0xd5, %pstate
3810
3811 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
3812 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
3813
3814_t2_loop_1:
3815 wrpr %g0, 0x47, %pstate
3816 !# write NPRIME
3817 setx 0xe61000f5a0bf6ee4, %g7, %l2
3818 stxa %l2, [%g0 + 0x98] %asi
3819
3820 setx _t2_ma_operations, %g6, %l7
3821#ifdef SPU_8
3822#if (MAX_THREADS > 8)
3823 ! Add core ID offset
3824 or %l7, %o1, %l7
3825#endif
3826#endif
3827 or %g0, 15, %i0 !# OPSELECT
3828 umul %i0, 16, %i0
3829 add %i0, %g2, %i0
3830
3831 !# write MA_ADDR
3832 ldx [%l7 + %i0], %l1
3833 stxa %l1, [%g0 + 0x90] %asi
3834
3835 !# write MA_CTL
3836 add %i0, 8, %i0
3837 ldx [%l7 + %i0], %l1
3838 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
3839
3840 !# setup mask to check for INVOP
3841 or %g0, 0x1, %l2
3842 sllx %l2, 21, %l2
3843
3844 #! Try MA_SYNC operation...
3845_t2_wait2_1:
3846 ldxa [%g0 + 0xA0] %asi, %l1
3847 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
3848 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
3849 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
3850 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
3851 andcc %l1, %l2, %l1
3852 bne,pn %xcc, _t2_fail
3853 nop
3854
3855 rdhpr %halt, %g7
3856 wrpr %g0, 17, %pstate
3857
3858 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
3859 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
3860
3861_t2_loop_2:
3862 wrpr %g0, 5, %pstate
3863 !# write NPRIME
3864 setx 0xebd814461ed742b5, %g7, %l2
3865 stxa %l2, [%g0 + 0x98] %asi
3866
3867 setx _t2_ma_operations, %g6, %l7
3868#ifdef SPU_8
3869#if (MAX_THREADS > 8)
3870 ! Add core ID offset
3871 or %l7, %o1, %l7
3872#endif
3873#endif
3874 or %g0, 13, %i0 !# OPSELECT
3875 umul %i0, 16, %i0
3876 add %i0, %g2, %i0
3877
3878 !# write MA_ADDR
3879 ldx [%l7 + %i0], %l1
3880 stxa %l1, [%g0 + 0x90] %asi
3881
3882 !# write MA_CTL
3883 add %i0, 8, %i0
3884 ldx [%l7 + %i0], %l1
3885 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
3886
3887 !# setup mask to check for INVOP
3888 or %g0, 0x1, %l2
3889 sllx %l2, 21, %l2
3890
3891 #! Try MA_SYNC operation...
3892_t2_wait2_2:
3893 ldxa [%g0 + 0xA0] %asi, %l1
3894 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
3895 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
3896 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
3897 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
3898 andcc %l1, %l2, %l1
3899 bne,pn %xcc, _t2_fail
3900 nop
3901
3902 rdhpr %halt, %g7
3903 wrpr %g0, 0xf7, %pstate
3904
3905 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
3906 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
3907
3908_t2_loop_3:
3909 wrpr %g0, 0x66, %pstate
3910 !# write NPRIME
3911 setx 0xdbe8d04fc6d674e6, %g7, %l2
3912 stxa %l2, [%g0 + 0x98] %asi
3913
3914 setx _t2_ma_operations, %g6, %l7
3915#ifdef SPU_8
3916#if (MAX_THREADS > 8)
3917 ! Add core ID offset
3918 or %l7, %o1, %l7
3919#endif
3920#endif
3921 or %g0, 7, %i0 !# OPSELECT
3922 umul %i0, 16, %i0
3923 add %i0, %g2, %i0
3924
3925 !# write MA_ADDR
3926 ldx [%l7 + %i0], %l1
3927 stxa %l1, [%g0 + 0x90] %asi
3928
3929 !# write MA_CTL
3930 add %i0, 8, %i0
3931 ldx [%l7 + %i0], %l1
3932 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
3933
3934 !# setup mask to check for INVOP
3935 or %g0, 0x1, %l2
3936 sllx %l2, 21, %l2
3937
3938 #! Try MA_SYNC operation...
3939_t2_wait2_3:
3940 ldxa [%g0 + 0xA0] %asi, %l1
3941 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
3942 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
3943 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
3944 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
3945 andcc %l1, %l2, %l1
3946 bne,pn %xcc, _t2_fail
3947 nop
3948
3949 rdhpr %halt, %g7
3950 wrpr %g0, 0x77, %pstate
3951
3952 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
3953 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
3954
3955_t2_loop_4:
3956 wrpr %g0, 0x90, %pstate
3957 !# write NPRIME
3958 setx 0x81323a5a6c1a9169, %g7, %l2
3959 stxa %l2, [%g0 + 0x98] %asi
3960
3961 setx _t2_ma_operations, %g6, %l7
3962#ifdef SPU_8
3963#if (MAX_THREADS > 8)
3964 ! Add core ID offset
3965 or %l7, %o1, %l7
3966#endif
3967#endif
3968 or %g0, 5, %i0 !# OPSELECT
3969 umul %i0, 16, %i0
3970 add %i0, %g2, %i0
3971
3972 !# write MA_ADDR
3973 ldx [%l7 + %i0], %l1
3974 stxa %l1, [%g0 + 0x90] %asi
3975
3976 !# write MA_CTL
3977 add %i0, 8, %i0
3978 ldx [%l7 + %i0], %l1
3979 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
3980
3981 !# setup mask to check for INVOP
3982 or %g0, 0x1, %l2
3983 sllx %l2, 21, %l2
3984
3985 #! Try MA_SYNC operation...
3986_t2_wait2_4:
3987 ldxa [%g0 + 0xA0] %asi, %l1
3988 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
3989 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
3990 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
3991 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
3992 andcc %l1, %l2, %l1
3993 bne,pn %xcc, _t2_fail
3994 nop
3995
3996 rdhpr %halt, %g7
3997 wrpr %g0, 0xd7, %pstate
3998
3999 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4000 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4001
4002_t2_loop_5:
4003 wrpr %g0, 0xa7, %pstate
4004 !# write NPRIME
4005 setx 0x419a426f9ad2f77b, %g7, %l2
4006 stxa %l2, [%g0 + 0x98] %asi
4007
4008 setx _t2_ma_operations, %g6, %l7
4009#ifdef SPU_8
4010#if (MAX_THREADS > 8)
4011 ! Add core ID offset
4012 or %l7, %o1, %l7
4013#endif
4014#endif
4015 or %g0, 6, %i0 !# OPSELECT
4016 umul %i0, 16, %i0
4017 add %i0, %g2, %i0
4018
4019 !# write MA_ADDR
4020 ldx [%l7 + %i0], %l1
4021 stxa %l1, [%g0 + 0x90] %asi
4022
4023 !# write MA_CTL
4024 add %i0, 8, %i0
4025 ldx [%l7 + %i0], %l1
4026 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4027
4028 !# setup mask to check for INVOP
4029 or %g0, 0x1, %l2
4030 sllx %l2, 21, %l2
4031
4032 #! Try MA_SYNC operation...
4033_t2_wait2_5:
4034 ldxa [%g0 + 0xA0] %asi, %l1
4035 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4036 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4037 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4038 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4039 andcc %l1, %l2, %l1
4040 bne,pn %xcc, _t2_fail
4041 nop
4042
4043 rdhpr %halt, %g7
4044 wrpr %g0, 0x45, %pstate
4045
4046 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4047 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4048
4049_t2_loop_6:
4050 wrpr %g0, 0x44, %pstate
4051 !# write NPRIME
4052 setx 0xa90d3cae5889811c, %g7, %l2
4053 stxa %l2, [%g0 + 0x98] %asi
4054
4055 setx _t2_ma_operations, %g6, %l7
4056#ifdef SPU_8
4057#if (MAX_THREADS > 8)
4058 ! Add core ID offset
4059 or %l7, %o1, %l7
4060#endif
4061#endif
4062 or %g0, 14, %i0 !# OPSELECT
4063 umul %i0, 16, %i0
4064 add %i0, %g2, %i0
4065
4066 !# write MA_ADDR
4067 ldx [%l7 + %i0], %l1
4068 stxa %l1, [%g0 + 0x90] %asi
4069
4070 !# write MA_CTL
4071 add %i0, 8, %i0
4072 ldx [%l7 + %i0], %l1
4073 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4074
4075 !# setup mask to check for INVOP
4076 or %g0, 0x1, %l2
4077 sllx %l2, 21, %l2
4078
4079 #! Try MA_SYNC operation...
4080_t2_wait2_6:
4081 ldxa [%g0 + 0xA0] %asi, %l1
4082 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4083 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4084 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4085 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4086 andcc %l1, %l2, %l1
4087 bne,pn %xcc, _t2_fail
4088 nop
4089
4090 rdhpr %halt, %g7
4091 wrpr %g0, 19, %pstate
4092
4093 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4094 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4095
4096_t2_loop_7:
4097 wrpr %g0, 0x75, %pstate
4098 !# write NPRIME
4099 setx 0xb66b574f07fc6d15, %g7, %l2
4100 stxa %l2, [%g0 + 0x98] %asi
4101
4102 setx _t2_ma_operations, %g6, %l7
4103#ifdef SPU_8
4104#if (MAX_THREADS > 8)
4105 ! Add core ID offset
4106 or %l7, %o1, %l7
4107#endif
4108#endif
4109 or %g0, 8, %i0 !# OPSELECT
4110 umul %i0, 16, %i0
4111 add %i0, %g2, %i0
4112
4113 !# write MA_ADDR
4114 ldx [%l7 + %i0], %l1
4115 stxa %l1, [%g0 + 0x90] %asi
4116
4117 !# write MA_CTL
4118 add %i0, 8, %i0
4119 ldx [%l7 + %i0], %l1
4120 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4121
4122 !# setup mask to check for INVOP
4123 or %g0, 0x1, %l2
4124 sllx %l2, 21, %l2
4125
4126 #! Try MA_SYNC operation...
4127_t2_wait2_7:
4128 ldxa [%g0 + 0xA0] %asi, %l1
4129 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4130 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4131 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4132 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4133 andcc %l1, %l2, %l1
4134 bne,pn %xcc, _t2_fail
4135 nop
4136
4137 rdhpr %halt, %g7
4138 wrpr %g0, 0x56, %pstate
4139
4140 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4141 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4142
4143_t2_loop_8:
4144 wrpr %g0, 0x96, %pstate
4145 !# write NPRIME
4146 setx 0x3565cc9e6b332c51, %g7, %l2
4147 stxa %l2, [%g0 + 0x98] %asi
4148
4149 setx _t2_ma_operations, %g6, %l7
4150#ifdef SPU_8
4151#if (MAX_THREADS > 8)
4152 ! Add core ID offset
4153 or %l7, %o1, %l7
4154#endif
4155#endif
4156 or %g0, 0, %i0 !# OPSELECT
4157 umul %i0, 16, %i0
4158 add %i0, %g2, %i0
4159
4160 !# write MA_ADDR
4161 ldx [%l7 + %i0], %l1
4162 stxa %l1, [%g0 + 0x90] %asi
4163
4164 !# write MA_CTL
4165 add %i0, 8, %i0
4166 ldx [%l7 + %i0], %l1
4167 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4168
4169 !# setup mask to check for INVOP
4170 or %g0, 0x1, %l2
4171 sllx %l2, 21, %l2
4172
4173 #! Try MA_SYNC operation...
4174_t2_wait2_8:
4175 ldxa [%g0 + 0xA0] %asi, %l1
4176 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4177 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4178 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4179 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4180 andcc %l1, %l2, %l1
4181 bne,pn %xcc, _t2_fail
4182 nop
4183
4184 rdhpr %halt, %g7
4185 wrpr %g0, 0xf3, %pstate
4186
4187 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4188 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4189
4190_t2_loop_9:
4191 wrpr %g0, 0xc6, %pstate
4192 !# write NPRIME
4193 setx 0x8395c54178195912, %g7, %l2
4194 stxa %l2, [%g0 + 0x98] %asi
4195
4196 setx _t2_ma_operations, %g6, %l7
4197#ifdef SPU_8
4198#if (MAX_THREADS > 8)
4199 ! Add core ID offset
4200 or %l7, %o1, %l7
4201#endif
4202#endif
4203 or %g0, 7, %i0 !# OPSELECT
4204 umul %i0, 16, %i0
4205 add %i0, %g2, %i0
4206
4207 !# write MA_ADDR
4208 ldx [%l7 + %i0], %l1
4209 stxa %l1, [%g0 + 0x90] %asi
4210
4211 !# write MA_CTL
4212 add %i0, 8, %i0
4213 ldx [%l7 + %i0], %l1
4214 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4215
4216 !# setup mask to check for INVOP
4217 or %g0, 0x1, %l2
4218 sllx %l2, 21, %l2
4219
4220 #! Try MA_SYNC operation...
4221_t2_wait2_9:
4222 ldxa [%g0 + 0xA0] %asi, %l1
4223 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4224 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4225 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4226 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4227 andcc %l1, %l2, %l1
4228 bne,pn %xcc, _t2_fail
4229 nop
4230
4231 rdhpr %halt, %g7
4232 wrpr %g0, 0x96, %pstate
4233
4234 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4235 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4236
4237_t2_loop_10:
4238 wrpr %g0, 0xf3, %pstate
4239 !# write NPRIME
4240 setx 0xd24f5a486ccccf7b, %g7, %l2
4241 stxa %l2, [%g0 + 0x98] %asi
4242
4243 setx _t2_ma_operations, %g6, %l7
4244#ifdef SPU_8
4245#if (MAX_THREADS > 8)
4246 ! Add core ID offset
4247 or %l7, %o1, %l7
4248#endif
4249#endif
4250 or %g0, 9, %i0 !# OPSELECT
4251 umul %i0, 16, %i0
4252 add %i0, %g2, %i0
4253
4254 !# write MA_ADDR
4255 ldx [%l7 + %i0], %l1
4256 stxa %l1, [%g0 + 0x90] %asi
4257
4258 !# write MA_CTL
4259 add %i0, 8, %i0
4260 ldx [%l7 + %i0], %l1
4261 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4262
4263 !# setup mask to check for INVOP
4264 or %g0, 0x1, %l2
4265 sllx %l2, 21, %l2
4266
4267 #! Try MA_SYNC operation...
4268_t2_wait2_10:
4269 ldxa [%g0 + 0xA0] %asi, %l1
4270 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4271 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4272 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4273 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4274 andcc %l1, %l2, %l1
4275 bne,pn %xcc, _t2_fail
4276 nop
4277
4278 rdhpr %halt, %g7
4279 wrpr %g0, 0, %pstate
4280
4281 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4282 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4283
4284_t2_loop_11:
4285 wrpr %g0, 0x80, %pstate
4286 !# write NPRIME
4287 setx 0xfcd918bc927540c6, %g7, %l2
4288 stxa %l2, [%g0 + 0x98] %asi
4289
4290 setx _t2_ma_operations, %g6, %l7
4291#ifdef SPU_8
4292#if (MAX_THREADS > 8)
4293 ! Add core ID offset
4294 or %l7, %o1, %l7
4295#endif
4296#endif
4297 or %g0, 14, %i0 !# OPSELECT
4298 umul %i0, 16, %i0
4299 add %i0, %g2, %i0
4300
4301 !# write MA_ADDR
4302 ldx [%l7 + %i0], %l1
4303 stxa %l1, [%g0 + 0x90] %asi
4304
4305 !# write MA_CTL
4306 add %i0, 8, %i0
4307 ldx [%l7 + %i0], %l1
4308 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4309
4310 !# setup mask to check for INVOP
4311 or %g0, 0x1, %l2
4312 sllx %l2, 21, %l2
4313
4314 #! Try MA_SYNC operation...
4315_t2_wait2_11:
4316 ldxa [%g0 + 0xA0] %asi, %l1
4317 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4318 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4319 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4320 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4321 andcc %l1, %l2, %l1
4322 bne,pn %xcc, _t2_fail
4323 nop
4324
4325 rdhpr %halt, %g7
4326 wrpr %g0, 0x46, %pstate
4327
4328 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4329 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4330
4331_t2_loop_12:
4332 wrpr %g0, 0x82, %pstate
4333 !# write NPRIME
4334 setx 0x27e00a7da9763a98, %g7, %l2
4335 stxa %l2, [%g0 + 0x98] %asi
4336
4337 setx _t2_ma_operations, %g6, %l7
4338#ifdef SPU_8
4339#if (MAX_THREADS > 8)
4340 ! Add core ID offset
4341 or %l7, %o1, %l7
4342#endif
4343#endif
4344 or %g0, 9, %i0 !# OPSELECT
4345 umul %i0, 16, %i0
4346 add %i0, %g2, %i0
4347
4348 !# write MA_ADDR
4349 ldx [%l7 + %i0], %l1
4350 stxa %l1, [%g0 + 0x90] %asi
4351
4352 !# write MA_CTL
4353 add %i0, 8, %i0
4354 ldx [%l7 + %i0], %l1
4355 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4356
4357 !# setup mask to check for INVOP
4358 or %g0, 0x1, %l2
4359 sllx %l2, 21, %l2
4360
4361 #! Try MA_SYNC operation...
4362_t2_wait2_12:
4363 ldxa [%g0 + 0xA0] %asi, %l1
4364 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4365 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4366 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4367 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4368 andcc %l1, %l2, %l1
4369 bne,pn %xcc, _t2_fail
4370 nop
4371
4372 rdhpr %halt, %g7
4373 wrpr %g0, 0x47, %pstate
4374
4375 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4376 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4377
4378_t2_loop_13:
4379 wrpr %g0, 0x92, %pstate
4380 !# write NPRIME
4381 setx 0x0ddee2baa20b5a1c, %g7, %l2
4382 stxa %l2, [%g0 + 0x98] %asi
4383
4384 setx _t2_ma_operations, %g6, %l7
4385#ifdef SPU_8
4386#if (MAX_THREADS > 8)
4387 ! Add core ID offset
4388 or %l7, %o1, %l7
4389#endif
4390#endif
4391 or %g0, 4, %i0 !# OPSELECT
4392 umul %i0, 16, %i0
4393 add %i0, %g2, %i0
4394
4395 !# write MA_ADDR
4396 ldx [%l7 + %i0], %l1
4397 stxa %l1, [%g0 + 0x90] %asi
4398
4399 !# write MA_CTL
4400 add %i0, 8, %i0
4401 ldx [%l7 + %i0], %l1
4402 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4403
4404 !# setup mask to check for INVOP
4405 or %g0, 0x1, %l2
4406 sllx %l2, 21, %l2
4407
4408 #! Try MA_SYNC operation...
4409_t2_wait2_13:
4410 ldxa [%g0 + 0xA0] %asi, %l1
4411 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4412 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4413 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4414 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4415 andcc %l1, %l2, %l1
4416 bne,pn %xcc, _t2_fail
4417 nop
4418
4419 rdhpr %halt, %g7
4420 wrpr %g0, 0x90, %pstate
4421
4422 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4423 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4424
4425_t2_loop_14:
4426 wrpr %g0, 0x84, %pstate
4427 !# write NPRIME
4428 setx 0x6cfb0492672feb47, %g7, %l2
4429 stxa %l2, [%g0 + 0x98] %asi
4430
4431 setx _t2_ma_operations, %g6, %l7
4432#ifdef SPU_8
4433#if (MAX_THREADS > 8)
4434 ! Add core ID offset
4435 or %l7, %o1, %l7
4436#endif
4437#endif
4438 or %g0, 12, %i0 !# OPSELECT
4439 umul %i0, 16, %i0
4440 add %i0, %g2, %i0
4441
4442 !# write MA_ADDR
4443 ldx [%l7 + %i0], %l1
4444 stxa %l1, [%g0 + 0x90] %asi
4445
4446 !# write MA_CTL
4447 add %i0, 8, %i0
4448 ldx [%l7 + %i0], %l1
4449 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4450
4451 !# setup mask to check for INVOP
4452 or %g0, 0x1, %l2
4453 sllx %l2, 21, %l2
4454
4455 #! Try MA_SYNC operation...
4456_t2_wait2_14:
4457 ldxa [%g0 + 0xA0] %asi, %l1
4458 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4459 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4460 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4461 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4462 andcc %l1, %l2, %l1
4463 bne,pn %xcc, _t2_fail
4464 nop
4465
4466 rdhpr %halt, %g7
4467 wrpr %g0, 0x45, %pstate
4468
4469 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4470 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4471
4472_t2_loop_15:
4473 wrpr %g0, 0x43, %pstate
4474 !# write NPRIME
4475 setx 0xe8e12a321690b051, %g7, %l2
4476 stxa %l2, [%g0 + 0x98] %asi
4477
4478 setx _t2_ma_operations, %g6, %l7
4479#ifdef SPU_8
4480#if (MAX_THREADS > 8)
4481 ! Add core ID offset
4482 or %l7, %o1, %l7
4483#endif
4484#endif
4485 or %g0, 3, %i0 !# OPSELECT
4486 umul %i0, 16, %i0
4487 add %i0, %g2, %i0
4488
4489 !# write MA_ADDR
4490 ldx [%l7 + %i0], %l1
4491 stxa %l1, [%g0 + 0x90] %asi
4492
4493 !# write MA_CTL
4494 add %i0, 8, %i0
4495 ldx [%l7 + %i0], %l1
4496 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4497
4498 !# setup mask to check for INVOP
4499 or %g0, 0x1, %l2
4500 sllx %l2, 21, %l2
4501
4502 #! Try MA_SYNC operation...
4503_t2_wait2_15:
4504 ldxa [%g0 + 0xA0] %asi, %l1
4505 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4506 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4507 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4508 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4509 andcc %l1, %l2, %l1
4510 bne,pn %xcc, _t2_fail
4511 nop
4512
4513 rdhpr %halt, %g7
4514 wrpr %g0, 0x90, %pstate
4515
4516 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4517 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4518
4519_t2_loop_16:
4520 wrpr %g0, 0xe0, %pstate
4521 !# write NPRIME
4522 setx 0x0d2be669610aa67a, %g7, %l2
4523 stxa %l2, [%g0 + 0x98] %asi
4524
4525 setx _t2_ma_operations, %g6, %l7
4526#ifdef SPU_8
4527#if (MAX_THREADS > 8)
4528 ! Add core ID offset
4529 or %l7, %o1, %l7
4530#endif
4531#endif
4532 or %g0, 6, %i0 !# OPSELECT
4533 umul %i0, 16, %i0
4534 add %i0, %g2, %i0
4535
4536 !# write MA_ADDR
4537 ldx [%l7 + %i0], %l1
4538 stxa %l1, [%g0 + 0x90] %asi
4539
4540 !# write MA_CTL
4541 add %i0, 8, %i0
4542 ldx [%l7 + %i0], %l1
4543 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4544
4545 !# setup mask to check for INVOP
4546 or %g0, 0x1, %l2
4547 sllx %l2, 21, %l2
4548
4549 #! Try MA_SYNC operation...
4550_t2_wait2_16:
4551 ldxa [%g0 + 0xA0] %asi, %l1
4552 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4553 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4554 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4555 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4556 andcc %l1, %l2, %l1
4557 bne,pn %xcc, _t2_fail
4558 nop
4559
4560 rdhpr %halt, %g7
4561 wrpr %g0, 39, %pstate
4562
4563 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4564 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4565
4566_t2_loop_17:
4567 wrpr %g0, 0x44, %pstate
4568 !# write NPRIME
4569 setx 0x45b0b6c11b74632b, %g7, %l2
4570 stxa %l2, [%g0 + 0x98] %asi
4571
4572 setx _t2_ma_operations, %g6, %l7
4573#ifdef SPU_8
4574#if (MAX_THREADS > 8)
4575 ! Add core ID offset
4576 or %l7, %o1, %l7
4577#endif
4578#endif
4579 or %g0, 2, %i0 !# OPSELECT
4580 umul %i0, 16, %i0
4581 add %i0, %g2, %i0
4582
4583 !# write MA_ADDR
4584 ldx [%l7 + %i0], %l1
4585 stxa %l1, [%g0 + 0x90] %asi
4586
4587 !# write MA_CTL
4588 add %i0, 8, %i0
4589 ldx [%l7 + %i0], %l1
4590 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4591
4592 !# setup mask to check for INVOP
4593 or %g0, 0x1, %l2
4594 sllx %l2, 21, %l2
4595
4596 #! Try MA_SYNC operation...
4597_t2_wait2_17:
4598 ldxa [%g0 + 0xA0] %asi, %l1
4599 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4600 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4601 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4602 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4603 andcc %l1, %l2, %l1
4604 bne,pn %xcc, _t2_fail
4605 nop
4606
4607 rdhpr %halt, %g7
4608 wrpr %g0, 4, %pstate
4609
4610 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4611 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4612
4613_t2_loop_18:
4614 wrpr %g0, 0x67, %pstate
4615 !# write NPRIME
4616 setx 0xc92e4f9294700a08, %g7, %l2
4617 stxa %l2, [%g0 + 0x98] %asi
4618
4619 setx _t2_ma_operations, %g6, %l7
4620#ifdef SPU_8
4621#if (MAX_THREADS > 8)
4622 ! Add core ID offset
4623 or %l7, %o1, %l7
4624#endif
4625#endif
4626 or %g0, 14, %i0 !# OPSELECT
4627 umul %i0, 16, %i0
4628 add %i0, %g2, %i0
4629
4630 !# write MA_ADDR
4631 ldx [%l7 + %i0], %l1
4632 stxa %l1, [%g0 + 0x90] %asi
4633
4634 !# write MA_CTL
4635 add %i0, 8, %i0
4636 ldx [%l7 + %i0], %l1
4637 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4638
4639 !# setup mask to check for INVOP
4640 or %g0, 0x1, %l2
4641 sllx %l2, 21, %l2
4642
4643 #! Try MA_SYNC operation...
4644_t2_wait2_18:
4645 ldxa [%g0 + 0xA0] %asi, %l1
4646 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4647 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4648 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4649 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4650 andcc %l1, %l2, %l1
4651 bne,pn %xcc, _t2_fail
4652 nop
4653
4654 rdhpr %halt, %g7
4655 wrpr %g0, 7, %pstate
4656
4657 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4658 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4659
4660_t2_loop_19:
4661 wrpr %g0, 51, %pstate
4662 !# write NPRIME
4663 setx 0xb707bdfdce2fa096, %g7, %l2
4664 stxa %l2, [%g0 + 0x98] %asi
4665
4666 setx _t2_ma_operations, %g6, %l7
4667#ifdef SPU_8
4668#if (MAX_THREADS > 8)
4669 ! Add core ID offset
4670 or %l7, %o1, %l7
4671#endif
4672#endif
4673 or %g0, 17, %i0 !# OPSELECT
4674 umul %i0, 16, %i0
4675 add %i0, %g2, %i0
4676
4677 !# write MA_ADDR
4678 ldx [%l7 + %i0], %l1
4679 stxa %l1, [%g0 + 0x90] %asi
4680
4681 !# write MA_CTL
4682 add %i0, 8, %i0
4683 ldx [%l7 + %i0], %l1
4684 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4685
4686 !# setup mask to check for INVOP
4687 or %g0, 0x1, %l2
4688 sllx %l2, 21, %l2
4689
4690 #! Try MA_SYNC operation...
4691_t2_wait2_19:
4692 ldxa [%g0 + 0xA0] %asi, %l1
4693 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4694 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4695 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4696 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4697 andcc %l1, %l2, %l1
4698 bne,pn %xcc, _t2_fail
4699 nop
4700
4701 rdhpr %halt, %g7
4702 wrpr %g0, 0xd1, %pstate
4703
4704 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4705 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4706
4707_t2_loop_20:
4708 wrpr %g0, 0x52, %pstate
4709 !# write NPRIME
4710 setx 0x2b3b44ee44601326, %g7, %l2
4711 stxa %l2, [%g0 + 0x98] %asi
4712
4713 setx _t2_ma_operations, %g6, %l7
4714#ifdef SPU_8
4715#if (MAX_THREADS > 8)
4716 ! Add core ID offset
4717 or %l7, %o1, %l7
4718#endif
4719#endif
4720 or %g0, 6, %i0 !# OPSELECT
4721 umul %i0, 16, %i0
4722 add %i0, %g2, %i0
4723
4724 !# write MA_ADDR
4725 ldx [%l7 + %i0], %l1
4726 stxa %l1, [%g0 + 0x90] %asi
4727
4728 !# write MA_CTL
4729 add %i0, 8, %i0
4730 ldx [%l7 + %i0], %l1
4731 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4732
4733 !# setup mask to check for INVOP
4734 or %g0, 0x1, %l2
4735 sllx %l2, 21, %l2
4736
4737 #! Try MA_SYNC operation...
4738_t2_wait2_20:
4739 ldxa [%g0 + 0xA0] %asi, %l1
4740 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4741 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4742 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4743 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4744 andcc %l1, %l2, %l1
4745 bne,pn %xcc, _t2_fail
4746 nop
4747
4748 rdhpr %halt, %g7
4749 wrpr %g0, 0xa1, %pstate
4750
4751 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4752 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4753
4754_t2_loop_21:
4755 wrpr %g0, 0x97, %pstate
4756 !# write NPRIME
4757 setx 0x7f99b3da90a10bd7, %g7, %l2
4758 stxa %l2, [%g0 + 0x98] %asi
4759
4760 setx _t2_ma_operations, %g6, %l7
4761#ifdef SPU_8
4762#if (MAX_THREADS > 8)
4763 ! Add core ID offset
4764 or %l7, %o1, %l7
4765#endif
4766#endif
4767 or %g0, 5, %i0 !# OPSELECT
4768 umul %i0, 16, %i0
4769 add %i0, %g2, %i0
4770
4771 !# write MA_ADDR
4772 ldx [%l7 + %i0], %l1
4773 stxa %l1, [%g0 + 0x90] %asi
4774
4775 !# write MA_CTL
4776 add %i0, 8, %i0
4777 ldx [%l7 + %i0], %l1
4778 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4779
4780 !# setup mask to check for INVOP
4781 or %g0, 0x1, %l2
4782 sllx %l2, 21, %l2
4783
4784 #! Try MA_SYNC operation...
4785_t2_wait2_21:
4786 ldxa [%g0 + 0xA0] %asi, %l1
4787 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4788 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4789 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4790 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4791 andcc %l1, %l2, %l1
4792 bne,pn %xcc, _t2_fail
4793 nop
4794
4795 rdhpr %halt, %g7
4796 wrpr %g0, 0xe0, %pstate
4797
4798 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4799 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4800
4801_t2_loop_22:
4802 wrpr %g0, 0xd2, %pstate
4803 !# write NPRIME
4804 setx 0xf332296b571e0bc9, %g7, %l2
4805 stxa %l2, [%g0 + 0x98] %asi
4806
4807 setx _t2_ma_operations, %g6, %l7
4808#ifdef SPU_8
4809#if (MAX_THREADS > 8)
4810 ! Add core ID offset
4811 or %l7, %o1, %l7
4812#endif
4813#endif
4814 or %g0, 16, %i0 !# OPSELECT
4815 umul %i0, 16, %i0
4816 add %i0, %g2, %i0
4817
4818 !# write MA_ADDR
4819 ldx [%l7 + %i0], %l1
4820 stxa %l1, [%g0 + 0x90] %asi
4821
4822 !# write MA_CTL
4823 add %i0, 8, %i0
4824 ldx [%l7 + %i0], %l1
4825 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4826
4827 !# setup mask to check for INVOP
4828 or %g0, 0x1, %l2
4829 sllx %l2, 21, %l2
4830
4831 #! Try MA_SYNC operation...
4832_t2_wait2_22:
4833 ldxa [%g0 + 0xA0] %asi, %l1
4834 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4835 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4836 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4837 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4838 andcc %l1, %l2, %l1
4839 bne,pn %xcc, _t2_fail
4840 nop
4841
4842 rdhpr %halt, %g7
4843 wrpr %g0, 23, %pstate
4844
4845 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4846 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4847
4848_t2_loop_23:
4849 wrpr %g0, 0x51, %pstate
4850 !# write NPRIME
4851 setx 0x70be14a1163eaedd, %g7, %l2
4852 stxa %l2, [%g0 + 0x98] %asi
4853
4854 setx _t2_ma_operations, %g6, %l7
4855#ifdef SPU_8
4856#if (MAX_THREADS > 8)
4857 ! Add core ID offset
4858 or %l7, %o1, %l7
4859#endif
4860#endif
4861 or %g0, 2, %i0 !# OPSELECT
4862 umul %i0, 16, %i0
4863 add %i0, %g2, %i0
4864
4865 !# write MA_ADDR
4866 ldx [%l7 + %i0], %l1
4867 stxa %l1, [%g0 + 0x90] %asi
4868
4869 !# write MA_CTL
4870 add %i0, 8, %i0
4871 ldx [%l7 + %i0], %l1
4872 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4873
4874 !# setup mask to check for INVOP
4875 or %g0, 0x1, %l2
4876 sllx %l2, 21, %l2
4877
4878 #! Try MA_SYNC operation...
4879_t2_wait2_23:
4880 ldxa [%g0 + 0xA0] %asi, %l1
4881 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4882 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4883 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4884 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4885 andcc %l1, %l2, %l1
4886 bne,pn %xcc, _t2_fail
4887 nop
4888
4889 rdhpr %halt, %g7
4890 wrpr %g0, 0xc5, %pstate
4891
4892 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4893 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4894
4895_t2_loop_24:
4896 wrpr %g0, 0xf2, %pstate
4897 !# write NPRIME
4898 setx 0x1ba4dc86ce325e4f, %g7, %l2
4899 stxa %l2, [%g0 + 0x98] %asi
4900
4901 setx _t2_ma_operations, %g6, %l7
4902#ifdef SPU_8
4903#if (MAX_THREADS > 8)
4904 ! Add core ID offset
4905 or %l7, %o1, %l7
4906#endif
4907#endif
4908 or %g0, 9, %i0 !# OPSELECT
4909 umul %i0, 16, %i0
4910 add %i0, %g2, %i0
4911
4912 !# write MA_ADDR
4913 ldx [%l7 + %i0], %l1
4914 stxa %l1, [%g0 + 0x90] %asi
4915
4916 !# write MA_CTL
4917 add %i0, 8, %i0
4918 ldx [%l7 + %i0], %l1
4919 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4920
4921 !# setup mask to check for INVOP
4922 or %g0, 0x1, %l2
4923 sllx %l2, 21, %l2
4924
4925 #! Try MA_SYNC operation...
4926_t2_wait2_24:
4927 ldxa [%g0 + 0xA0] %asi, %l1
4928 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4929 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4930 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4931 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4932 andcc %l1, %l2, %l1
4933 bne,pn %xcc, _t2_fail
4934 nop
4935
4936 rdhpr %halt, %g7
4937 wrpr %g0, 0x47, %pstate
4938
4939 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4940 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4941
4942_t2_loop_25:
4943 wrpr %g0, 32, %pstate
4944 !# write NPRIME
4945 setx 0x87562a9dd9b7ed8a, %g7, %l2
4946 stxa %l2, [%g0 + 0x98] %asi
4947
4948 setx _t2_ma_operations, %g6, %l7
4949#ifdef SPU_8
4950#if (MAX_THREADS > 8)
4951 ! Add core ID offset
4952 or %l7, %o1, %l7
4953#endif
4954#endif
4955 or %g0, 17, %i0 !# OPSELECT
4956 umul %i0, 16, %i0
4957 add %i0, %g2, %i0
4958
4959 !# write MA_ADDR
4960 ldx [%l7 + %i0], %l1
4961 stxa %l1, [%g0 + 0x90] %asi
4962
4963 !# write MA_CTL
4964 add %i0, 8, %i0
4965 ldx [%l7 + %i0], %l1
4966 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4967
4968 !# setup mask to check for INVOP
4969 or %g0, 0x1, %l2
4970 sllx %l2, 21, %l2
4971
4972 #! Try MA_SYNC operation...
4973_t2_wait2_25:
4974 ldxa [%g0 + 0xA0] %asi, %l1
4975 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4976 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4977 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4978 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4979 andcc %l1, %l2, %l1
4980 bne,pn %xcc, _t2_fail
4981 nop
4982
4983 rdhpr %halt, %g7
4984 wrpr %g0, 0xa5, %pstate
4985
4986 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4987 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4988
4989_t2_loop_26:
4990 wrpr %g0, 51, %pstate
4991 !# write NPRIME
4992 setx 0x89c9202f015f8ae6, %g7, %l2
4993 stxa %l2, [%g0 + 0x98] %asi
4994
4995 setx _t2_ma_operations, %g6, %l7
4996#ifdef SPU_8
4997#if (MAX_THREADS > 8)
4998 ! Add core ID offset
4999 or %l7, %o1, %l7
5000#endif
5001#endif
5002 or %g0, 9, %i0 !# OPSELECT
5003 umul %i0, 16, %i0
5004 add %i0, %g2, %i0
5005
5006 !# write MA_ADDR
5007 ldx [%l7 + %i0], %l1
5008 stxa %l1, [%g0 + 0x90] %asi
5009
5010 !# write MA_CTL
5011 add %i0, 8, %i0
5012 ldx [%l7 + %i0], %l1
5013 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5014
5015 !# setup mask to check for INVOP
5016 or %g0, 0x1, %l2
5017 sllx %l2, 21, %l2
5018
5019 #! Try MA_SYNC operation...
5020_t2_wait2_26:
5021 ldxa [%g0 + 0xA0] %asi, %l1
5022 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5023 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5024 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5025 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5026 andcc %l1, %l2, %l1
5027 bne,pn %xcc, _t2_fail
5028 nop
5029
5030 rdhpr %halt, %g7
5031 wrpr %g0, 0x72, %pstate
5032
5033 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5034 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5035
5036_t2_loop_27:
5037 wrpr %g0, 7, %pstate
5038 !# write NPRIME
5039 setx 0x8e62f6ddfa3fc08d, %g7, %l2
5040 stxa %l2, [%g0 + 0x98] %asi
5041
5042 setx _t2_ma_operations, %g6, %l7
5043#ifdef SPU_8
5044#if (MAX_THREADS > 8)
5045 ! Add core ID offset
5046 or %l7, %o1, %l7
5047#endif
5048#endif
5049 or %g0, 9, %i0 !# OPSELECT
5050 umul %i0, 16, %i0
5051 add %i0, %g2, %i0
5052
5053 !# write MA_ADDR
5054 ldx [%l7 + %i0], %l1
5055 stxa %l1, [%g0 + 0x90] %asi
5056
5057 !# write MA_CTL
5058 add %i0, 8, %i0
5059 ldx [%l7 + %i0], %l1
5060 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5061
5062 !# setup mask to check for INVOP
5063 or %g0, 0x1, %l2
5064 sllx %l2, 21, %l2
5065
5066 #! Try MA_SYNC operation...
5067_t2_wait2_27:
5068 ldxa [%g0 + 0xA0] %asi, %l1
5069 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5070 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5071 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5072 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5073 andcc %l1, %l2, %l1
5074 bne,pn %xcc, _t2_fail
5075 nop
5076
5077 rdhpr %halt, %g7
5078 wrpr %g0, 0xb7, %pstate
5079
5080 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5081 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5082
5083_t2_loop_28:
5084 wrpr %g0, 51, %pstate
5085 !# write NPRIME
5086 setx 0xf7b939891f18bbeb, %g7, %l2
5087 stxa %l2, [%g0 + 0x98] %asi
5088
5089 setx _t2_ma_operations, %g6, %l7
5090#ifdef SPU_8
5091#if (MAX_THREADS > 8)
5092 ! Add core ID offset
5093 or %l7, %o1, %l7
5094#endif
5095#endif
5096 or %g0, 0, %i0 !# OPSELECT
5097 umul %i0, 16, %i0
5098 add %i0, %g2, %i0
5099
5100 !# write MA_ADDR
5101 ldx [%l7 + %i0], %l1
5102 stxa %l1, [%g0 + 0x90] %asi
5103
5104 !# write MA_CTL
5105 add %i0, 8, %i0
5106 ldx [%l7 + %i0], %l1
5107 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5108
5109 !# setup mask to check for INVOP
5110 or %g0, 0x1, %l2
5111 sllx %l2, 21, %l2
5112
5113 #! Try MA_SYNC operation...
5114_t2_wait2_28:
5115 ldxa [%g0 + 0xA0] %asi, %l1
5116 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5117 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5118 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5119 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5120 andcc %l1, %l2, %l1
5121 bne,pn %xcc, _t2_fail
5122 nop
5123
5124 rdhpr %halt, %g7
5125 wrpr %g0, 0x60, %pstate
5126
5127 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5128 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5129
5130_t2_loop_29:
5131 wrpr %g0, 0xf6, %pstate
5132 !# write NPRIME
5133 setx 0x4b88b1efc4c24536, %g7, %l2
5134 stxa %l2, [%g0 + 0x98] %asi
5135
5136 setx _t2_ma_operations, %g6, %l7
5137#ifdef SPU_8
5138#if (MAX_THREADS > 8)
5139 ! Add core ID offset
5140 or %l7, %o1, %l7
5141#endif
5142#endif
5143 or %g0, 8, %i0 !# OPSELECT
5144 umul %i0, 16, %i0
5145 add %i0, %g2, %i0
5146
5147 !# write MA_ADDR
5148 ldx [%l7 + %i0], %l1
5149 stxa %l1, [%g0 + 0x90] %asi
5150
5151 !# write MA_CTL
5152 add %i0, 8, %i0
5153 ldx [%l7 + %i0], %l1
5154 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5155
5156 !# setup mask to check for INVOP
5157 or %g0, 0x1, %l2
5158 sllx %l2, 21, %l2
5159
5160 #! Try MA_SYNC operation...
5161_t2_wait2_29:
5162 ldxa [%g0 + 0xA0] %asi, %l1
5163 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5164 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5165 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5166 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5167 andcc %l1, %l2, %l1
5168 bne,pn %xcc, _t2_fail
5169 nop
5170
5171 rdhpr %halt, %g7
5172 wrpr %g0, 50, %pstate
5173
5174 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5175 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5176
5177_t2_loop_30:
5178 wrpr %g0, 54, %pstate
5179 !# write NPRIME
5180 setx 0x2633f259dda53723, %g7, %l2
5181 stxa %l2, [%g0 + 0x98] %asi
5182
5183 setx _t2_ma_operations, %g6, %l7
5184#ifdef SPU_8
5185#if (MAX_THREADS > 8)
5186 ! Add core ID offset
5187 or %l7, %o1, %l7
5188#endif
5189#endif
5190 or %g0, 12, %i0 !# OPSELECT
5191 umul %i0, 16, %i0
5192 add %i0, %g2, %i0
5193
5194 !# write MA_ADDR
5195 ldx [%l7 + %i0], %l1
5196 stxa %l1, [%g0 + 0x90] %asi
5197
5198 !# write MA_CTL
5199 add %i0, 8, %i0
5200 ldx [%l7 + %i0], %l1
5201 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5202
5203 !# setup mask to check for INVOP
5204 or %g0, 0x1, %l2
5205 sllx %l2, 21, %l2
5206
5207 #! Try MA_SYNC operation...
5208_t2_wait2_30:
5209 ldxa [%g0 + 0xA0] %asi, %l1
5210 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5211 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5212 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5213 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5214 andcc %l1, %l2, %l1
5215 bne,pn %xcc, _t2_fail
5216 nop
5217
5218 rdhpr %halt, %g7
5219 wrpr %g0, 2, %pstate
5220
5221 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5222 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5223
5224_t2_loop_31:
5225 wrpr %g0, 0x52, %pstate
5226 !# write NPRIME
5227 setx 0xcce9d781f840d618, %g7, %l2
5228 stxa %l2, [%g0 + 0x98] %asi
5229
5230 setx _t2_ma_operations, %g6, %l7
5231#ifdef SPU_8
5232#if (MAX_THREADS > 8)
5233 ! Add core ID offset
5234 or %l7, %o1, %l7
5235#endif
5236#endif
5237 or %g0, 11, %i0 !# OPSELECT
5238 umul %i0, 16, %i0
5239 add %i0, %g2, %i0
5240
5241 !# write MA_ADDR
5242 ldx [%l7 + %i0], %l1
5243 stxa %l1, [%g0 + 0x90] %asi
5244
5245 !# write MA_CTL
5246 add %i0, 8, %i0
5247 ldx [%l7 + %i0], %l1
5248 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5249
5250 !# setup mask to check for INVOP
5251 or %g0, 0x1, %l2
5252 sllx %l2, 21, %l2
5253
5254 #! Try MA_SYNC operation...
5255_t2_wait2_31:
5256 ldxa [%g0 + 0xA0] %asi, %l1
5257 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5258 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5259 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5260 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5261 andcc %l1, %l2, %l1
5262 bne,pn %xcc, _t2_fail
5263 nop
5264
5265 rdhpr %halt, %g7
5266 wrpr %g0, 0x84, %pstate
5267
5268 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5269 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5270
5271_t2_loop_32:
5272 wrpr %g0, 2, %pstate
5273 !# write NPRIME
5274 setx 0x6a838cf918fc7852, %g7, %l2
5275 stxa %l2, [%g0 + 0x98] %asi
5276
5277 setx _t2_ma_operations, %g6, %l7
5278#ifdef SPU_8
5279#if (MAX_THREADS > 8)
5280 ! Add core ID offset
5281 or %l7, %o1, %l7
5282#endif
5283#endif
5284 or %g0, 11, %i0 !# OPSELECT
5285 umul %i0, 16, %i0
5286 add %i0, %g2, %i0
5287
5288 !# write MA_ADDR
5289 ldx [%l7 + %i0], %l1
5290 stxa %l1, [%g0 + 0x90] %asi
5291
5292 !# write MA_CTL
5293 add %i0, 8, %i0
5294 ldx [%l7 + %i0], %l1
5295 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5296
5297 !# setup mask to check for INVOP
5298 or %g0, 0x1, %l2
5299 sllx %l2, 21, %l2
5300
5301 #! Try MA_SYNC operation...
5302_t2_wait2_32:
5303 ldxa [%g0 + 0xA0] %asi, %l1
5304 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5305 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5306 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5307 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5308 andcc %l1, %l2, %l1
5309 bne,pn %xcc, _t2_fail
5310 nop
5311
5312 rdhpr %halt, %g7
5313 wrpr %g0, 0xf5, %pstate
5314
5315 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5316 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5317
5318_t2_loop_33:
5319 wrpr %g0, 0xb6, %pstate
5320 !# write NPRIME
5321 setx 0x6db5dc9603992159, %g7, %l2
5322 stxa %l2, [%g0 + 0x98] %asi
5323
5324 setx _t2_ma_operations, %g6, %l7
5325#ifdef SPU_8
5326#if (MAX_THREADS > 8)
5327 ! Add core ID offset
5328 or %l7, %o1, %l7
5329#endif
5330#endif
5331 or %g0, 12, %i0 !# OPSELECT
5332 umul %i0, 16, %i0
5333 add %i0, %g2, %i0
5334
5335 !# write MA_ADDR
5336 ldx [%l7 + %i0], %l1
5337 stxa %l1, [%g0 + 0x90] %asi
5338
5339 !# write MA_CTL
5340 add %i0, 8, %i0
5341 ldx [%l7 + %i0], %l1
5342 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5343
5344 !# setup mask to check for INVOP
5345 or %g0, 0x1, %l2
5346 sllx %l2, 21, %l2
5347
5348 #! Try MA_SYNC operation...
5349_t2_wait2_33:
5350 ldxa [%g0 + 0xA0] %asi, %l1
5351 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5352 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5353 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5354 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5355 andcc %l1, %l2, %l1
5356 bne,pn %xcc, _t2_fail
5357 nop
5358
5359 rdhpr %halt, %g7
5360 wrpr %g0, 0x93, %pstate
5361
5362 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5363 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5364
5365_t2_loop_34:
5366 wrpr %g0, 32, %pstate
5367 !# write NPRIME
5368 setx 0x6b3fb2b569025e5f, %g7, %l2
5369 stxa %l2, [%g0 + 0x98] %asi
5370
5371 setx _t2_ma_operations, %g6, %l7
5372#ifdef SPU_8
5373#if (MAX_THREADS > 8)
5374 ! Add core ID offset
5375 or %l7, %o1, %l7
5376#endif
5377#endif
5378 or %g0, 2, %i0 !# OPSELECT
5379 umul %i0, 16, %i0
5380 add %i0, %g2, %i0
5381
5382 !# write MA_ADDR
5383 ldx [%l7 + %i0], %l1
5384 stxa %l1, [%g0 + 0x90] %asi
5385
5386 !# write MA_CTL
5387 add %i0, 8, %i0
5388 ldx [%l7 + %i0], %l1
5389 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5390
5391 !# setup mask to check for INVOP
5392 or %g0, 0x1, %l2
5393 sllx %l2, 21, %l2
5394
5395 #! Try MA_SYNC operation...
5396_t2_wait2_34:
5397 ldxa [%g0 + 0xA0] %asi, %l1
5398 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5399 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5400 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5401 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5402 andcc %l1, %l2, %l1
5403 bne,pn %xcc, _t2_fail
5404 nop
5405
5406 rdhpr %halt, %g7
5407 wrpr %g0, 51, %pstate
5408
5409 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5410 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5411
5412_t2_loop_35:
5413 wrpr %g0, 0xa3, %pstate
5414 !# write NPRIME
5415 setx 0x15bfefb7dc12891e, %g7, %l2
5416 stxa %l2, [%g0 + 0x98] %asi
5417
5418 setx _t2_ma_operations, %g6, %l7
5419#ifdef SPU_8
5420#if (MAX_THREADS > 8)
5421 ! Add core ID offset
5422 or %l7, %o1, %l7
5423#endif
5424#endif
5425 or %g0, 10, %i0 !# OPSELECT
5426 umul %i0, 16, %i0
5427 add %i0, %g2, %i0
5428
5429 !# write MA_ADDR
5430 ldx [%l7 + %i0], %l1
5431 stxa %l1, [%g0 + 0x90] %asi
5432
5433 !# write MA_CTL
5434 add %i0, 8, %i0
5435 ldx [%l7 + %i0], %l1
5436 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5437
5438 !# setup mask to check for INVOP
5439 or %g0, 0x1, %l2
5440 sllx %l2, 21, %l2
5441
5442 #! Try MA_SYNC operation...
5443_t2_wait2_35:
5444 ldxa [%g0 + 0xA0] %asi, %l1
5445 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5446 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5447 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5448 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5449 andcc %l1, %l2, %l1
5450 bne,pn %xcc, _t2_fail
5451 nop
5452
5453 rdhpr %halt, %g7
5454 wrpr %g0, 0xb2, %pstate
5455
5456 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5457 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5458
5459_t2_loop_36:
5460 wrpr %g0, 1, %pstate
5461 !# write NPRIME
5462 setx 0xd172545e6ce0f7ee, %g7, %l2
5463 stxa %l2, [%g0 + 0x98] %asi
5464
5465 setx _t2_ma_operations, %g6, %l7
5466#ifdef SPU_8
5467#if (MAX_THREADS > 8)
5468 ! Add core ID offset
5469 or %l7, %o1, %l7
5470#endif
5471#endif
5472 or %g0, 6, %i0 !# OPSELECT
5473 umul %i0, 16, %i0
5474 add %i0, %g2, %i0
5475
5476 !# write MA_ADDR
5477 ldx [%l7 + %i0], %l1
5478 stxa %l1, [%g0 + 0x90] %asi
5479
5480 !# write MA_CTL
5481 add %i0, 8, %i0
5482 ldx [%l7 + %i0], %l1
5483 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5484
5485 !# setup mask to check for INVOP
5486 or %g0, 0x1, %l2
5487 sllx %l2, 21, %l2
5488
5489 #! Try MA_SYNC operation...
5490_t2_wait2_36:
5491 ldxa [%g0 + 0xA0] %asi, %l1
5492 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5493 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5494 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5495 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5496 andcc %l1, %l2, %l1
5497 bne,pn %xcc, _t2_fail
5498 nop
5499
5500 rdhpr %halt, %g7
5501 wrpr %g0, 0xe5, %pstate
5502
5503 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5504 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5505
5506_t2_loop_37:
5507 wrpr %g0, 22, %pstate
5508 !# write NPRIME
5509 setx 0x5c097782d5088a71, %g7, %l2
5510 stxa %l2, [%g0 + 0x98] %asi
5511
5512 setx _t2_ma_operations, %g6, %l7
5513#ifdef SPU_8
5514#if (MAX_THREADS > 8)
5515 ! Add core ID offset
5516 or %l7, %o1, %l7
5517#endif
5518#endif
5519 or %g0, 6, %i0 !# OPSELECT
5520 umul %i0, 16, %i0
5521 add %i0, %g2, %i0
5522
5523 !# write MA_ADDR
5524 ldx [%l7 + %i0], %l1
5525 stxa %l1, [%g0 + 0x90] %asi
5526
5527 !# write MA_CTL
5528 add %i0, 8, %i0
5529 ldx [%l7 + %i0], %l1
5530 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5531
5532 !# setup mask to check for INVOP
5533 or %g0, 0x1, %l2
5534 sllx %l2, 21, %l2
5535
5536 #! Try MA_SYNC operation...
5537_t2_wait2_37:
5538 ldxa [%g0 + 0xA0] %asi, %l1
5539 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5540 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5541 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5542 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5543 andcc %l1, %l2, %l1
5544 bne,pn %xcc, _t2_fail
5545 nop
5546
5547 rdhpr %halt, %g7
5548 wrpr %g0, 0xb1, %pstate
5549
5550 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5551 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5552
5553_t2_loop_38:
5554 wrpr %g0, 0xe6, %pstate
5555 !# write NPRIME
5556 setx 0x4bec96f99f1f9dab, %g7, %l2
5557 stxa %l2, [%g0 + 0x98] %asi
5558
5559 setx _t2_ma_operations, %g6, %l7
5560#ifdef SPU_8
5561#if (MAX_THREADS > 8)
5562 ! Add core ID offset
5563 or %l7, %o1, %l7
5564#endif
5565#endif
5566 or %g0, 11, %i0 !# OPSELECT
5567 umul %i0, 16, %i0
5568 add %i0, %g2, %i0
5569
5570 !# write MA_ADDR
5571 ldx [%l7 + %i0], %l1
5572 stxa %l1, [%g0 + 0x90] %asi
5573
5574 !# write MA_CTL
5575 add %i0, 8, %i0
5576 ldx [%l7 + %i0], %l1
5577 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5578
5579 !# setup mask to check for INVOP
5580 or %g0, 0x1, %l2
5581 sllx %l2, 21, %l2
5582
5583 #! Try MA_SYNC operation...
5584_t2_wait2_38:
5585 ldxa [%g0 + 0xA0] %asi, %l1
5586 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5587 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5588 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5589 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5590 andcc %l1, %l2, %l1
5591 bne,pn %xcc, _t2_fail
5592 nop
5593
5594 rdhpr %halt, %g7
5595 wrpr %g0, 0x43, %pstate
5596
5597 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5598 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5599
5600_t2_loop_39:
5601 wrpr %g0, 0xe4, %pstate
5602 !# write NPRIME
5603 setx 0xd58eac1f6ecda2b6, %g7, %l2
5604 stxa %l2, [%g0 + 0x98] %asi
5605
5606 setx _t2_ma_operations, %g6, %l7
5607#ifdef SPU_8
5608#if (MAX_THREADS > 8)
5609 ! Add core ID offset
5610 or %l7, %o1, %l7
5611#endif
5612#endif
5613 or %g0, 14, %i0 !# OPSELECT
5614 umul %i0, 16, %i0
5615 add %i0, %g2, %i0
5616
5617 !# write MA_ADDR
5618 ldx [%l7 + %i0], %l1
5619 stxa %l1, [%g0 + 0x90] %asi
5620
5621 !# write MA_CTL
5622 add %i0, 8, %i0
5623 ldx [%l7 + %i0], %l1
5624 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5625
5626 !# setup mask to check for INVOP
5627 or %g0, 0x1, %l2
5628 sllx %l2, 21, %l2
5629
5630 #! Try MA_SYNC operation...
5631_t2_wait2_39:
5632 ldxa [%g0 + 0xA0] %asi, %l1
5633 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5634 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5635 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5636 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5637 andcc %l1, %l2, %l1
5638 bne,pn %xcc, _t2_fail
5639 nop
5640
5641 rdhpr %halt, %g7
5642 wrpr %g0, 33, %pstate
5643
5644 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5645 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5646
5647_t2_loop_40:
5648 wrpr %g0, 0xe4, %pstate
5649 !# write NPRIME
5650 setx 0xc4627532f534ab9e, %g7, %l2
5651 stxa %l2, [%g0 + 0x98] %asi
5652
5653 setx _t2_ma_operations, %g6, %l7
5654#ifdef SPU_8
5655#if (MAX_THREADS > 8)
5656 ! Add core ID offset
5657 or %l7, %o1, %l7
5658#endif
5659#endif
5660 or %g0, 6, %i0 !# OPSELECT
5661 umul %i0, 16, %i0
5662 add %i0, %g2, %i0
5663
5664 !# write MA_ADDR
5665 ldx [%l7 + %i0], %l1
5666 stxa %l1, [%g0 + 0x90] %asi
5667
5668 !# write MA_CTL
5669 add %i0, 8, %i0
5670 ldx [%l7 + %i0], %l1
5671 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5672
5673 !# setup mask to check for INVOP
5674 or %g0, 0x1, %l2
5675 sllx %l2, 21, %l2
5676
5677 #! Try MA_SYNC operation...
5678_t2_wait2_40:
5679 ldxa [%g0 + 0xA0] %asi, %l1
5680 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5681 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5682 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5683 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5684 andcc %l1, %l2, %l1
5685 bne,pn %xcc, _t2_fail
5686 nop
5687
5688 rdhpr %halt, %g7
5689 wrpr %g0, 0xa6, %pstate
5690
5691 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5692 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5693
5694_t2_loop_41:
5695 wrpr %g0, 0x56, %pstate
5696 !# write NPRIME
5697 setx 0x0a42f975bdb59c9f, %g7, %l2
5698 stxa %l2, [%g0 + 0x98] %asi
5699
5700 setx _t2_ma_operations, %g6, %l7
5701#ifdef SPU_8
5702#if (MAX_THREADS > 8)
5703 ! Add core ID offset
5704 or %l7, %o1, %l7
5705#endif
5706#endif
5707 or %g0, 14, %i0 !# OPSELECT
5708 umul %i0, 16, %i0
5709 add %i0, %g2, %i0
5710
5711 !# write MA_ADDR
5712 ldx [%l7 + %i0], %l1
5713 stxa %l1, [%g0 + 0x90] %asi
5714
5715 !# write MA_CTL
5716 add %i0, 8, %i0
5717 ldx [%l7 + %i0], %l1
5718 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5719
5720 !# setup mask to check for INVOP
5721 or %g0, 0x1, %l2
5722 sllx %l2, 21, %l2
5723
5724 #! Try MA_SYNC operation...
5725_t2_wait2_41:
5726 ldxa [%g0 + 0xA0] %asi, %l1
5727 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5728 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5729 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5730 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5731 andcc %l1, %l2, %l1
5732 bne,pn %xcc, _t2_fail
5733 nop
5734
5735 rdhpr %halt, %g7
5736 wrpr %g0, 0x64, %pstate
5737
5738 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5739 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5740
5741_t2_loop_42:
5742 wrpr %g0, 48, %pstate
5743 !# write NPRIME
5744 setx 0x459b188d743b65dc, %g7, %l2
5745 stxa %l2, [%g0 + 0x98] %asi
5746
5747 setx _t2_ma_operations, %g6, %l7
5748#ifdef SPU_8
5749#if (MAX_THREADS > 8)
5750 ! Add core ID offset
5751 or %l7, %o1, %l7
5752#endif
5753#endif
5754 or %g0, 14, %i0 !# OPSELECT
5755 umul %i0, 16, %i0
5756 add %i0, %g2, %i0
5757
5758 !# write MA_ADDR
5759 ldx [%l7 + %i0], %l1
5760 stxa %l1, [%g0 + 0x90] %asi
5761
5762 !# write MA_CTL
5763 add %i0, 8, %i0
5764 ldx [%l7 + %i0], %l1
5765 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5766
5767 !# setup mask to check for INVOP
5768 or %g0, 0x1, %l2
5769 sllx %l2, 21, %l2
5770
5771 #! Try MA_SYNC operation...
5772_t2_wait2_42:
5773 ldxa [%g0 + 0xA0] %asi, %l1
5774 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5775 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5776 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5777 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5778 andcc %l1, %l2, %l1
5779 bne,pn %xcc, _t2_fail
5780 nop
5781
5782 rdhpr %halt, %g7
5783 wrpr %g0, 0xd2, %pstate
5784
5785 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5786 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5787
5788_t2_loop_43:
5789 wrpr %g0, 0x84, %pstate
5790 !# write NPRIME
5791 setx 0x5dd68358a1d5c004, %g7, %l2
5792 stxa %l2, [%g0 + 0x98] %asi
5793
5794 setx _t2_ma_operations, %g6, %l7
5795#ifdef SPU_8
5796#if (MAX_THREADS > 8)
5797 ! Add core ID offset
5798 or %l7, %o1, %l7
5799#endif
5800#endif
5801 or %g0, 14, %i0 !# OPSELECT
5802 umul %i0, 16, %i0
5803 add %i0, %g2, %i0
5804
5805 !# write MA_ADDR
5806 ldx [%l7 + %i0], %l1
5807 stxa %l1, [%g0 + 0x90] %asi
5808
5809 !# write MA_CTL
5810 add %i0, 8, %i0
5811 ldx [%l7 + %i0], %l1
5812 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5813
5814 !# setup mask to check for INVOP
5815 or %g0, 0x1, %l2
5816 sllx %l2, 21, %l2
5817
5818 #! Try MA_SYNC operation...
5819_t2_wait2_43:
5820 ldxa [%g0 + 0xA0] %asi, %l1
5821 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5822 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5823 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5824 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5825 andcc %l1, %l2, %l1
5826 bne,pn %xcc, _t2_fail
5827 nop
5828
5829 rdhpr %halt, %g7
5830 wrpr %g0, 2, %pstate
5831
5832 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5833 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5834
5835_t2_loop_44:
5836 wrpr %g0, 0x94, %pstate
5837 !# write NPRIME
5838 setx 0x41ebcd66e6a4ce17, %g7, %l2
5839 stxa %l2, [%g0 + 0x98] %asi
5840
5841 setx _t2_ma_operations, %g6, %l7
5842#ifdef SPU_8
5843#if (MAX_THREADS > 8)
5844 ! Add core ID offset
5845 or %l7, %o1, %l7
5846#endif
5847#endif
5848 or %g0, 0, %i0 !# OPSELECT
5849 umul %i0, 16, %i0
5850 add %i0, %g2, %i0
5851
5852 !# write MA_ADDR
5853 ldx [%l7 + %i0], %l1
5854 stxa %l1, [%g0 + 0x90] %asi
5855
5856 !# write MA_CTL
5857 add %i0, 8, %i0
5858 ldx [%l7 + %i0], %l1
5859 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5860
5861 !# setup mask to check for INVOP
5862 or %g0, 0x1, %l2
5863 sllx %l2, 21, %l2
5864
5865 #! Try MA_SYNC operation...
5866_t2_wait2_44:
5867 ldxa [%g0 + 0xA0] %asi, %l1
5868 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5869 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5870 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5871 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5872 andcc %l1, %l2, %l1
5873 bne,pn %xcc, _t2_fail
5874 nop
5875
5876 rdhpr %halt, %g7
5877 wrpr %g0, 0xd0, %pstate
5878
5879 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5880 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5881
5882_t2_loop_45:
5883 wrpr %g0, 19, %pstate
5884 !# write NPRIME
5885 setx 0x6b60e470b1eb5bd1, %g7, %l2
5886 stxa %l2, [%g0 + 0x98] %asi
5887
5888 setx _t2_ma_operations, %g6, %l7
5889#ifdef SPU_8
5890#if (MAX_THREADS > 8)
5891 ! Add core ID offset
5892 or %l7, %o1, %l7
5893#endif
5894#endif
5895 or %g0, 7, %i0 !# OPSELECT
5896 umul %i0, 16, %i0
5897 add %i0, %g2, %i0
5898
5899 !# write MA_ADDR
5900 ldx [%l7 + %i0], %l1
5901 stxa %l1, [%g0 + 0x90] %asi
5902
5903 !# write MA_CTL
5904 add %i0, 8, %i0
5905 ldx [%l7 + %i0], %l1
5906 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5907
5908 !# setup mask to check for INVOP
5909 or %g0, 0x1, %l2
5910 sllx %l2, 21, %l2
5911
5912 #! Try MA_SYNC operation...
5913_t2_wait2_45:
5914 ldxa [%g0 + 0xA0] %asi, %l1
5915 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5916 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5917 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5918 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5919 andcc %l1, %l2, %l1
5920 bne,pn %xcc, _t2_fail
5921 nop
5922
5923 rdhpr %halt, %g7
5924 wrpr %g0, 49, %pstate
5925
5926 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5927 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5928
5929_t2_loop_46:
5930 wrpr %g0, 0x56, %pstate
5931 !# write NPRIME
5932 setx 0xb7ed2686612bcbad, %g7, %l2
5933 stxa %l2, [%g0 + 0x98] %asi
5934
5935 setx _t2_ma_operations, %g6, %l7
5936#ifdef SPU_8
5937#if (MAX_THREADS > 8)
5938 ! Add core ID offset
5939 or %l7, %o1, %l7
5940#endif
5941#endif
5942 or %g0, 8, %i0 !# OPSELECT
5943 umul %i0, 16, %i0
5944 add %i0, %g2, %i0
5945
5946 !# write MA_ADDR
5947 ldx [%l7 + %i0], %l1
5948 stxa %l1, [%g0 + 0x90] %asi
5949
5950 !# write MA_CTL
5951 add %i0, 8, %i0
5952 ldx [%l7 + %i0], %l1
5953 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5954
5955 !# setup mask to check for INVOP
5956 or %g0, 0x1, %l2
5957 sllx %l2, 21, %l2
5958
5959 #! Try MA_SYNC operation...
5960_t2_wait2_46:
5961 ldxa [%g0 + 0xA0] %asi, %l1
5962 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5963 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5964 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5965 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5966 andcc %l1, %l2, %l1
5967 bne,pn %xcc, _t2_fail
5968 nop
5969
5970 rdhpr %halt, %g7
5971 wrpr %g0, 53, %pstate
5972
5973 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5974 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5975
5976_t2_loop_47:
5977 wrpr %g0, 0xc3, %pstate
5978 !# write NPRIME
5979 setx 0x4ad02eec27690dab, %g7, %l2
5980 stxa %l2, [%g0 + 0x98] %asi
5981
5982 setx _t2_ma_operations, %g6, %l7
5983#ifdef SPU_8
5984#if (MAX_THREADS > 8)
5985 ! Add core ID offset
5986 or %l7, %o1, %l7
5987#endif
5988#endif
5989 or %g0, 14, %i0 !# OPSELECT
5990 umul %i0, 16, %i0
5991 add %i0, %g2, %i0
5992
5993 !# write MA_ADDR
5994 ldx [%l7 + %i0], %l1
5995 stxa %l1, [%g0 + 0x90] %asi
5996
5997 !# write MA_CTL
5998 add %i0, 8, %i0
5999 ldx [%l7 + %i0], %l1
6000 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6001
6002 !# setup mask to check for INVOP
6003 or %g0, 0x1, %l2
6004 sllx %l2, 21, %l2
6005
6006 #! Try MA_SYNC operation...
6007_t2_wait2_47:
6008 ldxa [%g0 + 0xA0] %asi, %l1
6009 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6010 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6011 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6012 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6013 andcc %l1, %l2, %l1
6014 bne,pn %xcc, _t2_fail
6015 nop
6016
6017 rdhpr %halt, %g7
6018 wrpr %g0, 4, %pstate
6019
6020 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6021 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6022
6023_t2_loop_48:
6024 wrpr %g0, 3, %pstate
6025 !# write NPRIME
6026 setx 0x43154e680a3c78f6, %g7, %l2
6027 stxa %l2, [%g0 + 0x98] %asi
6028
6029 setx _t2_ma_operations, %g6, %l7
6030#ifdef SPU_8
6031#if (MAX_THREADS > 8)
6032 ! Add core ID offset
6033 or %l7, %o1, %l7
6034#endif
6035#endif
6036 or %g0, 12, %i0 !# OPSELECT
6037 umul %i0, 16, %i0
6038 add %i0, %g2, %i0
6039
6040 !# write MA_ADDR
6041 ldx [%l7 + %i0], %l1
6042 stxa %l1, [%g0 + 0x90] %asi
6043
6044 !# write MA_CTL
6045 add %i0, 8, %i0
6046 ldx [%l7 + %i0], %l1
6047 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6048
6049 !# setup mask to check for INVOP
6050 or %g0, 0x1, %l2
6051 sllx %l2, 21, %l2
6052
6053 #! Try MA_SYNC operation...
6054_t2_wait2_48:
6055 ldxa [%g0 + 0xA0] %asi, %l1
6056 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6057 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6058 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6059 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6060 andcc %l1, %l2, %l1
6061 bne,pn %xcc, _t2_fail
6062 nop
6063
6064 rdhpr %halt, %g7
6065 wrpr %g0, 0x40, %pstate
6066
6067 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6068 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6069
6070_t2_loop_49:
6071 wrpr %g0, 0x84, %pstate
6072 !# write NPRIME
6073 setx 0x13aa90215fd50f5e, %g7, %l2
6074 stxa %l2, [%g0 + 0x98] %asi
6075
6076 setx _t2_ma_operations, %g6, %l7
6077#ifdef SPU_8
6078#if (MAX_THREADS > 8)
6079 ! Add core ID offset
6080 or %l7, %o1, %l7
6081#endif
6082#endif
6083 or %g0, 0, %i0 !# OPSELECT
6084 umul %i0, 16, %i0
6085 add %i0, %g2, %i0
6086
6087 !# write MA_ADDR
6088 ldx [%l7 + %i0], %l1
6089 stxa %l1, [%g0 + 0x90] %asi
6090
6091 !# write MA_CTL
6092 add %i0, 8, %i0
6093 ldx [%l7 + %i0], %l1
6094 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6095
6096 !# setup mask to check for INVOP
6097 or %g0, 0x1, %l2
6098 sllx %l2, 21, %l2
6099
6100 #! Try MA_SYNC operation...
6101_t2_wait2_49:
6102 ldxa [%g0 + 0xA0] %asi, %l1
6103 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6104 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6105 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6106 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6107 andcc %l1, %l2, %l1
6108 bne,pn %xcc, _t2_fail
6109 nop
6110
6111 rdhpr %halt, %g7
6112 wrpr %g0, 0x86, %pstate
6113
6114 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6115 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6116
6117_t2_loop_50:
6118 wrpr %g0, 0xc5, %pstate
6119 !# write NPRIME
6120 setx 0xaa3e204a6490bc4f, %g7, %l2
6121 stxa %l2, [%g0 + 0x98] %asi
6122
6123 setx _t2_ma_operations, %g6, %l7
6124#ifdef SPU_8
6125#if (MAX_THREADS > 8)
6126 ! Add core ID offset
6127 or %l7, %o1, %l7
6128#endif
6129#endif
6130 or %g0, 6, %i0 !# OPSELECT
6131 umul %i0, 16, %i0
6132 add %i0, %g2, %i0
6133
6134 !# write MA_ADDR
6135 ldx [%l7 + %i0], %l1
6136 stxa %l1, [%g0 + 0x90] %asi
6137
6138 !# write MA_CTL
6139 add %i0, 8, %i0
6140 ldx [%l7 + %i0], %l1
6141 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6142
6143 !# setup mask to check for INVOP
6144 or %g0, 0x1, %l2
6145 sllx %l2, 21, %l2
6146
6147 #! Try MA_SYNC operation...
6148_t2_wait2_50:
6149 ldxa [%g0 + 0xA0] %asi, %l1
6150 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6151 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6152 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6153 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6154 andcc %l1, %l2, %l1
6155 bne,pn %xcc, _t2_fail
6156 nop
6157
6158 rdhpr %halt, %g7
6159 wrpr %g0, 0x77, %pstate
6160
6161 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6162 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6163
6164_t2_loop_51:
6165 wrpr %g0, 21, %pstate
6166 !# write NPRIME
6167 setx 0xe22ad4ca03386fdf, %g7, %l2
6168 stxa %l2, [%g0 + 0x98] %asi
6169
6170 setx _t2_ma_operations, %g6, %l7
6171#ifdef SPU_8
6172#if (MAX_THREADS > 8)
6173 ! Add core ID offset
6174 or %l7, %o1, %l7
6175#endif
6176#endif
6177 or %g0, 15, %i0 !# OPSELECT
6178 umul %i0, 16, %i0
6179 add %i0, %g2, %i0
6180
6181 !# write MA_ADDR
6182 ldx [%l7 + %i0], %l1
6183 stxa %l1, [%g0 + 0x90] %asi
6184
6185 !# write MA_CTL
6186 add %i0, 8, %i0
6187 ldx [%l7 + %i0], %l1
6188 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6189
6190 !# setup mask to check for INVOP
6191 or %g0, 0x1, %l2
6192 sllx %l2, 21, %l2
6193
6194 #! Try MA_SYNC operation...
6195_t2_wait2_51:
6196 ldxa [%g0 + 0xA0] %asi, %l1
6197 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6198 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6199 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6200 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6201 andcc %l1, %l2, %l1
6202 bne,pn %xcc, _t2_fail
6203 nop
6204
6205 rdhpr %halt, %g7
6206 wrpr %g0, 36, %pstate
6207
6208 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6209 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6210
6211_t2_loop_52:
6212 wrpr %g0, 18, %pstate
6213 !# write NPRIME
6214 setx 0x48e372138ed33960, %g7, %l2
6215 stxa %l2, [%g0 + 0x98] %asi
6216
6217 setx _t2_ma_operations, %g6, %l7
6218#ifdef SPU_8
6219#if (MAX_THREADS > 8)
6220 ! Add core ID offset
6221 or %l7, %o1, %l7
6222#endif
6223#endif
6224 or %g0, 13, %i0 !# OPSELECT
6225 umul %i0, 16, %i0
6226 add %i0, %g2, %i0
6227
6228 !# write MA_ADDR
6229 ldx [%l7 + %i0], %l1
6230 stxa %l1, [%g0 + 0x90] %asi
6231
6232 !# write MA_CTL
6233 add %i0, 8, %i0
6234 ldx [%l7 + %i0], %l1
6235 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6236
6237 !# setup mask to check for INVOP
6238 or %g0, 0x1, %l2
6239 sllx %l2, 21, %l2
6240
6241 #! Try MA_SYNC operation...
6242_t2_wait2_52:
6243 ldxa [%g0 + 0xA0] %asi, %l1
6244 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6245 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6246 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6247 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6248 andcc %l1, %l2, %l1
6249 bne,pn %xcc, _t2_fail
6250 nop
6251
6252 rdhpr %halt, %g7
6253 wrpr %g0, 0x42, %pstate
6254
6255 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6256 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6257
6258_t2_loop_53:
6259 wrpr %g0, 0x80, %pstate
6260 !# write NPRIME
6261 setx 0x8d1dea87314007dd, %g7, %l2
6262 stxa %l2, [%g0 + 0x98] %asi
6263
6264 setx _t2_ma_operations, %g6, %l7
6265#ifdef SPU_8
6266#if (MAX_THREADS > 8)
6267 ! Add core ID offset
6268 or %l7, %o1, %l7
6269#endif
6270#endif
6271 or %g0, 6, %i0 !# OPSELECT
6272 umul %i0, 16, %i0
6273 add %i0, %g2, %i0
6274
6275 !# write MA_ADDR
6276 ldx [%l7 + %i0], %l1
6277 stxa %l1, [%g0 + 0x90] %asi
6278
6279 !# write MA_CTL
6280 add %i0, 8, %i0
6281 ldx [%l7 + %i0], %l1
6282 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6283
6284 !# setup mask to check for INVOP
6285 or %g0, 0x1, %l2
6286 sllx %l2, 21, %l2
6287
6288 #! Try MA_SYNC operation...
6289_t2_wait2_53:
6290 ldxa [%g0 + 0xA0] %asi, %l1
6291 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6292 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6293 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6294 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6295 andcc %l1, %l2, %l1
6296 bne,pn %xcc, _t2_fail
6297 nop
6298
6299 rdhpr %halt, %g7
6300 wrpr %g0, 0x66, %pstate
6301
6302 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6303 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6304
6305_t2_loop_54:
6306 wrpr %g0, 0xf0, %pstate
6307 !# write NPRIME
6308 setx 0x9e1a78fbfccf012e, %g7, %l2
6309 stxa %l2, [%g0 + 0x98] %asi
6310
6311 setx _t2_ma_operations, %g6, %l7
6312#ifdef SPU_8
6313#if (MAX_THREADS > 8)
6314 ! Add core ID offset
6315 or %l7, %o1, %l7
6316#endif
6317#endif
6318 or %g0, 6, %i0 !# OPSELECT
6319 umul %i0, 16, %i0
6320 add %i0, %g2, %i0
6321
6322 !# write MA_ADDR
6323 ldx [%l7 + %i0], %l1
6324 stxa %l1, [%g0 + 0x90] %asi
6325
6326 !# write MA_CTL
6327 add %i0, 8, %i0
6328 ldx [%l7 + %i0], %l1
6329 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6330
6331 !# setup mask to check for INVOP
6332 or %g0, 0x1, %l2
6333 sllx %l2, 21, %l2
6334
6335 #! Try MA_SYNC operation...
6336_t2_wait2_54:
6337 ldxa [%g0 + 0xA0] %asi, %l1
6338 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6339 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6340 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6341 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6342 andcc %l1, %l2, %l1
6343 bne,pn %xcc, _t2_fail
6344 nop
6345
6346 rdhpr %halt, %g7
6347 wrpr %g0, 0x90, %pstate
6348
6349 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6350 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6351
6352_t2_loop_55:
6353 wrpr %g0, 0xf3, %pstate
6354 !# write NPRIME
6355 setx 0x415cb515dfd1abc7, %g7, %l2
6356 stxa %l2, [%g0 + 0x98] %asi
6357
6358 setx _t2_ma_operations, %g6, %l7
6359#ifdef SPU_8
6360#if (MAX_THREADS > 8)
6361 ! Add core ID offset
6362 or %l7, %o1, %l7
6363#endif
6364#endif
6365 or %g0, 0, %i0 !# OPSELECT
6366 umul %i0, 16, %i0
6367 add %i0, %g2, %i0
6368
6369 !# write MA_ADDR
6370 ldx [%l7 + %i0], %l1
6371 stxa %l1, [%g0 + 0x90] %asi
6372
6373 !# write MA_CTL
6374 add %i0, 8, %i0
6375 ldx [%l7 + %i0], %l1
6376 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6377
6378 !# setup mask to check for INVOP
6379 or %g0, 0x1, %l2
6380 sllx %l2, 21, %l2
6381
6382 #! Try MA_SYNC operation...
6383_t2_wait2_55:
6384 ldxa [%g0 + 0xA0] %asi, %l1
6385 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6386 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6387 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6388 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6389 andcc %l1, %l2, %l1
6390 bne,pn %xcc, _t2_fail
6391 nop
6392
6393 rdhpr %halt, %g7
6394 wrpr %g0, 51, %pstate
6395
6396 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6397 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6398
6399_t2_loop_56:
6400 wrpr %g0, 0, %pstate
6401 !# write NPRIME
6402 setx 0x76d44d7b2030602b, %g7, %l2
6403 stxa %l2, [%g0 + 0x98] %asi
6404
6405 setx _t2_ma_operations, %g6, %l7
6406#ifdef SPU_8
6407#if (MAX_THREADS > 8)
6408 ! Add core ID offset
6409 or %l7, %o1, %l7
6410#endif
6411#endif
6412 or %g0, 7, %i0 !# OPSELECT
6413 umul %i0, 16, %i0
6414 add %i0, %g2, %i0
6415
6416 !# write MA_ADDR
6417 ldx [%l7 + %i0], %l1
6418 stxa %l1, [%g0 + 0x90] %asi
6419
6420 !# write MA_CTL
6421 add %i0, 8, %i0
6422 ldx [%l7 + %i0], %l1
6423 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6424
6425 !# setup mask to check for INVOP
6426 or %g0, 0x1, %l2
6427 sllx %l2, 21, %l2
6428
6429 #! Try MA_SYNC operation...
6430_t2_wait2_56:
6431 ldxa [%g0 + 0xA0] %asi, %l1
6432 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6433 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6434 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6435 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6436 andcc %l1, %l2, %l1
6437 bne,pn %xcc, _t2_fail
6438 nop
6439
6440 rdhpr %halt, %g7
6441 wrpr %g0, 34, %pstate
6442
6443 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6444 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6445
6446_t2_loop_57:
6447 wrpr %g0, 0x47, %pstate
6448 !# write NPRIME
6449 setx 0xf202462a5b45b352, %g7, %l2
6450 stxa %l2, [%g0 + 0x98] %asi
6451
6452 setx _t2_ma_operations, %g6, %l7
6453#ifdef SPU_8
6454#if (MAX_THREADS > 8)
6455 ! Add core ID offset
6456 or %l7, %o1, %l7
6457#endif
6458#endif
6459 or %g0, 16, %i0 !# OPSELECT
6460 umul %i0, 16, %i0
6461 add %i0, %g2, %i0
6462
6463 !# write MA_ADDR
6464 ldx [%l7 + %i0], %l1
6465 stxa %l1, [%g0 + 0x90] %asi
6466
6467 !# write MA_CTL
6468 add %i0, 8, %i0
6469 ldx [%l7 + %i0], %l1
6470 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6471
6472 !# setup mask to check for INVOP
6473 or %g0, 0x1, %l2
6474 sllx %l2, 21, %l2
6475
6476 #! Try MA_SYNC operation...
6477_t2_wait2_57:
6478 ldxa [%g0 + 0xA0] %asi, %l1
6479 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6480 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6481 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6482 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6483 andcc %l1, %l2, %l1
6484 bne,pn %xcc, _t2_fail
6485 nop
6486
6487 rdhpr %halt, %g7
6488 wrpr %g0, 0xe0, %pstate
6489
6490 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6491 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6492
6493_t2_loop_58:
6494 wrpr %g0, 54, %pstate
6495 !# write NPRIME
6496 setx 0xa5f20624dd8623c2, %g7, %l2
6497 stxa %l2, [%g0 + 0x98] %asi
6498
6499 setx _t2_ma_operations, %g6, %l7
6500#ifdef SPU_8
6501#if (MAX_THREADS > 8)
6502 ! Add core ID offset
6503 or %l7, %o1, %l7
6504#endif
6505#endif
6506 or %g0, 16, %i0 !# OPSELECT
6507 umul %i0, 16, %i0
6508 add %i0, %g2, %i0
6509
6510 !# write MA_ADDR
6511 ldx [%l7 + %i0], %l1
6512 stxa %l1, [%g0 + 0x90] %asi
6513
6514 !# write MA_CTL
6515 add %i0, 8, %i0
6516 ldx [%l7 + %i0], %l1
6517 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6518
6519 !# setup mask to check for INVOP
6520 or %g0, 0x1, %l2
6521 sllx %l2, 21, %l2
6522
6523 #! Try MA_SYNC operation...
6524_t2_wait2_58:
6525 ldxa [%g0 + 0xA0] %asi, %l1
6526 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6527 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6528 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6529 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6530 andcc %l1, %l2, %l1
6531 bne,pn %xcc, _t2_fail
6532 nop
6533
6534 rdhpr %halt, %g7
6535 wrpr %g0, 0xc5, %pstate
6536
6537 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6538 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6539
6540_t2_ma_store:
6541
6542 !# write MA_ADDR
6543 or %g0, 0x00, %l2
6544 stxa %l2, [%g0 + 0x90] %asi
6545 !# Write result address into MA_PA reg
6546
6547 setx _t2_ma_results, %g7, %l6
6548#ifdef SPU_8
6549#if (MAX_THREADS > 8)
6550 ! Add core ID offset
6551 or %l6, %o1, %l6
6552#endif
6553#endif
6554 add %l6, 3, %l6 !# _t2_WARNING : Misaligned _t2_address
6555 stxa %l6, [%g0 + 0x88] %asi
6556
6557 !# write MA_CTL
6558 set 0x0002016f, %l1
6559 mov 6, %g7
6560 sllx %g7, 18, %g7
6561 or %l1, %g7, %l1
6562 stxa %l1, [%g0 + 0x80] %asi !# STORE
6563
6564 !# setup mask to check for INVOP
6565 or %g0, 0x1, %l2
6566 sllx %l2, 21, %l2
6567
6568 #! Try MA_SYNC operation...
6569_t2_wait3:
6570 ldxa [%g0 + 0xA0] %asi, %l1
6571 ldxa [%g0 + 0x80] %asi, %l1
6572 andcc %l1, %l2, %l1
6573 bne,pn %xcc, _t2_fail
6574 nop
6575
6576_t2_idle3:
6577#ifndef NO_MA_CHECK
6578 !# do dummy loads into %g1
6579
6580 setx _t2_ma_results, %g7, %l7
6581#ifdef SPU_8
6582#if (MAX_THREADS > 8)
6583 ! Add core ID offset
6584 or %l7, %o1, %l7
6585#endif
6586#endif
6587 or %g0, %g0, %l3
6588 !# setup loop count
6589 or %g0, 164, %i0
6590_t2_more:
6591 ldx [%l7+%l3], %l0 !# a[_t2_i]
6592 addcc %i0, -1, %i0
6593 bgt _t2_more
6594 add %l3, 0x8, %l3 !# i++
6595#endif
6596 b _t2_done_spu_ma_rand5
6597
6598_t2_fail:
6599 EXIT_BAD
6600
6601 nop
6602 nop
6603_t2_done_spu_ma_rand5:
6604 .word 0x9f802040 ! 1: SIR sir 0x0040
6605 .word 0xa7a7c960 ! 1: FMULq dis not found
6606
6607 .word 0x91a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f8
6608 .word 0xa3a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f48
6609 .word 0x9f802000 ! 1: SIR sir 0x0000
6610 nop
6611 nop
6612 ta T_CHANGE_PRIV
6613 wrpr %g0, %g0, %gl
6614 nop
6615 nop
6616.text
6617 setx join_lbl_0_0, %g1, %g2
6618 jmp %g2
6619 nop
6620fork_lbl_0_6:
6621! Code for Template instance: _t1_
6622#ifndef PORTABLE_CORE
6623#define SPU_8
6624#endif
6625.text
6626.global _t1_main
6627
6628_t1_main:
6629 !# Switch to hpriv mode
6630 ta T_CHANGE_HPRIV
6631
6632 !# trap counter
6633 mov 0, %i6
6634
6635 set 0x10a4, %g3
6636 stxa %g3, [%g0] ASI_SPARC_PWR_MGMT
6637
6638 ! Get core ID & offset
6639
6640#ifndef PORTABLE_CORE
6641 ldxa [%g0]0x63, %o1
6642 srlx %o1, 3, %o1
6643 sllx %o1, 20, %o1 !! %o1 has core ID offset
6644#else
6645 mov %g0, %o1
6646#endif
6647
6648 ! Set up for PMU
6649 set 0x12f18ef8, %g2
6650 wr %g2, %g0, %pcr
6651 setx 0xffffffb5ffffffa1, %g2, %g7
6652 wr %g7, %g0, %pic
6653
6654 !# setup ASI register to point to SPU
6655 wr %g0, 0x40, %asi
6656
6657 !# Make sure CWQ is currently disabled, not busy, not terminated, no protocol error; else fail
6658 ldxa [%g0 + ASI_SPU_CWQ_CSR] %asi, %l1
6659 and %l1, 0xf, %l2
6660 cmp %g0, %l2
6661 bne,pn %xcc, _t1_fail
6662 nop
6663
6664 !# allocate control word queue (e.g., setup head/tail/first/last registers)
6665 setx _t1_cwq_base, %g1, %l6
6666#ifdef SPU_8
6667#if (MAX_THREADS > 8)
6668 ! Add core ID offset
6669 or %l6, %o1, %l6
6670#endif
6671#endif
6672
6673 !# write base addr to first, head, and tail ptr
6674 !# first store to first
6675 stxa %l6, [%g0 + ASI_SPU_CWQ_FIRST] %asi
6676 ldxa [%g0 + ASI_SPU_CWQ_FIRST] %asi, %l1
6677 !# Mask off upper 16 bits
6678 setx 0x0000ffffffffffff, %l5, %l0
6679 and %l0, %l6, %l2
6680 cmp %l1, %l2
6681 bne,pn %xcc, _t1_fail
6682 nop
6683
6684 !# then to head
6685 stxa %l6, [%g0 + ASI_SPU_CWQ_HEAD] %asi
6686 ldxa [%g0 + ASI_SPU_CWQ_HEAD] %asi, %l1
6687 cmp %l1, %l2
6688 bne,pn %xcc, _t1_fail
6689 nop
6690
6691 !# then to tail
6692 stxa %l6, [%g0 + ASI_SPU_CWQ_TAIL] %asi
6693 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
6694 cmp %l1, %l2
6695 bne,pn %xcc, _t1_fail
6696 nop
6697
6698 !# then end of CWQ region to LAST
6699 setx _t1_cwq_last, %g1, %l5
6700#ifdef SPU_8
6701#if (MAX_THREADS > 8)
6702 ! Add core ID offset
6703 or %l5, %o1, %l5
6704#endif
6705#endif
6706 stxa %l5, [%g0 + ASI_SPU_CWQ_LAST] %asi
6707 ldxa [%g0 + ASI_SPU_CWQ_LAST] %asi, %l1
6708 !# Mask off upper 16 bits
6709 and %l0, %l5, %l2
6710 cmp %l1, %l2
6711 bne,pn %xcc, _t1_fail
6712 nop
6713
6714 or %g0, 0x0, %i4 !# _t1_counter : Increment _t1_by 1 _t1_to _t1_step _t1_over CWs
6715 or %g0, 0x0, %i5 !# _t1_offset : Increment _t1_by 8 _t1_to _t1_step _t1_over CWs
6716
6717_t1_main_loop:
6718 setx _t1_spu_op_array, %l1, %l2
6719#ifdef SPU_8
6720#if (MAX_THREADS > 8)
6721 ! Add core ID offset
6722 or %l2, %o1, %l2
6723#endif
6724#endif
6725 ldx [%l2 + %i5], %i1
6726 cmp %i1, 7
6727 bne _t1_not_ssl
6728 mov %i5, %g5 !# Save _t1_real _t1_offset - _t1_if _t1_sslkey _t1_it _t1_has _t1_to _t1_be 0x10 _t1_aligned
6729 btst 8, %i5
6730 bz _t1_not_ssl
6731 nop
6732 add %i5, 8, %i5
6733
6734_t1_not_ssl:
6735 cmp %i1, 8
6736 bg _t1_fail
6737 mulx %i1, 8, %i1 !# Calc _t1_index _t1_into _t1_toc
6738
6739 setx _t1_table_of_context, %l1, %l2
6740#ifdef SPU_8
6741#if (MAX_THREADS > 8)
6742 ! Add core ID offset
6743 or %l2, %o1, %l2
6744#endif
6745#endif
6746 ldx [%l2 + %i1], %l3 !# l3 = _t1_toc _t1_of _t1_current _t1_operation
6747 ldx [%l3 + 0x40], %l4 !# l4 = alignment array
6748
6749 !# set CWQ data
6750 ldx [%l3], %l2
6751 ldx [%l2 + %i5], %l2 !# Get Control Word _t1_from _t1_array
6752 mov %l2, %i7 !# Save _t1_it _t1_for _t1_later
6753 srlx %i7, 48, %l1
6754 and %l1, 1, %l1
6755 cmp %l1, 1
6756 bne,pn %xcc, _t1_write_cwq
6757 nop
6758 inc %i6 !# increase _t1_interrupt _t1_counter
6759
6760_t1_write_cwq:
6761 !# write CWQ entry (%l6 points to CWQ)
6762 stx %l2, [%l6 + 0x0]
6763
6764 !# source address
6765 ldx [%l3 + 0x8], %l2
6766 ldx [%l4 + 0x8], %l1
6767 add %l2, %i5, %l2
6768 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
6769 stx %l2, [%l6 + 0x8]
6770
6771 !# Authentication Key Address (40-bit)
6772 ldx [%l3 + 0x10], %l2
6773 ldx [%l4 + 0x10], %l1
6774 add %l2, %i5, %l2
6775 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
6776 stx %l2, [%l6 + 0x10]
6777
6778 !# Authentication IV Address (40-bit)
6779 ldx [%l3 + 0x18], %l2
6780 ldx [%l4 + 0x18], %l1
6781 add %l2, %i5, %l2
6782 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
6783 stx %l2, [%l6 + 0x18]
6784
6785 !# Authentication FSAS Address (40-bit)
6786 ldx [%l3 + 0x20], %l2
6787 ldx [%l4 + 0x20], %l1
6788 add %l2, %i5, %l2
6789 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
6790 stx %l2, [%l6 + 0x20]
6791
6792 !# Encryption Key Address (40-bit)
6793 ldx [%l3 + 0x28], %l2
6794 ldx [%l4 + 0x28], %l1
6795 add %l2, %i5, %l2
6796 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
6797 stx %l2, [%l6 + 0x28]
6798
6799 !# Encryption Initialization Vector Address (40-bit)
6800 ldx [%l3 + 0x30], %l2
6801 ldx [%l4 + 0x30], %l1
6802 add %l2, %i5, %l2
6803 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
6804 stx %l2, [%l6 + 0x30]
6805
6806 !# Destination Address (40-bit)
6807 ldx [%l3 + 0x38], %l2
6808 ldx [%l4 + 0x38], %l1
6809 add %l2, %i5, %l2
6810 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
6811 stx %l2, [%l6 + 0x38]
6812
6813 !# Make sure all these stores get to memory before we start
6814 membar #Sync
6815 ldx [%l6 + 0x20], %l2
6816 ldx [%l6 + 0x28], %l2
6817 ldx [%l6 + 0x30], %l2
6818 ldx [%l6 + 0x38], %l2
6819 membar #Sync
6820
6821 wrpr %g0, 0x53, %pstate
6822
6823 !# Set the enabled bit and reset the other bits
6824 or %g0, 0x1, %g1
6825 stxa %g1, [%g0 + ASI_SPU_CWQ_CSR] %asi
6826
6827 !# Kick off the CWQ operation by writing to the CWQ_TAIL
6828 !# Now add 1 (actually 8*8B) to tail pointer
6829 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l2
6830 add %l2, 0x40, %l2
6831 stxa %l2, [%g0 + ASI_SPU_CWQ_TAIL] %asi
6832 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
6833 cmp %l1, %l2
6834 bne,pn %xcc, _t1_fail
6835 nop
6836
6837 !# CWQ_SYNC operation...
6838 ldxa [%g0 + 0x30] %asi, %l1
6839
6840 rdhpr %halt, %g7
6841 wrpr %g0, 17, %pstate
6842
6843 andn %l1, 0x10, %l1 !# clear interrupt request bit
6844 addcc %l1, -1, %i0
6845 bne _t1_fail !# test for unexpected protocal error
6846 nop
6847 ldxa [%g0 + ASI_SPU_CWQ_HEAD] %asi, %l1
6848 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
6849 !# I want to check all the data
6850 or %g0, 260, %i0
6851 or %g0, %g0, %g3
6852_t1_check_msg:
6853 ldx [%l3 + 0x8], %l5 !# Needed _t1_for Inplace
6854 add %l5, %i5, %l5
6855 ldx [%l5 + %g3], %l1
6856 add %g3, 0x8, %g3 !# i++
6857 addcc %i0, -1, %i0
6858 bgt _t1_check_msg
6859 nop
6860 !# I want to check all the data
6861 or %g0, 260, %i0
6862 or %g0, %g0, %g3
6863_t1_check_results:
6864 ldx [%l3 + 0x38], %l5 !# Needed _t1_for Copy
6865 add %l5, %i5, %l5
6866 ldx [%l5 + %g3], %l1
6867 add %g3, 0x8, %g3 !# i++
6868 addcc %i0, -1, %i0
6869 bgt _t1_check_results
6870 nop
6871
6872 or %g0, 0x8, %i0
6873 or %g0, %g0, %g3
6874_t1_check_sfas:
6875 ldx [%l3 + 0x20], %l5
6876 ldx [%l5 + %g3], %l1
6877 add %g3, 0x8, %g3 !# i++
6878 addcc %i0, -1, %i0
6879 bgt _t1_check_sfas
6880 nop
6881
6882 !# I want to check all the State 32 words + 2 bytes XY
6883 or %g0, 0x23, %i0
6884 or %g0, %g0, %g3
6885_t1_check_state:
6886 ldx [%l3 + 0x28], %l5 !# Needed _t1_for Streamout
6887 ldx [%l5 + %g3], %l1
6888 add %g3, 0x8, %g3 !# i++
6889 addcc %i0, -1, %i0
6890 bgt _t1_check_state
6891 nop
6892
6893 mov %g5, %i5
6894 add %l6, 0x40, %l6 !# next CWQ address
6895 add %i5, 8, %i5 !# next _t1_offset
6896 add %i4, 1, %i4 !# loop _t1_counter
6897 cmp %i4, 5
6898 bl _t1_main_loop
6899 nop
6900
6901 !call check_int_cnt !# Check #ints (assuming all have happened at this time!)
6902 nop
6903
6904 EXIT_GOOD
6905
6906_t1_fail:
6907 EXIT_BAD
6908
6909_t1_check_int_cnt:
6910 cmp %g0, %i6
6911 bne,pn %xcc, _t1_fail
6912 nop
6913 retl
6914 nop
6915
6916
6917! diag source
6918
6919 .word 0xd911c00b ! 1: LDQF_R - [%r7, %r11], %f12
6920 .word 0xa7a4c9c9 ! 1: FDIVd fdivd %f50, %f40, %f50
6921 .word 0xe9150009 ! 1: LDQF_R - [%r20, %r9], %f20
6922 .word 0xe9144012 ! 1: LDQF_R - [%r17, %r18], %f20
6923 .word 0xe1144008 ! 1: LDQF_R - [%r17, %r8], %f16
6924 nop
6925 nop
6926 ta T_CHANGE_PRIV
6927 wrpr %g0, %g0, %gl
6928 nop
6929 nop
6930.text
6931 setx join_lbl_0_0, %g1, %g2
6932 jmp %g2
6933 nop
6934fork_lbl_0_5:
6935 wrhpr %g0, 0xcc2, %hpstate ! ta T_CHANGE_NONHPRIV
6936br_longdelay4_10_0:
6937 nop
6938 not %g0, %r27
6939 jmpl %r27+0, %r27
6940brcommon2_10_1:
6941 nop
6942 nop
6943 setx common_target, %r12, %r27
6944 ba,a .+12
6945 .word 0x9f802160 ! 1: SIR sir 0x0160
6946 ba,a .+8
6947 jmpl %r27-0, %r27
6948 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
6949brcommon2_10_4:
6950 nop
6951 nop
6952 setx common_target, %r12, %r27
6953 ba,a .+12
6954 .word 0xa7a7c960 ! 1: FMULq dis not found
6955
6956 ba,a .+8
6957 jmpl %r27-4, %r27
6958 .word 0x20800001 ! 5: BN bn,a <label_0x1>
6959dvapa_10_6:
6960 nop
6961 nop
6962 ta T_CHANGE_HPRIV
6963 mov 0xdb5, %r20
6964 mov 0xd, %r19
6965 sllx %r20, 23, %r20
6966 or %r19, %r20, %r19
6967 stxa %r19, [%g0] ASI_LSU_CONTROL
6968 mov 0x38, %r18
6969 stxa %r31, [%r18]0x58
6970 wrhpr %g0, 0xe08, %hpstate ! ta T_CHANGE_NONHPRIV
6971 .word 0x9970206c ! 9: POPC_I popc 0x006c, %r12
6972demap_10_9:
6973 nop
6974 mov 0x80, %g3
6975 ta T_CHANGE_HPRIV
6976 .word 0x23400001 ! 1: FBPNE fbne,a,pn %fcc0, <label_0x1>
6977 stxa %g3, [%g3] 0x5f
6978 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
6979 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
6980 stxa %g3, [%g3] 0x5f
6981 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
6982 wrhpr %g0, 0xc59, %hpstate ! ta T_CHANGE_NONHPRIV
6983 .word 0xe61fe131 ! 13: LDD_I ldd [%r31 + 0x0131], %r19
6984 .word 0xe737c000 ! 17: STQF_R - %f19, [%r0, %r31]
6985 .word 0x8980000a ! 21: WRTICK_R wr %r0, %r10, %tick
6986memptr_10_14:
6987 set 0x60540000, %r31
6988 .word 0x85842152 ! 25: WRCCR_I wr %r16, 0x0152, %ccr
6989jmptr_10_17:
6990 nop
6991 nop
6992 best_set_reg(0xe1200000, %r20, %r27)
6993 .word 0xb7c6c000 ! 29: JMPL_R jmpl %r27 + %r0, %r27
6994 .word 0xe73fe074 ! 33: STDF_I std %f19, [0x0074, %r31]
6995jmptr_10_19:
6996 nop
6997 nop
6998 best_set_reg(0xe0200000, %r20, %r27)
6999 .word 0xb7c6c000 ! 37: JMPL_R jmpl %r27 + %r0, %r27
7000intveclr_10_20:
7001 nop
7002 nop
7003 ta T_CHANGE_HPRIV
7004 setx 0xa511a50979d1dc7d, %r1, %r28
7005 stxa %r28, [%g0] 0x72
7006 wrhpr %g0, 0x201, %hpstate ! ta T_CHANGE_NONHPRIV
7007 .word 0x05400001 ! 41: FBPLG fblg
7008 nop
7009 nop
7010 ta T_CHANGE_HPRIV ! macro
7011donret_10_21:
7012 rd %pc, %r12
7013 mov HIGHVA_HIGHNUM, %r10
7014 sllx %r10, 32, %r10
7015 or %r12, %r10, %r12
7016 add %r12, (donretarg_10_21-donret_10_21+4), %r12
7017 add %r12, 0x4, %r11 ! seq tnpc
7018 wrpr %g0, 0x1, %tl
7019 wrpr %g0, %r12, %tpc
7020 wrpr %g0, %r11, %tnpc
7021 set (0x0051fb00 | (4 << 24)), %r13
7022 and %r12, 0xfff, %r14
7023 sllx %r14, 32, %r14
7024 or %r13, %r14, %r20
7025 wrpr %r20, %g0, %tstate
7026 wrhpr %g0, 0x1785, %htstate
7027 best_set_reg(0x22a, %g1, %g2)
7028 wrpr %g0, %g2, %pstate ! rand=0 (10)
7029 done
7030.align 512
7031donretarg_10_21:
7032 .word 0xe66fe1d4 ! 45: LDSTUB_I ldstub %r19, [%r31 + 0x01d4]
7033 .word 0xc1bfdd40 ! 49: STDFA_R stda %f0, [%r0, %r31]
7034cancelint_10_26:
7035 rdhpr %halt, %r20
7036 .word 0x85880000 ! 53: ALLCLEAN <illegal instruction>
7037 .word 0x8d903a9e ! 57: WRPR_PSTATE_I wrpr %r0, 0x1a9e, %pstate
7038 .word 0xd03fe010 ! 1: STD_I std %r8, [%r31 + 0x0010]
7039 .word 0x9f803463 ! 61: SIR sir 0x1463
7040ibp_10_32:
7041 nop
7042 nop
7043 ta T_CHANGE_HPRIV
7044 mov 8, %r18
7045 rd %asi, %r12
7046 wr %r0, 0x41, %asi
7047 set sync_thr_counter4, %r23
7048#ifndef SPC
7049 ldxa [%g0]0x63, %r8
7050 and %r8, 0x38, %r8 ! Core ID
7051#ifndef PORTABLE_CORE
7052 add %r8, %r23, %r23
7053#endif
7054#else
7055 mov 0, %r8
7056#endif
7057 mov 0x10, %r16
7058ibp_startwait10_32:
7059 cas [%r23],%g0,%r16 !lock
7060 brz,a %r16, continue_ibp_10_32
7061 mov (~0x10&0xf0), %r16
7062 ld [%r23], %r16
7063ibp_wait10_32:
7064 brnz %r16, ibp_wait10_32
7065 ld [%r23], %r16
7066 ba ibp_startwait10_32
7067 mov 0x10, %r16
7068continue_ibp_10_32:
7069 sllx %r16, %r8, %r16 !Mask for my core only
7070 ldxa [0x58]%asi, %r17 !Running_status
7071wait_for_stat_10_32:
7072 ldxa [0x50]%asi, %r13 !Running_rw
7073 cmp %r13, %r17
7074 bne,a %xcc, wait_for_stat_10_32
7075 ldxa [0x58]%asi, %r17 !Running_status
7076 stxa %r16, [0x68]%asi !Park (W1C)
7077 ldxa [0x50]%asi, %r14 !Running_rw
7078wait_for_ibp_10_32:
7079 ldxa [0x58]%asi, %r17 !Running_status
7080 cmp %r14, %r17
7081 bne,a %xcc, wait_for_ibp_10_32
7082 ldxa [0x50]%asi, %r14 !Running_rw
7083ibp_doit10_32:
7084 best_set_reg(0x0000005ec8dd0d6e,%r19, %r20)
7085 stxa %r20, [%r18]0x42
7086 stxa %r16, [0x60] %asi !Unpark (W1S)
7087 st %g0, [%r23] !clear lock
7088 wr %r0, %r12, %asi !restore %asi
7089 .word 0x20800001 ! 65: BN bn,a <label_0x1>
7090br_longdelay3_10_35:
7091 nop
7092 not %g0, %r27
7093 jmpl %r27+0, %r27
7094 .word 0x8d9038ce ! 69: WRPR_PSTATE_I wrpr %r0, 0x18ce, %pstate
7095cancelint_10_38:
7096 rdhpr %halt, %r9
7097 .word 0x85880000 ! 73: ALLCLEAN <illegal instruction>
7098 nop
7099 nop
7100 ta T_CHANGE_HPRIV
7101 mov 0x1, %r11
7102splash_cmpr_10_40:
7103 sllx %r11, 63, %r11
7104 not %r11, %r11
7105 rd %tick, %r10
7106#if (defined SPC || defined CMP1)
7107 add %r10, 0x250, %r10
7108#else
7109 add %r10, 0x700, %r10
7110#endif
7111 and %r10, %r11, %r10
7112 wrhpr %r10, %g0, %hsys_tick_cmpr
7113 wrhpr %g0, 0x0, %halt ! HALT
7114 ta T_CHANGE_PRIV
7115 rd %tick, %r10
7116#if (defined SPC || defined CMP1)
7117 add %r10, 0x200, %r10
7118#else
7119 add %r10, 0x380, %r10
7120#endif
7121 and %r10, %r11, %r10
7122 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
7123#if (defined SPC || defined CMP1)
7124!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_40)+8 , 16, 16)) -> intp(3,0,9,*,656,*,dc,1)
7125!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_40)&0xffffffff)+8 , 16, 16)) -> intp(1,0,31,*,728,*,dc,1)
7126#endif
7127 wrhpr %g0, 0x0, %halt ! HALT
7128 .word 0x81982d07 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0d07, %hpstate
7129 .word 0x81b01021 ! 77: SIAM siam 1
7130 nop
7131 nop
7132 set 0xad50c709, %r28 !TTID : 7 (mask2tid(0x10))
7133#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7134 sethi %hi(0x3800), %r27
7135 andn %r28, %r27, %r28
7136#ifdef PORTABLE_CORE
7137 ! Add CID to vector
7138 ta T_CHANGE_HPRIV
7139 ldxa [%g0]0x63, %r27
7140 sllx %r27, 8, %r27
7141 or %r27, %r28, %r28
7142#endif
7143#else
7144 ! Add CID IF tid matches
7145ifelse(7,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
7146#endif
7147 sethi %hi(0x30000), %r27
7148 andn %r28, %r27, %r28
7149 ta T_CHANGE_HPRIV
7150ifelse(7,mask2tid(0x10),`.align 16')
7151 stxa %r28, [%g0] 0x73
7152intvec_10_43:
7153 .word 0x91b504c2 ! 81: FCMPNE32 fcmpne32 %d20, %d2, %r8
7154brcommon2_10_45:
7155 nop
7156 nop
7157 setx common_target, %r12, %r27
7158 ba,a .+12
7159 .word 0x91a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f8
7160 ba,a .+8
7161 jmpl %r27-4, %r27
7162 .word 0xc19fda60 ! 85: LDDFA_R ldda [%r31, %r0], %f0
7163brcommon1_10_48:
7164 nop
7165 nop
7166 setx common_target, %r12, %r27
7167 lduw [%r27-0], %r12 ! Load common dest into dcache ..
7168 stuw %r12, [%r27-4] ! Load common dest into dcache ..
7169 ba,a .+12
7170 .word 0xda6fe1b0 ! 1: LDSTUB_I ldstub %r13, [%r31 + 0x01b0]
7171 ba,a .+8
7172 jmpl %r27-0, %r27
7173 .word 0xa1a309b3 ! 89: FDIVs fdivs %f12, %f19, %f16
7174 .word 0x91948011 ! 93: WRPR_PIL_R wrpr %r18, %r17, %pil
7175 .word 0xd8bfe120 ! 97: STDA_I stda %r12, [%r31 + 0x0120] %asi
7176 nop
7177 nop
7178 ta T_CHANGE_HPRIV ! macro
7179donret_10_54:
7180 rd %pc, %r12
7181 mov HIGHVA_HIGHNUM, %r10
7182 sllx %r10, 32, %r10
7183 or %r12, %r10, %r12
7184 add %r12, (donretarg_10_54-donret_10_54), %r12
7185 add %r12, 0x4, %r11 ! seq tnpc
7186 wrpr %g0, 0x2, %tl
7187 wrpr %g0, %r12, %tpc
7188 wrpr %g0, %r11, %tnpc
7189 set (0x0044f900 | (32 << 24)), %r13
7190 and %r12, 0xfff, %r14
7191 sllx %r14, 32, %r14
7192 or %r13, %r14, %r20
7193 wrpr %r20, %g0, %tstate
7194 wrhpr %g0, 0x1fda, %htstate
7195 best_set_reg(0x13f2, %g1, %g2)
7196 wrpr %g0, %g2, %pstate ! rand=0 (10)
7197 ldx [%r12+%r0], %g1
7198 retry
7199donretarg_10_54:
7200 .word 0xd86fe1bb ! 101: LDSTUB_I ldstub %r12, [%r31 + 0x01bb]
7201splash_lsu_10_57:
7202 nop
7203 nop
7204 ta T_CHANGE_HPRIV
7205 set 0x63f45814, %r2
7206 mov 0x1, %r1
7207 sllx %r1, 32, %r1
7208 or %r1, %r2, %r2
7209 stxa %r2, [%r0] ASI_LSU_CONTROL
7210 .word 0x3d400001 ! 105: FBPULE fbule,a,pn %fcc0, <label_0x1>
7211 .word 0xc19fe140 ! 109: LDDFA_I ldda [%r31, 0x0140], %f0
7212 .word 0xe1bfe140 ! 113: STDFA_I stda %f16, [0x0140, %r31]
7213splash_lsu_10_62:
7214 nop
7215 nop
7216 ta T_CHANGE_HPRIV
7217 set 0xb2ed9b17, %r2
7218 mov 0x4, %r1
7219 sllx %r1, 32, %r1
7220 or %r1, %r2, %r2
7221 stxa %r2, [%r0] ASI_LSU_CONTROL
7222 .word 0x3d400001 ! 117: FBPULE fbule,a,pn %fcc0, <label_0x1>
7223 .word 0xd83fe178 ! 121: STD_I std %r12, [%r31 + 0x0178]
7224 .word 0xd89fe1d0 ! 125: LDDA_I ldda [%r31, + 0x01d0] %asi, %r12
7225demap_10_68:
7226 nop
7227 mov 0x80, %g3
7228 ta T_CHANGE_HPRIV
7229 .word 0x2b400001 ! 1: FBPUG fbug,a,pn %fcc0, <label_0x1>
7230 stxa %g3, [%g3] 0x5f
7231 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7232 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7233 wrhpr %g0, 0xb40, %hpstate ! ta T_CHANGE_NONHPRIV
7234 .word 0xd81fe0a8 ! 129: LDD_I ldd [%r31 + 0x00a8], %r12
7235splash_lsu_10_72:
7236 nop
7237 nop
7238 ta T_CHANGE_HPRIV
7239 set 0xd2e26ad1, %r2
7240 mov 0x2, %r1
7241 sllx %r1, 32, %r1
7242 or %r1, %r2, %r2
7243 .word 0x38800001 ! 1: BGU bgu,a <label_0x1>
7244 stxa %r2, [%r0] ASI_LSU_CONTROL
7245 .word 0x3d400001 ! 133: FBPULE fbule,a,pn %fcc0, <label_0x1>
7246 .word 0xd83fc000 ! 137: STD_R std %r12, [%r31 + %r0]
7247cancelint_10_76:
7248 rdhpr %halt, %r8
7249 .word 0x85880000 ! 141: ALLCLEAN <illegal instruction>
7250cancelint_10_78:
7251 rdhpr %halt, %r9
7252 .word 0x85880000 ! 145: ALLCLEAN <illegal instruction>
7253frzptr_10_82:
7254 nop
7255 nop
7256 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
7257 ldx [%r27+0xc], %r20
7258 jmpl %r27+4, %r27
7259 .word 0x91b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d8
7260 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
7261 jmpl %r27, %r27
7262 .word 0xc1bfc3e0 ! 149: STDFA_R stda %f0, [%r0, %r31]
7263splash_lsu_10_85:
7264 nop
7265 nop
7266 ta T_CHANGE_HPRIV
7267 set 0x053e0d6a, %r2
7268 mov 0x6, %r1
7269 sllx %r1, 32, %r1
7270 or %r1, %r2, %r2
7271 .word 0x1c800001 ! 1: BPOS bpos <label_0x1>
7272 stxa %r2, [%r0] ASI_LSU_CONTROL
7273 .word 0x3d400001 ! 153: FBPULE fbule,a,pn %fcc0, <label_0x1>
7274 .word 0x8980000a ! 157: WRTICK_R wr %r0, %r10, %tick
7275frzptr_10_91:
7276 nop
7277 nop
7278 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
7279 ldx [%r27+0xc], %r20
7280 jmpl %r27, %r27
7281 .word 0xe1bfdf20 ! 161: STDFA_R stda %f16, [%r0, %r31]
7282brcommon3_10_93:
7283 nop
7284 nop
7285 setx common_target, %r12, %r27
7286 lduw [%r27-0], %r12 ! Load common dest into dcache ..
7287 stuw %r12, [%r27-0] ! Load common dest into dcache ..
7288 ba,a .+12
7289 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
7290 ba,a .+8
7291 jmpl %r27+0, %r27
7292 .word 0x00800001 ! 165: BN bn <label_0x1>
7293 .word 0x91950012 ! 169: WRPR_PIL_R wrpr %r20, %r18, %pil
7294splash_lsu_10_97:
7295 nop
7296 nop
7297 ta T_CHANGE_HPRIV
7298 set 0x43f2ee69, %r2
7299 mov 0x2, %r1
7300 sllx %r1, 32, %r1
7301 or %r1, %r2, %r2
7302 .word 0x2b400001 ! 1: FBPUG fbug,a,pn %fcc0, <label_0x1>
7303 stxa %r2, [%r0] ASI_LSU_CONTROL
7304 .word 0x1d400001 ! 173: FBPULE fbule
7305 .word 0xd047e1b8 ! 177: LDSW_I ldsw [%r31 + 0x01b8], %r8
7306 .word 0xc1bfdc40 ! 181: STDFA_R stda %f0, [%r0, %r31]
7307brcommon3_10_100:
7308 nop
7309 nop
7310 setx common_target, %r12, %r27
7311 lduw [%r27-0], %r12 ! Load common dest into dcache ..
7312 stuw %r12, [%r27-0] ! Load common dest into dcache ..
7313 ba,a .+12
7314 .word 0xd137e170 ! 1: STQF_I - %f8, [0x0170, %r31]
7315 ba,a .+8
7316 jmpl %r27+0, %r27
7317 .word 0x8d903757 ! 185: WRPR_PSTATE_I wrpr %r0, 0x1757, %pstate
7318 .word 0xd0dfc720 ! 189: LDXA_R ldxa [%r31, %r0] 0x39, %r8
7319jmptr_10_104:
7320 nop
7321 nop
7322 best_set_reg(0xe1200000, %r20, %r27)
7323 .word 0xb7c6c000 ! 193: JMPL_R jmpl %r27 + %r0, %r27
7324intveclr_10_106:
7325 nop
7326 nop
7327 ta T_CHANGE_HPRIV
7328 setx 0x0b578b53d5dd0527, %r1, %r28
7329 stxa %r28, [%g0] 0x72
7330 wrhpr %g0, 0xb01, %hpstate ! ta T_CHANGE_NONHPRIV
7331 .word 0x05400001 ! 197: FBPLG fblg
7332 .word 0x39400001 ! 201: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7333 .word 0xe8800ba0 ! 205: LDUWA_R lduwa [%r0, %r0] 0x5d, %r20
7334 nop
7335 nop
7336 ta T_CHANGE_HPRIV ! macro
7337donret_10_111:
7338 rd %pc, %r12
7339 mov HIGHVA_HIGHNUM, %r10
7340 sllx %r10, 32, %r10
7341 or %r12, %r10, %r12
7342 add %r12, (donretarg_10_111-donret_10_111+4), %r12
7343 add %r12, 0x4, %r11 ! seq tnpc
7344 andn %r11, %r10, %r11 ! low VA tnpc
7345 wrpr %g0, 0x2, %tl
7346 wrpr %g0, %r12, %tpc
7347 wrpr %g0, %r11, %tnpc
7348 set (0x00ee2100 | (0x82 << 24)), %r13
7349 and %r12, 0xfff, %r14
7350 sllx %r14, 32, %r14
7351 or %r13, %r14, %r20
7352 wrpr %r20, %g0, %tstate
7353 wrhpr %g0, 0x1d0d, %htstate
7354 best_set_reg(0xa3, %g1, %g2)
7355 wrpr %g0, %g2, %pstate ! rand=0 (10)
7356 ldx [%r11+%r0], %g1
7357 done
7358donretarg_10_111:
7359 .word 0x8d903501 ! 209: WRPR_PSTATE_I wrpr %r0, 0x1501, %pstate
7360 .word 0xa9a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f20
7361 .word 0x9f803790 ! 213: SIR sir 0x1790
7362intveclr_10_115:
7363 nop
7364 nop
7365 ta T_CHANGE_HPRIV
7366 setx 0xc8d6fd6341a247f6, %r1, %r28
7367 stxa %r28, [%g0] 0x72
7368 wrhpr %g0, 0x8c2, %hpstate ! ta T_CHANGE_NONHPRIV
7369 .word 0x05400001 ! 217: FBPLG fblg
7370 .word 0xe927e05c ! 221: STF_I st %f20, [0x005c, %r31]
7371 .word 0x9194000b ! 225: WRPR_PIL_R wrpr %r16, %r11, %pil
7372splash_tba_10_121:
7373 nop
7374 ta T_CHANGE_PRIV
7375 setx 0x0000000400380000, %r11, %r12
7376 .word 0x8b90000c ! 229: WRPR_TBA_R wrpr %r0, %r12, %tba
7377 .word 0xe937c000 ! 233: STQF_R - %f20, [%r0, %r31]
7378memptr_10_123:
7379 set user_data_start, %r31
7380 .word 0x85853d55 ! 237: WRCCR_I wr %r20, 0x1d55, %ccr
7381br_badelay2_10_124:
7382 .word 0x22800001 ! 1: BE be,a <label_0x1>
7383 pdist %f22, %f2, %f8
7384 .word 0x97b5030c ! 241: ALIGNADDRESS alignaddr %r20, %r12, %r11
7385 .word 0xd0dfc400 ! 245: LDXA_R ldxa [%r31, %r0] 0x20, %r8
7386brcommon3_10_127:
7387 nop
7388 nop
7389 setx common_target, %r12, %r27
7390 lduw [%r27-4], %r12 ! Load common dest into dcache ..
7391 stuw %r12, [%r27-4] ! Load common dest into dcache ..
7392 ba,a .+12
7393 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
7394 ba,a .+8
7395 jmpl %r27+0, %r27
7396 .word 0x819836bc ! 249: WRHPR_HPSTATE_I wrhpr %r0, 0x16bc, %hpstate
7397memptr_10_129:
7398 set user_data_start, %r31
7399 .word 0x858463fe ! 253: WRCCR_I wr %r17, 0x03fe, %ccr
7400splash_lsu_10_132:
7401 nop
7402 nop
7403 ta T_CHANGE_HPRIV
7404 set 0x3850716f, %r2
7405 mov 0x4, %r1
7406 sllx %r1, 32, %r1
7407 or %r1, %r2, %r2
7408 .word 0x30800002 ! 1: BA ba,a <label_0x2>
7409 stxa %r2, [%r0] ASI_LSU_CONTROL
7410 ta T_CHANGE_NONHPRIV
7411 .word 0x3d400001 ! 257: FBPULE fbule,a,pn %fcc0, <label_0x1>
7412 .word 0xd1e7c600 ! 261: CASA_I casa [%r31] 0x30, %r0, %r8
7413frzptr_10_139:
7414 nop
7415 nop
7416 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
7417 ldx [%r27+0xc], %r20
7418 jmpl %r27, %r27
7419 .word 0xe1bfdf00 ! 265: STDFA_R stda %f16, [%r0, %r31]
7420 .word 0xc19fde00 ! 269: LDDFA_R ldda [%r31, %r0], %f0
7421 .word 0x91920003 ! 273: WRPR_PIL_R wrpr %r8, %r3, %pil
7422 .word 0xd03fe101 ! 277: STD_I std %r8, [%r31 + 0x0101]
7423brcommon3_10_147:
7424 nop
7425 nop
7426 setx common_target, %r12, %r27
7427 lduw [%r27], %r12 ! Load common dest into dcache ..
7428 stuw %r12, [%r27] ! Load common dest into dcache ..
7429 ba,a .+12
7430 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
7431 ba,a .+8
7432 jmpl %r27+0, %r27
7433 stxa %r20, [%r0] ASI_LSU_CONTROL
7434 .word 0xa1aac823 ! 281: FMOVGE fmovs %fcc1, %f3, %f16
7435br_badelay2_10_151:
7436 .word 0x34800001 ! 1: BG bg,a <label_0x1>
7437 pdist %f18, %f22, %f8
7438 .word 0xa7b50304 ! 285: ALIGNADDRESS alignaddr %r20, %r4, %r19
7439 .word 0xd53fe1f0 ! 289: STDF_I std %f10, [0x01f0, %r31]
7440 .word 0xd4bfc180 ! 293: STDA_R stda %r10, [%r31 + %r0] 0x0c
7441 .word 0xe19fe140 ! 297: LDDFA_I ldda [%r31, 0x0140], %f16
7442 .word 0xd41fe030 ! 301: LDD_I ldd [%r31 + 0x0030], %r10
7443 .word 0xe19fe020 ! 305: LDDFA_I ldda [%r31, 0x0020], %f16
7444 nop
7445 nop
7446 ta T_CHANGE_HPRIV ! macro
7447donret_10_161:
7448 rd %pc, %r12
7449 mov HIGHVA_HIGHNUM, %r10
7450 sllx %r10, 32, %r10
7451 or %r12, %r10, %r12
7452 add %r12, (donretarg_10_161-donret_10_161+4), %r12
7453 add %r12, 0x4, %r11 ! seq tnpc
7454 wrpr %g0, 0x2, %tl
7455 wrpr %g0, %r12, %tpc
7456 wrpr %g0, %r11, %tnpc
7457 set (0x004e2700 | (32 << 24)), %r13
7458 and %r12, 0xfff, %r14
7459 sllx %r14, 32, %r14
7460 or %r13, %r14, %r20
7461 wrpr %r20, %g0, %tstate
7462 wrhpr %g0, 0x154f, %htstate
7463 wrhpr %g0, 0xfc3, %hpstate ! rand=1 (10)
7464 done
7465.align 512
7466donretarg_10_161:
7467 .word 0xd4ffc600 ! 309: SWAPA_R swapa %r10, [%r31 + %r0] 0x30
7468 .word 0x99454000 ! 313: RD_CLEAR_SOFTINT rd %clear_softint, %r12
7469 .word 0x91914005 ! 317: WRPR_PIL_R wrpr %r5, %r5, %pil
7470br_longdelay3_10_166:
7471 nop
7472 not %g0, %r27
7473 jmpl %r27+0, %r27
7474 .word 0x8d90364d ! 321: WRPR_PSTATE_I wrpr %r0, 0x164d, %pstate
7475 .word 0xc1bfe1a0 ! 325: STDFA_I stda %f0, [0x01a0, %r31]
7476cancelint_10_171:
7477 rdhpr %halt, %r16
7478 .word 0x85880000 ! 329: ALLCLEAN <illegal instruction>
7479 .word 0xe1bfe1c0 ! 333: STDFA_I stda %f16, [0x01c0, %r31]
7480 .word 0x04c98002 ! 337: BRLEZ brlez,pt %r6,<label_0x98002>
7481frzptr_10_177:
7482 nop
7483 nop
7484 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
7485 jmpl %r27+4, %r27
7486 .word 0xa3a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f48
7487 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
7488 jmpl %r27, %r27
7489 .word 0x00800001 ! 341: BN bn <label_0x1>
7490demap_10_180:
7491 nop
7492 mov 0x80, %g3
7493 ta T_CHANGE_HPRIV
7494 .word 0x19400001 ! 1: FBPUGE fbuge
7495 stxa %g3, [%g3] 0x57
7496 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7497 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7498 stxa %g3, [%g3] 0x5f
7499 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7500 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7501 stxa %g3, [%g3] 0x57
7502 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7503 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7504 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7505 stxa %g3, [%g3] 0x5f
7506 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7507 wrhpr %g0, 0x19a, %hpstate ! ta T_CHANGE_NONHPRIV
7508 .word 0xe21fe130 ! 345: LDD_I ldd [%r31 + 0x0130], %r17
7509 .word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
7510 .word 0x8d9027a7 ! 349: WRPR_PSTATE_I wrpr %r0, 0x07a7, %pstate
7511 .word 0xe23fe12b ! 353: STD_I std %r17, [%r31 + 0x012b]
7512splash_tba_10_187:
7513 nop
7514 ta T_CHANGE_PRIV
7515 set 0x120000, %r12
7516 .word 0x8b90000c ! 357: WRPR_TBA_R wrpr %r0, %r12, %tba
7517splash_lsu_10_189:
7518 nop
7519 nop
7520 ta T_CHANGE_HPRIV
7521 set 0x30bdbcdb, %r2
7522 mov 0x3, %r1
7523 sllx %r1, 32, %r1
7524 or %r1, %r2, %r2
7525 .word 0x33400001 ! 1: FBPE fbe,a,pn %fcc0, <label_0x1>
7526 stxa %r2, [%r0] ASI_LSU_CONTROL
7527 ta T_CHANGE_NONHPRIV
7528 .word 0x1d400001 ! 361: FBPULE fbule
7529 .word 0x97a4c9c6 ! 365: FDIVd fdivd %f50, %f6, %f42
7530cancelint_10_194:
7531 rdhpr %halt, %r16
7532 .word 0x85880000 ! 369: ALLCLEAN <illegal instruction>
7533br_badelay3_10_195:
7534 .word 0xa9a409d1 ! 1: FDIVd fdivd %f16, %f48, %f20
7535 .word 0x20800001 ! 1: BN bn,a <label_0x1>
7536 .word 0xa1a149ca ! 1: FDIVd fdivd %f36, %f10, %f16
7537 .word 0xa7a4482d ! 373: FADDs fadds %f17, %f13, %f19
7538#if (defined SPC || defined CMP1)
7539!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_198) , 16, 16)) -> intp(5,0,3,*,928,*,da,1)
7540!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_198)&0xffffffff) , 16, 16)) -> intp(7,0,27,*,728,*,da,1)
7541#else
7542 nop
7543 nop
7544 set 0xec02706, %r28 !TTID : 7 (mask2tid(0x10))
7545#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7546 sethi %hi(0x3800), %r27
7547 andn %r28, %r27, %r28
7548#ifdef PORTABLE_CORE
7549 ! Add CID to vector
7550 ta T_CHANGE_HPRIV
7551 ldxa [%g0]0x63, %r27
7552 sllx %r27, 8, %r27
7553 or %r27, %r28, %r28
7554#endif
7555#else
7556 ! Add CID IF tid matches
7557ifelse(7,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
7558#endif
7559ifelse(7,mask2tid(0x10),`.align 16')
7560 stxa %r28, [%g0] 0x73
7561#endif
7562intvec_10_198:
7563#if (defined SPC || defined CMP1)
7564 wrhpr %g0, 0x0, %halt ! HALT
7565#else
7566ifelse(7,mask2tid(0x10),`wrhpr %g0, 0x0, %halt ! HALT')
7567#endif
7568 .word 0x19400001 ! 377: FBPUGE fbuge
7569 .word 0xa9b504ca ! 381: FCMPNE32 fcmpne32 %d20, %d10, %r20
7570 .word 0x24cc0001 ! 385: BRLEZ brlez,a,pt %r16,<label_0xc0001>
7571brcommon3_10_203:
7572 nop
7573 nop
7574 setx common_target, %r12, %r27
7575 lduw [%r27-0], %r12 ! Load common dest into dcache ..
7576 stuw %r12, [%r27-4] ! Load common dest into dcache ..
7577 ba,a .+12
7578 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
7579 ba,a .+8
7580 jmpl %r27+0, %r27
7581 .word 0x20800001 ! 389: BN bn,a <label_0x1>
7582 .word 0xe937e1b2 ! 393: STQF_I - %f20, [0x01b2, %r31]
7583br_longdelay3_10_207:
7584 nop
7585 not %g0, %r27
7586 jmpl %r27+0, %r27
7587 .word 0x80000001 ! 397: ILLTRAP add %r0, %r1, %r0
7588jmptr_10_209:
7589 nop
7590 nop
7591 best_set_reg(0xe0200000, %r20, %r27)
7592 .word 0xb7c6c000 ! 401: JMPL_R jmpl %r27 + %r0, %r27
7593intveclr_10_213:
7594 nop
7595 nop
7596 ta T_CHANGE_HPRIV
7597 setx 0xd308755736d3a102, %r1, %r28
7598 stxa %r28, [%g0] 0x72
7599 .word 0x25400001 ! 405: FBPLG fblg,a,pn %fcc0, <label_0x1>
7600 .word 0x93b204d1 ! 409: FCMPNE32 fcmpne32 %d8, %d48, %r9
7601frzptr_10_219:
7602 nop
7603 nop
7604 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
7605 ldx [%r27+0xc], %r20
7606 jmpl %r27, %r27
7607 .word 0x20800001 ! 413: BN bn,a <label_0x1>
7608 .word 0x24cc0001 ! 417: BRLEZ brlez,a,pt %r16,<label_0xc0001>
7609#if (defined SPC || defined CMP1)
7610!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_224) , 16, 16)) -> intp(6,0,22,*,672,*,5c,1)
7611!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_224)&0xffffffff) , 16, 16)) -> intp(2,0,12,*,728,*,5c,1)
7612#else
7613 nop
7614 nop
7615 set 0x720097a3, %r28 !TTID : 7 (mask2tid(0x10))
7616#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7617 sethi %hi(0x3800), %r27
7618 andn %r28, %r27, %r28
7619#ifdef PORTABLE_CORE
7620 ! Add CID to vector
7621 ta T_CHANGE_HPRIV
7622 ldxa [%g0]0x63, %r27
7623 sllx %r27, 8, %r27
7624 or %r27, %r28, %r28
7625#endif
7626#else
7627 ! Add CID IF tid matches
7628ifelse(7,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
7629#endif
7630ifelse(7,mask2tid(0x10),`.align 16')
7631 stxa %r28, [%g0] 0x73
7632#endif
7633intvec_10_224:
7634 .word 0x99b404c2 ! 421: FCMPNE32 fcmpne32 %d16, %d2, %r12
7635br_longdelay2_10_226:
7636 .word 0x1d400001 ! 1: FBPULE fbule
7637 .word 0xf16fe0a0 ! 425: PREFETCH_I prefetch [%r31 + 0x00a0], #24
7638 .word 0x99a409d1 ! 429: FDIVd fdivd %f16, %f48, %f12
7639 .word 0xd69fc240 ! 433: LDDA_R ldda [%r31, %r0] 0x12, %r11
7640 nop
7641 nop
7642 set 0x3210c8e0, %r28 !TTID : 0 (mask2tid(0x10))
7643#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7644 sethi %hi(0x3800), %r27
7645 andn %r28, %r27, %r28
7646#ifdef PORTABLE_CORE
7647 ! Add CID to vector
7648 ta T_CHANGE_HPRIV
7649 ldxa [%g0]0x63, %r27
7650 sllx %r27, 8, %r27
7651 or %r27, %r28, %r28
7652#endif
7653#else
7654 ! Add CID IF tid matches
7655ifelse(0,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
7656#endif
7657 sethi %hi(0x30000), %r27
7658 andn %r28, %r27, %r28
7659 ta T_CHANGE_HPRIV
7660ifelse(0,mask2tid(0x10),`.align 16')
7661 stxa %r28, [%g0] 0x73
7662intvec_10_233:
7663 .word 0x39400002 ! 437: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
7664 .word 0x91948005 ! 441: WRPR_PIL_R wrpr %r18, %r5, %pil
7665jmptr_10_238:
7666 nop
7667 nop
7668 best_set_reg(0xe1200000, %r20, %r27)
7669 .word 0xb7c6c000 ! 445: JMPL_R jmpl %r27 + %r0, %r27
7670 .word 0xd4dfc240 ! 449: LDXA_R ldxa [%r31, %r0] 0x12, %r10
7671 .word 0x01400001 ! 1: FBPN fbn
7672br_longdelay5_10_242:
7673#if (defined SPC || defined CMP1)
7674!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_10_242)&0xffffffff) , 16, 16)) -> intp(mask2tid(0x10),0,0,*,920,*,ffffffffffffffff,1)
7675!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_10_242)) , 16, 16)) -> intp(mask2tid(0x10),0,0,*,896,*,ffffffffffffffff,1)
7676 wrhpr %g0, 0x0, %halt ! HALT
7677#endif
7678 .word 0x95b7c4c0 ! 453: FCMPNE32 fcmpne32 %d62, %d0, %r10
7679 nop
7680 nop
7681 set 0x9900a264, %r28 !TTID : 2 (mask2tid(0x10))
7682#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7683 sethi %hi(0x3800), %r27
7684 andn %r28, %r27, %r28
7685#ifdef PORTABLE_CORE
7686 ! Add CID to vector
7687 ta T_CHANGE_HPRIV
7688 ldxa [%g0]0x63, %r27
7689 sllx %r27, 8, %r27
7690 or %r27, %r28, %r28
7691#endif
7692#else
7693 ! Add CID IF tid matches
7694ifelse(2,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
7695#endif
7696 sethi %hi(0x30000), %r27
7697 andn %r28, %r27, %r28
7698 ta T_CHANGE_HPRIV
7699ifelse(2,mask2tid(0x10),`.align 16')
7700 stxa %r28, [%g0] 0x73
7701intvec_10_243:
7702 .word 0x91b304d0 ! 457: FCMPNE32 fcmpne32 %d12, %d16, %r8
7703brcommon2_10_246:
7704 nop
7705 nop
7706 setx common_target, %r12, %r27
7707 ba,a .+12
7708 .word 0xa3a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f48
7709 ba,a .+8
7710 jmpl %r27-4, %r27
7711 .word 0x20800001 ! 461: BN bn,a <label_0x1>
7712demap_10_248:
7713 nop
7714 mov 0x80, %g3
7715 ta T_CHANGE_HPRIV
7716 .word 0x1f400001 ! 1: FBPO fbo
7717 stxa %g3, [%g3] 0x5f
7718 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7719 stxa %g3, [%g3] 0x57
7720 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7721 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7722 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7723 stxa %g3, [%g3] 0x5f
7724 stxa %g3, [%g3] 0x5f
7725 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7726 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7727 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7728 stxa %g3, [%g3] 0x57
7729 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7730 stxa %g3, [%g3] 0x57
7731 stxa %g3, [%g3] 0x5f
7732 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7733 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7734 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7735 wrhpr %g0, 0x612, %hpstate ! ta T_CHANGE_NONHPRIV
7736 .word 0xe01fe101 ! 465: LDD_I ldd [%r31 + 0x0101], %r16
7737 .word 0xc09fda60 ! 469: LDDA_R ldda [%r31, %r0] 0xd3, %r0
7738splash_lsu_10_254:
7739 nop
7740 nop
7741 ta T_CHANGE_HPRIV
7742 set 0xf6d6246e, %r2
7743 mov 0x5, %r1
7744 sllx %r1, 32, %r1
7745 or %r1, %r2, %r2
7746 .word 0x1c800001 ! 1: BPOS bpos <label_0x1>
7747 stxa %r2, [%r0] ASI_LSU_CONTROL
7748 .word 0x3d400001 ! 473: FBPULE fbule,a,pn %fcc0, <label_0x1>
7749 .word 0xe09fe0a0 ! 477: LDDA_I ldda [%r31, + 0x00a0] %asi, %r16
7750 .word 0x9192800a ! 481: WRPR_PIL_R wrpr %r10, %r10, %pil
7751 .word 0x8980000a ! 485: WRTICK_R wr %r0, %r10, %tick
7752mondo_10_262:
7753 nop
7754 nop
7755 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
7756 stxa %r20, [%r0+0x3d0] %asi
7757 stxa %r11, [%r0+0x3e8] %asi
7758 .word 0x8780204f ! 1: WRASI_I wr %r0, 0x004f, %asi
7759 .word 0x9d918001 ! 489: WRPR_WSTATE_R wrpr %r6, %r1, %wstate
7760#if (defined SPC || defined CMP1)
7761!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_266) , 16, 16)) -> intp(1,0,8,*,688,*,1f,1)
7762!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_266)&0xffffffff) , 16, 16)) -> intp(2,0,11,*,1008,*,1f,1)
7763#else
7764 nop
7765 nop
7766 set 0x6e100290, %r28 !TTID : 2 (mask2tid(0x10))
7767#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7768 sethi %hi(0x3800), %r27
7769 andn %r28, %r27, %r28
7770#ifdef PORTABLE_CORE
7771 ! Add CID to vector
7772 ta T_CHANGE_HPRIV
7773 ldxa [%g0]0x63, %r27
7774 sllx %r27, 8, %r27
7775 or %r27, %r28, %r28
7776#endif
7777#else
7778 ! Add CID IF tid matches
7779ifelse(2,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
7780#endif
7781ifelse(2,mask2tid(0x10),`.align 16')
7782 stxa %r28, [%g0] 0x73
7783#endif
7784intvec_10_266:
7785 .word 0x95b204d4 ! 493: FCMPNE32 fcmpne32 %d8, %d20, %r10
7786trapasi_10_270:
7787 nop
7788 mov 0x0, %r1 ! (VA for ASI 0x5a)
7789 .word 0xd4d84b40 ! 497: LDXA_R ldxa [%r1, %r0] 0x5a, %r10
7790splash_hpstate_10_272:
7791 .word 0x17400001 ! 1: FBPGE fbge
7792 .word 0x81982d15 ! 501: WRHPR_HPSTATE_I wrhpr %r0, 0x0d15, %hpstate
7793 .word 0xd41fe0e0 ! 505: LDD_I ldd [%r31 + 0x00e0], %r10
7794memptr_10_278:
7795 set 0x60140000, %r31
7796 .word 0x8584b189 ! 509: WRCCR_I wr %r18, 0x1189, %ccr
7797jmptr_10_281:
7798 nop
7799 nop
7800 best_set_reg(0xe1200000, %r20, %r27)
7801 .word 0xb7c6c000 ! 513: JMPL_R jmpl %r27 + %r0, %r27
7802 .word 0x8f902001 ! 1: WRPR_TL_I wrpr %r0, 0x0001, %tl
7803reduce_priv_lvl_10_283:
7804 ta T_CHANGE_NONHPRIV ! macro
7805 .word 0xd5e7c600 ! 521: CASA_I casa [%r31] 0x30, %r0, %r10
7806memptr_10_288:
7807 set user_data_start, %r31
7808 .word 0x8581b69f ! 525: WRCCR_I wr %r6, 0x169f, %ccr
7809 .word 0xd537c000 ! 529: STQF_R - %f10, [%r0, %r31]
7810 .word 0x34780001 ! 533: BPG <illegal instruction>
7811splash_hpstate_10_291:
7812 .word 0x06c90001 ! 1: BRLZ brlz,pt %r4,<label_0x90001>
7813 .word 0x81982d9d ! 537: WRHPR_HPSTATE_I wrhpr %r0, 0x0d9d, %hpstate
7814memptr_10_293:
7815 set 0x60140000, %r31
7816 .word 0x85853d06 ! 541: WRCCR_I wr %r20, 0x1d06, %ccr
7817 .word 0x9195000c ! 545: WRPR_PIL_R wrpr %r20, %r12, %pil
7818 .word 0xc19fde00 ! 549: LDDFA_R ldda [%r31, %r0], %f0
7819brcommon2_10_299:
7820 nop
7821 nop
7822 setx common_target, %r12, %r27
7823 ba,a .+12
7824 .word 0x9f8020d0 ! 1: SIR sir 0x00d0
7825 ba,a .+8
7826 jmpl %r27-4, %r27
7827 .word 0x81b7c7c0 ! 553: PDIST pdistn %d62, %d0, %d0
7828frzptr_10_301:
7829 nop
7830 nop
7831 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
7832 jmpl %r27, %r27
7833 .word 0x20800001 ! 557: BN bn,a <label_0x1>
7834 nop
7835 nop
7836 set 0x840f2ea, %r28 !TTID : 2 (mask2tid(0x10))
7837#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7838 sethi %hi(0x3800), %r27
7839 andn %r28, %r27, %r28
7840#ifdef PORTABLE_CORE
7841 ! Add CID to vector
7842 ta T_CHANGE_HPRIV
7843 ldxa [%g0]0x63, %r27
7844 sllx %r27, 8, %r27
7845 or %r27, %r28, %r28
7846#endif
7847#else
7848 ! Add CID IF tid matches
7849ifelse(2,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
7850#endif
7851 sethi %hi(0x30000), %r27
7852 andn %r28, %r27, %r28
7853 ta T_CHANGE_HPRIV
7854ifelse(2,mask2tid(0x10),`.align 16')
7855 stxa %r28, [%g0] 0x73
7856intvec_10_303:
7857 .word 0x39400001 ! 561: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7858 .word 0xe19fe0a0 ! 565: LDDFA_I ldda [%r31, 0x00a0], %f16
7859splash_hpstate_10_307:
7860 .word 0x81983433 ! 569: WRHPR_HPSTATE_I wrhpr %r0, 0x1433, %hpstate
7861demap_10_309:
7862 nop
7863 mov 0x80, %g3
7864 ta T_CHANGE_HPRIV
7865 stxa %g3, [%g3] 0x57
7866 stxa %g3, [%g3] 0x57
7867 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7868 stxa %g3, [%g3] 0x57
7869 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7870 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7871 stxa %g3, [%g3] 0x5f
7872 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7873 stxa %g3, [%g3] 0x5f
7874 stxa %g3, [%g3] 0x57
7875 stxa %g3, [%g3] 0x5f
7876 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7877 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7878 wrhpr %g0, 0x641, %hpstate ! ta T_CHANGE_NONHPRIV
7879 .word 0xd21fe1a0 ! 573: LDD_I ldd [%r31 + 0x01a0], %r9
7880 .word 0x04780001 ! 577: BPLE <illegal instruction>
7881splash_lsu_10_313:
7882 nop
7883 nop
7884 ta T_CHANGE_HPRIV
7885 set 0xded54c87, %r2
7886 mov 0x7, %r1
7887 sllx %r1, 32, %r1
7888 or %r1, %r2, %r2
7889 .word 0x29400002 ! 1: FBPL fbl,a,pn %fcc0, <label_0x2>
7890 stxa %r2, [%r0] ASI_LSU_CONTROL
7891 ta T_CHANGE_NONHPRIV
7892 .word 0x1d400001 ! 581: FBPULE fbule
7893demap_10_317:
7894 nop
7895 mov 0x80, %g3
7896 ta T_CHANGE_HPRIV
7897 stxa %g3, [%g3] 0x57
7898 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7899 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7900 stxa %g3, [%g3] 0x57
7901 wrhpr %g0, 0x411, %hpstate ! ta T_CHANGE_NONHPRIV
7902 .word 0xd21fe110 ! 585: LDD_I ldd [%r31 + 0x0110], %r9
7903br_badelay1_10_318:
7904 .word 0x25400002 ! 1: FBPLG fblg,a,pn %fcc0, <label_0x2>
7905 .word 0xd337c000 ! 1: STQF_R - %f9, [%r0, %r31]
7906 .word 0x93a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f40
7907 normalw
7908 .word 0x9b458000 ! 589: RD_SOFTINT_REG rd %softint, %r13
7909cancelint_10_322:
7910 rdhpr %halt, %r19
7911 .word 0x85880000 ! 593: ALLCLEAN <illegal instruction>
7912brcommon1_10_324:
7913 nop
7914 nop
7915 setx common_target, %r12, %r27
7916 lduw [%r27-0], %r12 ! Load common dest into dcache ..
7917 stuw %r12, [%r27-0] ! Load common dest into dcache ..
7918 ba,a .+12
7919 .word 0xc32fe1a0 ! 1: STXFSR_I st-sfr %f1, [0x01a0, %r31]
7920 ba,a .+8
7921 jmpl %r27-4, %r27
7922 .word 0x9b702eb3 ! 597: POPC_I popc 0x0eb3, %r13
7923 .word 0x91948003 ! 601: WRPR_PIL_R wrpr %r18, %r3, %pil
7924 .word 0xda8fc540 ! 605: LDUBA_R lduba [%r31, %r0] 0x2a, %r13
7925 .word 0xe19fc2c0 ! 609: LDDFA_R ldda [%r31, %r0], %f16
7926#if (defined SPC || defined CMP1)
7927!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_330) , 16, 16)) -> intp(1,0,12,*,728,*,dc,1)
7928!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_330)&0xffffffff) , 16, 16)) -> intp(3,0,12,*,656,*,dc,1)
7929#else
7930 nop
7931 nop
7932 set 0xcff00d18, %r28 !TTID : 5 (mask2tid(0x10))
7933#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7934 sethi %hi(0x3800), %r27
7935 andn %r28, %r27, %r28
7936#ifdef PORTABLE_CORE
7937 ! Add CID to vector
7938 ta T_CHANGE_HPRIV
7939 ldxa [%g0]0x63, %r27
7940 sllx %r27, 8, %r27
7941 or %r27, %r28, %r28
7942#endif
7943#else
7944 ! Add CID IF tid matches
7945ifelse(5,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
7946#endif
7947ifelse(5,mask2tid(0x10),`.align 16')
7948 stxa %r28, [%g0] 0x73
7949#endif
7950intvec_10_330:
7951#if (defined SPC || defined CMP1)
7952 wrhpr %g0, 0x0, %halt ! HALT
7953#else
7954ifelse(5,mask2tid(0x10),`wrhpr %g0, 0x0, %halt ! HALT')
7955#endif
7956 .word 0xa7a149cb ! 613: FDIVd fdivd %f36, %f42, %f50
7957 .word 0x99b484c9 ! 617: FCMPNE32 fcmpne32 %d18, %d40, %r12
7958 .word 0xe19fdd40 ! 621: LDDFA_R ldda [%r31, %r0], %f16
7959br_longdelay3_10_336:
7960 nop
7961 not %g0, %r27
7962 jmpl %r27+0, %r27
7963 .word 0x80000001 ! 625: ILLTRAP add %r0, %r1, %r0
7964brcommon3_10_339:
7965 nop
7966 nop
7967 setx common_target, %r12, %r27
7968 lduw [%r27], %r12 ! Load common dest into dcache ..
7969 stuw %r12, [%r27] ! Load common dest into dcache ..
7970 ba,a .+12
7971 .word 0xe137c000 ! 1: STQF_R - %f16, [%r0, %r31]
7972 ba,a .+8
7973 jmpl %r27+0, %r27
7974 stxa %r13, [%r0] ASI_LSU_CONTROL
7975 .word 0xa7aac824 ! 629: FMOVGE fmovs %fcc1, %f4, %f19
7976demap_10_341:
7977 nop
7978 mov 0x80, %g3
7979 ta T_CHANGE_HPRIV
7980 .word 0x24cd0001 ! 1: BRLEZ brlez,a,pt %r20,<label_0xd0001>
7981 stxa %g3, [%g3] 0x5f
7982 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7983 stxa %g3, [%g3] 0x5f
7984 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7985 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7986 stxa %g3, [%g3] 0x5f
7987 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7988 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7989 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7990 stxa %g3, [%g3] 0x5f
7991 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7992 stxa %g3, [%g3] 0x57
7993 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7994 wrhpr %g0, 0x682, %hpstate ! ta T_CHANGE_NONHPRIV
7995 .word 0xe61fe120 ! 633: LDD_I ldd [%r31 + 0x0120], %r19
7996splash_lsu_10_343:
7997 nop
7998 nop
7999 ta T_CHANGE_HPRIV
8000 set 0x8e997b06, %r2
8001 mov 0x5, %r1
8002 sllx %r1, 32, %r1
8003 or %r1, %r2, %r2
8004 stxa %r2, [%r0] ASI_LSU_CONTROL
8005 .word 0x3d400001 ! 637: FBPULE fbule,a,pn %fcc0, <label_0x1>
8006 nop
8007 nop
8008 ta T_CHANGE_HPRIV ! macro
8009donret_10_345:
8010 rd %pc, %r12
8011 mov HIGHVA_HIGHNUM, %r10
8012 sllx %r10, 32, %r10
8013 or %r12, %r10, %r12
8014 add %r12, (donretarg_10_345-donret_10_345), %r12
8015 add %r12, 0x4, %r11 ! seq tnpc
8016 andn %r11, %r10, %r11 ! low VA tnpc
8017 wrpr %g0, 0x1, %tl
8018 wrpr %g0, %r12, %tpc
8019 wrpr %g0, %r11, %tnpc
8020 set (0x00d1fb00 | (54 << 24)), %r13
8021 and %r12, 0xfff, %r14
8022 sllx %r14, 32, %r14
8023 or %r13, %r14, %r20
8024 wrpr %r20, %g0, %tstate
8025 wrhpr %g0, 0x100, %htstate
8026 wrhpr %g0, 0x7da, %hpstate ! rand=1 (10)
8027 ldx [%r11+%r0], %g1
8028 done
8029donretarg_10_345:
8030 .word 0xe66fe165 ! 641: LDSTUB_I ldstub %r19, [%r31 + 0x0165]
8031splash_lsu_10_348:
8032 nop
8033 nop
8034 ta T_CHANGE_HPRIV
8035 set 0x0a5b9e77, %r2
8036 mov 0x6, %r1
8037 sllx %r1, 32, %r1
8038 or %r1, %r2, %r2
8039 stxa %r2, [%r0] ASI_LSU_CONTROL
8040 .word 0x3d400001 ! 645: FBPULE fbule,a,pn %fcc0, <label_0x1>
8041splash_lsu_10_351:
8042 nop
8043 nop
8044 ta T_CHANGE_HPRIV
8045 set 0xca3bf772, %r2
8046 mov 0x5, %r1
8047 sllx %r1, 32, %r1
8048 or %r1, %r2, %r2
8049 stxa %r2, [%r0] ASI_LSU_CONTROL
8050 ta T_CHANGE_NONHPRIV
8051 .word 0x3d400001 ! 649: FBPULE fbule,a,pn %fcc0, <label_0x1>
8052 .word 0xe68008a0 ! 653: LDUWA_R lduwa [%r0, %r0] 0x45, %r19
8053pmu_10_353:
8054 nop
8055 nop
8056 ta T_CHANGE_PRIV
8057 setx 0xffffffb6ffffffa2, %g1, %g7
8058 .word 0xa3800007 ! 657: WR_PERF_COUNTER_R wr %r0, %r7, %-
8059cancelint_10_355:
8060 rdhpr %halt, %r10
8061 .word 0x85880000 ! 661: ALLCLEAN <illegal instruction>
8062splash_lsu_10_357:
8063 nop
8064 nop
8065 ta T_CHANGE_HPRIV
8066 set 0x45084839, %r2
8067 mov 0x6, %r1
8068 sllx %r1, 32, %r1
8069 or %r1, %r2, %r2
8070 .word 0x03400001 ! 1: FBPNE fbne
8071 stxa %r2, [%r0] ASI_LSU_CONTROL
8072 ta T_CHANGE_NONHPRIV
8073 .word 0x3d400001 ! 665: FBPULE fbule,a,pn %fcc0, <label_0x1>
8074 .word 0xdb3fe0c0 ! 669: STDF_I std %f13, [0x00c0, %r31]
8075 .word 0xdacfc400 ! 673: LDSBA_R ldsba [%r31, %r0] 0x20, %r13
8076 .word 0xf16fe1de ! 677: PREFETCH_I prefetch [%r31 + 0x01de], #24
8077demap_10_370:
8078 nop
8079 mov 0x80, %g3
8080 ta T_CHANGE_HPRIV
8081 .word 0x14800001 ! 1: BG bg <label_0x1>
8082 stxa %g3, [%g3] 0x57
8083 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8084 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8085 stxa %g3, [%g3] 0x5f
8086 wrhpr %g0, 0x680, %hpstate ! ta T_CHANGE_NONHPRIV
8087 .word 0xda1fe1dd ! 681: LDD_I ldd [%r31 + 0x01dd], %r13
8088br_longdelay4_10_373:
8089 nop
8090 not %g0, %r27
8091 jmpl %r27+0, %r27
8092 .word 0x9d902004 ! 685: WRPR_WSTATE_I wrpr %r0, 0x0004, %wstate
8093#if (defined SPC || defined CMP1)
8094!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_376) , 16, 16)) -> intp(7,0,14,*,712,*,90,1)
8095!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_376)&0xffffffff) , 16, 16)) -> intp(6,0,3,*,664,*,90,1)
8096#else
8097 nop
8098 nop
8099 set 0x1ed0790f, %r28 !TTID : 1 (mask2tid(0x10))
8100#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8101 sethi %hi(0x3800), %r27
8102 andn %r28, %r27, %r28
8103#ifdef PORTABLE_CORE
8104 ! Add CID to vector
8105 ta T_CHANGE_HPRIV
8106 ldxa [%g0]0x63, %r27
8107 sllx %r27, 8, %r27
8108 or %r27, %r28, %r28
8109#endif
8110#else
8111 ! Add CID IF tid matches
8112ifelse(1,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
8113#endif
8114ifelse(1,mask2tid(0x10),`.align 16')
8115 stxa %r28, [%g0] 0x73
8116#endif
8117intvec_10_376:
8118 .word 0x39400001 ! 689: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8119 .word 0xd2dfd060 ! 693: LDXA_R ldxa [%r31, %r0] 0x83, %r9
8120 nop
8121 nop
8122 set 0x1401818, %r28 !TTID : 0 (mask2tid(0x10))
8123#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8124 sethi %hi(0x3800), %r27
8125 andn %r28, %r27, %r28
8126#ifdef PORTABLE_CORE
8127 ! Add CID to vector
8128 ta T_CHANGE_HPRIV
8129 ldxa [%g0]0x63, %r27
8130 sllx %r27, 8, %r27
8131 or %r27, %r28, %r28
8132#endif
8133#else
8134 ! Add CID IF tid matches
8135ifelse(0,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
8136#endif
8137 sethi %hi(0x30000), %r27
8138 andn %r28, %r27, %r28
8139 ta T_CHANGE_HPRIV
8140ifelse(0,mask2tid(0x10),`.align 16')
8141 stxa %r28, [%g0] 0x73
8142intvec_10_381:
8143 .word 0x95b444d3 ! 697: FCMPNE32 fcmpne32 %d48, %d50, %r10
8144 nop
8145 nop
8146 ta T_CHANGE_HPRIV
8147 mov 0x1, %r11
8148splash_cmpr_10_383:
8149 sllx %r11, 63, %r11
8150 not %r11, %r11
8151 rd %tick, %r10
8152#if (defined SPC || defined CMP1)
8153 add %r10, 0x200, %r10
8154#else
8155 add %r10, 0x700, %r10
8156#endif
8157 and %r10, %r11, %r10
8158 wrhpr %r10, %g0, %hsys_tick_cmpr
8159 wrhpr %g0, 0x0, %halt ! HALT
8160 ta T_CHANGE_PRIV
8161 rd %tick, %r10
8162#if (defined SPC || defined CMP1)
8163 add %r10, 0x250, %r10
8164#else
8165 add %r10, 0x550, %r10
8166#endif
8167 and %r10, %r11, %r10
8168 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
8169#if (defined SPC || defined CMP1)
8170!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_383)+8 , 16, 16)) -> intp(5,0,31,*,1008,*,59,1)
8171!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_383)&0xffffffff)+8 , 16, 16)) -> intp(5,0,2,*,648,*,59,1)
8172#endif
8173 wrhpr %g0, 0x0, %halt ! HALT
8174 .word 0x81982f16 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0f16, %hpstate
8175 .word 0x81b01021 ! 701: SIAM siam 1
8176memptr_10_385:
8177 set 0x60340000, %r31
8178 .word 0x85847256 ! 705: WRCCR_I wr %r17, 0x1256, %ccr
8179 .word 0x22780001 ! 709: BPE <illegal instruction>
8180 .word 0xd13fe102 ! 713: STDF_I std %f8, [0x0102, %r31]
8181 nop
8182 nop
8183 set 0x25e06b04, %r28 !TTID : 3 (mask2tid(0x10))
8184#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8185 sethi %hi(0x3800), %r27
8186 andn %r28, %r27, %r28
8187#ifdef PORTABLE_CORE
8188 ! Add CID to vector
8189 ta T_CHANGE_HPRIV
8190 ldxa [%g0]0x63, %r27
8191 sllx %r27, 8, %r27
8192 or %r27, %r28, %r28
8193#endif
8194#else
8195 ! Add CID IF tid matches
8196ifelse(3,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
8197#endif
8198 sethi %hi(0x30000), %r27
8199 andn %r28, %r27, %r28
8200 ta T_CHANGE_HPRIV
8201ifelse(3,mask2tid(0x10),`.align 16')
8202 stxa %r28, [%g0] 0x73
8203intvec_10_391:
8204 .word 0x19400001 ! 717: FBPUGE fbuge
8205 nop
8206 nop
8207 ta T_CHANGE_HPRIV ! macro
8208donret_10_392:
8209 rd %pc, %r12
8210 mov HIGHVA_HIGHNUM, %r10
8211 sllx %r10, 32, %r10
8212 or %r12, %r10, %r12
8213 add %r12, (donretarg_10_392-donret_10_392), %r12
8214 add %r12, 0x8, %r11 ! nonseq tnpc
8215 wrpr %g0, 0x2, %tl
8216 wrpr %g0, %r12, %tpc
8217 wrpr %g0, %r11, %tnpc
8218 set (0x00270700 | (0x88 << 24)), %r13
8219 and %r12, 0xfff, %r14
8220 sllx %r14, 32, %r14
8221 or %r13, %r14, %r20
8222 wrpr %r20, %g0, %tstate
8223 wrhpr %g0, 0xd5d, %htstate
8224 best_set_reg(0xbfb, %g1, %g2)
8225 wrpr %g0, %g2, %pstate ! rand=0 (10)
8226 ldx [%r12+%r0], %g1
8227 retry
8228donretarg_10_392:
8229 .word 0x29400001 ! 721: FBPL fbl,a,pn %fcc0, <label_0x1>
8230 .word 0x24780001 ! 725: BPLE <illegal instruction>
8231splash_tba_10_395:
8232 nop
8233 ta T_CHANGE_PRIV
8234 set 0x120000, %r12
8235 .word 0x8b90000c ! 729: WRPR_TBA_R wrpr %r0, %r12, %tba
8236 .word 0xa9b444ca ! 733: FCMPNE32 fcmpne32 %d48, %d10, %r20
8237 .word 0xc1bfe120 ! 737: STDFA_I stda %f0, [0x0120, %r31]
8238 .word 0xe8c7dc40 ! 741: LDSWA_R ldswa [%r31, %r0] 0xe2, %r20
8239intveclr_10_399:
8240 nop
8241 nop
8242 ta T_CHANGE_HPRIV
8243 setx 0xa8ea012d776a1f66, %r1, %r28
8244 stxa %r28, [%g0] 0x72
8245 .word 0x25400001 ! 745: FBPLG fblg,a,pn %fcc0, <label_0x1>
8246jmptr_10_402:
8247 nop
8248 nop
8249 best_set_reg(0xe1200000, %r20, %r27)
8250 .word 0xb7c6c000 ! 749: JMPL_R jmpl %r27 + %r0, %r27
8251brcommon3_10_405:
8252 nop
8253 nop
8254 setx common_target, %r12, %r27
8255 lduw [%r27-0], %r12 ! Load common dest into dcache ..
8256 stuw %r12, [%r27-4] ! Load common dest into dcache ..
8257 ba,a .+12
8258 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
8259 ba,a .+8
8260 jmpl %r27-0, %r27
8261 .word 0xc32fe170 ! 753: STXFSR_I st-sfr %f1, [0x0170, %r31]
8262jmptr_10_407:
8263 nop
8264 nop
8265 best_set_reg(0xe0200000, %r20, %r27)
8266 .word 0xb7c6c000 ! 757: JMPL_R jmpl %r27 + %r0, %r27
8267 .word 0xe927e0d4 ! 761: STF_I st %f20, [0x00d4, %r31]
8268splash_lsu_10_412:
8269 nop
8270 nop
8271 ta T_CHANGE_HPRIV
8272 set 0x2d025746, %r2
8273 mov 0x1, %r1
8274 sllx %r1, 32, %r1
8275 or %r1, %r2, %r2
8276 .word 0x23400001 ! 1: FBPNE fbne,a,pn %fcc0, <label_0x1>
8277 stxa %r2, [%r0] ASI_LSU_CONTROL
8278 .word 0x3d400002 ! 765: FBPULE fbule,a,pn %fcc0, <label_0x2>
8279memptr_10_415:
8280 set 0x60740000, %r31
8281 .word 0x85837b87 ! 769: WRCCR_I wr %r13, 0x1b87, %ccr
8282 nop
8283 nop
8284 ta T_CHANGE_HPRIV ! macro
8285donret_10_417:
8286 rd %pc, %r12
8287 mov HIGHVA_HIGHNUM, %r10
8288 sllx %r10, 32, %r10
8289 or %r12, %r10, %r12
8290 add %r12, (donretarg_10_417-donret_10_417), %r12
8291 add %r12, 0x4, %r11 ! seq tnpc
8292 andn %r11, %r10, %r11 ! low VA tnpc
8293 wrpr %g0, 0x2, %tl
8294 wrpr %g0, %r12, %tpc
8295 wrpr %g0, %r11, %tnpc
8296 set (0x0096ea00 | (20 << 24)), %r13
8297 and %r12, 0xfff, %r14
8298 sllx %r14, 32, %r14
8299 or %r13, %r14, %r20
8300 wrpr %r20, %g0, %tstate
8301 wrhpr %g0, 0x165d, %htstate
8302 best_set_reg(0x1cb8, %g1, %g2)
8303 wrpr %g0, %g2, %pstate ! rand=0 (10)
8304 ldx [%r11+%r0], %g1
8305 done
8306.align 512
8307donretarg_10_417:
8308 .word 0x18800001 ! 773: BGU bgu <label_0x1>
8309 .word 0xe87fe180 ! 777: SWAP_I swap %r20, [%r31 + 0x0180]
8310 .word 0x87a84a52 ! 781: FCMPd fcmpd %fcc<n>, %f32, %f18
8311trapasi_10_422:
8312 nop
8313 mov 0x0, %r1 ! (VA for ASI 0x73)
8314 .word 0xd4d84e60 ! 785: LDXA_R ldxa [%r1, %r0] 0x73, %r10
8315splash_hpstate_10_423:
8316 ta T_CHANGE_NONHPRIV
8317 .word 0x81983745 ! 789: WRHPR_HPSTATE_I wrhpr %r0, 0x1745, %hpstate
8318 .word 0xe1bfe140 ! 793: STDFA_I stda %f16, [0x0140, %r31]
8319 .word 0xc30fc000 ! 797: LDXFSR_R ld-fsr [%r31, %r0], %f1
8320 nop
8321 nop
8322 ta T_CHANGE_PRIV
8323 wrpr %g0, %g0, %gl
8324 nop
8325 nop
8326.text
8327 setx join_lbl_0_0, %g1, %g2
8328 jmp %g2
8329 nop
8330fork_lbl_0_4:
8331 wrhpr %g0, 0x700, %hpstate ! ta T_CHANGE_NONHPRIV
8332br_longdelay4_8_0:
8333 nop
8334 not %g0, %r27
8335 jmpl %r27+0, %r27
8336brcommon2_8_1:
8337 nop
8338 nop
8339 setx common_target, %r12, %r27
8340 ba,a .+12
8341 .word 0xd7144012 ! 1: LDQF_R - [%r17, %r18], %f11
8342 ba,a .+8
8343 jmpl %r27-0, %r27
8344 .word 0xc19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
8345brcommon2_8_4:
8346 nop
8347 nop
8348 setx common_target, %r12, %r27
8349 ba,a .+12
8350 .word 0xa1a489d1 ! 1: FDIVd fdivd %f18, %f48, %f16
8351 ba,a .+8
8352 jmpl %r27-4, %r27
8353 .word 0x20800001 ! 5: BN bn,a <label_0x1>
8354dvapa_8_6:
8355 nop
8356 nop
8357 ta T_CHANGE_HPRIV
8358 mov 0xd6d, %r20
8359 mov 0xa, %r19
8360 sllx %r20, 23, %r20
8361 or %r19, %r20, %r19
8362 stxa %r19, [%g0] ASI_LSU_CONTROL
8363 mov 0x38, %r18
8364 stxa %r31, [%r18]0x58
8365 wrhpr %g0, 0xf50, %hpstate ! ta T_CHANGE_NONHPRIV
8366 .word 0xa5a489a1 ! 9: FDIVs fdivs %f18, %f1, %f18
8367demap_8_9:
8368 nop
8369 mov 0x80, %g3
8370 ta T_CHANGE_HPRIV
8371 .word 0x06800001 ! 1: BL bl <label_0x1>
8372 stxa %g3, [%g3] 0x5f
8373 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8374 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8375 stxa %g3, [%g3] 0x57
8376 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8377 wrhpr %g0, 0x18, %hpstate ! ta T_CHANGE_NONHPRIV
8378 .word 0xe61fe018 ! 13: LDD_I ldd [%r31 + 0x0018], %r19
8379 .word 0xe737c000 ! 17: STQF_R - %f19, [%r0, %r31]
8380 .word 0x8980000a ! 21: WRTICK_R wr %r0, %r10, %tick
8381memptr_8_14:
8382 set 0x60340000, %r31
8383 .word 0x858534b5 ! 25: WRCCR_I wr %r20, 0x14b5, %ccr
8384jmptr_8_17:
8385 nop
8386 nop
8387 best_set_reg(0xe1a00000, %r20, %r27)
8388 .word 0xb7c6c000 ! 29: JMPL_R jmpl %r27 + %r0, %r27
8389 .word 0xe73fe1aa ! 33: STDF_I std %f19, [0x01aa, %r31]
8390jmptr_8_19:
8391 nop
8392 nop
8393 best_set_reg(0xe0a00000, %r20, %r27)
8394 .word 0xb7c6c000 ! 37: JMPL_R jmpl %r27 + %r0, %r27
8395intveclr_8_20:
8396 nop
8397 nop
8398 ta T_CHANGE_HPRIV
8399 setx 0x8558f739cde381b2, %r1, %r28
8400 stxa %r28, [%g0] 0x72
8401 wrhpr %g0, 0x609, %hpstate ! ta T_CHANGE_NONHPRIV
8402 .word 0x25400001 ! 41: FBPLG fblg,a,pn %fcc0, <label_0x1>
8403 nop
8404 nop
8405 ta T_CHANGE_HPRIV ! macro
8406donret_8_21:
8407 rd %pc, %r12
8408 mov HIGHVA_HIGHNUM, %r10
8409 sllx %r10, 32, %r10
8410 or %r12, %r10, %r12
8411 add %r12, (donretarg_8_21-donret_8_21+4), %r12
8412 add %r12, 0x4, %r11 ! seq tnpc
8413 wrpr %g0, 0x2, %tl
8414 wrpr %g0, %r12, %tpc
8415 wrpr %g0, %r11, %tnpc
8416 set (0x00bbe400 | (0x4f << 24)), %r13
8417 and %r12, 0xfff, %r14
8418 sllx %r14, 32, %r14
8419 or %r13, %r14, %r20
8420 wrpr %r20, %g0, %tstate
8421 wrhpr %g0, 0x59b, %htstate
8422 best_set_reg(0x13b9, %g1, %g2)
8423 wrpr %g0, %g2, %pstate ! rand=0 (8)
8424 done
8425.align 512
8426donretarg_8_21:
8427 .word 0xe66fe061 ! 45: LDSTUB_I ldstub %r19, [%r31 + 0x0061]
8428 .word 0xe1bfdf00 ! 49: STDFA_R stda %f16, [%r0, %r31]
8429cancelint_8_26:
8430 rdhpr %halt, %r18
8431 .word 0x85880000 ! 53: ALLCLEAN <illegal instruction>
8432 .word 0x8d903511 ! 57: WRPR_PSTATE_I wrpr %r0, 0x1511, %pstate
8433 .word 0x9f80304f ! 61: SIR sir 0x104f
8434ibp_8_32:
8435 nop
8436 nop
8437 ta T_CHANGE_HPRIV
8438 mov 8, %r18
8439 rd %asi, %r12
8440 wr %r0, 0x41, %asi
8441 set sync_thr_counter4, %r23
8442#ifndef SPC
8443 ldxa [%g0]0x63, %r8
8444 and %r8, 0x38, %r8 ! Core ID
8445#ifndef PORTABLE_CORE
8446 add %r8, %r23, %r23
8447#endif
8448#else
8449 mov 0, %r8
8450#endif
8451 mov 0x8, %r16
8452ibp_startwait8_32:
8453 cas [%r23],%g0,%r16 !lock
8454 brz,a %r16, continue_ibp_8_32
8455 mov (~0x8&0xf), %r16
8456 ld [%r23], %r16
8457ibp_wait8_32:
8458 brnz %r16, ibp_wait8_32
8459 ld [%r23], %r16
8460 ba ibp_startwait8_32
8461 mov 0x8, %r16
8462continue_ibp_8_32:
8463 sllx %r16, %r8, %r16 !Mask for my core only
8464 ldxa [0x58]%asi, %r17 !Running_status
8465wait_for_stat_8_32:
8466 ldxa [0x50]%asi, %r13 !Running_rw
8467 cmp %r13, %r17
8468 bne,a %xcc, wait_for_stat_8_32
8469 ldxa [0x58]%asi, %r17 !Running_status
8470 stxa %r16, [0x68]%asi !Park (W1C)
8471 ldxa [0x50]%asi, %r14 !Running_rw
8472wait_for_ibp_8_32:
8473 ldxa [0x58]%asi, %r17 !Running_status
8474 cmp %r14, %r17
8475 bne,a %xcc, wait_for_ibp_8_32
8476 ldxa [0x50]%asi, %r14 !Running_rw
8477ibp_doit8_32:
8478 best_set_reg(0x00000069634818dc,%r19, %r20)
8479 stxa %r20, [%r18]0x42
8480 stxa %r16, [0x60] %asi !Unpark (W1S)
8481 st %g0, [%r23] !clear lock
8482 wr %r0, %r12, %asi !restore %asi
8483 .word 0x20800001 ! 65: BN bn,a <label_0x1>
8484br_longdelay3_8_35:
8485 nop
8486 not %g0, %r27
8487 jmpl %r27+0, %r27
8488 .word 0x80000001 ! 69: ILLTRAP add %r0, %r1, %r0
8489cancelint_8_38:
8490 rdhpr %halt, %r10
8491 .word 0x85880000 ! 73: ALLCLEAN <illegal instruction>
8492 nop
8493 nop
8494 ta T_CHANGE_HPRIV
8495 mov 0x1, %r11
8496splash_cmpr_8_40:
8497 sllx %r11, 63, %r11
8498 not %r11, %r11
8499 rd %tick, %r10
8500#if (defined SPC || defined CMP1)
8501 add %r10, 0x250, %r10
8502#else
8503 add %r10, 0xc00, %r10
8504#endif
8505 and %r10, %r11, %r10
8506 wrhpr %r10, %g0, %hsys_tick_cmpr
8507 wrhpr %g0, 0x0, %halt ! HALT
8508 rd %tick, %r10
8509#if (defined SPC || defined CMP1)
8510 add %r10, 0x250, %r10
8511#else
8512 add %r10, 0x700, %r10
8513#endif
8514 and %r10, %r11, %r10
8515 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
8516#if (defined SPC || defined CMP1)
8517!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_8_40)+8 , 16, 16)) -> intp(3,0,20,*,728,*,da,1)
8518!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_8_40)&0xffffffff)+8 , 16, 16)) -> intp(3,0,24,*,720,*,da,1)
8519#endif
8520 wrhpr %g0, 0x0, %halt ! HALT
8521 .word 0x81982587 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0587, %hpstate
8522 .word 0x81b01021 ! 77: SIAM siam 1
8523 nop
8524 nop
8525 set 0xc160eb68, %r28 !TTID : 3 (mask2tid(0x8))
8526#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8527 sethi %hi(0x3800), %r27
8528 andn %r28, %r27, %r28
8529#ifdef PORTABLE_CORE
8530 ! Add CID to vector
8531 ta T_CHANGE_HPRIV
8532 ldxa [%g0]0x63, %r27
8533 sllx %r27, 8, %r27
8534 or %r27, %r28, %r28
8535#endif
8536#else
8537 ! Add CID IF tid matches
8538ifelse(3,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
8539#endif
8540 sethi %hi(0x30000), %r27
8541 andn %r28, %r27, %r28
8542 ta T_CHANGE_HPRIV
8543ifelse(3,mask2tid(0x8),`.align 16')
8544 stxa %r28, [%g0] 0x73
8545intvec_8_43:
8546 .word 0x91b4c4c7 ! 81: FCMPNE32 fcmpne32 %d50, %d38, %r8
8547brcommon2_8_45:
8548 nop
8549 nop
8550 setx common_target, %r12, %r27
8551 ba,a .+12
8552 .word 0xd9114010 ! 1: LDQF_R - [%r5, %r16], %f12
8553 ba,a .+8
8554 jmpl %r27-4, %r27
8555 .word 0xc1bfdc00 ! 85: STDFA_R stda %f0, [%r0, %r31]
8556brcommon1_8_48:
8557 nop
8558 nop
8559 setx common_target, %r12, %r27
8560 lduw [%r27-4], %r12 ! Load common dest into dcache ..
8561 stuw %r12, [%r27-0] ! Load common dest into dcache ..
8562 ba,a .+12
8563 .word 0xda6fe090 ! 1: LDSTUB_I ldstub %r13, [%r31 + 0x0090]
8564 ba,a .+8
8565 jmpl %r27-0, %r27
8566 .word 0x95a4c9a5 ! 89: FDIVs fdivs %f19, %f5, %f10
8567 .word 0x9191c001 ! 93: WRPR_PIL_R wrpr %r7, %r1, %pil
8568 .word 0xd8bfe000 ! 97: STDA_I stda %r12, [%r31 + 0x0000] %asi
8569 nop
8570 nop
8571 ta T_CHANGE_HPRIV ! macro
8572donret_8_54:
8573 rd %pc, %r12
8574 mov HIGHVA_HIGHNUM, %r10
8575 sllx %r10, 32, %r10
8576 or %r12, %r10, %r12
8577 add %r12, (donretarg_8_54-donret_8_54), %r12
8578 add %r12, 0x4, %r11 ! seq tnpc
8579 wrpr %g0, 0x1, %tl
8580 wrpr %g0, %r12, %tpc
8581 wrpr %g0, %r11, %tnpc
8582 set (0x00c3c100 | (20 << 24)), %r13
8583 and %r12, 0xfff, %r14
8584 sllx %r14, 32, %r14
8585 or %r13, %r14, %r20
8586 wrpr %r20, %g0, %tstate
8587 wrhpr %g0, 0x84c, %htstate
8588 best_set_reg(0x2, %g1, %g2)
8589 wrpr %g0, %g2, %pstate ! rand=0 (8)
8590 ldx [%r12+%r0], %g1
8591 retry
8592donretarg_8_54:
8593 .word 0xd86fe13e ! 101: LDSTUB_I ldstub %r12, [%r31 + 0x013e]
8594splash_lsu_8_57:
8595 nop
8596 nop
8597 ta T_CHANGE_HPRIV
8598 set 0xbaed85ef, %r2
8599 mov 0x2, %r1
8600 sllx %r1, 32, %r1
8601 or %r1, %r2, %r2
8602 stxa %r2, [%r0] ASI_LSU_CONTROL
8603 .word 0x1d400001 ! 105: FBPULE fbule
8604 .word 0xe19fe180 ! 109: LDDFA_I ldda [%r31, 0x0180], %f16
8605 .word 0xe1bfe000 ! 113: STDFA_I stda %f16, [0x0000, %r31]
8606splash_lsu_8_62:
8607 nop
8608 nop
8609 ta T_CHANGE_HPRIV
8610 set 0xb95ea16d, %r2
8611 mov 0x4, %r1
8612 sllx %r1, 32, %r1
8613 or %r1, %r2, %r2
8614 stxa %r2, [%r0] ASI_LSU_CONTROL
8615 .word 0x3d400001 ! 117: FBPULE fbule,a,pn %fcc0, <label_0x1>
8616 bvs skip_8_64
8617 stxa %r12, [%r0] ASI_LSU_CONTROL
8618 fbl,a,pn %fcc0, skip_8_64
8619 stxa %r19, [%r0] ASI_LSU_CONTROL
8620.align 4096
8621skip_8_64:
8622 .word 0xd9e7c600 ! 121: CASA_I casa [%r31] 0x30, %r0, %r12
8623 .word 0xd89fe1a0 ! 125: LDDA_I ldda [%r31, + 0x01a0] %asi, %r12
8624demap_8_68:
8625 nop
8626 mov 0x80, %g3
8627 ta T_CHANGE_HPRIV
8628 .word 0x2e800001 ! 1: BVS bvs,a <label_0x1>
8629 stxa %g3, [%g3] 0x5f
8630 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8631 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8632 wrhpr %g0, 0xed2, %hpstate ! ta T_CHANGE_NONHPRIV
8633 .word 0xd81fe0ac ! 129: LDD_I ldd [%r31 + 0x00ac], %r12
8634splash_lsu_8_72:
8635 nop
8636 nop
8637 ta T_CHANGE_HPRIV
8638 set 0x34314ba9, %r2
8639 mov 0x2, %r1
8640 sllx %r1, 32, %r1
8641 or %r1, %r2, %r2
8642 .word 0x20800001 ! 1: BN bn,a <label_0x1>
8643 stxa %r2, [%r0] ASI_LSU_CONTROL
8644 .word 0x3d400002 ! 133: FBPULE fbule,a,pn %fcc0, <label_0x2>
8645 .word 0xd83fc000 ! 137: STD_R std %r12, [%r31 + %r0]
8646cancelint_8_76:
8647 rdhpr %halt, %r16
8648 .word 0x85880000 ! 141: ALLCLEAN <illegal instruction>
8649cancelint_8_78:
8650 rdhpr %halt, %r12
8651 .word 0x85880000 ! 145: ALLCLEAN <illegal instruction>
8652frzptr_8_82:
8653 nop
8654 nop
8655 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
8656 ldx [%r27+0xc], %r20
8657 jmpl %r27+4, %r27
8658 .word 0x91b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d8
8659 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
8660 jmpl %r27, %r27
8661 .word 0x00800002 ! 149: BN bn <label_0x2>
8662splash_lsu_8_85:
8663 nop
8664 nop
8665 ta T_CHANGE_HPRIV
8666 set 0xaaac513b, %r2
8667 mov 0x1, %r1
8668 sllx %r1, 32, %r1
8669 or %r1, %r2, %r2
8670 .word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
8671 stxa %r2, [%r0] ASI_LSU_CONTROL
8672 .word 0x3d400001 ! 153: FBPULE fbule,a,pn %fcc0, <label_0x1>
8673 .word 0x8980000a ! 157: WRTICK_R wr %r0, %r10, %tick
8674frzptr_8_91:
8675 nop
8676 nop
8677 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
8678 ldx [%r27+0xc], %r20
8679 jmpl %r27, %r27
8680 .word 0xc1bfdb40 ! 161: STDFA_R stda %f0, [%r0, %r31]
8681brcommon3_8_93:
8682 nop
8683 nop
8684 setx common_target, %r12, %r27
8685 lduw [%r27-4], %r12 ! Load common dest into dcache ..
8686 stuw %r12, [%r27-4] ! Load common dest into dcache ..
8687 ba,a .+12
8688 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
8689 ba,a .+8
8690 jmpl %r27+0, %r27
8691 .word 0x8d90241f ! 165: WRPR_PSTATE_I wrpr %r0, 0x041f, %pstate
8692 .word 0x91950009 ! 169: WRPR_PIL_R wrpr %r20, %r9, %pil
8693splash_lsu_8_97:
8694 nop
8695 nop
8696 ta T_CHANGE_HPRIV
8697 set 0x8cace96d, %r2
8698 mov 0x7, %r1
8699 sllx %r1, 32, %r1
8700 or %r1, %r2, %r2
8701 .word 0x01400001 ! 1: FBPN fbn
8702 stxa %r2, [%r0] ASI_LSU_CONTROL
8703 .word 0x3d400002 ! 173: FBPULE fbule,a,pn %fcc0, <label_0x2>
8704 .word 0xd047e188 ! 177: LDSW_I ldsw [%r31 + 0x0188], %r8
8705 .word 0xe1bfdb40 ! 181: STDFA_R stda %f16, [%r0, %r31]
8706brcommon3_8_100:
8707 nop
8708 nop
8709 setx common_target, %r12, %r27
8710 lduw [%r27-4], %r12 ! Load common dest into dcache ..
8711 stuw %r12, [%r27-4] ! Load common dest into dcache ..
8712 ba,a .+12
8713 .word 0xd137e060 ! 1: STQF_I - %f8, [0x0060, %r31]
8714 ba,a .+8
8715 jmpl %r27+0, %r27
8716 .word 0x00800001 ! 185: BN bn <label_0x1>
8717 .word 0xc32fe0d0 ! 189: STXFSR_I st-sfr %f1, [0x00d0, %r31]
8718jmptr_8_104:
8719 nop
8720 nop
8721 best_set_reg(0xe1a00000, %r20, %r27)
8722 .word 0xb7c6c000 ! 193: JMPL_R jmpl %r27 + %r0, %r27
8723intveclr_8_106:
8724 nop
8725 nop
8726 ta T_CHANGE_HPRIV
8727 setx 0x02f592c44fcc0090, %r1, %r28
8728 stxa %r28, [%g0] 0x72
8729 wrhpr %g0, 0x4c0, %hpstate ! ta T_CHANGE_NONHPRIV
8730 .word 0x25400001 ! 197: FBPLG fblg,a,pn %fcc0, <label_0x1>
8731 fbne skip_8_108
8732 fbge,a,pn %fcc0, skip_8_108
8733.align 2048
8734skip_8_108:
8735 .word 0x87ab4a50 ! 201: FCMPd fcmpd %fcc<n>, %f44, %f16
8736 .word 0xe88008a0 ! 205: LDUWA_R lduwa [%r0, %r0] 0x45, %r20
8737 nop
8738 nop
8739 ta T_CHANGE_HPRIV ! macro
8740donret_8_111:
8741 rd %pc, %r12
8742 mov HIGHVA_HIGHNUM, %r10
8743 sllx %r10, 32, %r10
8744 or %r12, %r10, %r12
8745 add %r12, (donretarg_8_111-donret_8_111+4), %r12
8746 add %r12, 0x4, %r11 ! seq tnpc
8747 andn %r11, %r10, %r11 ! low VA tnpc
8748 wrpr %g0, 0x1, %tl
8749 wrpr %g0, %r12, %tpc
8750 wrpr %g0, %r11, %tnpc
8751 set (0x00660900 | (57 << 24)), %r13
8752 and %r12, 0xfff, %r14
8753 sllx %r14, 32, %r14
8754 or %r13, %r14, %r20
8755 wrpr %r20, %g0, %tstate
8756 wrhpr %g0, 0x7cf, %htstate
8757 best_set_reg(0x1b52, %g1, %g2)
8758 wrpr %g0, %g2, %pstate ! rand=0 (8)
8759 ldx [%r11+%r0], %g1
8760 done
8761donretarg_8_111:
8762 .word 0x8d902edd ! 209: WRPR_PSTATE_I wrpr %r0, 0x0edd, %pstate
8763 .word 0x9f802de9 ! 213: SIR sir 0x0de9
8764intveclr_8_115:
8765 nop
8766 nop
8767 ta T_CHANGE_HPRIV
8768 setx 0xa421edf208ff206d, %r1, %r28
8769 stxa %r28, [%g0] 0x72
8770 wrhpr %g0, 0x1b, %hpstate ! ta T_CHANGE_NONHPRIV
8771 .word 0x25400001 ! 217: FBPLG fblg,a,pn %fcc0, <label_0x1>
8772 .word 0xe927e044 ! 221: STF_I st %f20, [0x0044, %r31]
8773 .word 0x91950010 ! 225: WRPR_PIL_R wrpr %r20, %r16, %pil
8774splash_tba_8_121:
8775 nop
8776 ta T_CHANGE_PRIV
8777 setx 0x00000004003a0000, %r11, %r12
8778 .word 0x8b90000c ! 229: WRPR_TBA_R wrpr %r0, %r12, %tba
8779 .word 0xe937c000 ! 233: STQF_R - %f20, [%r0, %r31]
8780memptr_8_123:
8781 set user_data_start, %r31
8782 .word 0x8584f7ed ! 237: WRCCR_I wr %r19, 0x17ed, %ccr
8783br_badelay2_8_124:
8784 .word 0x02800001 ! 1: BE be <label_0x1>
8785 pdist %f12, %f2, %f20
8786 .word 0x93b50311 ! 241: ALIGNADDRESS alignaddr %r20, %r17, %r9
8787 .word 0xd0dfc380 ! 245: LDXA_R ldxa [%r31, %r0] 0x1c, %r8
8788brcommon3_8_127:
8789 nop
8790 nop
8791 setx common_target, %r12, %r27
8792 lduw [%r27-0], %r12 ! Load common dest into dcache ..
8793 stuw %r12, [%r27-0] ! Load common dest into dcache ..
8794 ba,a .+12
8795 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
8796 ba,a .+8
8797 jmpl %r27+0, %r27
8798 .word 0x81982512 ! 249: WRHPR_HPSTATE_I wrhpr %r0, 0x0512, %hpstate
8799memptr_8_129:
8800 set user_data_start, %r31
8801 .word 0x85813041 ! 253: WRCCR_I wr %r4, 0x1041, %ccr
8802splash_lsu_8_132:
8803 nop
8804 nop
8805 ta T_CHANGE_HPRIV
8806 set 0xef9dbdfe, %r2
8807 mov 0x6, %r1
8808 sllx %r1, 32, %r1
8809 or %r1, %r2, %r2
8810 .word 0x2ecc8002 ! 1: BRGEZ brgez,a,pt %r18,<label_0xc8002>
8811 stxa %r2, [%r0] ASI_LSU_CONTROL
8812 ta T_CHANGE_NONHPRIV
8813 .word 0x3d400001 ! 257: FBPULE fbule,a,pn %fcc0, <label_0x1>
8814 bleu,a skip_8_136
8815 stxa %r11, [%r0] ASI_LSU_CONTROL
8816 bleu skip_8_136
8817 stxa %r18, [%r0] ASI_LSU_CONTROL
8818.align 512
8819skip_8_136:
8820 .word 0xc32fc000 ! 261: STXFSR_R st-sfr %f1, [%r0, %r31]
8821frzptr_8_139:
8822 nop
8823 nop
8824 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
8825 ldx [%r27+0xc], %r20
8826 jmpl %r27, %r27
8827 .word 0x20800001 ! 265: BN bn,a <label_0x1>
8828 .word 0xc19fdc40 ! 269: LDDFA_R ldda [%r31, %r0], %f0
8829 .word 0x91918013 ! 273: WRPR_PIL_R wrpr %r6, %r19, %pil
8830 brgez,pt %r12, skip_8_145
8831 stxa %r9, [%r0] ASI_LSU_CONTROL
8832 .word 0x9ba4c9c9 ! 1: FDIVd fdivd %f50, %f40, %f44
8833 stxa %r8, [%r0] ASI_LSU_CONTROL
8834.align 1024
8835skip_8_145:
8836 .word 0xd1e7c600 ! 277: CASA_I casa [%r31] 0x30, %r0, %r8
8837brcommon3_8_147:
8838 nop
8839 nop
8840 setx common_target, %r12, %r27
8841 lduw [%r27], %r12 ! Load common dest into dcache ..
8842 stuw %r12, [%r27] ! Load common dest into dcache ..
8843 ba,a .+12
8844 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
8845 ba,a .+8
8846 jmpl %r27+0, %r27
8847 stxa %r9, [%r0] ASI_LSU_CONTROL
8848 .word 0xa9aac82b ! 281: FMOVGE fmovs %fcc1, %f11, %f20
8849br_badelay2_8_151:
8850 .word 0x34800001 ! 1: BG bg,a <label_0x1>
8851 pdist %f12, %f28, %f28
8852 .word 0xa7b50305 ! 285: ALIGNADDRESS alignaddr %r20, %r5, %r19
8853 .word 0xc32fe0a0 ! 289: STXFSR_I st-sfr %f1, [0x00a0, %r31]
8854 .word 0xd497d040 ! 293: LDUHA_R lduha [%r31, %r0] 0x82, %r10
8855 .word 0xc19fe000 ! 297: LDDFA_I ldda [%r31, 0x0000], %f0
8856 .word 0xd41fe040 ! 301: LDD_I ldd [%r31 + 0x0040], %r10
8857 .word 0xe19fe040 ! 305: LDDFA_I ldda [%r31, 0x0040], %f16
8858 nop
8859 nop
8860 ta T_CHANGE_HPRIV ! macro
8861donret_8_161:
8862 rd %pc, %r12
8863 mov HIGHVA_HIGHNUM, %r10
8864 sllx %r10, 32, %r10
8865 or %r12, %r10, %r12
8866 add %r12, (donretarg_8_161-donret_8_161+4), %r12
8867 add %r12, 0x4, %r11 ! seq tnpc
8868 wrpr %g0, 0x2, %tl
8869 wrpr %g0, %r12, %tpc
8870 wrpr %g0, %r11, %tnpc
8871 set (0x00f3dc00 | (0x8b << 24)), %r13
8872 and %r12, 0xfff, %r14
8873 sllx %r14, 32, %r14
8874 or %r13, %r14, %r20
8875 wrpr %r20, %g0, %tstate
8876 wrhpr %g0, 0xac5, %htstate
8877 wrhpr %g0, 0xe99, %hpstate ! rand=1 (8)
8878 done
8879.align 512
8880donretarg_8_161:
8881 .word 0xd4ffc600 ! 309: SWAPA_R swapa %r10, [%r31 + %r0] 0x30
8882 .word 0xa1454000 ! 313: RD_CLEAR_SOFTINT rd %clear_softint, %r16
8883 .word 0x91904007 ! 317: WRPR_PIL_R wrpr %r1, %r7, %pil
8884br_longdelay3_8_166:
8885 nop
8886 not %g0, %r27
8887 jmpl %r27+0, %r27
8888 .word 0x8d902744 ! 321: WRPR_PSTATE_I wrpr %r0, 0x0744, %pstate
8889 .word 0xc1bfe120 ! 325: STDFA_I stda %f0, [0x0120, %r31]
8890cancelint_8_171:
8891 rdhpr %halt, %r10
8892 .word 0x85880000 ! 329: ALLCLEAN <illegal instruction>
8893 .word 0xe1bfe020 ! 333: STDFA_I stda %f16, [0x0020, %r31]
8894 bleu,a skip_8_175
8895 bn skip_8_175
8896.align 2048
8897skip_8_175:
8898 .word 0x04cc4001 ! 337: BRLEZ brlez,pt %r17,<label_0xc4001>
8899frzptr_8_177:
8900 nop
8901 nop
8902 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
8903 jmpl %r27+4, %r27
8904 .word 0xa3a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f48
8905 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
8906 jmpl %r27, %r27
8907 .word 0x00800001 ! 341: BN bn <label_0x1>
8908demap_8_180:
8909 nop
8910 mov 0x80, %g3
8911 ta T_CHANGE_HPRIV
8912 .word 0x04ccc001 ! 1: BRLEZ brlez,pt %r19,<label_0xcc001>
8913 stxa %g3, [%g3] 0x5f
8914 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8915 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8916 stxa %g3, [%g3] 0x5f
8917 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8918 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8919 stxa %g3, [%g3] 0x57
8920 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8921 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8922 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8923 stxa %g3, [%g3] 0x57
8924 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8925 wrhpr %g0, 0x6c0, %hpstate ! ta T_CHANGE_NONHPRIV
8926 .word 0xe21fe060 ! 345: LDD_I ldd [%r31 + 0x0060], %r17
8927 .word 0x22c90002 ! 1: BRZ brz,a,pt %r4,<label_0x90002>
8928 .word 0x8d903ad9 ! 349: WRPR_PSTATE_I wrpr %r0, 0x1ad9, %pstate
8929 brlz,pn %r5, skip_8_184
8930 stxa %r6, [%r0] ASI_LSU_CONTROL
8931 fbuge skip_8_184
8932 stxa %r12, [%r0] ASI_LSU_CONTROL
8933.align 128
8934skip_8_184:
8935 .word 0xe3e7c600 ! 353: CASA_I casa [%r31] 0x30, %r0, %r17
8936splash_tba_8_187:
8937 nop
8938 ta T_CHANGE_PRIV
8939 set 0x120000, %r12
8940 .word 0x8b90000c ! 357: WRPR_TBA_R wrpr %r0, %r12, %tba
8941splash_lsu_8_189:
8942 nop
8943 nop
8944 ta T_CHANGE_HPRIV
8945 set 0xcd2945d0, %r2
8946 mov 0x5, %r1
8947 sllx %r1, 32, %r1
8948 or %r1, %r2, %r2
8949 .word 0x17400001 ! 1: FBPGE fbge
8950 stxa %r2, [%r0] ASI_LSU_CONTROL
8951 ta T_CHANGE_NONHPRIV
8952 .word 0x1d400001 ! 361: FBPULE fbule
8953 fbge skip_8_192
8954 .word 0x95b444d4 ! 1: FCMPNE32 fcmpne32 %d48, %d20, %r10
8955.align 4096
8956skip_8_192:
8957 .word 0x04cc4001 ! 365: BRLEZ brlez,pt %r17,<label_0xc4001>
8958cancelint_8_194:
8959 rdhpr %halt, %r20
8960 .word 0x85880000 ! 369: ALLCLEAN <illegal instruction>
8961br_badelay3_8_195:
8962 .word 0xa9a049d0 ! 1: FDIVd fdivd %f32, %f16, %f20
8963 .word 0x20800001 ! 1: BN bn,a <label_0x1>
8964 .word 0x99a449d0 ! 1: FDIVd fdivd %f48, %f16, %f12
8965 .word 0x97a44830 ! 373: FADDs fadds %f17, %f16, %f11
8966#if (defined SPC || defined CMP1)
8967!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_198) , 16, 16)) -> intp(7,0,15,*,680,*,2c,1)
8968!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_198)&0xffffffff) , 16, 16)) -> intp(3,0,31,*,896,*,2c,1)
8969#else
8970 nop
8971 nop
8972 set 0xb17058a3, %r28 !TTID : 0 (mask2tid(0x8))
8973#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8974 sethi %hi(0x3800), %r27
8975 andn %r28, %r27, %r28
8976#ifdef PORTABLE_CORE
8977 ! Add CID to vector
8978 ta T_CHANGE_HPRIV
8979 ldxa [%g0]0x63, %r27
8980 sllx %r27, 8, %r27
8981 or %r27, %r28, %r28
8982#endif
8983#else
8984 ! Add CID IF tid matches
8985ifelse(0,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
8986#endif
8987ifelse(0,mask2tid(0x8),`.align 16')
8988 stxa %r28, [%g0] 0x73
8989#endif
8990intvec_8_198:
8991 .word 0x19400001 ! 377: FBPUGE fbuge
8992 fbuge skip_8_199
8993 .word 0x87ac8a4a ! 1: FCMPd fcmpd %fcc<n>, %f18, %f10
8994.align 2048
8995skip_8_199:
8996 .word 0xa1b4c4d4 ! 381: FCMPNE32 fcmpne32 %d50, %d20, %r16
8997 brz,pn %r20, skip_8_200
8998 bne,a skip_8_200
8999.align 128
9000skip_8_200:
9001 .word 0x87ab0a48 ! 385: FCMPd fcmpd %fcc<n>, %f12, %f8
9002brcommon3_8_203:
9003 nop
9004 nop
9005 setx common_target, %r12, %r27
9006 lduw [%r27-4], %r12 ! Load common dest into dcache ..
9007 stuw %r12, [%r27-4] ! Load common dest into dcache ..
9008 ba,a .+12
9009 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
9010 ba,a .+8
9011 jmpl %r27+0, %r27
9012 .word 0x819832c4 ! 389: WRHPR_HPSTATE_I wrhpr %r0, 0x12c4, %hpstate
9013 .word 0xe937e1b0 ! 393: STQF_I - %f20, [0x01b0, %r31]
9014br_longdelay3_8_207:
9015 nop
9016 not %g0, %r27
9017 jmpl %r27+0, %r27
9018 .word 0x80000001 ! 397: ILLTRAP add %r0, %r1, %r0
9019jmptr_8_209:
9020 nop
9021 nop
9022 best_set_reg(0xe0a00000, %r20, %r27)
9023 .word 0xb7c6c000 ! 401: JMPL_R jmpl %r27 + %r0, %r27
9024intveclr_8_213:
9025 nop
9026 nop
9027 ta T_CHANGE_HPRIV
9028 setx 0x789e582e14a9c879, %r1, %r28
9029 stxa %r28, [%g0] 0x72
9030 .word 0x25400001 ! 405: FBPLG fblg,a,pn %fcc0, <label_0x1>
9031 fbug,a,pn %fcc0, skip_8_216
9032 fbne skip_8_216
9033.align 1024
9034skip_8_216:
9035 .word 0x97a089c6 ! 409: FDIVd fdivd %f2, %f6, %f42
9036frzptr_8_219:
9037 nop
9038 nop
9039 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
9040 ldx [%r27+0xc], %r20
9041 jmpl %r27, %r27
9042 .word 0x20800001 ! 413: BN bn,a <label_0x1>
9043 fbne,a,pn %fcc0, skip_8_221
9044 .word 0xa3a409ca ! 1: FDIVd fdivd %f16, %f10, %f48
9045.align 512
9046skip_8_221:
9047 .word 0xa1b104c3 ! 417: FCMPNE32 fcmpne32 %d4, %d34, %r16
9048#if (defined SPC || defined CMP1)
9049!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_224) , 16, 16)) -> intp(2,0,30,*,736,*,d9,1)
9050!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_224)&0xffffffff) , 16, 16)) -> intp(3,0,26,*,896,*,d9,1)
9051#else
9052 nop
9053 nop
9054 set 0xbec0d308, %r28 !TTID : 3 (mask2tid(0x8))
9055#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9056 sethi %hi(0x3800), %r27
9057 andn %r28, %r27, %r28
9058#ifdef PORTABLE_CORE
9059 ! Add CID to vector
9060 ta T_CHANGE_HPRIV
9061 ldxa [%g0]0x63, %r27
9062 sllx %r27, 8, %r27
9063 or %r27, %r28, %r28
9064#endif
9065#else
9066 ! Add CID IF tid matches
9067ifelse(3,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9068#endif
9069ifelse(3,mask2tid(0x8),`.align 16')
9070 stxa %r28, [%g0] 0x73
9071#endif
9072intvec_8_224:
9073 .word 0x39400001 ! 421: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
9074br_longdelay2_8_226:
9075 .word 0x04c90001 ! 1: BRLEZ brlez,pt %r4,<label_0x90001>
9076 .word 0xf16fe030 ! 425: PREFETCH_I prefetch [%r31 + 0x0030], #24
9077 brlz,a,pn %r2, skip_8_229
9078 fbue skip_8_229
9079.align 128
9080skip_8_229:
9081 .word 0xa7a409d3 ! 429: FDIVd fdivd %f16, %f50, %f50
9082 .word 0xd69fc3c0 ! 433: LDDA_R ldda [%r31, %r0] 0x1e, %r11
9083 nop
9084 nop
9085 set 0xa250bf95, %r28 !TTID : 7 (mask2tid(0x8))
9086#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9087 sethi %hi(0x3800), %r27
9088 andn %r28, %r27, %r28
9089#ifdef PORTABLE_CORE
9090 ! Add CID to vector
9091 ta T_CHANGE_HPRIV
9092 ldxa [%g0]0x63, %r27
9093 sllx %r27, 8, %r27
9094 or %r27, %r28, %r28
9095#endif
9096#else
9097 ! Add CID IF tid matches
9098ifelse(7,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9099#endif
9100 sethi %hi(0x30000), %r27
9101 andn %r28, %r27, %r28
9102 ta T_CHANGE_HPRIV
9103ifelse(7,mask2tid(0x8),`.align 16')
9104 stxa %r28, [%g0] 0x73
9105intvec_8_233:
9106 .word 0x39400002 ! 437: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
9107 .word 0x91914014 ! 441: WRPR_PIL_R wrpr %r5, %r20, %pil
9108jmptr_8_238:
9109 nop
9110 nop
9111 best_set_reg(0xe1a00000, %r20, %r27)
9112 .word 0xb7c6c000 ! 445: JMPL_R jmpl %r27 + %r0, %r27
9113 .word 0xd49fc540 ! 449: LDDA_R ldda [%r31, %r0] 0x2a, %r10
9114 .word 0x3e800001 ! 1: BVC bvc,a <label_0x1>
9115br_longdelay5_8_242:
9116#if (defined SPC || defined CMP1)
9117!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_8_242)&0xffffffff) , 16, 16)) -> intp(mask2tid(0x8),0,0,*,640,*,ffffffffffffffff,1)
9118!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_8_242)) , 16, 16)) -> intp(mask2tid(0x8),0,0,*,952,*,ffffffffffffffff,1)
9119 wrhpr %g0, 0x0, %halt ! HALT
9120#endif
9121 .word 0x19400002 ! 453: FBPUGE fbuge
9122 nop
9123 nop
9124 set 0x62b04352, %r28 !TTID : 3 (mask2tid(0x8))
9125#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9126 sethi %hi(0x3800), %r27
9127 andn %r28, %r27, %r28
9128#ifdef PORTABLE_CORE
9129 ! Add CID to vector
9130 ta T_CHANGE_HPRIV
9131 ldxa [%g0]0x63, %r27
9132 sllx %r27, 8, %r27
9133 or %r27, %r28, %r28
9134#endif
9135#else
9136 ! Add CID IF tid matches
9137ifelse(3,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9138#endif
9139 sethi %hi(0x30000), %r27
9140 andn %r28, %r27, %r28
9141 ta T_CHANGE_HPRIV
9142ifelse(3,mask2tid(0x8),`.align 16')
9143 stxa %r28, [%g0] 0x73
9144intvec_8_243:
9145 .word 0x19400001 ! 457: FBPUGE fbuge
9146brcommon2_8_246:
9147 nop
9148 nop
9149 setx common_target, %r12, %r27
9150 ba,a .+12
9151 .word 0xd9118001 ! 1: LDQF_R - [%r6, %r1], %f12
9152 ba,a .+8
9153 jmpl %r27-0, %r27
9154 .word 0xe19fdd40 ! 461: LDDFA_R ldda [%r31, %r0], %f16
9155demap_8_248:
9156 nop
9157 mov 0x80, %g3
9158 ta T_CHANGE_HPRIV
9159 .word 0x2b400001 ! 1: FBPUG fbug,a,pn %fcc0, <label_0x1>
9160 stxa %g3, [%g3] 0x57
9161 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9162 stxa %g3, [%g3] 0x5f
9163 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9164 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9165 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9166 stxa %g3, [%g3] 0x5f
9167 stxa %g3, [%g3] 0x5f
9168 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9169 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9170 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9171 stxa %g3, [%g3] 0x5f
9172 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9173 stxa %g3, [%g3] 0x5f
9174 stxa %g3, [%g3] 0x57
9175 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9176 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9177 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9178 wrhpr %g0, 0x6c3, %hpstate ! ta T_CHANGE_NONHPRIV
9179 .word 0xe01fe064 ! 465: LDD_I ldd [%r31 + 0x0064], %r16
9180 .word 0xc19fc3e0 ! 469: LDDFA_R ldda [%r31, %r0], %f0
9181splash_lsu_8_254:
9182 nop
9183 nop
9184 ta T_CHANGE_HPRIV
9185 set 0xda6e6a59, %r2
9186 mov 0x7, %r1
9187 sllx %r1, 32, %r1
9188 or %r1, %r2, %r2
9189 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
9190 stxa %r2, [%r0] ASI_LSU_CONTROL
9191 .word 0x1d400002 ! 473: FBPULE fbule
9192 .word 0xe09fe1d0 ! 477: LDDA_I ldda [%r31, + 0x01d0] %asi, %r16
9193 .word 0x9191c012 ! 481: WRPR_PIL_R wrpr %r7, %r18, %pil
9194 .word 0x8980000a ! 485: WRTICK_R wr %r0, %r10, %tick
9195mondo_8_262:
9196 nop
9197 nop
9198 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
9199 stxa %r18, [%r0+0x3d8] %asi
9200 stxa %r8, [%r0+0x3e0] %asi
9201 .word 0x87802083 ! 1: WRASI_I wr %r0, 0x0083, %asi
9202 .word 0x9d950012 ! 489: WRPR_WSTATE_R wrpr %r20, %r18, %wstate
9203#if (defined SPC || defined CMP1)
9204!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_266) , 16, 16)) -> intp(7,0,9,*,920,*,99,1)
9205!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_266)&0xffffffff) , 16, 16)) -> intp(5,0,27,*,712,*,99,1)
9206#else
9207 nop
9208 nop
9209 set 0x2c0040b0, %r28 !TTID : 0 (mask2tid(0x8))
9210#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9211 sethi %hi(0x3800), %r27
9212 andn %r28, %r27, %r28
9213#ifdef PORTABLE_CORE
9214 ! Add CID to vector
9215 ta T_CHANGE_HPRIV
9216 ldxa [%g0]0x63, %r27
9217 sllx %r27, 8, %r27
9218 or %r27, %r28, %r28
9219#endif
9220#else
9221 ! Add CID IF tid matches
9222ifelse(0,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9223#endif
9224ifelse(0,mask2tid(0x8),`.align 16')
9225 stxa %r28, [%g0] 0x73
9226#endif
9227intvec_8_266:
9228 .word 0x19400001 ! 493: FBPUGE fbuge
9229trapasi_8_270:
9230 nop
9231 mov 0x38, %r1 ! (VA for ASI 0x5a)
9232 .word 0xd4d84b40 ! 497: LDXA_R ldxa [%r1, %r0] 0x5a, %r10
9233splash_hpstate_8_272:
9234 .word 0x06ca0002 ! 1: BRLZ brlz,pt %r8,<label_0xa0002>
9235 .word 0x819822ce ! 501: WRHPR_HPSTATE_I wrhpr %r0, 0x02ce, %hpstate
9236 .word 0xd41fe040 ! 505: LDD_I ldd [%r31 + 0x0040], %r10
9237memptr_8_278:
9238 set 0x60340000, %r31
9239 .word 0x85833e90 ! 509: WRCCR_I wr %r12, 0x1e90, %ccr
9240jmptr_8_281:
9241 nop
9242 nop
9243 best_set_reg(0xe1a00000, %r20, %r27)
9244 .word 0xb7c6c000 ! 513: JMPL_R jmpl %r27 + %r0, %r27
9245 .word 0x8f902001 ! 1: WRPR_TL_I wrpr %r0, 0x0001, %tl
9246reduce_priv_lvl_8_283:
9247 ta T_CHANGE_NONHPRIV ! macro
9248 bl,a skip_8_284
9249 stxa %r13, [%r0] ASI_LSU_CONTROL
9250 .word 0x87a90a51 ! 1: FCMPd fcmpd %fcc<n>, %f4, %f48
9251 stxa %r13, [%r0] ASI_LSU_CONTROL
9252.align 2048
9253skip_8_284:
9254 .word 0xc30fc000 ! 521: LDXFSR_R ld-fsr [%r31, %r0], %f1
9255memptr_8_288:
9256 set user_data_start, %r31
9257 .word 0x8584e380 ! 525: WRCCR_I wr %r19, 0x0380, %ccr
9258 .word 0xd537c000 ! 529: STQF_R - %f10, [%r0, %r31]
9259 .word 0x34780001 ! 533: BPG <illegal instruction>
9260splash_hpstate_8_291:
9261 .word 0x0a800002 ! 1: BCS bcs <label_0x2>
9262 .word 0x81982e96 ! 537: WRHPR_HPSTATE_I wrhpr %r0, 0x0e96, %hpstate
9263memptr_8_293:
9264 set 0x60340000, %r31
9265 .word 0x858535dd ! 541: WRCCR_I wr %r20, 0x15dd, %ccr
9266 .word 0x91948001 ! 545: WRPR_PIL_R wrpr %r18, %r1, %pil
9267 .word 0xc19fdc40 ! 549: LDDFA_R ldda [%r31, %r0], %f0
9268brcommon2_8_299:
9269 nop
9270 nop
9271 setx common_target, %r12, %r27
9272 ba,a .+12
9273 .word 0xe914c00c ! 1: LDQF_R - [%r19, %r12], %f20
9274 ba,a .+8
9275 jmpl %r27-4, %r27
9276 .word 0x20800001 ! 553: BN bn,a <label_0x1>
9277frzptr_8_301:
9278 nop
9279 nop
9280 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
9281 jmpl %r27, %r27
9282 .word 0x20800002 ! 557: BN bn,a <label_0x2>
9283 nop
9284 nop
9285 set 0x1a70a1bd, %r28 !TTID : 1 (mask2tid(0x8))
9286#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9287 sethi %hi(0x3800), %r27
9288 andn %r28, %r27, %r28
9289#ifdef PORTABLE_CORE
9290 ! Add CID to vector
9291 ta T_CHANGE_HPRIV
9292 ldxa [%g0]0x63, %r27
9293 sllx %r27, 8, %r27
9294 or %r27, %r28, %r28
9295#endif
9296#else
9297 ! Add CID IF tid matches
9298ifelse(1,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9299#endif
9300 sethi %hi(0x30000), %r27
9301 andn %r28, %r27, %r28
9302 ta T_CHANGE_HPRIV
9303ifelse(1,mask2tid(0x8),`.align 16')
9304 stxa %r28, [%g0] 0x73
9305intvec_8_303:
9306 .word 0x19400001 ! 561: FBPUGE fbuge
9307 .word 0xc19fe060 ! 565: LDDFA_I ldda [%r31, 0x0060], %f0
9308splash_hpstate_8_307:
9309 .word 0x81982c85 ! 569: WRHPR_HPSTATE_I wrhpr %r0, 0x0c85, %hpstate
9310demap_8_309:
9311 nop
9312 mov 0x80, %g3
9313 ta T_CHANGE_HPRIV
9314 stxa %r15, [%r0] ASI_LSU_CONTROL
9315 stxa %g3, [%g3] 0x57
9316 stxa %g3, [%g3] 0x5f
9317 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9318 stxa %g3, [%g3] 0x57
9319 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9320 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9321 stxa %g3, [%g3] 0x5f
9322 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9323 stxa %g3, [%g3] 0x57
9324 stxa %g3, [%g3] 0x57
9325 stxa %g3, [%g3] 0x57
9326 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9327 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9328 wrhpr %g0, 0xe59, %hpstate ! ta T_CHANGE_NONHPRIV
9329 .word 0xd21fe128 ! 573: LDD_I ldd [%r31 + 0x0128], %r9
9330 .word 0x24780001 ! 577: BPLE <illegal instruction>
9331splash_lsu_8_313:
9332 nop
9333 nop
9334 ta T_CHANGE_HPRIV
9335 set 0xe173fa21, %r2
9336 mov 0x4, %r1
9337 sllx %r1, 32, %r1
9338 or %r1, %r2, %r2
9339 .word 0x24800001 ! 1: BLE ble,a <label_0x1>
9340 stxa %r2, [%r0] ASI_LSU_CONTROL
9341 ta T_CHANGE_NONHPRIV
9342 .word 0x1d400001 ! 581: FBPULE fbule
9343demap_8_317:
9344 nop
9345 mov 0x80, %g3
9346 ta T_CHANGE_HPRIV
9347 stxa %r12, [%r0] ASI_LSU_CONTROL
9348 stxa %g3, [%g3] 0x5f
9349 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9350 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9351 stxa %g3, [%g3] 0x57
9352 wrhpr %g0, 0x313, %hpstate ! ta T_CHANGE_NONHPRIV
9353 .word 0xd21fe018 ! 585: LDD_I ldd [%r31 + 0x0018], %r9
9354br_badelay1_8_318:
9355 .word 0x0ecc4001 ! 1: BRGEZ brgez,pt %r17,<label_0xc4001>
9356 .word 0xd337c000 ! 1: STQF_R - %f9, [%r0, %r31]
9357 .word 0xd3e7c080 ! 1: CASA_I casa [%r31] 0x 4, %r0, %r9
9358 normalw
9359 .word 0x91458000 ! 589: RD_SOFTINT_REG rd %softint, %r8
9360cancelint_8_322:
9361 rdhpr %halt, %r10
9362 .word 0x85880000 ! 593: ALLCLEAN <illegal instruction>
9363brcommon1_8_324:
9364 nop
9365 nop
9366 setx common_target, %r12, %r27
9367 lduw [%r27-4], %r12 ! Load common dest into dcache ..
9368 stuw %r12, [%r27-4] ! Load common dest into dcache ..
9369 ba,a .+12
9370 .word 0xc32fe170 ! 1: STXFSR_I st-sfr %f1, [0x0170, %r31]
9371 ba,a .+8
9372 jmpl %r27-0, %r27
9373 .word 0xa1b0c7d2 ! 597: PDIST pdistn %d34, %d18, %d16
9374 .word 0x9191c007 ! 601: WRPR_PIL_R wrpr %r7, %r7, %pil
9375 .word 0xda8fd000 ! 605: LDUBA_R lduba [%r31, %r0] 0x80, %r13
9376 .word 0xe19fdc40 ! 609: LDDFA_R ldda [%r31, %r0], %f16
9377#if (defined SPC || defined CMP1)
9378!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_330) , 16, 16)) -> intp(5,0,25,*,672,*,cd,1)
9379!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_330)&0xffffffff) , 16, 16)) -> intp(4,0,18,*,1000,*,cd,1)
9380#else
9381 nop
9382 nop
9383 set 0x83305d4a, %r28 !TTID : 5 (mask2tid(0x8))
9384#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9385 sethi %hi(0x3800), %r27
9386 andn %r28, %r27, %r28
9387#ifdef PORTABLE_CORE
9388 ! Add CID to vector
9389 ta T_CHANGE_HPRIV
9390 ldxa [%g0]0x63, %r27
9391 sllx %r27, 8, %r27
9392 or %r27, %r28, %r28
9393#endif
9394#else
9395 ! Add CID IF tid matches
9396ifelse(5,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9397#endif
9398ifelse(5,mask2tid(0x8),`.align 16')
9399 stxa %r28, [%g0] 0x73
9400#endif
9401intvec_8_330:
9402#if (defined SPC || defined CMP1)
9403 wrhpr %g0, 0x0, %halt ! HALT
9404#else
9405ifelse(5,mask2tid(0x8),`wrhpr %g0, 0x0, %halt ! HALT')
9406#endif
9407 .word 0xa5b044d3 ! 613: FCMPNE32 fcmpne32 %d32, %d50, %r18
9408 fbue,a,pn %fcc0, skip_8_332
9409 brlez,pn %r6, skip_8_332
9410.align 2048
9411skip_8_332:
9412 .word 0x19400001 ! 617: FBPUGE fbuge
9413 .word 0xc19fdc00 ! 621: LDDFA_R ldda [%r31, %r0], %f0
9414br_longdelay3_8_336:
9415 nop
9416 not %g0, %r27
9417 jmpl %r27+0, %r27
9418 .word 0x81982edd ! 625: WRHPR_HPSTATE_I wrhpr %r0, 0x0edd, %hpstate
9419brcommon3_8_339:
9420 nop
9421 nop
9422 setx common_target, %r12, %r27
9423 lduw [%r27], %r12 ! Load common dest into dcache ..
9424 stuw %r12, [%r27] ! Load common dest into dcache ..
9425 ba,a .+12
9426 .word 0xe137c000 ! 1: STQF_R - %f16, [%r0, %r31]
9427 ba,a .+8
9428 jmpl %r27+0, %r27
9429 stxa %r13, [%r0] ASI_LSU_CONTROL
9430 .word 0xa3aac823 ! 629: FMOVGE fmovs %fcc1, %f3, %f17
9431demap_8_341:
9432 nop
9433 mov 0x80, %g3
9434 ta T_CHANGE_HPRIV
9435 .word 0x01400001 ! 1: FBPN fbn
9436 stxa %g3, [%g3] 0x57
9437 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9438 stxa %g3, [%g3] 0x57
9439 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9440 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9441 stxa %g3, [%g3] 0x57
9442 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9443 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9444 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9445 stxa %g3, [%g3] 0x5f
9446 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9447 stxa %g3, [%g3] 0x5f
9448 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9449 wrhpr %g0, 0xecb, %hpstate ! ta T_CHANGE_NONHPRIV
9450 .word 0xe61fe124 ! 633: LDD_I ldd [%r31 + 0x0124], %r19
9451splash_lsu_8_343:
9452 nop
9453 nop
9454 ta T_CHANGE_HPRIV
9455 set 0x5dbe93cb, %r2
9456 mov 0x5, %r1
9457 sllx %r1, 32, %r1
9458 or %r1, %r2, %r2
9459 stxa %r2, [%r0] ASI_LSU_CONTROL
9460 .word 0x3d400001 ! 637: FBPULE fbule,a,pn %fcc0, <label_0x1>
9461 nop
9462 nop
9463 ta T_CHANGE_HPRIV ! macro
9464donret_8_345:
9465 rd %pc, %r12
9466 mov HIGHVA_HIGHNUM, %r10
9467 sllx %r10, 32, %r10
9468 or %r12, %r10, %r12
9469 add %r12, (donretarg_8_345-donret_8_345), %r12
9470 add %r12, 0x4, %r11 ! seq tnpc
9471 andn %r11, %r10, %r11 ! low VA tnpc
9472 wrpr %g0, 0x2, %tl
9473 wrpr %g0, %r12, %tpc
9474 wrpr %g0, %r11, %tnpc
9475 set (0x00170300 | (0x8b << 24)), %r13
9476 and %r12, 0xfff, %r14
9477 sllx %r14, 32, %r14
9478 or %r13, %r14, %r20
9479 wrpr %r20, %g0, %tstate
9480 wrhpr %g0, 0xc47, %htstate
9481 wrhpr %g0, 0xf48, %hpstate ! rand=1 (8)
9482 ldx [%r11+%r0], %g1
9483 done
9484donretarg_8_345:
9485 .word 0xe66fe003 ! 641: LDSTUB_I ldstub %r19, [%r31 + 0x0003]
9486splash_lsu_8_348:
9487 nop
9488 nop
9489 ta T_CHANGE_HPRIV
9490 set 0xb2b2c3c2, %r2
9491 mov 0x5, %r1
9492 sllx %r1, 32, %r1
9493 or %r1, %r2, %r2
9494 stxa %r2, [%r0] ASI_LSU_CONTROL
9495 .word 0x3d400001 ! 645: FBPULE fbule,a,pn %fcc0, <label_0x1>
9496splash_lsu_8_351:
9497 nop
9498 nop
9499 ta T_CHANGE_HPRIV
9500 set 0x7ed5375d, %r2
9501 mov 0x6, %r1
9502 sllx %r1, 32, %r1
9503 or %r1, %r2, %r2
9504 stxa %r2, [%r0] ASI_LSU_CONTROL
9505 ta T_CHANGE_NONHPRIV
9506 .word 0x3d400001 ! 649: FBPULE fbule,a,pn %fcc0, <label_0x1>
9507 .word 0xe68008a0 ! 653: LDUWA_R lduwa [%r0, %r0] 0x45, %r19
9508pmu_8_353:
9509 nop
9510 nop
9511 ta T_CHANGE_PRIV
9512 setx 0xffffffbeffffffa9, %g1, %g7
9513 .word 0xa3800007 ! 657: WR_PERF_COUNTER_R wr %r0, %r7, %-
9514cancelint_8_355:
9515 rdhpr %halt, %r10
9516 .word 0x85880000 ! 661: ALLCLEAN <illegal instruction>
9517splash_lsu_8_357:
9518 nop
9519 nop
9520 ta T_CHANGE_HPRIV
9521 set 0x82603643, %r2
9522 mov 0x7, %r1
9523 sllx %r1, 32, %r1
9524 or %r1, %r2, %r2
9525 .word 0x36800001 ! 1: BGE bge,a <label_0x1>
9526 stxa %r2, [%r0] ASI_LSU_CONTROL
9527 ta T_CHANGE_NONHPRIV
9528 .word 0x3d400002 ! 665: FBPULE fbule,a,pn %fcc0, <label_0x2>
9529 .word 0xc32fe190 ! 669: STXFSR_I st-sfr %f1, [0x0190, %r31]
9530 .word 0xdacfc540 ! 673: LDSBA_R ldsba [%r31, %r0] 0x2a, %r13
9531 fbn,a,pn %fcc0, skip_8_367
9532 stxa %r12, [%r0] ASI_LSU_CONTROL
9533 .word 0x87acca49 ! 1: FCMPd fcmpd %fcc<n>, %f50, %f40
9534 stxa %r18, [%r0] ASI_LSU_CONTROL
9535.align 2048
9536skip_8_367:
9537 .word 0xc30fc000 ! 677: LDXFSR_R ld-fsr [%r31, %r0], %f1
9538demap_8_370:
9539 nop
9540 mov 0x80, %g3
9541 ta T_CHANGE_HPRIV
9542 .word 0x3b400001 ! 1: FBPLE fble,a,pn %fcc0, <label_0x1>
9543 stxa %g3, [%g3] 0x57
9544 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9545 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9546 stxa %g3, [%g3] 0x5f
9547 wrhpr %g0, 0xf88, %hpstate ! ta T_CHANGE_NONHPRIV
9548 .word 0xda1fe097 ! 681: LDD_I ldd [%r31 + 0x0097], %r13
9549br_longdelay4_8_373:
9550 nop
9551 not %g0, %r27
9552 jmpl %r27+0, %r27
9553 .word 0x9d902000 ! 685: WRPR_WSTATE_I wrpr %r0, 0x0000, %wstate
9554#if (defined SPC || defined CMP1)
9555!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_376) , 16, 16)) -> intp(1,0,25,*,664,*,ad,1)
9556!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_376)&0xffffffff) , 16, 16)) -> intp(4,0,16,*,976,*,ad,1)
9557#else
9558 nop
9559 nop
9560 set 0x1c40283a, %r28 !TTID : 0 (mask2tid(0x8))
9561#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9562 sethi %hi(0x3800), %r27
9563 andn %r28, %r27, %r28
9564#ifdef PORTABLE_CORE
9565 ! Add CID to vector
9566 ta T_CHANGE_HPRIV
9567 ldxa [%g0]0x63, %r27
9568 sllx %r27, 8, %r27
9569 or %r27, %r28, %r28
9570#endif
9571#else
9572 ! Add CID IF tid matches
9573ifelse(0,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9574#endif
9575ifelse(0,mask2tid(0x8),`.align 16')
9576 stxa %r28, [%g0] 0x73
9577#endif
9578intvec_8_376:
9579#if (defined SPC || defined CMP1)
9580 wrhpr %g0, 0x0, %halt ! HALT
9581#else
9582ifelse(0,mask2tid(0x8),`wrhpr %g0, 0x0, %halt ! HALT')
9583#endif
9584 .word 0x95a489d1 ! 689: FDIVd fdivd %f18, %f48, %f10
9585 .word 0x93a00160 ! 693: FABSq dis not found
9586
9587 nop
9588 nop
9589 set 0xcaa004b2, %r28 !TTID : 4 (mask2tid(0x8))
9590#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9591 sethi %hi(0x3800), %r27
9592 andn %r28, %r27, %r28
9593#ifdef PORTABLE_CORE
9594 ! Add CID to vector
9595 ta T_CHANGE_HPRIV
9596 ldxa [%g0]0x63, %r27
9597 sllx %r27, 8, %r27
9598 or %r27, %r28, %r28
9599#endif
9600#else
9601 ! Add CID IF tid matches
9602ifelse(4,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9603#endif
9604 sethi %hi(0x30000), %r27
9605 andn %r28, %r27, %r28
9606 ta T_CHANGE_HPRIV
9607ifelse(4,mask2tid(0x8),`.align 16')
9608 stxa %r28, [%g0] 0x73
9609intvec_8_381:
9610 .word 0x19400001 ! 697: FBPUGE fbuge
9611 nop
9612 nop
9613 ta T_CHANGE_HPRIV
9614 mov 0x0, %r11
9615splash_cmpr_8_383:
9616 sllx %r11, 63, %r11
9617 not %r11, %r11
9618 rd %tick, %r10
9619#if (defined SPC || defined CMP1)
9620 add %r10, 0x250, %r10
9621#else
9622 add %r10, 0x700, %r10
9623#endif
9624 and %r10, %r11, %r10
9625 wrhpr %r10, %g0, %hsys_tick_cmpr
9626 rd %tick, %r10
9627#if (defined SPC || defined CMP1)
9628 add %r10, 0x100, %r10
9629#else
9630 add %r10, 0x700, %r10
9631#endif
9632 and %r10, %r11, %r10
9633 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
9634 .word 0x81983006 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1006, %hpstate
9635 .word 0x81b01021 ! 701: SIAM siam 1
9636memptr_8_385:
9637 set 0x60540000, %r31
9638 .word 0x85842788 ! 705: WRCCR_I wr %r16, 0x0788, %ccr
9639 .word 0x22780001 ! 709: BPE <illegal instruction>
9640 .word 0xd13fe0ed ! 713: STDF_I std %f8, [0x00ed, %r31]
9641 nop
9642 nop
9643 set 0x65e05d17, %r28 !TTID : 5 (mask2tid(0x8))
9644#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9645 sethi %hi(0x3800), %r27
9646 andn %r28, %r27, %r28
9647#ifdef PORTABLE_CORE
9648 ! Add CID to vector
9649 ta T_CHANGE_HPRIV
9650 ldxa [%g0]0x63, %r27
9651 sllx %r27, 8, %r27
9652 or %r27, %r28, %r28
9653#endif
9654#else
9655 ! Add CID IF tid matches
9656ifelse(5,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9657#endif
9658 sethi %hi(0x30000), %r27
9659 andn %r28, %r27, %r28
9660 ta T_CHANGE_HPRIV
9661ifelse(5,mask2tid(0x8),`.align 16')
9662 stxa %r28, [%g0] 0x73
9663intvec_8_391:
9664 .word 0xa9a109d2 ! 717: FDIVd fdivd %f4, %f18, %f20
9665 nop
9666 nop
9667 ta T_CHANGE_HPRIV ! macro
9668donret_8_392:
9669 rd %pc, %r12
9670 mov HIGHVA_HIGHNUM, %r10
9671 sllx %r10, 32, %r10
9672 or %r12, %r10, %r12
9673 add %r12, (donretarg_8_392-donret_8_392), %r12
9674 add %r12, 0x8, %r11 ! nonseq tnpc
9675 wrpr %g0, 0x1, %tl
9676 wrpr %g0, %r12, %tpc
9677 wrpr %g0, %r11, %tnpc
9678 set (0x0080d000 | (0x8a << 24)), %r13
9679 and %r12, 0xfff, %r14
9680 sllx %r14, 32, %r14
9681 or %r13, %r14, %r20
9682 wrpr %r20, %g0, %tstate
9683 wrhpr %g0, 0x1a0f, %htstate
9684 best_set_reg(0x8d1, %g1, %g2)
9685 wrpr %g0, %g2, %pstate ! rand=0 (8)
9686 ldx [%r12+%r0], %g1
9687 retry
9688donretarg_8_392:
9689 .word 0x0f400001 ! 721: FBPU fbu
9690 .word 0x24780002 ! 725: BPLE <illegal instruction>
9691splash_tba_8_395:
9692 nop
9693 ta T_CHANGE_PRIV
9694 set 0x120000, %r12
9695 .word 0x8b90000c ! 729: WRPR_TBA_R wrpr %r0, %r12, %tba
9696 brlez,a,pn %r6, skip_8_396
9697 .word 0x99a489cc ! 1: FDIVd fdivd %f18, %f12, %f12
9698.align 512
9699skip_8_396:
9700 .word 0xa9b484c3 ! 733: FCMPNE32 fcmpne32 %d18, %d34, %r20
9701 .word 0xe1bfe000 ! 737: STDFA_I stda %f16, [0x0000, %r31]
9702 .word 0xe8c7c600 ! 741: LDSWA_R ldswa [%r31, %r0] 0x30, %r20
9703intveclr_8_399:
9704 nop
9705 nop
9706 ta T_CHANGE_HPRIV
9707 setx 0x36aa7694d00c5105, %r1, %r28
9708 stxa %r28, [%g0] 0x72
9709 .word 0x25400001 ! 745: FBPLG fblg,a,pn %fcc0, <label_0x1>
9710jmptr_8_402:
9711 nop
9712 nop
9713 best_set_reg(0xe1a00000, %r20, %r27)
9714 .word 0xb7c6c000 ! 749: JMPL_R jmpl %r27 + %r0, %r27
9715brcommon3_8_405:
9716 nop
9717 nop
9718 setx common_target, %r12, %r27
9719 lduw [%r27-4], %r12 ! Load common dest into dcache ..
9720 stuw %r12, [%r27-4] ! Load common dest into dcache ..
9721 ba,a .+12
9722 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
9723 ba,a .+8
9724 jmpl %r27-0, %r27
9725 .word 0xe8dfc200 ! 753: LDXA_R ldxa [%r31, %r0] 0x10, %r20
9726jmptr_8_407:
9727 nop
9728 nop
9729 best_set_reg(0xe0a00000, %r20, %r27)
9730 .word 0xb7c6c000 ! 757: JMPL_R jmpl %r27 + %r0, %r27
9731 .word 0xe927e058 ! 761: STF_I st %f20, [0x0058, %r31]
9732splash_lsu_8_412:
9733 nop
9734 nop
9735 ta T_CHANGE_HPRIV
9736 set 0x6777ad19, %r2
9737 mov 0x1, %r1
9738 sllx %r1, 32, %r1
9739 or %r1, %r2, %r2
9740 .word 0x00800001 ! 1: BN bn <label_0x1>
9741 stxa %r2, [%r0] ASI_LSU_CONTROL
9742 .word 0x3d400001 ! 765: FBPULE fbule,a,pn %fcc0, <label_0x1>
9743memptr_8_415:
9744 set 0x60540000, %r31
9745 .word 0x8580714e ! 769: WRCCR_I wr %r1, 0x114e, %ccr
9746 nop
9747 nop
9748 ta T_CHANGE_HPRIV ! macro
9749donret_8_417:
9750 rd %pc, %r12
9751 mov HIGHVA_HIGHNUM, %r10
9752 sllx %r10, 32, %r10
9753 or %r12, %r10, %r12
9754 add %r12, (donretarg_8_417-donret_8_417), %r12
9755 add %r12, 0x4, %r11 ! seq tnpc
9756 andn %r11, %r10, %r11 ! low VA tnpc
9757 wrpr %g0, 0x1, %tl
9758 wrpr %g0, %r12, %tpc
9759 wrpr %g0, %r11, %tnpc
9760 set (0x0007db00 | (0x58 << 24)), %r13
9761 and %r12, 0xfff, %r14
9762 sllx %r14, 32, %r14
9763 or %r13, %r14, %r20
9764 wrpr %r20, %g0, %tstate
9765 wrhpr %g0, 0x1e94, %htstate
9766 best_set_reg(0x341, %g1, %g2)
9767 wrpr %g0, %g2, %pstate ! rand=0 (8)
9768 ldx [%r11+%r0], %g1
9769 done
9770.align 512
9771donretarg_8_417:
9772 .word 0x1b400001 ! 773: FBPLE fble
9773 .word 0xe87fe020 ! 777: SWAP_I swap %r20, [%r31 + 0x0020]
9774 fbu,a,pn %fcc0, skip_8_420
9775 brlez,a,pn %r10, skip_8_420
9776.align 1024
9777skip_8_420:
9778 .word 0xa5b404d3 ! 781: FCMPNE32 fcmpne32 %d16, %d50, %r18
9779trapasi_8_422:
9780 nop
9781 mov 0x0, %r1 ! (VA for ASI 0x73)
9782 .word 0xd4d84e60 ! 785: LDXA_R ldxa [%r1, %r0] 0x73, %r10
9783splash_hpstate_8_423:
9784 ta T_CHANGE_NONHPRIV
9785 .word 0x81983cda ! 789: WRHPR_HPSTATE_I wrhpr %r0, 0x1cda, %hpstate
9786 .word 0xe1bfe040 ! 793: STDFA_I stda %f16, [0x0040, %r31]
9787 .word 0xc30fc000 ! 797: LDXFSR_R ld-fsr [%r31, %r0], %f1
9788 nop
9789 nop
9790 ta T_CHANGE_PRIV
9791 wrpr %g0, %g0, %gl
9792 nop
9793 nop
9794.text
9795 setx join_lbl_0_0, %g1, %g2
9796 jmp %g2
9797 nop
9798fork_lbl_0_3:
9799 wrhpr %g0, 0xc03, %hpstate ! ta T_CHANGE_NONHPRIV
9800br_longdelay4_4_0:
9801 nop
9802 not %g0, %r27
9803 jmpl %r27+0, %r27
9804brcommon2_4_1:
9805 nop
9806 nop
9807 setx common_target, %r12, %r27
9808 ba,a .+12
9809 .word 0x9f802080 ! 1: SIR sir 0x0080
9810 ba,a .+8
9811 jmpl %r27-0, %r27
9812 .word 0xe1bfdf00 ! 1: STDFA_R stda %f16, [%r0, %r31]
9813brcommon2_4_4:
9814 nop
9815 nop
9816 setx common_target, %r12, %r27
9817 ba,a .+12
9818 .word 0xa7a7c960 ! 1: FMULq dis not found
9819
9820 ba,a .+8
9821 jmpl %r27-4, %r27
9822 .word 0x20800001 ! 5: BN bn,a <label_0x1>
9823dvapa_4_6:
9824 nop
9825 nop
9826 ta T_CHANGE_HPRIV
9827 mov 0x88a, %r20
9828 mov 0x6, %r19
9829 sllx %r20, 23, %r20
9830 or %r19, %r20, %r19
9831 stxa %r19, [%g0] ASI_LSU_CONTROL
9832 mov 0x38, %r18
9833 stxa %r31, [%r18]0x58
9834 wrhpr %g0, 0x9d9, %hpstate ! ta T_CHANGE_NONHPRIV
9835 .word 0x93b44487 ! 9: FCMPLE32 fcmple32 %d48, %d38, %r9
9836demap_4_9:
9837 nop
9838 mov 0x80, %g3
9839 ta T_CHANGE_HPRIV
9840 .word 0x0ccb0001 ! 1: BRGZ brgz,pt %r12,<label_0xb0001>
9841 stxa %g3, [%g3] 0x5f
9842 .word 0xe1bfdd40 ! 1: STDFA_R stda %f16, [%r0, %r31]
9843 .word 0xc0bfda60 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd3
9844 stxa %g3, [%g3] 0x57
9845 .word 0xc0bfda00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd0
9846 wrhpr %g0, 0x408, %hpstate ! ta T_CHANGE_NONHPRIV
9847 .word 0xe61fe002 ! 13: LDD_I ldd [%r31 + 0x0002], %r19
9848 .word 0xe737c000 ! 17: STQF_R - %f19, [%r0, %r31]
9849 .word 0x8980000a ! 21: WRTICK_R wr %r0, %r10, %tick
9850memptr_4_14:
9851 set 0x60540000, %r31
9852 .word 0x8584e766 ! 25: WRCCR_I wr %r19, 0x0766, %ccr
9853jmptr_4_17:
9854 nop
9855 nop
9856 best_set_reg(0xe0200000, %r20, %r27)
9857 .word 0xb7c6c000 ! 29: JMPL_R jmpl %r27 + %r0, %r27
9858 .word 0xe73fe0e1 ! 33: STDF_I std %f19, [0x00e1, %r31]
9859jmptr_4_19:
9860 nop
9861 nop
9862 best_set_reg(0xe1200000, %r20, %r27)
9863 .word 0xb7c6c000 ! 37: JMPL_R jmpl %r27 + %r0, %r27
9864intveclr_4_20:
9865 nop
9866 nop
9867 ta T_CHANGE_HPRIV
9868 setx 0x3c35994f5c46093c, %r1, %r28
9869 stxa %r28, [%g0] 0x72
9870 wrhpr %g0, 0x780, %hpstate ! ta T_CHANGE_NONHPRIV
9871 .word 0x25400001 ! 41: FBPLG fblg,a,pn %fcc0, <label_0x1>
9872 nop
9873 nop
9874 ta T_CHANGE_HPRIV ! macro
9875donret_4_21:
9876 rd %pc, %r12
9877 mov HIGHVA_HIGHNUM, %r10
9878 sllx %r10, 32, %r10
9879 or %r12, %r10, %r12
9880 add %r12, (donretarg_4_21-donret_4_21+4), %r12
9881 add %r12, 0x4, %r11 ! seq tnpc
9882 wrpr %g0, 0x2, %tl
9883 wrpr %g0, %r12, %tpc
9884 wrpr %g0, %r11, %tnpc
9885 set (0x00407500 | (54 << 24)), %r13
9886 and %r12, 0xfff, %r14
9887 sllx %r14, 32, %r14
9888 or %r13, %r14, %r20
9889 wrpr %r20, %g0, %tstate
9890 wrhpr %g0, 0x1405, %htstate
9891 best_set_reg(0x1069, %g1, %g2)
9892 wrpr %g0, %g2, %pstate ! rand=0 (4)
9893 done
9894.align 512
9895donretarg_4_21:
9896 .word 0xe66fe0c2 ! 45: LDSTUB_I ldstub %r19, [%r31 + 0x00c2]
9897 .word 0xc1bfde00 ! 49: STDFA_R stda %f0, [%r0, %r31]
9898cancelint_4_26:
9899 rdhpr %halt, %r13
9900 .word 0x85880000 ! 53: ALLCLEAN <illegal instruction>
9901 .word 0x8d903fd5 ! 57: WRPR_PSTATE_I wrpr %r0, 0x1fd5, %pstate
9902 .word 0xd13fe090 ! 1: STDF_I std %f8, [0x0090, %r31]
9903 .word 0x9f803786 ! 61: SIR sir 0x1786
9904ibp_4_32:
9905 nop
9906 nop
9907 .word 0xc19fdc00 ! 65: LDDFA_R ldda [%r31, %r0], %f0
9908br_longdelay3_4_35:
9909 nop
9910 not %g0, %r27
9911 jmpl %r27+0, %r27
9912 .word 0x80000001 ! 69: ILLTRAP add %r0, %r1, %r0
9913cancelint_4_38:
9914 rdhpr %halt, %r20
9915 .word 0x85880000 ! 73: ALLCLEAN <illegal instruction>
9916 nop
9917 nop
9918 ta T_CHANGE_HPRIV
9919 mov 0x0, %r11
9920splash_cmpr_4_40:
9921 sllx %r11, 63, %r11
9922 not %r11, %r11
9923 rd %tick, %r10
9924#if (defined SPC || defined CMP1)
9925 add %r10, 0x200, %r10
9926#else
9927 add %r10, 0xc00, %r10
9928#endif
9929 and %r10, %r11, %r10
9930 wrhpr %r10, %g0, %hsys_tick_cmpr
9931 rd %tick, %r10
9932#if (defined SPC || defined CMP1)
9933 add %r10, 0x250, %r10
9934#else
9935 add %r10, 0x550, %r10
9936#endif
9937 and %r10, %r11, %r10
9938 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
9939 .word 0x8198254e ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x054e, %hpstate
9940 .word 0x81b01021 ! 77: SIAM siam 1
9941 nop
9942 nop
9943 set 0x2df04ee6, %r28 !TTID : 6 (mask2tid(0x4))
9944#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9945 sethi %hi(0x3800), %r27
9946 andn %r28, %r27, %r28
9947#ifdef PORTABLE_CORE
9948 ! Add CID to vector
9949 ta T_CHANGE_HPRIV
9950 ldxa [%g0]0x63, %r27
9951 sllx %r27, 8, %r27
9952 or %r27, %r28, %r28
9953#endif
9954#else
9955 ! Add CID IF tid matches
9956ifelse(6,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9957#endif
9958 sethi %hi(0x30000), %r27
9959 andn %r28, %r27, %r28
9960 ta T_CHANGE_HPRIV
9961ifelse(6,mask2tid(0x4),`.align 16')
9962 stxa %r28, [%g0] 0x73
9963intvec_4_43:
9964 .word 0x19400001 ! 81: FBPUGE fbuge
9965brcommon2_4_45:
9966 nop
9967 nop
9968 setx common_target, %r12, %r27
9969 ba,a .+12
9970 .word 0x91a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f8
9971 ba,a .+8
9972 jmpl %r27-4, %r27
9973 .word 0xc1bfdb20 ! 85: STDFA_R stda %f0, [%r0, %r31]
9974brcommon1_4_48:
9975 nop
9976 nop
9977 setx common_target, %r12, %r27
9978 lduw [%r27-4], %r12 ! Load common dest into dcache ..
9979 stuw %r12, [%r27-0] ! Load common dest into dcache ..
9980 ba,a .+12
9981 .word 0xda6fe170 ! 1: LDSTUB_I ldstub %r13, [%r31 + 0x0170]
9982 ba,a .+8
9983 jmpl %r27-4, %r27
9984 .word 0x95b50494 ! 89: FCMPLE32 fcmple32 %d20, %d20, %r10
9985 .word 0x91904014 ! 93: WRPR_PIL_R wrpr %r1, %r20, %pil
9986 .word 0xd8bfe0d8 ! 97: STDA_I stda %r12, [%r31 + 0x00d8] %asi
9987 nop
9988 nop
9989 ta T_CHANGE_HPRIV ! macro
9990donret_4_54:
9991 rd %pc, %r12
9992 mov HIGHVA_HIGHNUM, %r10
9993 sllx %r10, 32, %r10
9994 or %r12, %r10, %r12
9995 add %r12, (donretarg_4_54-donret_4_54), %r12
9996 add %r12, 0x4, %r11 ! seq tnpc
9997 wrpr %g0, 0x2, %tl
9998 wrpr %g0, %r12, %tpc
9999 wrpr %g0, %r11, %tnpc
10000 set (0x00cae300 | (0x80 << 24)), %r13
10001 and %r12, 0xfff, %r14
10002 sllx %r14, 32, %r14
10003 or %r13, %r14, %r20
10004 wrpr %r20, %g0, %tstate
10005 wrhpr %g0, 0x1e0f, %htstate
10006 best_set_reg(0x1791, %g1, %g2)
10007 wrpr %g0, %g2, %pstate ! rand=0 (4)
10008 ldx [%r12+%r0], %g1
10009 retry
10010donretarg_4_54:
10011 .word 0xd86fe03b ! 101: LDSTUB_I ldstub %r12, [%r31 + 0x003b]
10012splash_lsu_4_57:
10013 nop
10014 nop
10015 ta T_CHANGE_HPRIV
10016 set 0x90971985, %r2
10017 mov 0x3, %r1
10018 sllx %r1, 32, %r1
10019 or %r1, %r2, %r2
10020 stxa %r2, [%r0] ASI_LSU_CONTROL
10021 .word 0x1d400002 ! 105: FBPULE fbule
10022 .word 0xe19fe120 ! 109: LDDFA_I ldda [%r31, 0x0120], %f16
10023 .word 0xe1bfe1c0 ! 113: STDFA_I stda %f16, [0x01c0, %r31]
10024splash_lsu_4_62:
10025 nop
10026 nop
10027 ta T_CHANGE_HPRIV
10028 set 0x997ebe1a, %r2
10029 mov 0x6, %r1
10030 sllx %r1, 32, %r1
10031 or %r1, %r2, %r2
10032 stxa %r2, [%r0] ASI_LSU_CONTROL
10033 .word 0x3d400001 ! 117: FBPULE fbule,a,pn %fcc0, <label_0x1>
10034 .word 0xc32fc000 ! 121: STXFSR_R st-sfr %f1, [%r0, %r31]
10035 .word 0xd89fe120 ! 125: LDDA_I ldda [%r31, + 0x0120] %asi, %r12
10036demap_4_68:
10037 nop
10038 mov 0x80, %g3
10039 ta T_CHANGE_HPRIV
10040 .word 0x2a800002 ! 1: BCS bcs,a <label_0x2>
10041 stxa %g3, [%g3] 0x5f
10042 .word 0xe19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
10043 .word 0xe09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r16
10044 wrhpr %g0, 0x591, %hpstate ! ta T_CHANGE_NONHPRIV
10045 .word 0xd81fe040 ! 129: LDD_I ldd [%r31 + 0x0040], %r12
10046splash_lsu_4_72:
10047 nop
10048 nop
10049 ta T_CHANGE_HPRIV
10050 set 0xddd9af7d, %r2
10051 mov 0x4, %r1
10052 sllx %r1, 32, %r1
10053 or %r1, %r2, %r2
10054 .word 0x2a800001 ! 1: BCS bcs,a <label_0x1>
10055 stxa %r2, [%r0] ASI_LSU_CONTROL
10056 .word 0x3d400002 ! 133: FBPULE fbule,a,pn %fcc0, <label_0x2>
10057 .word 0xd83fc000 ! 137: STD_R std %r12, [%r31 + %r0]
10058cancelint_4_76:
10059 rdhpr %halt, %r9
10060 .word 0x85880000 ! 141: ALLCLEAN <illegal instruction>
10061cancelint_4_78:
10062 rdhpr %halt, %r9
10063 .word 0x85880000 ! 145: ALLCLEAN <illegal instruction>
10064frzptr_4_82:
10065 nop
10066 nop
10067 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
10068 sethi %hi(0x3cb80000), %r21
10069 stxa %r27, [%r21]0x57
10070 ldx [%r27+0xc], %r20
10071 jmpl %r27+4, %r27
10072 .word 0x91b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d8
10073 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
10074 jmpl %r27, %r27
10075 .word 0x00800001 ! 149: BN bn <label_0x1>
10076splash_lsu_4_85:
10077 nop
10078 nop
10079 ta T_CHANGE_HPRIV
10080 set 0xeaae1e29, %r2
10081 mov 0x7, %r1
10082 sllx %r1, 32, %r1
10083 or %r1, %r2, %r2
10084 .word 0x3c800002 ! 1: BPOS bpos,a <label_0x2>
10085 stxa %r2, [%r0] ASI_LSU_CONTROL
10086 .word 0x3d400001 ! 153: FBPULE fbule,a,pn %fcc0, <label_0x1>
10087 .word 0x8980000a ! 157: WRTICK_R wr %r0, %r10, %tick
10088frzptr_4_91:
10089 nop
10090 nop
10091 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
10092 ldx [%r27+0xc], %r20
10093 jmpl %r27, %r27
10094 .word 0xc1bfda60 ! 161: STDFA_R stda %f0, [%r0, %r31]
10095brcommon3_4_93:
10096 nop
10097 nop
10098 setx common_target, %r12, %r27
10099 lduw [%r27-4], %r12 ! Load common dest into dcache ..
10100 stuw %r12, [%r27-4] ! Load common dest into dcache ..
10101 ba,a .+12
10102 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
10103 ba,a .+8
10104 jmpl %r27+0, %r27
10105 .word 0x8d903157 ! 165: WRPR_PSTATE_I wrpr %r0, 0x1157, %pstate
10106 .word 0x91940012 ! 169: WRPR_PIL_R wrpr %r16, %r18, %pil
10107splash_lsu_4_97:
10108 nop
10109 nop
10110 ta T_CHANGE_HPRIV
10111 set 0x0bc2d002, %r2
10112 mov 0x7, %r1
10113 sllx %r1, 32, %r1
10114 or %r1, %r2, %r2
10115 .word 0x2a800001 ! 1: BCS bcs,a <label_0x1>
10116 stxa %r2, [%r0] ASI_LSU_CONTROL
10117 .word 0x3d400001 ! 173: FBPULE fbule,a,pn %fcc0, <label_0x1>
10118 .word 0xd047e0f8 ! 177: LDSW_I ldsw [%r31 + 0x00f8], %r8
10119 .word 0xc1bfc2c0 ! 181: STDFA_R stda %f0, [%r0, %r31]
10120brcommon3_4_100:
10121 nop
10122 nop
10123 setx common_target, %r12, %r27
10124 lduw [%r27-4], %r12 ! Load common dest into dcache ..
10125 stuw %r12, [%r27-0] ! Load common dest into dcache ..
10126 ba,a .+12
10127 .word 0xd137e190 ! 1: STQF_I - %f8, [0x0190, %r31]
10128 ba,a .+8
10129 jmpl %r27+0, %r27
10130 .word 0x81983f85 ! 185: WRHPR_HPSTATE_I wrhpr %r0, 0x1f85, %hpstate
10131 .word 0xd13fe1c0 ! 189: STDF_I std %f8, [0x01c0, %r31]
10132jmptr_4_104:
10133 nop
10134 nop
10135 best_set_reg(0xe0200000, %r20, %r27)
10136 .word 0xb7c6c000 ! 193: JMPL_R jmpl %r27 + %r0, %r27
10137intveclr_4_106:
10138 nop
10139 nop
10140 ta T_CHANGE_HPRIV
10141 setx 0x94c8abc141b68e01, %r1, %r28
10142 stxa %r28, [%g0] 0x72
10143 wrhpr %g0, 0xe53, %hpstate ! ta T_CHANGE_NONHPRIV
10144 .word 0x25400001 ! 197: FBPLG fblg,a,pn %fcc0, <label_0x1>
10145 .word 0x19400001 ! 201: FBPUGE fbuge
10146 .word 0xe8800be0 ! 205: LDUWA_R lduwa [%r0, %r0] 0x5f, %r20
10147 nop
10148 nop
10149 ta T_CHANGE_HPRIV ! macro
10150donret_4_111:
10151 rd %pc, %r12
10152 mov HIGHVA_HIGHNUM, %r10
10153 sllx %r10, 32, %r10
10154 or %r12, %r10, %r12
10155 add %r12, (donretarg_4_111-donret_4_111+4), %r12
10156 add %r12, 0x4, %r11 ! seq tnpc
10157 andn %r11, %r10, %r11 ! low VA tnpc
10158 wrpr %g0, 0x2, %tl
10159 wrpr %g0, %r12, %tpc
10160 wrpr %g0, %r11, %tnpc
10161 set (0x00d99100 | (57 << 24)), %r13
10162 and %r12, 0xfff, %r14
10163 sllx %r14, 32, %r14
10164 or %r13, %r14, %r20
10165 wrpr %r20, %g0, %tstate
10166 wrhpr %g0, 0x1883, %htstate
10167 best_set_reg(0x1, %g1, %g2)
10168 wrpr %g0, %g2, %pstate ! rand=0 (4)
10169 ldx [%r11+%r0], %g1
10170 done
10171donretarg_4_111:
10172 .word 0x8198358c ! 209: WRHPR_HPSTATE_I wrhpr %r0, 0x158c, %hpstate
10173 .word 0xa9b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r20
10174 .word 0x9f803405 ! 213: SIR sir 0x1405
10175intveclr_4_115:
10176 nop
10177 nop
10178 ta T_CHANGE_HPRIV
10179 setx 0x43a278bbe5284a90, %r1, %r28
10180 stxa %r28, [%g0] 0x72
10181 wrhpr %g0, 0x603, %hpstate ! ta T_CHANGE_NONHPRIV
10182 .word 0x05400002 ! 217: FBPLG fblg
10183 .word 0xe927e016 ! 221: STF_I st %f20, [0x0016, %r31]
10184 .word 0x9191c011 ! 225: WRPR_PIL_R wrpr %r7, %r17, %pil
10185splash_tba_4_121:
10186 nop
10187 ta T_CHANGE_PRIV
10188 setx 0x0000000000380000, %r11, %r12
10189 .word 0x8b90000c ! 229: WRPR_TBA_R wrpr %r0, %r12, %tba
10190 .word 0xe937c000 ! 233: STQF_R - %f20, [%r0, %r31]
10191memptr_4_123:
10192 set user_data_start, %r31
10193 .word 0x85846040 ! 237: WRCCR_I wr %r17, 0x0040, %ccr
10194br_badelay2_4_124:
10195 .word 0x02800001 ! 1: BE be <label_0x1>
10196 pdist %f6, %f4, %f30
10197 .word 0xa7b30301 ! 241: ALIGNADDRESS alignaddr %r12, %r1, %r19
10198 .word 0xd0dfdf00 ! 245: LDXA_R ldxa [%r31, %r0] 0xf8, %r8
10199brcommon3_4_127:
10200 nop
10201 nop
10202 setx common_target, %r12, %r27
10203 lduw [%r27-0], %r12 ! Load common dest into dcache ..
10204 stuw %r12, [%r27-0] ! Load common dest into dcache ..
10205 ba,a .+12
10206 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
10207 ba,a .+8
10208 jmpl %r27+0, %r27
10209 .word 0x8d903c09 ! 249: WRPR_PSTATE_I wrpr %r0, 0x1c09, %pstate
10210memptr_4_129:
10211 set user_data_start, %r31
10212 .word 0x85816fc9 ! 253: WRCCR_I wr %r5, 0x0fc9, %ccr
10213splash_lsu_4_132:
10214 nop
10215 nop
10216 ta T_CHANGE_HPRIV
10217 set 0x380a06ea, %r2
10218 mov 0x6, %r1
10219 sllx %r1, 32, %r1
10220 or %r1, %r2, %r2
10221 .word 0x38800001 ! 1: BGU bgu,a <label_0x1>
10222 stxa %r2, [%r0] ASI_LSU_CONTROL
10223 ta T_CHANGE_NONHPRIV
10224 .word 0x1d400001 ! 257: FBPULE fbule
10225 .word 0xf16fe00a ! 261: PREFETCH_I prefetch [%r31 + 0x000a], #24
10226frzptr_4_139:
10227 nop
10228 nop
10229 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
10230 ldx [%r27+0xc], %r20
10231 jmpl %r27, %r27
10232 .word 0x20800001 ! 265: BN bn,a <label_0x1>
10233 .word 0xc19fdb20 ! 269: LDDFA_R ldda [%r31, %r0], %f0
10234 .word 0x91948010 ! 273: WRPR_PIL_R wrpr %r18, %r16, %pil
10235 .word 0xc30fc000 ! 277: LDXFSR_R ld-fsr [%r31, %r0], %f1
10236brcommon3_4_147:
10237 nop
10238 nop
10239 setx common_target, %r12, %r27
10240 lduw [%r27], %r12 ! Load common dest into dcache ..
10241 stuw %r12, [%r27] ! Load common dest into dcache ..
10242 ba,a .+12
10243 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
10244 ba,a .+8
10245 jmpl %r27+0, %r27
10246 stxa %r11, [%r0] ASI_LSU_CONTROL
10247 .word 0x95aac831 ! 281: FMOVGE fmovs %fcc1, %f17, %f10
10248br_badelay2_4_151:
10249 .word 0x14800001 ! 1: BG bg <label_0x1>
10250 pdist %f18, %f20, %f12
10251 .word 0x93b1030c ! 285: ALIGNADDRESS alignaddr %r4, %r12, %r9
10252 .word 0x95a00160 ! 289: FABSq dis not found
10253
10254 .word 0xd4dfd040 ! 293: LDXA_R ldxa [%r31, %r0] 0x82, %r10
10255 .word 0xe19fe1a0 ! 297: LDDFA_I ldda [%r31, 0x01a0], %f16
10256 .word 0xd41fe090 ! 301: LDD_I ldd [%r31 + 0x0090], %r10
10257 .word 0xc19fe120 ! 305: LDDFA_I ldda [%r31, 0x0120], %f0
10258 nop
10259 nop
10260 ta T_CHANGE_HPRIV ! macro
10261donret_4_161:
10262 rd %pc, %r12
10263 mov HIGHVA_HIGHNUM, %r10
10264 sllx %r10, 32, %r10
10265 or %r12, %r10, %r12
10266 add %r12, (donretarg_4_161-donret_4_161+4), %r12
10267 add %r12, 0x4, %r11 ! seq tnpc
10268 wrpr %g0, 0x2, %tl
10269 wrpr %g0, %r12, %tpc
10270 wrpr %g0, %r11, %tnpc
10271 set (0x00fc7100 | (0x83 << 24)), %r13
10272 and %r12, 0xfff, %r14
10273 sllx %r14, 32, %r14
10274 or %r13, %r14, %r20
10275 wrpr %r20, %g0, %tstate
10276 wrhpr %g0, 0x458, %htstate
10277 wrhpr %g0, 0x883, %hpstate ! rand=1 (4)
10278 done
10279.align 512
10280donretarg_4_161:
10281 .word 0xd4ffc600 ! 309: SWAPA_R swapa %r10, [%r31 + %r0] 0x30
10282 .word 0xa7454000 ! 313: RD_CLEAR_SOFTINT rd %clear_softint, %r19
10283 .word 0x91940012 ! 317: WRPR_PIL_R wrpr %r16, %r18, %pil
10284br_longdelay3_4_166:
10285 nop
10286 not %g0, %r27
10287 jmpl %r27+0, %r27
10288 .word 0x80000001 ! 321: ILLTRAP add %r0, %r1, %r0
10289 .word 0xe1bfe080 ! 325: STDFA_I stda %f16, [0x0080, %r31]
10290cancelint_4_171:
10291 rdhpr %halt, %r13
10292 .word 0x85880000 ! 329: ALLCLEAN <illegal instruction>
10293 .word 0xe1bfe140 ! 333: STDFA_I stda %f16, [0x0140, %r31]
10294 .word 0x04cac001 ! 337: BRLEZ brlez,pt %r11,<label_0xac001>
10295frzptr_4_177:
10296 nop
10297 nop
10298 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
10299 sethi %hi(0x3cb80000), %r21
10300 stxa %r27, [%r21]0x57
10301 jmpl %r27+4, %r27
10302 .word 0xa3a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f48
10303 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
10304 jmpl %r27, %r27
10305 .word 0xe19fda60 ! 341: LDDFA_R ldda [%r31, %r0], %f16
10306demap_4_180:
10307 nop
10308 mov 0x80, %g3
10309 ta T_CHANGE_HPRIV
10310 .word 0x22800001 ! 1: BE be,a <label_0x1>
10311 stxa %g3, [%g3] 0x5f
10312 .word 0xe0bfda00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd0
10313 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
10314 stxa %g3, [%g3] 0x5f
10315 .word 0xe1bfdf00 ! 1: STDFA_R stda %f16, [%r0, %r31]
10316 .word 0xc1bfc2c0 ! 1: STDFA_R stda %f0, [%r0, %r31]
10317 stxa %g3, [%g3] 0x57
10318 .word 0xc19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
10319 .word 0xe09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r16
10320 .word 0xc0bfdb40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xda
10321 stxa %g3, [%g3] 0x57
10322 .word 0xc09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r0
10323 wrhpr %g0, 0x919, %hpstate ! ta T_CHANGE_NONHPRIV
10324 .word 0xe21fe1ad ! 345: LDD_I ldd [%r31 + 0x01ad], %r17
10325 .word 0x0acb4001 ! 1: BRNZ brnz,pt %r13,<label_0xb4001>
10326 .word 0x8d9039c1 ! 349: WRPR_PSTATE_I wrpr %r0, 0x19c1, %pstate
10327 .word 0xc32fc000 ! 353: STXFSR_R st-sfr %f1, [%r0, %r31]
10328splash_tba_4_187:
10329 nop
10330 ta T_CHANGE_PRIV
10331 set 0x120000, %r12
10332 .word 0x8b90000c ! 357: WRPR_TBA_R wrpr %r0, %r12, %tba
10333splash_lsu_4_189:
10334 nop
10335 nop
10336 ta T_CHANGE_HPRIV
10337 set 0xc48275e2, %r2
10338 mov 0x7, %r1
10339 sllx %r1, 32, %r1
10340 or %r1, %r2, %r2
10341 .word 0x33400001 ! 1: FBPE fbe,a,pn %fcc0, <label_0x1>
10342 stxa %r2, [%r0] ASI_LSU_CONTROL
10343 ta T_CHANGE_NONHPRIV
10344 .word 0x1d400001 ! 361: FBPULE fbule
10345 .word 0x19400001 ! 365: FBPUGE fbuge
10346cancelint_4_194:
10347 rdhpr %halt, %r8
10348 .word 0x85880000 ! 369: ALLCLEAN <illegal instruction>
10349br_badelay3_4_195:
10350 .word 0x97a449c3 ! 1: FDIVd fdivd %f48, %f34, %f42
10351 .word 0x00800001 ! 1: BN bn <label_0x1>
10352 .word 0xa9a089d0 ! 1: FDIVd fdivd %f2, %f16, %f20
10353 .word 0xa7a50830 ! 373: FADDs fadds %f20, %f16, %f19
10354#if (defined SPC || defined CMP1)
10355!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_198) , 16, 16)) -> intp(6,0,16,*,728,*,84,1)
10356!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_198)&0xffffffff) , 16, 16)) -> intp(2,0,18,*,984,*,84,1)
10357#else
10358 nop
10359 nop
10360 set 0x18f08543, %r28 !TTID : 5 (mask2tid(0x4))
10361#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10362 sethi %hi(0x3800), %r27
10363 andn %r28, %r27, %r28
10364#ifdef PORTABLE_CORE
10365 ! Add CID to vector
10366 ta T_CHANGE_HPRIV
10367 ldxa [%g0]0x63, %r27
10368 sllx %r27, 8, %r27
10369 or %r27, %r28, %r28
10370#endif
10371#else
10372 ! Add CID IF tid matches
10373ifelse(5,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10374#endif
10375ifelse(5,mask2tid(0x4),`.align 16')
10376 stxa %r28, [%g0] 0x73
10377#endif
10378intvec_4_198:
10379 .word 0x93b504d2 ! 377: FCMPNE32 fcmpne32 %d20, %d18, %r9
10380 .word 0x04ca4001 ! 381: BRLEZ brlez,pt %r9,<label_0xa4001>
10381 .word 0x87ac8a52 ! 385: FCMPd fcmpd %fcc<n>, %f18, %f18
10382brcommon3_4_203:
10383 nop
10384 nop
10385 setx common_target, %r12, %r27
10386 lduw [%r27-4], %r12 ! Load common dest into dcache ..
10387 stuw %r12, [%r27-4] ! Load common dest into dcache ..
10388 ba,a .+12
10389 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
10390 ba,a .+8
10391 jmpl %r27+0, %r27
10392 .word 0x81983e41 ! 389: WRHPR_HPSTATE_I wrhpr %r0, 0x1e41, %hpstate
10393 .word 0xe937e1b1 ! 393: STQF_I - %f20, [0x01b1, %r31]
10394br_longdelay3_4_207:
10395 nop
10396 not %g0, %r27
10397 jmpl %r27+0, %r27
10398 .word 0x80000001 ! 397: ILLTRAP add %r0, %r1, %r0
10399jmptr_4_209:
10400 nop
10401 nop
10402 best_set_reg(0xe1200000, %r20, %r27)
10403 .word 0xb7c6c000 ! 401: JMPL_R jmpl %r27 + %r0, %r27
10404intveclr_4_213:
10405 nop
10406 nop
10407 ta T_CHANGE_HPRIV
10408 setx 0xf9041fc3d001b881, %r1, %r28
10409 stxa %r28, [%g0] 0x72
10410 .word 0x25400001 ! 405: FBPLG fblg,a,pn %fcc0, <label_0x1>
10411 .word 0xa7a209d0 ! 409: FDIVd fdivd %f8, %f16, %f50
10412frzptr_4_219:
10413 nop
10414 nop
10415 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
10416 ldx [%r27+0xc], %r20
10417 jmpl %r27, %r27
10418 .word 0x20800002 ! 413: BN bn,a <label_0x2>
10419 .word 0x87aaca52 ! 417: FCMPd fcmpd %fcc<n>, %f42, %f18
10420#if (defined SPC || defined CMP1)
10421!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_224) , 16, 16)) -> intp(6,0,28,*,680,*,ff,1)
10422!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_224)&0xffffffff) , 16, 16)) -> intp(6,0,24,*,752,*,ff,1)
10423#else
10424 nop
10425 nop
10426 set 0xa2a08b69, %r28 !TTID : 3 (mask2tid(0x4))
10427#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10428 sethi %hi(0x3800), %r27
10429 andn %r28, %r27, %r28
10430#ifdef PORTABLE_CORE
10431 ! Add CID to vector
10432 ta T_CHANGE_HPRIV
10433 ldxa [%g0]0x63, %r27
10434 sllx %r27, 8, %r27
10435 or %r27, %r28, %r28
10436#endif
10437#else
10438 ! Add CID IF tid matches
10439ifelse(3,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10440#endif
10441ifelse(3,mask2tid(0x4),`.align 16')
10442 stxa %r28, [%g0] 0x73
10443#endif
10444intvec_4_224:
10445#if (defined SPC || defined CMP1)
10446 wrhpr %g0, 0x0, %halt ! HALT
10447#else
10448ifelse(3,mask2tid(0x4),`wrhpr %g0, 0x0, %halt ! HALT')
10449#endif
10450 .word 0x19400001 ! 421: FBPUGE fbuge
10451br_longdelay2_4_226:
10452 .word 0x03400001 ! 1: FBPNE fbne
10453 .word 0x24cfc001 ! 425: BRLEZ brlez,a,pt %r31,<label_0xfc001>
10454 .word 0xa9a409d2 ! 429: FDIVd fdivd %f16, %f18, %f20
10455 .word 0xd69fdd40 ! 433: LDDA_R ldda [%r31, %r0] 0xea, %r11
10456 nop
10457 nop
10458 set 0x56e00df2, %r28 !TTID : 5 (mask2tid(0x4))
10459#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10460 sethi %hi(0x3800), %r27
10461 andn %r28, %r27, %r28
10462#ifdef PORTABLE_CORE
10463 ! Add CID to vector
10464 ta T_CHANGE_HPRIV
10465 ldxa [%g0]0x63, %r27
10466 sllx %r27, 8, %r27
10467 or %r27, %r28, %r28
10468#endif
10469#else
10470 ! Add CID IF tid matches
10471ifelse(5,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10472#endif
10473 sethi %hi(0x30000), %r27
10474 andn %r28, %r27, %r28
10475 ta T_CHANGE_HPRIV
10476ifelse(5,mask2tid(0x4),`.align 16')
10477 stxa %r28, [%g0] 0x73
10478intvec_4_233:
10479 .word 0x19400001 ! 437: FBPUGE fbuge
10480 .word 0x9194c012 ! 441: WRPR_PIL_R wrpr %r19, %r18, %pil
10481jmptr_4_238:
10482 nop
10483 nop
10484 best_set_reg(0xe0200000, %r20, %r27)
10485 .word 0xb7c6c000 ! 445: JMPL_R jmpl %r27 + %r0, %r27
10486 .word 0xd41fe170 ! 449: LDD_I ldd [%r31 + 0x0170], %r10
10487 .word 0x22c8c001 ! 1: BRZ brz,a,pt %r3,<label_0x8c001>
10488br_longdelay5_4_242:
10489#if (defined SPC || defined CMP1)
10490!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_4_242)&0xffffffff) , 16, 16)) -> intp(mask2tid(0x4),0,0,*,952,*,ffffffffffffffff,1)
10491!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_4_242)) , 16, 16)) -> intp(mask2tid(0x4),0,0,*,736,*,ffffffffffffffff,1)
10492 wrhpr %g0, 0x0, %halt ! HALT
10493#endif
10494 .word 0x95a7c9c0 ! 453: FDIVd fdivd %f62, %f0, %f10
10495 nop
10496 nop
10497 set 0x1c201924, %r28 !TTID : 1 (mask2tid(0x4))
10498#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10499 sethi %hi(0x3800), %r27
10500 andn %r28, %r27, %r28
10501#ifdef PORTABLE_CORE
10502 ! Add CID to vector
10503 ta T_CHANGE_HPRIV
10504 ldxa [%g0]0x63, %r27
10505 sllx %r27, 8, %r27
10506 or %r27, %r28, %r28
10507#endif
10508#else
10509 ! Add CID IF tid matches
10510ifelse(1,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10511#endif
10512 sethi %hi(0x30000), %r27
10513 andn %r28, %r27, %r28
10514 ta T_CHANGE_HPRIV
10515ifelse(1,mask2tid(0x4),`.align 16')
10516 stxa %r28, [%g0] 0x73
10517intvec_4_243:
10518 .word 0xa3a109c1 ! 457: FDIVd fdivd %f4, %f32, %f48
10519brcommon2_4_246:
10520 nop
10521 nop
10522 setx common_target, %r12, %r27
10523 ba,a .+12
10524 .word 0xa3a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f48
10525 ba,a .+8
10526 jmpl %r27-0, %r27
10527 .word 0xc19fc3e0 ! 461: LDDFA_R ldda [%r31, %r0], %f0
10528demap_4_248:
10529 nop
10530 mov 0x80, %g3
10531 ta T_CHANGE_HPRIV
10532 .word 0x03400002 ! 1: FBPNE fbne
10533 stxa %g3, [%g3] 0x57
10534 .word 0xc19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
10535 stxa %g3, [%g3] 0x57
10536 .word 0xe09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r16
10537 .word 0xe1bfdf00 ! 1: STDFA_R stda %f16, [%r0, %r31]
10538 .word 0xc09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r0
10539 stxa %g3, [%g3] 0x5f
10540 stxa %g3, [%g3] 0x57
10541 .word 0xc09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r0
10542 .word 0xe19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f16
10543 .word 0xc1bfda00 ! 1: STDFA_R stda %f0, [%r0, %r31]
10544 stxa %g3, [%g3] 0x57
10545 .word 0xe0bfde00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf0
10546 stxa %g3, [%g3] 0x5f
10547 stxa %g3, [%g3] 0x57
10548 .word 0xc1bfdf20 ! 1: STDFA_R stda %f0, [%r0, %r31]
10549 .word 0xe1bfda60 ! 1: STDFA_R stda %f16, [%r0, %r31]
10550 .word 0xe0bfda00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd0
10551 wrhpr %g0, 0x5d3, %hpstate ! ta T_CHANGE_NONHPRIV
10552 .word 0xe01fe191 ! 465: LDD_I ldd [%r31 + 0x0191], %r16
10553iaw_4_250:
10554 nop
10555 nop
10556 ta T_CHANGE_HPRIV
10557 mov 8, %r18
10558 rd %asi, %r12
10559 wr %r0, 0x41, %asi
10560 set sync_thr_counter4, %r23
10561#ifndef SPC
10562 ldxa [%g0]0x63, %r8
10563 and %r8, 0x38, %r8 ! Core ID
10564#ifndef PORTABLE_CORE
10565 add %r8, %r23, %r23
10566#endif
10567#else
10568 mov 0, %r8
10569#endif
10570 mov 0x4, %r16
10571iaw_startwait4_250:
10572 cas [%r23],%g0,%r16 !lock
10573 brz,a %r16, continue_iaw_4_250
10574 mov (~0x4&0xf), %r16
10575 ld [%r23], %r16
10576iaw_wait4_250:
10577 brnz %r16, iaw_wait4_250
10578 ld [%r23], %r16
10579 ba iaw_startwait4_250
10580 mov 0x4, %r16
10581continue_iaw_4_250:
10582 sllx %r16, %r8, %r16 !Mask for my core only
10583 ldxa [0x58]%asi, %r17 !Running_status
10584wait_for_stat_4_250:
10585 ldxa [0x50]%asi, %r13 !Running_rw
10586 cmp %r13, %r17
10587 bne,a %xcc, wait_for_stat_4_250
10588 ldxa [0x58]%asi, %r17 !Running_status
10589 stxa %r16, [0x68]%asi !Park (W1C)
10590 ldxa [0x50]%asi, %r14 !Running_rw
10591wait_for_iaw_4_250:
10592 ldxa [0x58]%asi, %r17 !Running_status
10593 cmp %r14, %r17
10594 bne,a %xcc, wait_for_iaw_4_250
10595 ldxa [0x50]%asi, %r14 !Running_rw
10596iaw_doit4_250:
10597 mov 0x38, %r18
10598iaw1_4_250:
10599 best_set_reg(0x00000000e0200000, %r20, %r19)
10600 or %r19, 0x1, %r19
10601 stxa %r19, [%r18]0x50
10602 stxa %r16, [0x60] %asi ! Unpark (W1S)
10603 st %g0, [%r23] !clear lock
10604 wr %r0, %r12, %asi ! restore %asi
10605 wrhpr %g0, 0x21b, %hpstate ! ta T_CHANGE_NONHPRIV
10606 .word 0xc1bfdb20 ! 469: STDFA_R stda %f0, [%r0, %r31]
10607splash_lsu_4_254:
10608 nop
10609 nop
10610 ta T_CHANGE_HPRIV
10611 set 0xcee0c8fc, %r2
10612 mov 0x5, %r1
10613 sllx %r1, 32, %r1
10614 or %r1, %r2, %r2
10615 .word 0x02ca8001 ! 1: BRZ brz,pt %r10,<label_0xa8001>
10616 stxa %r2, [%r0] ASI_LSU_CONTROL
10617 .word 0x1d400002 ! 473: FBPULE fbule
10618 .word 0xe09fe080 ! 477: LDDA_I ldda [%r31, + 0x0080] %asi, %r16
10619 .word 0x91914009 ! 481: WRPR_PIL_R wrpr %r5, %r9, %pil
10620 .word 0x8980000a ! 485: WRTICK_R wr %r0, %r10, %tick
10621mondo_4_262:
10622 nop
10623 nop
10624 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
10625 stxa %r16, [%r0+0x3d0] %asi
10626 .word 0x8780208a ! 1: WRASI_I wr %r0, 0x008a, %asi
10627 .word 0x9d940013 ! 489: WRPR_WSTATE_R wrpr %r16, %r19, %wstate
10628#if (defined SPC || defined CMP1)
10629!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_266) , 16, 16)) -> intp(5,0,26,*,1016,*,27,1)
10630!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_266)&0xffffffff) , 16, 16)) -> intp(0,0,29,*,1008,*,27,1)
10631#else
10632 nop
10633 nop
10634 set 0xef70230c, %r28 !TTID : 3 (mask2tid(0x4))
10635#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10636 sethi %hi(0x3800), %r27
10637 andn %r28, %r27, %r28
10638#ifdef PORTABLE_CORE
10639 ! Add CID to vector
10640 ta T_CHANGE_HPRIV
10641 ldxa [%g0]0x63, %r27
10642 sllx %r27, 8, %r27
10643 or %r27, %r28, %r28
10644#endif
10645#else
10646 ! Add CID IF tid matches
10647ifelse(3,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10648#endif
10649ifelse(3,mask2tid(0x4),`.align 16')
10650 stxa %r28, [%g0] 0x73
10651#endif
10652intvec_4_266:
10653#if (defined SPC || defined CMP1)
10654 wrhpr %g0, 0x0, %halt ! HALT
10655#else
10656ifelse(3,mask2tid(0x4),`wrhpr %g0, 0x0, %halt ! HALT')
10657#endif
10658 .word 0x19400001 ! 493: FBPUGE fbuge
10659trapasi_4_270:
10660 nop
10661 mov 0x30, %r1 ! (VA for ASI 0x5a)
10662 .word 0xd4d84b40 ! 497: LDXA_R ldxa [%r1, %r0] 0x5a, %r10
10663splash_hpstate_4_272:
10664 .word 0x1c800002 ! 1: BPOS bpos <label_0x2>
10665 .word 0x81983fd9 ! 501: WRHPR_HPSTATE_I wrhpr %r0, 0x1fd9, %hpstate
10666 .word 0xd41fe0f0 ! 505: LDD_I ldd [%r31 + 0x00f0], %r10
10667memptr_4_278:
10668 set 0x60740000, %r31
10669 .word 0x8582290c ! 509: WRCCR_I wr %r8, 0x090c, %ccr
10670jmptr_4_281:
10671 nop
10672 nop
10673 best_set_reg(0xe0200000, %r20, %r27)
10674 .word 0xb7c6c000 ! 513: JMPL_R jmpl %r27 + %r0, %r27
10675 .word 0x8f902000 ! 1: WRPR_TL_I wrpr %r0, 0x0000, %tl
10676reduce_priv_lvl_4_283:
10677 ta T_CHANGE_NONHPRIV ! macro
10678 .word 0xf16fe13a ! 521: PREFETCH_I prefetch [%r31 + 0x013a], #24
10679memptr_4_288:
10680 set user_data_start, %r31
10681 .word 0x8582b3d4 ! 525: WRCCR_I wr %r10, 0x13d4, %ccr
10682 .word 0xd537c000 ! 529: STQF_R - %f10, [%r0, %r31]
10683 .word 0x34780001 ! 533: BPG <illegal instruction>
10684splash_hpstate_4_291:
10685 .word 0x3a800001 ! 1: BCC bcc,a <label_0x1>
10686 .word 0x81983697 ! 537: WRHPR_HPSTATE_I wrhpr %r0, 0x1697, %hpstate
10687memptr_4_293:
10688 set 0x60540000, %r31
10689 .word 0x85832be0 ! 541: WRCCR_I wr %r12, 0x0be0, %ccr
10690 .word 0x9194c010 ! 545: WRPR_PIL_R wrpr %r19, %r16, %pil
10691iaw_4_297:
10692 nop
10693 nop
10694 ta T_CHANGE_HPRIV
10695 mov 8, %r18
10696 rd %asi, %r12
10697 wr %r0, 0x41, %asi
10698 set sync_thr_counter4, %r23
10699#ifndef SPC
10700 ldxa [%g0]0x63, %r8
10701 and %r8, 0x38, %r8 ! Core ID
10702#ifndef PORTABLE_CORE
10703 add %r8, %r23, %r23
10704#endif
10705#else
10706 mov 0, %r8
10707#endif
10708 mov 0x4, %r16
10709iaw_startwait4_297:
10710 cas [%r23],%g0,%r16 !lock
10711 brz,a %r16, continue_iaw_4_297
10712 mov (~0x4&0xf), %r16
10713 ld [%r23], %r16
10714iaw_wait4_297:
10715 brnz %r16, iaw_wait4_297
10716 ld [%r23], %r16
10717 ba iaw_startwait4_297
10718 mov 0x4, %r16
10719continue_iaw_4_297:
10720 sllx %r16, %r8, %r16 !Mask for my core only
10721 ldxa [0x58]%asi, %r17 !Running_status
10722wait_for_stat_4_297:
10723 ldxa [0x50]%asi, %r13 !Running_rw
10724 cmp %r13, %r17
10725 bne,a %xcc, wait_for_stat_4_297
10726 ldxa [0x58]%asi, %r17 !Running_status
10727 stxa %r16, [0x68]%asi !Park (W1C)
10728 ldxa [0x50]%asi, %r14 !Running_rw
10729wait_for_iaw_4_297:
10730 ldxa [0x58]%asi, %r17 !Running_status
10731 cmp %r14, %r17
10732 bne,a %xcc, wait_for_iaw_4_297
10733 ldxa [0x50]%asi, %r14 !Running_rw
10734iaw_doit4_297:
10735 mov 0x38, %r18
10736iaw1_4_297:
10737 best_set_reg(0x00000000e0200000, %r20, %r19)
10738 or %r19, 0x1, %r19
10739 stxa %r19, [%r18]0x50
10740 stxa %r16, [0x60] %asi ! Unpark (W1S)
10741 st %g0, [%r23] !clear lock
10742 wr %r0, %r12, %asi ! restore %asi
10743 wrhpr %g0, 0xf1b, %hpstate ! ta T_CHANGE_NONHPRIV
10744 .word 0xc1bfdb40 ! 549: STDFA_R stda %f0, [%r0, %r31]
10745brcommon2_4_299:
10746 nop
10747 nop
10748 setx common_target, %r12, %r27
10749 ba,a .+12
10750 .word 0x9f802180 ! 1: SIR sir 0x0180
10751 ba,a .+8
10752 jmpl %r27-0, %r27
10753 .word 0xe1bfc2c0 ! 553: STDFA_R stda %f16, [%r0, %r31]
10754frzptr_4_301:
10755 nop
10756 nop
10757 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
10758 sethi %hi(0x3cbc0000), %r21
10759 stxa %r27, [%r21]0x57
10760 jmpl %r27, %r27
10761 .word 0x20800001 ! 557: BN bn,a <label_0x1>
10762 nop
10763 nop
10764 set 0x7e00ecfa, %r28 !TTID : 4 (mask2tid(0x4))
10765#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10766 sethi %hi(0x3800), %r27
10767 andn %r28, %r27, %r28
10768#ifdef PORTABLE_CORE
10769 ! Add CID to vector
10770 ta T_CHANGE_HPRIV
10771 ldxa [%g0]0x63, %r27
10772 sllx %r27, 8, %r27
10773 or %r27, %r28, %r28
10774#endif
10775#else
10776 ! Add CID IF tid matches
10777ifelse(4,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10778#endif
10779 sethi %hi(0x30000), %r27
10780 andn %r28, %r27, %r28
10781 ta T_CHANGE_HPRIV
10782ifelse(4,mask2tid(0x4),`.align 16')
10783 stxa %r28, [%g0] 0x73
10784intvec_4_303:
10785 .word 0x39400001 ! 561: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
10786 .word 0xe19fe020 ! 565: LDDFA_I ldda [%r31, 0x0020], %f16
10787splash_hpstate_4_307:
10788 .word 0x8198379f ! 569: WRHPR_HPSTATE_I wrhpr %r0, 0x179f, %hpstate
10789demap_4_309:
10790 nop
10791 mov 0x80, %g3
10792 ta T_CHANGE_HPRIV
10793 stxa %g3, [%g3] 0x5f
10794 stxa %g3, [%g3] 0x57
10795 .word 0xc1bfc3e0 ! 1: STDFA_R stda %f0, [%r0, %r31]
10796 stxa %g3, [%g3] 0x5f
10797 .word 0xe09fde20 ! 1: LDDA_R ldda [%r31, %r0] 0xf1, %r16
10798 .word 0xe09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r16
10799 stxa %g3, [%g3] 0x57
10800 .word 0xc1bfc3e0 ! 1: STDFA_R stda %f0, [%r0, %r31]
10801 stxa %g3, [%g3] 0x57
10802 stxa %g3, [%g3] 0x57
10803 stxa %g3, [%g3] 0x57
10804 .word 0xe0bfdc40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe2
10805 .word 0xe1bfdc00 ! 1: STDFA_R stda %f16, [%r0, %r31]
10806 wrhpr %g0, 0xd40, %hpstate ! ta T_CHANGE_NONHPRIV
10807 .word 0xd21fe16c ! 573: LDD_I ldd [%r31 + 0x016c], %r9
10808 .word 0x24780001 ! 577: BPLE <illegal instruction>
10809splash_lsu_4_313:
10810 nop
10811 nop
10812 ta T_CHANGE_HPRIV
10813 set 0xe3bd52b7, %r2
10814 mov 0x3, %r1
10815 sllx %r1, 32, %r1
10816 or %r1, %r2, %r2
10817 .word 0x04cc8001 ! 1: BRLEZ brlez,pt %r18,<label_0xc8001>
10818 stxa %r2, [%r0] ASI_LSU_CONTROL
10819 ta T_CHANGE_NONHPRIV
10820 .word 0x3d400001 ! 581: FBPULE fbule,a,pn %fcc0, <label_0x1>
10821demap_4_317:
10822 nop
10823 mov 0x80, %g3
10824 ta T_CHANGE_HPRIV
10825 stxa %g3, [%g3] 0x5f
10826 .word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
10827 .word 0xe1bfdc00 ! 1: STDFA_R stda %f16, [%r0, %r31]
10828 stxa %g3, [%g3] 0x5f
10829 wrhpr %g0, 0xb91, %hpstate ! ta T_CHANGE_NONHPRIV
10830 .word 0xd21fe1c8 ! 585: LDD_I ldd [%r31 + 0x01c8], %r9
10831br_badelay1_4_318:
10832 .word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
10833 .word 0xd337c000 ! 1: STQF_R - %f9, [%r0, %r31]
10834 .word 0xd23fe1d0 ! 1: STD_I std %r9, [%r31 + 0x01d0]
10835 normalw
10836 .word 0xa9458000 ! 589: RD_SOFTINT_REG rd %softint, %r20
10837cancelint_4_322:
10838 rdhpr %halt, %r8
10839 .word 0x85880000 ! 593: ALLCLEAN <illegal instruction>
10840brcommon1_4_324:
10841 nop
10842 nop
10843 setx common_target, %r12, %r27
10844 lduw [%r27-0], %r12 ! Load common dest into dcache ..
10845 stuw %r12, [%r27-0] ! Load common dest into dcache ..
10846 ba,a .+12
10847 .word 0xc32fe020 ! 1: STXFSR_I st-sfr %f1, [0x0020, %r31]
10848 ba,a .+8
10849 jmpl %r27-4, %r27
10850 .word 0x00800001 ! 597: BN bn <label_0x1>
10851 .word 0x91904002 ! 601: WRPR_PIL_R wrpr %r1, %r2, %pil
10852 .word 0xda8fdf00 ! 605: LDUBA_R lduba [%r31, %r0] 0xf8, %r13
10853 .word 0xc19fda60 ! 609: LDDFA_R ldda [%r31, %r0], %f0
10854#if (defined SPC || defined CMP1)
10855!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_330) , 16, 16)) -> intp(0,0,4,*,968,*,57,1)
10856!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_330)&0xffffffff) , 16, 16)) -> intp(0,0,31,*,1008,*,57,1)
10857#else
10858 nop
10859 nop
10860 set 0xf8f06d91, %r28 !TTID : 5 (mask2tid(0x4))
10861#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10862 sethi %hi(0x3800), %r27
10863 andn %r28, %r27, %r28
10864#ifdef PORTABLE_CORE
10865 ! Add CID to vector
10866 ta T_CHANGE_HPRIV
10867 ldxa [%g0]0x63, %r27
10868 sllx %r27, 8, %r27
10869 or %r27, %r28, %r28
10870#endif
10871#else
10872 ! Add CID IF tid matches
10873ifelse(5,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10874#endif
10875ifelse(5,mask2tid(0x4),`.align 16')
10876 stxa %r28, [%g0] 0x73
10877#endif
10878intvec_4_330:
10879#if (defined SPC || defined CMP1)
10880 wrhpr %g0, 0x0, %halt ! HALT
10881#else
10882ifelse(5,mask2tid(0x4),`wrhpr %g0, 0x0, %halt ! HALT')
10883#endif
10884 .word 0xa7b104c4 ! 613: FCMPNE32 fcmpne32 %d4, %d4, %r19
10885 .word 0x87ac8a48 ! 617: FCMPd fcmpd %fcc<n>, %f18, %f8
10886 .word 0xe19fc3e0 ! 621: LDDFA_R ldda [%r31, %r0], %f16
10887br_longdelay3_4_336:
10888 nop
10889 not %g0, %r27
10890 jmpl %r27+0, %r27
10891 .word 0x80000001 ! 625: ILLTRAP add %r0, %r1, %r0
10892brcommon3_4_339:
10893 nop
10894 nop
10895 setx common_target, %r12, %r27
10896 lduw [%r27], %r12 ! Load common dest into dcache ..
10897 stuw %r12, [%r27] ! Load common dest into dcache ..
10898 ba,a .+12
10899 .word 0xe137c000 ! 1: STQF_R - %f16, [%r0, %r31]
10900 ba,a .+8
10901 jmpl %r27+0, %r27
10902 stxa %r11, [%r0] ASI_LSU_CONTROL
10903 .word 0xa7aac833 ! 629: FMOVGE fmovs %fcc1, %f19, %f19
10904demap_4_341:
10905 nop
10906 mov 0x80, %g3
10907 ta T_CHANGE_HPRIV
10908 .word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
10909 stxa %g3, [%g3] 0x5f
10910 .word 0xe1bfdf20 ! 1: STDFA_R stda %f16, [%r0, %r31]
10911 stxa %g3, [%g3] 0x5f
10912 .word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
10913 .word 0xc1bfdf00 ! 1: STDFA_R stda %f0, [%r0, %r31]
10914 stxa %g3, [%g3] 0x5f
10915 .word 0xe1bfdb40 ! 1: STDFA_R stda %f16, [%r0, %r31]
10916 .word 0xc19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
10917 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
10918 stxa %g3, [%g3] 0x5f
10919 .word 0xe09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r16
10920 stxa %g3, [%g3] 0x57
10921 .word 0xe19fde00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
10922 wrhpr %g0, 0x4d1, %hpstate ! ta T_CHANGE_NONHPRIV
10923 .word 0xe61fe1b8 ! 633: LDD_I ldd [%r31 + 0x01b8], %r19
10924splash_lsu_4_343:
10925 nop
10926 nop
10927 ta T_CHANGE_HPRIV
10928 set 0xd9815064, %r2
10929 mov 0x1, %r1
10930 sllx %r1, 32, %r1
10931 or %r1, %r2, %r2
10932 stxa %r2, [%r0] ASI_LSU_CONTROL
10933 .word 0x3d400001 ! 637: FBPULE fbule,a,pn %fcc0, <label_0x1>
10934 nop
10935 nop
10936 ta T_CHANGE_HPRIV ! macro
10937donret_4_345:
10938 rd %pc, %r12
10939 mov HIGHVA_HIGHNUM, %r10
10940 sllx %r10, 32, %r10
10941 or %r12, %r10, %r12
10942 add %r12, (donretarg_4_345-donret_4_345), %r12
10943 add %r12, 0x4, %r11 ! seq tnpc
10944 andn %r11, %r10, %r11 ! low VA tnpc
10945 wrpr %g0, 0x2, %tl
10946 wrpr %g0, %r12, %tpc
10947 wrpr %g0, %r11, %tnpc
10948 set (0x00eefb00 | (20 << 24)), %r13
10949 and %r12, 0xfff, %r14
10950 sllx %r14, 32, %r14
10951 or %r13, %r14, %r20
10952 wrpr %r20, %g0, %tstate
10953 wrhpr %g0, 0x1d07, %htstate
10954 wrhpr %g0, 0xc3, %hpstate ! rand=1 (4)
10955 ldx [%r11+%r0], %g1
10956 done
10957donretarg_4_345:
10958 .word 0xe66fe0bd ! 641: LDSTUB_I ldstub %r19, [%r31 + 0x00bd]
10959splash_lsu_4_348:
10960 nop
10961 nop
10962 ta T_CHANGE_HPRIV
10963 set 0x31b45975, %r2
10964 mov 0x6, %r1
10965 sllx %r1, 32, %r1
10966 or %r1, %r2, %r2
10967 stxa %r2, [%r0] ASI_LSU_CONTROL
10968 .word 0x1d400001 ! 645: FBPULE fbule
10969splash_lsu_4_351:
10970 nop
10971 nop
10972 ta T_CHANGE_HPRIV
10973 set 0x451230e8, %r2
10974 mov 0x6, %r1
10975 sllx %r1, 32, %r1
10976 or %r1, %r2, %r2
10977 stxa %r2, [%r0] ASI_LSU_CONTROL
10978 ta T_CHANGE_NONHPRIV
10979 .word 0x3d400001 ! 649: FBPULE fbule,a,pn %fcc0, <label_0x1>
10980 .word 0xe6800b60 ! 653: LDUWA_R lduwa [%r0, %r0] 0x5b, %r19
10981pmu_4_353:
10982 nop
10983 nop
10984 ta T_CHANGE_PRIV
10985 setx 0xffffffb8ffffffae, %g1, %g7
10986 .word 0xa3800007 ! 657: WR_PERF_COUNTER_R wr %r0, %r7, %-
10987cancelint_4_355:
10988 rdhpr %halt, %r9
10989 .word 0x85880000 ! 661: ALLCLEAN <illegal instruction>
10990splash_lsu_4_357:
10991 nop
10992 nop
10993 ta T_CHANGE_HPRIV
10994 set 0x99e80456, %r2
10995 mov 0x4, %r1
10996 sllx %r1, 32, %r1
10997 or %r1, %r2, %r2
10998 .word 0x2a800001 ! 1: BCS bcs,a <label_0x1>
10999 stxa %r2, [%r0] ASI_LSU_CONTROL
11000 ta T_CHANGE_NONHPRIV
11001 .word 0x3d400001 ! 665: FBPULE fbule,a,pn %fcc0, <label_0x1>
11002 .word 0xdabfc280 ! 669: STDA_R stda %r13, [%r31 + %r0] 0x14
11003 .word 0xdacfc540 ! 673: LDSBA_R ldsba [%r31, %r0] 0x2a, %r13
11004 .word 0xdbe7c600 ! 677: CASA_I casa [%r31] 0x30, %r0, %r13
11005demap_4_370:
11006 nop
11007 mov 0x80, %g3
11008 ta T_CHANGE_HPRIV
11009 .word 0x33400001 ! 1: FBPE fbe,a,pn %fcc0, <label_0x1>
11010 stxa %g3, [%g3] 0x57
11011 .word 0xc0bfda60 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd3
11012 .word 0xc09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r0
11013 stxa %g3, [%g3] 0x57
11014 wrhpr %g0, 0xb43, %hpstate ! ta T_CHANGE_NONHPRIV
11015 .word 0xda1fe078 ! 681: LDD_I ldd [%r31 + 0x0078], %r13
11016br_longdelay4_4_373:
11017 nop
11018 not %g0, %r27
11019 jmpl %r27+0, %r27
11020 .word 0x9d902002 ! 685: WRPR_WSTATE_I wrpr %r0, 0x0002, %wstate
11021#if (defined SPC || defined CMP1)
11022!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_376) , 16, 16)) -> intp(2,0,2,*,936,*,bd,1)
11023!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_376)&0xffffffff) , 16, 16)) -> intp(5,0,23,*,648,*,bd,1)
11024#else
11025 nop
11026 nop
11027 set 0x3540eed7, %r28 !TTID : 6 (mask2tid(0x4))
11028#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11029 sethi %hi(0x3800), %r27
11030 andn %r28, %r27, %r28
11031#ifdef PORTABLE_CORE
11032 ! Add CID to vector
11033 ta T_CHANGE_HPRIV
11034 ldxa [%g0]0x63, %r27
11035 sllx %r27, 8, %r27
11036 or %r27, %r28, %r28
11037#endif
11038#else
11039 ! Add CID IF tid matches
11040ifelse(6,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11041#endif
11042ifelse(6,mask2tid(0x4),`.align 16')
11043 stxa %r28, [%g0] 0x73
11044#endif
11045intvec_4_376:
11046#if (defined SPC || defined CMP1)
11047 wrhpr %g0, 0x0, %halt ! HALT
11048#else
11049ifelse(6,mask2tid(0x4),`wrhpr %g0, 0x0, %halt ! HALT')
11050#endif
11051 .word 0x93b084c7 ! 689: FCMPNE32 fcmpne32 %d2, %d38, %r9
11052 .word 0xc32fe090 ! 693: STXFSR_I st-sfr %f1, [0x0090, %r31]
11053 nop
11054 nop
11055 set 0xf700fd3a, %r28 !TTID : 5 (mask2tid(0x4))
11056#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11057 sethi %hi(0x3800), %r27
11058 andn %r28, %r27, %r28
11059#ifdef PORTABLE_CORE
11060 ! Add CID to vector
11061 ta T_CHANGE_HPRIV
11062 ldxa [%g0]0x63, %r27
11063 sllx %r27, 8, %r27
11064 or %r27, %r28, %r28
11065#endif
11066#else
11067 ! Add CID IF tid matches
11068ifelse(5,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11069#endif
11070 sethi %hi(0x30000), %r27
11071 andn %r28, %r27, %r28
11072 ta T_CHANGE_HPRIV
11073ifelse(5,mask2tid(0x4),`.align 16')
11074 stxa %r28, [%g0] 0x73
11075intvec_4_381:
11076 .word 0x97b4c4c7 ! 697: FCMPNE32 fcmpne32 %d50, %d38, %r11
11077 nop
11078 nop
11079 ta T_CHANGE_HPRIV
11080 mov 0x1, %r11
11081splash_cmpr_4_383:
11082 sllx %r11, 63, %r11
11083 not %r11, %r11
11084 rd %tick, %r10
11085#if (defined SPC || defined CMP1)
11086 add %r10, 0x250, %r10
11087#else
11088 add %r10, 0x700, %r10
11089#endif
11090 and %r10, %r11, %r10
11091 wrhpr %r10, %g0, %hsys_tick_cmpr
11092 wrhpr %g0, 0x0, %halt ! HALT
11093 rd %tick, %r10
11094#if (defined SPC || defined CMP1)
11095 add %r10, 0x200, %r10
11096#else
11097 add %r10, 0x380, %r10
11098#endif
11099 and %r10, %r11, %r10
11100 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
11101#if (defined SPC || defined CMP1)
11102!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_4_383)+8 , 16, 16)) -> intp(5,0,31,*,928,*,c4,1)
11103!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_4_383)&0xffffffff)+8 , 16, 16)) -> intp(0,0,14,*,672,*,c4,1)
11104#endif
11105 wrhpr %g0, 0x0, %halt ! HALT
11106 .word 0x81983ccf ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1ccf, %hpstate
11107 .word 0x81b01021 ! 701: SIAM siam 1
11108memptr_4_385:
11109 set 0x60740000, %r31
11110 .word 0x8580765e ! 705: WRCCR_I wr %r1, 0x165e, %ccr
11111 .word 0x22780002 ! 709: BPE <illegal instruction>
11112 .word 0xd13fe0aa ! 713: STDF_I std %f8, [0x00aa, %r31]
11113 nop
11114 nop
11115 set 0xe90dec6, %r28 !TTID : 6 (mask2tid(0x4))
11116#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11117 sethi %hi(0x3800), %r27
11118 andn %r28, %r27, %r28
11119#ifdef PORTABLE_CORE
11120 ! Add CID to vector
11121 ta T_CHANGE_HPRIV
11122 ldxa [%g0]0x63, %r27
11123 sllx %r27, 8, %r27
11124 or %r27, %r28, %r28
11125#endif
11126#else
11127 ! Add CID IF tid matches
11128ifelse(6,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11129#endif
11130 sethi %hi(0x30000), %r27
11131 andn %r28, %r27, %r28
11132 ta T_CHANGE_HPRIV
11133ifelse(6,mask2tid(0x4),`.align 16')
11134 stxa %r28, [%g0] 0x73
11135intvec_4_391:
11136 .word 0x19400001 ! 717: FBPUGE fbuge
11137 nop
11138 nop
11139 ta T_CHANGE_HPRIV ! macro
11140donret_4_392:
11141 rd %pc, %r12
11142 mov HIGHVA_HIGHNUM, %r10
11143 sllx %r10, 32, %r10
11144 or %r12, %r10, %r12
11145 add %r12, (donretarg_4_392-donret_4_392), %r12
11146 add %r12, 0x8, %r11 ! nonseq tnpc
11147 wrpr %g0, 0x1, %tl
11148 wrpr %g0, %r12, %tpc
11149 wrpr %g0, %r11, %tnpc
11150 set (0x00855700 | (0x8b << 24)), %r13
11151 and %r12, 0xfff, %r14
11152 sllx %r14, 32, %r14
11153 or %r13, %r14, %r20
11154 wrpr %r20, %g0, %tstate
11155 wrhpr %g0, 0xbca, %htstate
11156 best_set_reg(0x1379, %g1, %g2)
11157 wrpr %g0, %g2, %pstate ! rand=0 (4)
11158 ldx [%r12+%r0], %g1
11159 retry
11160donretarg_4_392:
11161 .word 0x22c90001 ! 721: BRZ brz,a,pt %r4,<label_0x90001>
11162 .word 0x24780001 ! 725: BPLE <illegal instruction>
11163splash_tba_4_395:
11164 nop
11165 ta T_CHANGE_PRIV
11166 set 0x120000, %r12
11167 .word 0x8b90000c ! 729: WRPR_TBA_R wrpr %r0, %r12, %tba
11168 .word 0x87aa4a50 ! 733: FCMPd fcmpd %fcc<n>, %f40, %f16
11169 .word 0xc1bfe0c0 ! 737: STDFA_I stda %f0, [0x00c0, %r31]
11170 .word 0xe8c7d060 ! 741: LDSWA_R ldswa [%r31, %r0] 0x83, %r20
11171intveclr_4_399:
11172 nop
11173 nop
11174 ta T_CHANGE_HPRIV
11175 setx 0x8d4204ae4acede01, %r1, %r28
11176 stxa %r28, [%g0] 0x72
11177 .word 0x05400001 ! 745: FBPLG fblg
11178jmptr_4_402:
11179 nop
11180 nop
11181 best_set_reg(0xe0200000, %r20, %r27)
11182 .word 0xb7c6c000 ! 749: JMPL_R jmpl %r27 + %r0, %r27
11183brcommon3_4_405:
11184 nop
11185 nop
11186 setx common_target, %r12, %r27
11187 lduw [%r27-0], %r12 ! Load common dest into dcache ..
11188 stuw %r12, [%r27-0] ! Load common dest into dcache ..
11189 ba,a .+12
11190 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
11191 ba,a .+8
11192 jmpl %r27-4, %r27
11193 .word 0xe89fd920 ! 753: LDDA_R ldda [%r31, %r0] 0xc9, %r20
11194jmptr_4_407:
11195 nop
11196 nop
11197 best_set_reg(0xe1200000, %r20, %r27)
11198 .word 0xb7c6c000 ! 757: JMPL_R jmpl %r27 + %r0, %r27
11199 .word 0xe927e1ad ! 761: STF_I st %f20, [0x01ad, %r31]
11200splash_lsu_4_412:
11201 nop
11202 nop
11203 ta T_CHANGE_HPRIV
11204 set 0xdfdcf42d, %r2
11205 mov 0x1, %r1
11206 sllx %r1, 32, %r1
11207 or %r1, %r2, %r2
11208 .word 0x05400001 ! 1: FBPLG fblg
11209 stxa %r2, [%r0] ASI_LSU_CONTROL
11210 .word 0x3d400001 ! 765: FBPULE fbule,a,pn %fcc0, <label_0x1>
11211memptr_4_415:
11212 set 0x60140000, %r31
11213 .word 0x85833e8c ! 769: WRCCR_I wr %r12, 0x1e8c, %ccr
11214 nop
11215 nop
11216 ta T_CHANGE_HPRIV ! macro
11217donret_4_417:
11218 rd %pc, %r12
11219 mov HIGHVA_HIGHNUM, %r10
11220 sllx %r10, 32, %r10
11221 or %r12, %r10, %r12
11222 add %r12, (donretarg_4_417-donret_4_417), %r12
11223 add %r12, 0x4, %r11 ! seq tnpc
11224 andn %r11, %r10, %r11 ! low VA tnpc
11225 wrpr %g0, 0x1, %tl
11226 wrpr %g0, %r12, %tpc
11227 wrpr %g0, %r11, %tnpc
11228 set (0x00c36f00 | (0x88 << 24)), %r13
11229 and %r12, 0xfff, %r14
11230 sllx %r14, 32, %r14
11231 or %r13, %r14, %r20
11232 wrpr %r20, %g0, %tstate
11233 wrhpr %g0, 0x144f, %htstate
11234 best_set_reg(0x1c0, %g1, %g2)
11235 wrpr %g0, %g2, %pstate ! rand=0 (4)
11236 ldx [%r11+%r0], %g1
11237 done
11238.align 512
11239donretarg_4_417:
11240 .word 0x22cd0001 ! 773: BRZ brz,a,pt %r20,<label_0xd0001>
11241 .word 0xe87fe140 ! 777: SWAP_I swap %r20, [%r31 + 0x0140]
11242 .word 0xa5a109c9 ! 781: FDIVd fdivd %f4, %f40, %f18
11243trapasi_4_422:
11244 nop
11245 mov 0x0, %r1 ! (VA for ASI 0x73)
11246 .word 0xd4d84e60 ! 785: LDXA_R ldxa [%r1, %r0] 0x73, %r10
11247splash_hpstate_4_423:
11248 ta T_CHANGE_NONHPRIV
11249 .word 0x8198374d ! 789: WRHPR_HPSTATE_I wrhpr %r0, 0x174d, %hpstate
11250 .word 0xe1bfe0c0 ! 793: STDFA_I stda %f16, [0x00c0, %r31]
11251 .word 0xc30fc000 ! 797: LDXFSR_R ld-fsr [%r31, %r0], %f1
11252 nop
11253 nop
11254 ta T_CHANGE_PRIV
11255 wrpr %g0, %g0, %gl
11256 nop
11257 nop
11258.text
11259 setx join_lbl_0_0, %g1, %g2
11260 jmp %g2
11261 nop
11262fork_lbl_0_2:
11263 wrhpr %g0, 0x1da, %hpstate ! ta T_CHANGE_NONHPRIV
11264br_longdelay4_2_0:
11265 nop
11266 not %g0, %r27
11267 jmpl %r27+0, %r27
11268brcommon2_2_1:
11269 nop
11270 nop
11271 setx common_target, %r12, %r27
11272 ba,a .+12
11273 .word 0xe714c005 ! 1: LDQF_R - [%r19, %r5], %f19
11274 ba,a .+8
11275 jmpl %r27-4, %r27
11276 .word 0xc19fdb20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
11277brcommon2_2_4:
11278 nop
11279 nop
11280 setx common_target, %r12, %r27
11281 ba,a .+12
11282 .word 0x99a4c9c5 ! 1: FDIVd fdivd %f50, %f36, %f12
11283 ba,a .+8
11284 jmpl %r27-0, %r27
11285 .word 0xa1b7c7c0 ! 5: PDIST pdistn %d62, %d0, %d16
11286dvapa_2_6:
11287 nop
11288 nop
11289 ta T_CHANGE_HPRIV
11290 mov 0x825, %r20
11291 mov 0x1a, %r19
11292 sllx %r20, 23, %r20
11293 or %r19, %r20, %r19
11294 stxa %r19, [%g0] ASI_LSU_CONTROL
11295 mov 0x38, %r18
11296 stxa %r31, [%r18]0x58
11297 wrhpr %g0, 0xc08, %hpstate ! ta T_CHANGE_NONHPRIV
11298 .word 0x87a90a51 ! 9: FCMPd fcmpd %fcc<n>, %f4, %f48
11299demap_2_9:
11300 nop
11301 mov 0x80, %g3
11302 ta T_CHANGE_HPRIV
11303 .word 0x2ecac001 ! 1: BRGEZ brgez,a,pt %r11,<label_0xac001>
11304 stxa %g3, [%g3] 0x57
11305 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11306 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11307 stxa %g3, [%g3] 0x5f
11308 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11309 wrhpr %g0, 0x58a, %hpstate ! ta T_CHANGE_NONHPRIV
11310 .word 0xe61fe011 ! 13: LDD_I ldd [%r31 + 0x0011], %r19
11311 .word 0xe737c000 ! 17: STQF_R - %f19, [%r0, %r31]
11312 .word 0x8980000a ! 21: WRTICK_R wr %r0, %r10, %tick
11313memptr_2_14:
11314 set 0x60340000, %r31
11315 .word 0x8585216a ! 25: WRCCR_I wr %r20, 0x016a, %ccr
11316jmptr_2_17:
11317 nop
11318 nop
11319 best_set_reg(0xe0a00000, %r20, %r27)
11320 .word 0xb7c6c000 ! 29: JMPL_R jmpl %r27 + %r0, %r27
11321 .word 0xe73fe091 ! 33: STDF_I std %f19, [0x0091, %r31]
11322jmptr_2_19:
11323 nop
11324 nop
11325 best_set_reg(0xe1a00000, %r20, %r27)
11326 .word 0xb7c6c000 ! 37: JMPL_R jmpl %r27 + %r0, %r27
11327intveclr_2_20:
11328 nop
11329 nop
11330 ta T_CHANGE_HPRIV
11331 setx 0x9f78f4ce6eb50fe0, %r1, %r28
11332 stxa %r28, [%g0] 0x72
11333 wrhpr %g0, 0xd0, %hpstate ! ta T_CHANGE_NONHPRIV
11334 .word 0x25400001 ! 41: FBPLG fblg,a,pn %fcc0, <label_0x1>
11335 nop
11336 nop
11337 ta T_CHANGE_HPRIV ! macro
11338donret_2_21:
11339 rd %pc, %r12
11340 mov HIGHVA_HIGHNUM, %r10
11341 sllx %r10, 32, %r10
11342 or %r12, %r10, %r12
11343 add %r12, (donretarg_2_21-donret_2_21+4), %r12
11344 add %r12, 0x4, %r11 ! seq tnpc
11345 wrpr %g0, 0x1, %tl
11346 wrpr %g0, %r12, %tpc
11347 wrpr %g0, %r11, %tnpc
11348 set (0x00a7ae00 | (28 << 24)), %r13
11349 and %r12, 0xfff, %r14
11350 sllx %r14, 32, %r14
11351 or %r13, %r14, %r20
11352 wrpr %r20, %g0, %tstate
11353 wrhpr %g0, 0x1f55, %htstate
11354 best_set_reg(0x14c2, %g1, %g2)
11355 wrpr %g0, %g2, %pstate ! rand=0 (2)
11356 done
11357.align 512
11358donretarg_2_21:
11359 .word 0xe66fe02a ! 45: LDSTUB_I ldstub %r19, [%r31 + 0x002a]
11360 .word 0xe1bfde20 ! 49: STDFA_R stda %f16, [%r0, %r31]
11361cancelint_2_26:
11362 rdhpr %halt, %r9
11363 .word 0x85880000 ! 53: ALLCLEAN <illegal instruction>
11364 .word 0x8d902fe4 ! 57: WRPR_PSTATE_I wrpr %r0, 0x0fe4, %pstate
11365 .word 0x9f802ef3 ! 61: SIR sir 0x0ef3
11366ibp_2_32:
11367 nop
11368 nop
11369 .word 0xc1bfdf00 ! 65: STDFA_R stda %f0, [%r0, %r31]
11370br_longdelay3_2_35:
11371 nop
11372 not %g0, %r27
11373 jmpl %r27+0, %r27
11374 .word 0x81982ed7 ! 69: WRHPR_HPSTATE_I wrhpr %r0, 0x0ed7, %hpstate
11375cancelint_2_38:
11376 rdhpr %halt, %r20
11377 .word 0x85880000 ! 73: ALLCLEAN <illegal instruction>
11378 nop
11379 nop
11380 ta T_CHANGE_HPRIV
11381 mov 0x0, %r11
11382splash_cmpr_2_40:
11383 sllx %r11, 63, %r11
11384 not %r11, %r11
11385 rd %tick, %r10
11386#if (defined SPC || defined CMP1)
11387 add %r10, 0x100, %r10
11388#else
11389 add %r10, 0x550, %r10
11390#endif
11391 and %r10, %r11, %r10
11392 wrhpr %r10, %g0, %hsys_tick_cmpr
11393 rd %tick, %r10
11394#if (defined SPC || defined CMP1)
11395 add %r10, 0x150, %r10
11396#else
11397 add %r10, 0x550, %r10
11398#endif
11399 and %r10, %r11, %r10
11400 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
11401 .word 0x81982646 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0646, %hpstate
11402 .word 0x81b01021 ! 77: SIAM siam 1
11403 nop
11404 nop
11405 set 0x2bd03268, %r28 !TTID : 2 (mask2tid(0x2))
11406#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11407 sethi %hi(0x3800), %r27
11408 andn %r28, %r27, %r28
11409#ifdef PORTABLE_CORE
11410 ! Add CID to vector
11411 ta T_CHANGE_HPRIV
11412 ldxa [%g0]0x63, %r27
11413 sllx %r27, 8, %r27
11414 or %r27, %r28, %r28
11415#endif
11416#else
11417 ! Add CID IF tid matches
11418ifelse(2,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11419#endif
11420 sethi %hi(0x30000), %r27
11421 andn %r28, %r27, %r28
11422 ta T_CHANGE_HPRIV
11423ifelse(2,mask2tid(0x2),`.align 16')
11424 stxa %r28, [%g0] 0x73
11425intvec_2_43:
11426 .word 0xa5a049cd ! 81: FDIVd fdivd %f32, %f44, %f18
11427brcommon2_2_45:
11428 nop
11429 nop
11430 setx common_target, %r12, %r27
11431 ba,a .+12
11432 .word 0xdb14c008 ! 1: LDQF_R - [%r19, %r8], %f13
11433 ba,a .+8
11434 jmpl %r27-0, %r27
11435 .word 0x00800001 ! 85: BN bn <label_0x1>
11436brcommon1_2_48:
11437 nop
11438 nop
11439 setx common_target, %r12, %r27
11440 lduw [%r27-0], %r12 ! Load common dest into dcache ..
11441 stuw %r12, [%r27-0] ! Load common dest into dcache ..
11442 ba,a .+12
11443 .word 0xda6fe080 ! 1: LDSTUB_I ldstub %r13, [%r31 + 0x0080]
11444 ba,a .+8
11445 jmpl %r27-4, %r27
11446 .word 0x20800002 ! 89: BN bn,a <label_0x2>
11447 .word 0x9191000b ! 93: WRPR_PIL_R wrpr %r4, %r11, %pil
11448 .word 0xd8bfe100 ! 97: STDA_I stda %r12, [%r31 + 0x0100] %asi
11449 nop
11450 nop
11451 ta T_CHANGE_HPRIV ! macro
11452donret_2_54:
11453 rd %pc, %r12
11454 mov HIGHVA_HIGHNUM, %r10
11455 sllx %r10, 32, %r10
11456 or %r12, %r10, %r12
11457 add %r12, (donretarg_2_54-donret_2_54), %r12
11458 add %r12, 0x4, %r11 ! seq tnpc
11459 wrpr %g0, 0x2, %tl
11460 wrpr %g0, %r12, %tpc
11461 wrpr %g0, %r11, %tnpc
11462 set (0x00659e00 | (0x83 << 24)), %r13
11463 and %r12, 0xfff, %r14
11464 sllx %r14, 32, %r14
11465 or %r13, %r14, %r20
11466 wrpr %r20, %g0, %tstate
11467 wrhpr %g0, 0x1bdd, %htstate
11468 best_set_reg(0x5b, %g1, %g2)
11469 wrpr %g0, %g2, %pstate ! rand=0 (2)
11470 ldx [%r12+%r0], %g1
11471 retry
11472donretarg_2_54:
11473 .word 0xd86fe08f ! 101: LDSTUB_I ldstub %r12, [%r31 + 0x008f]
11474splash_lsu_2_57:
11475 nop
11476 nop
11477 ta T_CHANGE_HPRIV
11478 set 0x41eb3652, %r2
11479 mov 0x7, %r1
11480 sllx %r1, 32, %r1
11481 or %r1, %r2, %r2
11482 stxa %r2, [%r0] ASI_LSU_CONTROL
11483 .word 0x3d400001 ! 105: FBPULE fbule,a,pn %fcc0, <label_0x1>
11484 .word 0xc19fe100 ! 109: LDDFA_I ldda [%r31, 0x0100], %f0
11485 .word 0xe1bfe060 ! 113: STDFA_I stda %f16, [0x0060, %r31]
11486splash_lsu_2_62:
11487 nop
11488 nop
11489 ta T_CHANGE_HPRIV
11490 set 0xef8d955f, %r2
11491 mov 0x1, %r1
11492 sllx %r1, 32, %r1
11493 or %r1, %r2, %r2
11494 stxa %r2, [%r0] ASI_LSU_CONTROL
11495 .word 0x3d400001 ! 117: FBPULE fbule,a,pn %fcc0, <label_0x1>
11496 ble,a skip_2_64
11497 stxa %r9, [%r0] ASI_LSU_CONTROL
11498 fble,a,pn %fcc0, skip_2_64
11499 stxa %r19, [%r0] ASI_LSU_CONTROL
11500.align 4096
11501skip_2_64:
11502 .word 0xf16fe077 ! 121: PREFETCH_I prefetch [%r31 + 0x0077], #24
11503 .word 0xd89fe0a0 ! 125: LDDA_I ldda [%r31, + 0x00a0] %asi, %r12
11504demap_2_68:
11505 nop
11506 mov 0x80, %g3
11507 ta T_CHANGE_HPRIV
11508 .word 0x24c88001 ! 1: BRLEZ brlez,a,pt %r2,<label_0x88001>
11509 stxa %g3, [%g3] 0x57
11510 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11511 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11512 wrhpr %g0, 0x9d9, %hpstate ! ta T_CHANGE_NONHPRIV
11513 .word 0xd81fe0d8 ! 129: LDD_I ldd [%r31 + 0x00d8], %r12
11514splash_lsu_2_72:
11515 nop
11516 nop
11517 ta T_CHANGE_HPRIV
11518 set 0xd320b6f2, %r2
11519 mov 0x7, %r1
11520 sllx %r1, 32, %r1
11521 or %r1, %r2, %r2
11522 .word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
11523 stxa %r2, [%r0] ASI_LSU_CONTROL
11524 .word 0x1d400001 ! 133: FBPULE fbule
11525 .word 0xd83fc000 ! 137: STD_R std %r12, [%r31 + %r0]
11526cancelint_2_76:
11527 rdhpr %halt, %r9
11528 .word 0x85880000 ! 141: ALLCLEAN <illegal instruction>
11529cancelint_2_78:
11530 rdhpr %halt, %r20
11531 .word 0x85880000 ! 145: ALLCLEAN <illegal instruction>
11532frzptr_2_82:
11533 nop
11534 nop
11535 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
11536 ldx [%r27+0xc], %r20
11537 jmpl %r27+4, %r27
11538 .word 0x91b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d8
11539 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
11540 jmpl %r27, %r27
11541 .word 0x00800001 ! 149: BN bn <label_0x1>
11542splash_lsu_2_85:
11543 nop
11544 nop
11545 ta T_CHANGE_HPRIV
11546 set 0x616eb6c8, %r2
11547 mov 0x6, %r1
11548 sllx %r1, 32, %r1
11549 or %r1, %r2, %r2
11550 .word 0x3e800001 ! 1: BVC bvc,a <label_0x1>
11551 stxa %r2, [%r0] ASI_LSU_CONTROL
11552 .word 0x3d400001 ! 153: FBPULE fbule,a,pn %fcc0, <label_0x1>
11553 .word 0x8980000a ! 157: WRTICK_R wr %r0, %r10, %tick
11554frzptr_2_91:
11555 nop
11556 nop
11557 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
11558 ldx [%r27+0xc], %r20
11559 jmpl %r27, %r27
11560 .word 0x00800002 ! 161: BN bn <label_0x2>
11561brcommon3_2_93:
11562 nop
11563 nop
11564 setx common_target, %r12, %r27
11565 lduw [%r27-4], %r12 ! Load common dest into dcache ..
11566 stuw %r12, [%r27-4] ! Load common dest into dcache ..
11567 ba,a .+12
11568 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
11569 ba,a .+8
11570 jmpl %r27+0, %r27
11571 .word 0x8d902085 ! 165: WRPR_PSTATE_I wrpr %r0, 0x0085, %pstate
11572 .word 0x91940013 ! 169: WRPR_PIL_R wrpr %r16, %r19, %pil
11573splash_lsu_2_97:
11574 nop
11575 nop
11576 ta T_CHANGE_HPRIV
11577 set 0x895ed26c, %r2
11578 mov 0x7, %r1
11579 sllx %r1, 32, %r1
11580 or %r1, %r2, %r2
11581 .word 0x26800001 ! 1: BL bl,a <label_0x1>
11582 stxa %r2, [%r0] ASI_LSU_CONTROL
11583 .word 0x1d400002 ! 173: FBPULE fbule
11584 .word 0xd047e158 ! 177: LDSW_I ldsw [%r31 + 0x0158], %r8
11585 .word 0xc1bfdb20 ! 181: STDFA_R stda %f0, [%r0, %r31]
11586brcommon3_2_100:
11587 nop
11588 nop
11589 setx common_target, %r12, %r27
11590 lduw [%r27-0], %r12 ! Load common dest into dcache ..
11591 stuw %r12, [%r27-0] ! Load common dest into dcache ..
11592 ba,a .+12
11593 .word 0xd137e160 ! 1: STQF_I - %f8, [0x0160, %r31]
11594 ba,a .+8
11595 jmpl %r27+0, %r27
11596 .word 0x81983e45 ! 185: WRHPR_HPSTATE_I wrhpr %r0, 0x1e45, %hpstate
11597 .word 0xd0dfc200 ! 189: LDXA_R ldxa [%r31, %r0] 0x10, %r8
11598jmptr_2_104:
11599 nop
11600 nop
11601 best_set_reg(0xe0a00000, %r20, %r27)
11602 .word 0xb7c6c000 ! 193: JMPL_R jmpl %r27 + %r0, %r27
11603intveclr_2_106:
11604 nop
11605 nop
11606 ta T_CHANGE_HPRIV
11607 setx 0x5c0fa078f8ff46cf, %r1, %r28
11608 stxa %r28, [%g0] 0x72
11609 wrhpr %g0, 0xa59, %hpstate ! ta T_CHANGE_NONHPRIV
11610 .word 0x05400002 ! 197: FBPLG fblg
11611 brlez,a,pt %r2, skip_2_108
11612 fbule,a,pn %fcc0, skip_2_108
11613.align 2048
11614skip_2_108:
11615 .word 0x99a109cc ! 201: FDIVd fdivd %f4, %f12, %f12
11616 .word 0xe8800c00 ! 205: LDUWA_R lduwa [%r0, %r0] 0x60, %r20
11617 nop
11618 nop
11619 ta T_CHANGE_HPRIV ! macro
11620donret_2_111:
11621 rd %pc, %r12
11622 mov HIGHVA_HIGHNUM, %r10
11623 sllx %r10, 32, %r10
11624 or %r12, %r10, %r12
11625 add %r12, (donretarg_2_111-donret_2_111+4), %r12
11626 add %r12, 0x4, %r11 ! seq tnpc
11627 andn %r11, %r10, %r11 ! low VA tnpc
11628 wrpr %g0, 0x2, %tl
11629 wrpr %g0, %r12, %tpc
11630 wrpr %g0, %r11, %tnpc
11631 set (0x0026e600 | (0x82 << 24)), %r13
11632 and %r12, 0xfff, %r14
11633 sllx %r14, 32, %r14
11634 or %r13, %r14, %r20
11635 wrpr %r20, %g0, %tstate
11636 wrhpr %g0, 0x13cb, %htstate
11637 best_set_reg(0x193a, %g1, %g2)
11638 wrpr %g0, %g2, %pstate ! rand=0 (2)
11639 ldx [%r11+%r0], %g1
11640 done
11641donretarg_2_111:
11642 .word 0x8d903cd3 ! 209: WRPR_PSTATE_I wrpr %r0, 0x1cd3, %pstate
11643 .word 0x9f8028cb ! 213: SIR sir 0x08cb
11644intveclr_2_115:
11645 nop
11646 nop
11647 ta T_CHANGE_HPRIV
11648 setx 0x8679d6a46e8af8ae, %r1, %r28
11649 stxa %r28, [%g0] 0x72
11650 wrhpr %g0, 0xf92, %hpstate ! ta T_CHANGE_NONHPRIV
11651 .word 0x25400001 ! 217: FBPLG fblg,a,pn %fcc0, <label_0x1>
11652 .word 0xe927e158 ! 221: STF_I st %f20, [0x0158, %r31]
11653 .word 0x91944003 ! 225: WRPR_PIL_R wrpr %r17, %r3, %pil
11654splash_tba_2_121:
11655 nop
11656 ta T_CHANGE_PRIV
11657 setx 0x00000000003a0000, %r11, %r12
11658 .word 0x8b90000c ! 229: WRPR_TBA_R wrpr %r0, %r12, %tba
11659 .word 0xe937c000 ! 233: STQF_R - %f20, [%r0, %r31]
11660memptr_2_123:
11661 set user_data_start, %r31
11662 .word 0x8581e820 ! 237: WRCCR_I wr %r7, 0x0820, %ccr
11663br_badelay2_2_124:
11664 .word 0x22800001 ! 1: BE be,a <label_0x1>
11665 pdist %f6, %f30, %f22
11666 .word 0xa5b50304 ! 241: ALIGNADDRESS alignaddr %r20, %r4, %r18
11667 .word 0xd0dfc6c0 ! 245: LDXA_R ldxa [%r31, %r0] 0x36, %r8
11668brcommon3_2_127:
11669 nop
11670 nop
11671 setx common_target, %r12, %r27
11672 lduw [%r27-4], %r12 ! Load common dest into dcache ..
11673 stuw %r12, [%r27-0] ! Load common dest into dcache ..
11674 ba,a .+12
11675 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
11676 ba,a .+8
11677 jmpl %r27+0, %r27
11678 .word 0x00800001 ! 249: BN bn <label_0x1>
11679memptr_2_129:
11680 set user_data_start, %r31
11681 .word 0x8584b455 ! 253: WRCCR_I wr %r18, 0x1455, %ccr
11682splash_lsu_2_132:
11683 nop
11684 nop
11685 ta T_CHANGE_HPRIV
11686 set 0x472886b3, %r2
11687 mov 0x2, %r1
11688 sllx %r1, 32, %r1
11689 or %r1, %r2, %r2
11690 .word 0x1a800001 ! 1: BCC bcc <label_0x1>
11691 stxa %r2, [%r0] ASI_LSU_CONTROL
11692 ta T_CHANGE_NONHPRIV
11693 .word 0x3d400002 ! 257: FBPULE fbule,a,pn %fcc0, <label_0x2>
11694 bleu skip_2_136
11695 stxa %r17, [%r0] ASI_LSU_CONTROL
11696 bgu,a skip_2_136
11697 stxa %r15, [%r0] ASI_LSU_CONTROL
11698.align 512
11699skip_2_136:
11700 .word 0xf16fe0f2 ! 261: PREFETCH_I prefetch [%r31 + 0x00f2], #24
11701frzptr_2_139:
11702 nop
11703 nop
11704 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
11705 ldx [%r27+0xc], %r20
11706 jmpl %r27, %r27
11707 .word 0xe19fde20 ! 265: LDDFA_R ldda [%r31, %r0], %f16
11708 .word 0xe19fdc40 ! 269: LDDFA_R ldda [%r31, %r0], %f16
11709 .word 0x91940003 ! 273: WRPR_PIL_R wrpr %r16, %r3, %pil
11710 brgz,pt %r10, skip_2_145
11711 stxa %r15, [%r0] ASI_LSU_CONTROL
11712 fbuge,a,pn %fcc0, skip_2_145
11713 stxa %r17, [%r0] ASI_LSU_CONTROL
11714.align 1024
11715skip_2_145:
11716 .word 0xd03fe118 ! 277: STD_I std %r8, [%r31 + 0x0118]
11717brcommon3_2_147:
11718 nop
11719 nop
11720 setx common_target, %r12, %r27
11721 lduw [%r27], %r12 ! Load common dest into dcache ..
11722 stuw %r12, [%r27] ! Load common dest into dcache ..
11723 ba,a .+12
11724 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
11725 ba,a .+8
11726 jmpl %r27+0, %r27
11727 stxa %r6, [%r0] ASI_LSU_CONTROL
11728 .word 0xa9aac824 ! 281: FMOVGE fmovs %fcc1, %f4, %f20
11729br_badelay2_2_151:
11730 .word 0x34800001 ! 1: BG bg,a <label_0x1>
11731 pdist %f14, %f24, %f30
11732 .word 0x93b1c312 ! 285: ALIGNADDRESS alignaddr %r7, %r18, %r9
11733 .word 0xd53fe060 ! 289: STDF_I std %f10, [0x0060, %r31]
11734 .word 0xd53fe0c0 ! 293: STDF_I std %f10, [0x00c0, %r31]
11735 .word 0xe19fe040 ! 297: LDDFA_I ldda [%r31, 0x0040], %f16
11736 .word 0xd41fe1f0 ! 301: LDD_I ldd [%r31 + 0x01f0], %r10
11737 .word 0xe19fe080 ! 305: LDDFA_I ldda [%r31, 0x0080], %f16
11738 nop
11739 nop
11740 ta T_CHANGE_HPRIV ! macro
11741donret_2_161:
11742 rd %pc, %r12
11743 mov HIGHVA_HIGHNUM, %r10
11744 sllx %r10, 32, %r10
11745 or %r12, %r10, %r12
11746 add %r12, (donretarg_2_161-donret_2_161+4), %r12
11747 add %r12, 0x4, %r11 ! seq tnpc
11748 wrpr %g0, 0x2, %tl
11749 wrpr %g0, %r12, %tpc
11750 wrpr %g0, %r11, %tnpc
11751 set (0x009bf100 | (54 << 24)), %r13
11752 and %r12, 0xfff, %r14
11753 sllx %r14, 32, %r14
11754 or %r13, %r14, %r20
11755 wrpr %r20, %g0, %tstate
11756 wrhpr %g0, 0x16cf, %htstate
11757 wrhpr %g0, 0x1c8, %hpstate ! rand=1 (2)
11758 done
11759.align 512
11760donretarg_2_161:
11761 .word 0xd4ffc600 ! 309: SWAPA_R swapa %r10, [%r31 + %r0] 0x30
11762 .word 0x95454000 ! 313: RD_CLEAR_SOFTINT rd %clear_softint, %r10
11763 .word 0x91944012 ! 317: WRPR_PIL_R wrpr %r17, %r18, %pil
11764br_longdelay3_2_166:
11765 nop
11766 not %g0, %r27
11767 jmpl %r27+0, %r27
11768 .word 0x81983985 ! 321: WRHPR_HPSTATE_I wrhpr %r0, 0x1985, %hpstate
11769 .word 0xc1bfe1c0 ! 325: STDFA_I stda %f0, [0x01c0, %r31]
11770cancelint_2_171:
11771 rdhpr %halt, %r19
11772 .word 0x85880000 ! 329: ALLCLEAN <illegal instruction>
11773 .word 0xe1bfe120 ! 333: STDFA_I stda %f16, [0x0120, %r31]
11774 fblg skip_2_175
11775 bne,a skip_2_175
11776.align 2048
11777skip_2_175:
11778 .word 0xa3b504c4 ! 337: FCMPNE32 fcmpne32 %d20, %d4, %r17
11779frzptr_2_177:
11780 nop
11781 nop
11782 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
11783 jmpl %r27+4, %r27
11784 .word 0xa3a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f48
11785 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
11786 jmpl %r27, %r27
11787 .word 0x00800001 ! 341: BN bn <label_0x1>
11788demap_2_180:
11789 nop
11790 mov 0x80, %g3
11791 ta T_CHANGE_HPRIV
11792 .word 0x27400001 ! 1: FBPUL fbul,a,pn %fcc0, <label_0x1>
11793 stxa %g3, [%g3] 0x5f
11794 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11795 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11796 stxa %g3, [%g3] 0x57
11797 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11798 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11799 stxa %g3, [%g3] 0x57
11800 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11801 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11802 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11803 stxa %g3, [%g3] 0x5f
11804 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11805 wrhpr %g0, 0x780, %hpstate ! ta T_CHANGE_NONHPRIV
11806 .word 0xe21fe1b8 ! 345: LDD_I ldd [%r31 + 0x01b8], %r17
11807 .word 0x34800001 ! 1: BG bg,a <label_0x1>
11808 .word 0x8d903065 ! 349: WRPR_PSTATE_I wrpr %r0, 0x1065, %pstate
11809 fbn,a,pn %fcc0, skip_2_184
11810 stxa %r12, [%r0] ASI_LSU_CONTROL
11811 .word 0xa5a449c7 ! 1: FDIVd fdivd %f48, %f38, %f18
11812 stxa %r18, [%r0] ASI_LSU_CONTROL
11813.align 128
11814skip_2_184:
11815 .word 0xe23fe1da ! 353: STD_I std %r17, [%r31 + 0x01da]
11816splash_tba_2_187:
11817 nop
11818 ta T_CHANGE_PRIV
11819 set 0x120000, %r12
11820 .word 0x8b90000c ! 357: WRPR_TBA_R wrpr %r0, %r12, %tba
11821splash_lsu_2_189:
11822 nop
11823 nop
11824 ta T_CHANGE_HPRIV
11825 set 0x7f1d66b2, %r2
11826 mov 0x3, %r1
11827 sllx %r1, 32, %r1
11828 or %r1, %r2, %r2
11829 .word 0x18800001 ! 1: BGU bgu <label_0x1>
11830 stxa %r2, [%r0] ASI_LSU_CONTROL
11831 ta T_CHANGE_NONHPRIV
11832 .word 0x3d400001 ! 361: FBPULE fbule,a,pn %fcc0, <label_0x1>
11833 bge skip_2_192
11834 .word 0xa1b1c4d3 ! 1: FCMPNE32 fcmpne32 %d38, %d50, %r16
11835.align 4096
11836skip_2_192:
11837 .word 0x99b444d4 ! 365: FCMPNE32 fcmpne32 %d48, %d20, %r12
11838cancelint_2_194:
11839 rdhpr %halt, %r8
11840 .word 0x85880000 ! 369: ALLCLEAN <illegal instruction>
11841br_badelay3_2_195:
11842 .word 0x97a449d4 ! 1: FDIVd fdivd %f48, %f20, %f42
11843 .word 0x20800001 ! 1: BN bn,a <label_0x1>
11844 .word 0x93a4c9d0 ! 1: FDIVd fdivd %f50, %f16, %f40
11845 .word 0xa7a50832 ! 373: FADDs fadds %f20, %f18, %f19
11846#if (defined SPC || defined CMP1)
11847!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_198) , 16, 16)) -> intp(0,0,28,*,928,*,c3,1)
11848!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_198)&0xffffffff) , 16, 16)) -> intp(6,0,7,*,944,*,c3,1)
11849#else
11850 nop
11851 nop
11852 set 0x93502886, %r28 !TTID : 0 (mask2tid(0x2))
11853#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11854 sethi %hi(0x3800), %r27
11855 andn %r28, %r27, %r28
11856#ifdef PORTABLE_CORE
11857 ! Add CID to vector
11858 ta T_CHANGE_HPRIV
11859 ldxa [%g0]0x63, %r27
11860 sllx %r27, 8, %r27
11861 or %r27, %r28, %r28
11862#endif
11863#else
11864 ! Add CID IF tid matches
11865ifelse(0,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11866#endif
11867ifelse(0,mask2tid(0x2),`.align 16')
11868 stxa %r28, [%g0] 0x73
11869#endif
11870intvec_2_198:
11871#if (defined SPC || defined CMP1)
11872 wrhpr %g0, 0x0, %halt ! HALT
11873#else
11874ifelse(0,mask2tid(0x2),`wrhpr %g0, 0x0, %halt ! HALT')
11875#endif
11876 .word 0x19400001 ! 377: FBPUGE fbuge
11877 fblg skip_2_199
11878 .word 0x9bb4c4d3 ! 1: FCMPNE32 fcmpne32 %d50, %d50, %r13
11879.align 2048
11880skip_2_199:
11881 .word 0x19400001 ! 381: FBPUGE fbuge
11882 bvc skip_2_200
11883 fblg,a,pn %fcc0, skip_2_200
11884.align 128
11885skip_2_200:
11886 .word 0x87ad0a46 ! 385: FCMPd fcmpd %fcc<n>, %f20, %f6
11887brcommon3_2_203:
11888 nop
11889 nop
11890 setx common_target, %r12, %r27
11891 lduw [%r27-0], %r12 ! Load common dest into dcache ..
11892 stuw %r12, [%r27-4] ! Load common dest into dcache ..
11893 ba,a .+12
11894 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
11895 ba,a .+8
11896 jmpl %r27+0, %r27
11897 .word 0x20800001 ! 389: BN bn,a <label_0x1>
11898 .word 0xe937e0e5 ! 393: STQF_I - %f20, [0x00e5, %r31]
11899br_longdelay3_2_207:
11900 nop
11901 not %g0, %r27
11902 jmpl %r27+0, %r27
11903 .word 0x8d90399e ! 397: WRPR_PSTATE_I wrpr %r0, 0x199e, %pstate
11904jmptr_2_209:
11905 nop
11906 nop
11907 best_set_reg(0xe1a00000, %r20, %r27)
11908 .word 0xb7c6c000 ! 401: JMPL_R jmpl %r27 + %r0, %r27
11909intveclr_2_213:
11910 nop
11911 nop
11912 ta T_CHANGE_HPRIV
11913 setx 0xfbdfa01044995eff, %r1, %r28
11914 stxa %r28, [%g0] 0x72
11915 .word 0x05400001 ! 405: FBPLG fblg
11916 ble skip_2_216
11917 bl skip_2_216
11918.align 1024
11919skip_2_216:
11920 .word 0x91b284cc ! 409: FCMPNE32 fcmpne32 %d10, %d12, %r8
11921frzptr_2_219:
11922 nop
11923 nop
11924 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
11925 ldx [%r27+0xc], %r20
11926 jmpl %r27, %r27
11927 .word 0xe19fda60 ! 413: LDDFA_R ldda [%r31, %r0], %f16
11928 brlez,a,pt %r16, skip_2_221
11929 brlez,pn %r7, skip_2_221
11930.align 512
11931skip_2_221:
11932 .word 0x87ad0a4c ! 417: FCMPd fcmpd %fcc<n>, %f20, %f12
11933#if (defined SPC || defined CMP1)
11934!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_224) , 16, 16)) -> intp(2,0,25,*,1016,*,26,1)
11935!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_224)&0xffffffff) , 16, 16)) -> intp(7,0,11,*,728,*,26,1)
11936#else
11937 nop
11938 nop
11939 set 0xa9e0478d, %r28 !TTID : 7 (mask2tid(0x2))
11940#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11941 sethi %hi(0x3800), %r27
11942 andn %r28, %r27, %r28
11943#ifdef PORTABLE_CORE
11944 ! Add CID to vector
11945 ta T_CHANGE_HPRIV
11946 ldxa [%g0]0x63, %r27
11947 sllx %r27, 8, %r27
11948 or %r27, %r28, %r28
11949#endif
11950#else
11951 ! Add CID IF tid matches
11952ifelse(7,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11953#endif
11954ifelse(7,mask2tid(0x2),`.align 16')
11955 stxa %r28, [%g0] 0x73
11956#endif
11957intvec_2_224:
11958 .word 0x9ba409cc ! 421: FDIVd fdivd %f16, %f12, %f44
11959br_longdelay2_2_226:
11960 .word 0x18800001 ! 1: BGU bgu <label_0x1>
11961 .word 0xf16fe100 ! 425: PREFETCH_I prefetch [%r31 + 0x0100], #24
11962 ba skip_2_229
11963 bneg skip_2_229
11964.align 128
11965skip_2_229:
11966 .word 0x97a109c6 ! 429: FDIVd fdivd %f4, %f6, %f42
11967 .word 0xd69fdf00 ! 433: LDDA_R ldda [%r31, %r0] 0xf8, %r11
11968 nop
11969 nop
11970 set 0x1cc05f08, %r28 !TTID : 7 (mask2tid(0x2))
11971#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11972 sethi %hi(0x3800), %r27
11973 andn %r28, %r27, %r28
11974#ifdef PORTABLE_CORE
11975 ! Add CID to vector
11976 ta T_CHANGE_HPRIV
11977 ldxa [%g0]0x63, %r27
11978 sllx %r27, 8, %r27
11979 or %r27, %r28, %r28
11980#endif
11981#else
11982 ! Add CID IF tid matches
11983ifelse(7,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11984#endif
11985 sethi %hi(0x30000), %r27
11986 andn %r28, %r27, %r28
11987 ta T_CHANGE_HPRIV
11988ifelse(7,mask2tid(0x2),`.align 16')
11989 stxa %r28, [%g0] 0x73
11990intvec_2_233:
11991 .word 0x95a489d0 ! 437: FDIVd fdivd %f18, %f16, %f10
11992 .word 0x91904012 ! 441: WRPR_PIL_R wrpr %r1, %r18, %pil
11993jmptr_2_238:
11994 nop
11995 nop
11996 best_set_reg(0xe0a00000, %r20, %r27)
11997 .word 0xb7c6c000 ! 445: JMPL_R jmpl %r27 + %r0, %r27
11998 .word 0xd41fe0e0 ! 449: LDD_I ldd [%r31 + 0x00e0], %r10
11999 .word 0x29400001 ! 1: FBPL fbl,a,pn %fcc0, <label_0x1>
12000br_longdelay5_2_242:
12001#if (defined SPC || defined CMP1)
12002!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_2_242)&0xffffffff) , 16, 16)) -> intp(mask2tid(0x2),0,0,*,688,*,ffffffffffffffff,1)
12003!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_2_242)) , 16, 16)) -> intp(mask2tid(0x2),0,0,*,920,*,ffffffffffffffff,1)
12004 wrhpr %g0, 0x0, %halt ! HALT
12005#endif
12006 .word 0x87afca40 ! 453: FCMPd fcmpd %fcc<n>, %f62, %f0
12007 nop
12008 nop
12009 set 0xa320871a, %r28 !TTID : 7 (mask2tid(0x2))
12010#if (defined PORTABLE_CORE || MAX_THREADS == 8)
12011 sethi %hi(0x3800), %r27
12012 andn %r28, %r27, %r28
12013#ifdef PORTABLE_CORE
12014 ! Add CID to vector
12015 ta T_CHANGE_HPRIV
12016 ldxa [%g0]0x63, %r27
12017 sllx %r27, 8, %r27
12018 or %r27, %r28, %r28
12019#endif
12020#else
12021 ! Add CID IF tid matches
12022ifelse(7,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
12023#endif
12024 sethi %hi(0x30000), %r27
12025 andn %r28, %r27, %r28
12026 ta T_CHANGE_HPRIV
12027ifelse(7,mask2tid(0x2),`.align 16')
12028 stxa %r28, [%g0] 0x73
12029intvec_2_243:
12030 .word 0x39400001 ! 457: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
12031brcommon2_2_246:
12032 nop
12033 nop
12034 setx common_target, %r12, %r27
12035 ba,a .+12
12036 .word 0xe114c00d ! 1: LDQF_R - [%r19, %r13], %f16
12037 ba,a .+8
12038 jmpl %r27-4, %r27
12039 .word 0xc1bfdc00 ! 461: STDFA_R stda %f0, [%r0, %r31]
12040demap_2_248:
12041 nop
12042 mov 0x80, %g3
12043 ta T_CHANGE_HPRIV
12044 .word 0x2ecc0001 ! 1: BRGEZ brgez,a,pt %r16,<label_0xc0001>
12045 stxa %g3, [%g3] 0x57
12046 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
12047 stxa %g3, [%g3] 0x5f
12048 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12049 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12050 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12051 stxa %g3, [%g3] 0x5f
12052 stxa %g3, [%g3] 0x57
12053 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12054 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
12055 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12056 stxa %g3, [%g3] 0x5f
12057 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12058 stxa %g3, [%g3] 0x57
12059 stxa %g3, [%g3] 0x5f
12060 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
12061 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12062 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
12063 wrhpr %g0, 0x742, %hpstate ! ta T_CHANGE_NONHPRIV
12064 .word 0xe01fe150 ! 465: LDD_I ldd [%r31 + 0x0150], %r16
12065 .word 0xe19fde20 ! 469: LDDFA_R ldda [%r31, %r0], %f16
12066splash_lsu_2_254:
12067 nop
12068 nop
12069 ta T_CHANGE_HPRIV
12070 set 0x0cc0f81a, %r2
12071 mov 0x3, %r1
12072 sllx %r1, 32, %r1
12073 or %r1, %r2, %r2
12074 .word 0x2f400001 ! 1: FBPU fbu,a,pn %fcc0, <label_0x1>
12075 stxa %r2, [%r0] ASI_LSU_CONTROL
12076 .word 0x1d400001 ! 473: FBPULE fbule
12077 .word 0xe09fe1d0 ! 477: LDDA_I ldda [%r31, + 0x01d0] %asi, %r16
12078 .word 0x9194c005 ! 481: WRPR_PIL_R wrpr %r19, %r5, %pil
12079 .word 0x8980000a ! 485: WRTICK_R wr %r0, %r10, %tick
12080mondo_2_262:
12081 nop
12082 nop
12083 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
12084 stxa %r1, [%r0+0x3e8] %asi
12085 stxa %r19, [%r0+0x3d0] %asi
12086 .word 0x87802080 ! 1: WRASI_I wr %r0, 0x0080, %asi
12087 .word 0x9d948012 ! 489: WRPR_WSTATE_R wrpr %r18, %r18, %wstate
12088#if (defined SPC || defined CMP1)
12089!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_266) , 16, 16)) -> intp(7,0,26,*,688,*,3f,1)
12090!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_266)&0xffffffff) , 16, 16)) -> intp(6,0,21,*,688,*,3f,1)
12091#else
12092 nop
12093 nop
12094 set 0x10a09619, %r28 !TTID : 6 (mask2tid(0x2))
12095#if (defined PORTABLE_CORE || MAX_THREADS == 8)
12096 sethi %hi(0x3800), %r27
12097 andn %r28, %r27, %r28
12098#ifdef PORTABLE_CORE
12099 ! Add CID to vector
12100 ta T_CHANGE_HPRIV
12101 ldxa [%g0]0x63, %r27
12102 sllx %r27, 8, %r27
12103 or %r27, %r28, %r28
12104#endif
12105#else
12106 ! Add CID IF tid matches
12107ifelse(6,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
12108#endif
12109ifelse(6,mask2tid(0x2),`.align 16')
12110 stxa %r28, [%g0] 0x73
12111#endif
12112intvec_2_266:
12113#if (defined SPC || defined CMP1)
12114 wrhpr %g0, 0x0, %halt ! HALT
12115#else
12116ifelse(6,mask2tid(0x2),`wrhpr %g0, 0x0, %halt ! HALT')
12117#endif
12118 .word 0x19400001 ! 493: FBPUGE fbuge
12119trapasi_2_270:
12120 nop
12121 mov 0x20, %r1 ! (VA for ASI 0x5a)
12122 .word 0xd4d84b40 ! 497: LDXA_R ldxa [%r1, %r0] 0x5a, %r10
12123splash_hpstate_2_272:
12124 .word 0x3d400001 ! 1: FBPULE fbule,a,pn %fcc0, <label_0x1>
12125 .word 0x81982655 ! 501: WRHPR_HPSTATE_I wrhpr %r0, 0x0655, %hpstate
12126 .word 0xd41fe0c0 ! 505: LDD_I ldd [%r31 + 0x00c0], %r10
12127memptr_2_278:
12128 set 0x60740000, %r31
12129 .word 0x85827d8d ! 509: WRCCR_I wr %r9, 0x1d8d, %ccr
12130jmptr_2_281:
12131 nop
12132 nop
12133 best_set_reg(0xe0a00000, %r20, %r27)
12134 .word 0xb7c6c000 ! 513: JMPL_R jmpl %r27 + %r0, %r27
12135 .word 0x8f902000 ! 1: WRPR_TL_I wrpr %r0, 0x0000, %tl
12136reduce_priv_lvl_2_283:
12137 ta T_CHANGE_NONHPRIV ! macro
12138 fbl skip_2_284
12139 stxa %r16, [%r0] ASI_LSU_CONTROL
12140 fbuge skip_2_284
12141 stxa %r7, [%r0] ASI_LSU_CONTROL
12142.align 2048
12143skip_2_284:
12144 .word 0xf16fe07c ! 521: PREFETCH_I prefetch [%r31 + 0x007c], #24
12145memptr_2_288:
12146 set user_data_start, %r31
12147 .word 0x8582bebb ! 525: WRCCR_I wr %r10, 0x1ebb, %ccr
12148 .word 0xd537c000 ! 529: STQF_R - %f10, [%r0, %r31]
12149 .word 0x34780001 ! 533: BPG <illegal instruction>
12150splash_hpstate_2_291:
12151 .word 0x0ecc0001 ! 1: BRGEZ brgez,pt %r16,<label_0xc0001>
12152 .word 0x81983cdd ! 537: WRHPR_HPSTATE_I wrhpr %r0, 0x1cdd, %hpstate
12153memptr_2_293:
12154 set 0x60340000, %r31
12155 .word 0x8582f102 ! 541: WRCCR_I wr %r11, 0x1102, %ccr
12156 .word 0x91940004 ! 545: WRPR_PIL_R wrpr %r16, %r4, %pil
12157 .word 0xc19fdc00 ! 549: LDDFA_R ldda [%r31, %r0], %f0
12158brcommon2_2_299:
12159 nop
12160 nop
12161 setx common_target, %r12, %r27
12162 ba,a .+12
12163 .word 0xe314c008 ! 1: LDQF_R - [%r19, %r8], %f17
12164 ba,a .+8
12165 jmpl %r27-0, %r27
12166 .word 0x20800001 ! 553: BN bn,a <label_0x1>
12167frzptr_2_301:
12168 nop
12169 nop
12170 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
12171 jmpl %r27, %r27
12172 .word 0xe1bfdb40 ! 557: STDFA_R stda %f16, [%r0, %r31]
12173 nop
12174 nop
12175 set 0x2530213a, %r28 !TTID : 1 (mask2tid(0x2))
12176#if (defined PORTABLE_CORE || MAX_THREADS == 8)
12177 sethi %hi(0x3800), %r27
12178 andn %r28, %r27, %r28
12179#ifdef PORTABLE_CORE
12180 ! Add CID to vector
12181 ta T_CHANGE_HPRIV
12182 ldxa [%g0]0x63, %r27
12183 sllx %r27, 8, %r27
12184 or %r27, %r28, %r28
12185#endif
12186#else
12187 ! Add CID IF tid matches
12188ifelse(1,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
12189#endif
12190 sethi %hi(0x30000), %r27
12191 andn %r28, %r27, %r28
12192 ta T_CHANGE_HPRIV
12193ifelse(1,mask2tid(0x2),`.align 16')
12194 stxa %r28, [%g0] 0x73
12195intvec_2_303:
12196 .word 0x93b484d3 ! 561: FCMPNE32 fcmpne32 %d18, %d50, %r9
12197 .word 0xc19fe160 ! 565: LDDFA_I ldda [%r31, 0x0160], %f0
12198splash_hpstate_2_307:
12199 .word 0x81983f8d ! 569: WRHPR_HPSTATE_I wrhpr %r0, 0x1f8d, %hpstate
12200demap_2_309:
12201 nop
12202 mov 0x80, %g3
12203 ta T_CHANGE_HPRIV
12204 stxa %r14, [%r0] ASI_LSU_CONTROL
12205 stxa %g3, [%g3] 0x57
12206 stxa %g3, [%g3] 0x57
12207 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
12208 stxa %g3, [%g3] 0x57
12209 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
12210 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
12211 stxa %g3, [%g3] 0x57
12212 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
12213 stxa %g3, [%g3] 0x57
12214 stxa %g3, [%g3] 0x57
12215 stxa %g3, [%g3] 0x57
12216 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12217 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
12218 wrhpr %g0, 0x38a, %hpstate ! ta T_CHANGE_NONHPRIV
12219 .word 0xd21fe159 ! 573: LDD_I ldd [%r31 + 0x0159], %r9
12220 .word 0x24780001 ! 577: BPLE <illegal instruction>
12221splash_lsu_2_313:
12222 nop
12223 nop
12224 ta T_CHANGE_HPRIV
12225 set 0x53d14544, %r2
12226 mov 0x5, %r1
12227 sllx %r1, 32, %r1
12228 or %r1, %r2, %r2
12229 .word 0x0a800001 ! 1: BCS bcs <label_0x1>
12230 stxa %r2, [%r0] ASI_LSU_CONTROL
12231 ta T_CHANGE_NONHPRIV
12232 .word 0x3d400001 ! 581: FBPULE fbule,a,pn %fcc0, <label_0x1>
12233demap_2_317:
12234 nop
12235 mov 0x80, %g3
12236 ta T_CHANGE_HPRIV
12237 stxa %r11, [%r0] ASI_LSU_CONTROL
12238 stxa %g3, [%g3] 0x5f
12239 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
12240 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12241 stxa %g3, [%g3] 0x5f
12242 wrhpr %g0, 0xf50, %hpstate ! ta T_CHANGE_NONHPRIV
12243 .word 0xd21fe060 ! 585: LDD_I ldd [%r31 + 0x0060], %r9
12244br_badelay1_2_318:
12245 .word 0x29400001 ! 1: FBPL fbl,a,pn %fcc0, <label_0x1>
12246 .word 0xd337c000 ! 1: STQF_R - %f9, [%r0, %r31]
12247 .word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
12248 normalw
12249 .word 0xa7458000 ! 589: RD_SOFTINT_REG rd %softint, %r19
12250cancelint_2_322:
12251 rdhpr %halt, %r11
12252 .word 0x85880000 ! 593: ALLCLEAN <illegal instruction>
12253brcommon1_2_324:
12254 nop
12255 nop
12256 setx common_target, %r12, %r27
12257 lduw [%r27-0], %r12 ! Load common dest into dcache ..
12258 stuw %r12, [%r27-4] ! Load common dest into dcache ..
12259 ba,a .+12
12260 .word 0xc32fe0f0 ! 1: STXFSR_I st-sfr %f1, [0x00f0, %r31]
12261 ba,a .+8
12262 jmpl %r27-4, %r27
12263 .word 0x91b10492 ! 597: FCMPLE32 fcmple32 %d4, %d18, %r8
12264 .word 0x91944013 ! 601: WRPR_PIL_R wrpr %r17, %r19, %pil
12265 .word 0xda8fc6c0 ! 605: LDUBA_R lduba [%r31, %r0] 0x36, %r13
12266 .word 0xe19fda00 ! 609: LDDFA_R ldda [%r31, %r0], %f16
12267#if (defined SPC || defined CMP1)
12268!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_330) , 16, 16)) -> intp(1,0,26,*,1016,*,e,1)
12269!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_330)&0xffffffff) , 16, 16)) -> intp(7,0,23,*,656,*,e,1)
12270#else
12271 nop
12272 nop
12273 set 0x99100090, %r28 !TTID : 0 (mask2tid(0x2))
12274#if (defined PORTABLE_CORE || MAX_THREADS == 8)
12275 sethi %hi(0x3800), %r27
12276 andn %r28, %r27, %r28
12277#ifdef PORTABLE_CORE
12278 ! Add CID to vector
12279 ta T_CHANGE_HPRIV
12280 ldxa [%g0]0x63, %r27
12281 sllx %r27, 8, %r27
12282 or %r27, %r28, %r28
12283#endif
12284#else
12285 ! Add CID IF tid matches
12286ifelse(0,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
12287#endif
12288ifelse(0,mask2tid(0x2),`.align 16')
12289 stxa %r28, [%g0] 0x73
12290#endif
12291intvec_2_330:
12292#if (defined SPC || defined CMP1)
12293 wrhpr %g0, 0x0, %halt ! HALT
12294#else
12295ifelse(0,mask2tid(0x2),`wrhpr %g0, 0x0, %halt ! HALT')
12296#endif
12297 .word 0x39400001 ! 613: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
12298 brgez,pn %r9, skip_2_332
12299 .word 0x87a98a53 ! 1: FCMPd fcmpd %fcc<n>, %f6, %f50
12300.align 2048
12301skip_2_332:
12302 .word 0xa1a489c9 ! 617: FDIVd fdivd %f18, %f40, %f16
12303 .word 0xe19fdc40 ! 621: LDDFA_R ldda [%r31, %r0], %f16
12304br_longdelay3_2_336:
12305 nop
12306 not %g0, %r27
12307 jmpl %r27+0, %r27
12308 .word 0x8d903edc ! 625: WRPR_PSTATE_I wrpr %r0, 0x1edc, %pstate
12309brcommon3_2_339:
12310 nop
12311 nop
12312 setx common_target, %r12, %r27
12313 lduw [%r27], %r12 ! Load common dest into dcache ..
12314 stuw %r12, [%r27] ! Load common dest into dcache ..
12315 ba,a .+12
12316 .word 0xe137c000 ! 1: STQF_R - %f16, [%r0, %r31]
12317 ba,a .+8
12318 jmpl %r27+0, %r27
12319 stxa %r11, [%r0] ASI_LSU_CONTROL
12320 .word 0xa3aac834 ! 629: FMOVGE fmovs %fcc1, %f20, %f17
12321demap_2_341:
12322 nop
12323 mov 0x80, %g3
12324 ta T_CHANGE_HPRIV
12325 .word 0x32800001 ! 1: BNE bne,a <label_0x1>
12326 stxa %g3, [%g3] 0x57
12327 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12328 stxa %g3, [%g3] 0x5f
12329 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
12330 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12331 stxa %g3, [%g3] 0x5f
12332 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12333 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
12334 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
12335 stxa %g3, [%g3] 0x57
12336 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12337 stxa %g3, [%g3] 0x57
12338 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
12339 wrhpr %g0, 0x6c2, %hpstate ! ta T_CHANGE_NONHPRIV
12340 .word 0xe61fe014 ! 633: LDD_I ldd [%r31 + 0x0014], %r19
12341splash_lsu_2_343:
12342 nop
12343 nop
12344 ta T_CHANGE_HPRIV
12345 set 0x008b355b, %r2
12346 mov 0x7, %r1
12347 sllx %r1, 32, %r1
12348 or %r1, %r2, %r2
12349 stxa %r2, [%r0] ASI_LSU_CONTROL
12350 .word 0x3d400001 ! 637: FBPULE fbule,a,pn %fcc0, <label_0x1>
12351 nop
12352 nop
12353 ta T_CHANGE_HPRIV ! macro
12354donret_2_345:
12355 rd %pc, %r12
12356 mov HIGHVA_HIGHNUM, %r10
12357 sllx %r10, 32, %r10
12358 or %r12, %r10, %r12
12359 add %r12, (donretarg_2_345-donret_2_345), %r12
12360 add %r12, 0x4, %r11 ! seq tnpc
12361 andn %r11, %r10, %r11 ! low VA tnpc
12362 wrpr %g0, 0x1, %tl
12363 wrpr %g0, %r12, %tpc
12364 wrpr %g0, %r11, %tnpc
12365 set (0x00480a00 | (0x80 << 24)), %r13
12366 and %r12, 0xfff, %r14
12367 sllx %r14, 32, %r14
12368 or %r13, %r14, %r20
12369 wrpr %r20, %g0, %tstate
12370 wrhpr %g0, 0xb07, %htstate
12371 wrhpr %g0, 0xb01, %hpstate ! rand=1 (2)
12372 ldx [%r11+%r0], %g1
12373 done
12374donretarg_2_345:
12375 .word 0xe66fe0b6 ! 641: LDSTUB_I ldstub %r19, [%r31 + 0x00b6]
12376splash_lsu_2_348:
12377 nop
12378 nop
12379 ta T_CHANGE_HPRIV
12380 set 0xa3fb54f5, %r2
12381 mov 0x2, %r1
12382 sllx %r1, 32, %r1
12383 or %r1, %r2, %r2
12384 stxa %r2, [%r0] ASI_LSU_CONTROL
12385 .word 0x3d400001 ! 645: FBPULE fbule,a,pn %fcc0, <label_0x1>
12386splash_lsu_2_351:
12387 nop
12388 nop
12389 ta T_CHANGE_HPRIV
12390 set 0xaa4d46c2, %r2
12391 mov 0x5, %r1
12392 sllx %r1, 32, %r1
12393 or %r1, %r2, %r2
12394 stxa %r2, [%r0] ASI_LSU_CONTROL
12395 ta T_CHANGE_NONHPRIV
12396 .word 0x3d400001 ! 649: FBPULE fbule,a,pn %fcc0, <label_0x1>
12397 .word 0xe6800b80 ! 653: LDUWA_R lduwa [%r0, %r0] 0x5c, %r19
12398pmu_2_353:
12399 nop
12400 nop
12401 ta T_CHANGE_PRIV
12402 setx 0xffffffb6ffffffa4, %g1, %g7
12403 .word 0xa3800007 ! 657: WR_PERF_COUNTER_R wr %r0, %r7, %-
12404cancelint_2_355:
12405 rdhpr %halt, %r9
12406 .word 0x85880000 ! 661: ALLCLEAN <illegal instruction>
12407splash_lsu_2_357:
12408 nop
12409 nop
12410 ta T_CHANGE_HPRIV
12411 set 0xc2f6f0b1, %r2
12412 mov 0x7, %r1
12413 sllx %r1, 32, %r1
12414 or %r1, %r2, %r2
12415 .word 0x00800001 ! 1: BN bn <label_0x1>
12416 stxa %r2, [%r0] ASI_LSU_CONTROL
12417 ta T_CHANGE_NONHPRIV
12418 .word 0x3d400001 ! 665: FBPULE fbule,a,pn %fcc0, <label_0x1>
12419 .word 0xda97c2c0 ! 669: LDUHA_R lduha [%r31, %r0] 0x16, %r13
12420 .word 0xdacfd000 ! 673: LDSBA_R ldsba [%r31, %r0] 0x80, %r13
12421 fbue,a,pn %fcc0, skip_2_367
12422 stxa %r9, [%r0] ASI_LSU_CONTROL
12423 .word 0x91b484d1 ! 1: FCMPNE32 fcmpne32 %d18, %d48, %r8
12424 stxa %r11, [%r0] ASI_LSU_CONTROL
12425.align 2048
12426skip_2_367:
12427 .word 0xf16fe003 ! 677: PREFETCH_I prefetch [%r31 + 0x0003], #24
12428demap_2_370:
12429 nop
12430 mov 0x80, %g3
12431 ta T_CHANGE_HPRIV
12432 .word 0x36800001 ! 1: BGE bge,a <label_0x1>
12433 stxa %g3, [%g3] 0x57
12434 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
12435 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
12436 stxa %g3, [%g3] 0x5f
12437 wrhpr %g0, 0x212, %hpstate ! ta T_CHANGE_NONHPRIV
12438 .word 0xda1fe128 ! 681: LDD_I ldd [%r31 + 0x0128], %r13
12439br_longdelay4_2_373:
12440 nop
12441 not %g0, %r27
12442 jmpl %r27+0, %r27
12443 .word 0x9d902004 ! 685: WRPR_WSTATE_I wrpr %r0, 0x0004, %wstate
12444#if (defined SPC || defined CMP1)
12445!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_376) , 16, 16)) -> intp(0,0,21,*,968,*,47,1)
12446!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_376)&0xffffffff) , 16, 16)) -> intp(0,0,4,*,648,*,47,1)
12447#else
12448 nop
12449 nop
12450 set 0x27108da6, %r28 !TTID : 5 (mask2tid(0x2))
12451#if (defined PORTABLE_CORE || MAX_THREADS == 8)
12452 sethi %hi(0x3800), %r27
12453 andn %r28, %r27, %r28
12454#ifdef PORTABLE_CORE
12455 ! Add CID to vector
12456 ta T_CHANGE_HPRIV
12457 ldxa [%g0]0x63, %r27
12458 sllx %r27, 8, %r27
12459 or %r27, %r28, %r28
12460#endif
12461#else
12462 ! Add CID IF tid matches
12463ifelse(5,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
12464#endif
12465ifelse(5,mask2tid(0x2),`.align 16')
12466 stxa %r28, [%g0] 0x73
12467#endif
12468intvec_2_376:
12469 .word 0x19400002 ! 689: FBPUGE fbuge
12470 .word 0xd33fe0b0 ! 693: STDF_I std %f9, [0x00b0, %r31]
12471 nop
12472 nop
12473 set 0x6d304bf5, %r28 !TTID : 3 (mask2tid(0x2))
12474#if (defined PORTABLE_CORE || MAX_THREADS == 8)
12475 sethi %hi(0x3800), %r27
12476 andn %r28, %r27, %r28
12477#ifdef PORTABLE_CORE
12478 ! Add CID to vector
12479 ta T_CHANGE_HPRIV
12480 ldxa [%g0]0x63, %r27
12481 sllx %r27, 8, %r27
12482 or %r27, %r28, %r28
12483#endif
12484#else
12485 ! Add CID IF tid matches
12486ifelse(3,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
12487#endif
12488 sethi %hi(0x30000), %r27
12489 andn %r28, %r27, %r28
12490 ta T_CHANGE_HPRIV
12491ifelse(3,mask2tid(0x2),`.align 16')
12492 stxa %r28, [%g0] 0x73
12493intvec_2_381:
12494 .word 0x91b504c2 ! 697: FCMPNE32 fcmpne32 %d20, %d2, %r8
12495 nop
12496 nop
12497 ta T_CHANGE_HPRIV
12498 mov 0x0, %r11
12499splash_cmpr_2_383:
12500 sllx %r11, 63, %r11
12501 not %r11, %r11
12502 rd %tick, %r10
12503#if (defined SPC || defined CMP1)
12504 add %r10, 0x250, %r10
12505#else
12506 add %r10, 0xc00, %r10
12507#endif
12508 and %r10, %r11, %r10
12509 wrhpr %r10, %g0, %hsys_tick_cmpr
12510 ta T_CHANGE_PRIV
12511 rd %tick, %r10
12512#if (defined SPC || defined CMP1)
12513 add %r10, 0x200, %r10
12514#else
12515 add %r10, 0x380, %r10
12516#endif
12517 and %r10, %r11, %r10
12518 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
12519 .word 0x81982805 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0805, %hpstate
12520 .word 0x81b01021 ! 701: SIAM siam 1
12521memptr_2_385:
12522 set 0x60340000, %r31
12523 .word 0x8581e29a ! 705: WRCCR_I wr %r7, 0x029a, %ccr
12524 .word 0x22780001 ! 709: BPE <illegal instruction>
12525 .word 0xd13fe0a8 ! 713: STDF_I std %f8, [0x00a8, %r31]
12526 nop
12527 nop
12528 set 0x13c09c0c, %r28 !TTID : 4 (mask2tid(0x2))
12529#if (defined PORTABLE_CORE || MAX_THREADS == 8)
12530 sethi %hi(0x3800), %r27
12531 andn %r28, %r27, %r28
12532#ifdef PORTABLE_CORE
12533 ! Add CID to vector
12534 ta T_CHANGE_HPRIV
12535 ldxa [%g0]0x63, %r27
12536 sllx %r27, 8, %r27
12537 or %r27, %r28, %r28
12538#endif
12539#else
12540 ! Add CID IF tid matches
12541ifelse(4,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
12542#endif
12543 sethi %hi(0x30000), %r27
12544 andn %r28, %r27, %r28
12545 ta T_CHANGE_HPRIV
12546ifelse(4,mask2tid(0x2),`.align 16')
12547 stxa %r28, [%g0] 0x73
12548intvec_2_391:
12549 .word 0x9ba489c9 ! 717: FDIVd fdivd %f18, %f40, %f44
12550 nop
12551 nop
12552 ta T_CHANGE_HPRIV ! macro
12553donret_2_392:
12554 rd %pc, %r12
12555 mov HIGHVA_HIGHNUM, %r10
12556 sllx %r10, 32, %r10
12557 or %r12, %r10, %r12
12558 add %r12, (donretarg_2_392-donret_2_392), %r12
12559 add %r12, 0x8, %r11 ! nonseq tnpc
12560 wrpr %g0, 0x2, %tl
12561 wrpr %g0, %r12, %tpc
12562 wrpr %g0, %r11, %tnpc
12563 set (0x00cf6700 | (54 << 24)), %r13
12564 and %r12, 0xfff, %r14
12565 sllx %r14, 32, %r14
12566 or %r13, %r14, %r20
12567 wrpr %r20, %g0, %tstate
12568 wrhpr %g0, 0x19d5, %htstate
12569 best_set_reg(0x412, %g1, %g2)
12570 wrpr %g0, %g2, %pstate ! rand=0 (2)
12571 ldx [%r12+%r0], %g1
12572 retry
12573donretarg_2_392:
12574 .word 0x27400001 ! 721: FBPUL fbul,a,pn %fcc0, <label_0x1>
12575 .word 0x04780001 ! 725: BPLE <illegal instruction>
12576splash_tba_2_395:
12577 nop
12578 ta T_CHANGE_PRIV
12579 set 0x120000, %r12
12580 .word 0x8b90000c ! 729: WRPR_TBA_R wrpr %r0, %r12, %tba
12581 be,a skip_2_396
12582 brlez,a,pn %r1, skip_2_396
12583.align 512
12584skip_2_396:
12585 .word 0x04cc0001 ! 733: BRLEZ brlez,pt %r16,<label_0xc0001>
12586 .word 0xc1bfe180 ! 737: STDFA_I stda %f0, [0x0180, %r31]
12587 .word 0xe8c7c3c0 ! 741: LDSWA_R ldswa [%r31, %r0] 0x1e, %r20
12588intveclr_2_399:
12589 nop
12590 nop
12591 ta T_CHANGE_HPRIV
12592 setx 0xf611f11ee5ce6a00, %r1, %r28
12593 stxa %r28, [%g0] 0x72
12594 .word 0x25400001 ! 745: FBPLG fblg,a,pn %fcc0, <label_0x1>
12595jmptr_2_402:
12596 nop
12597 nop
12598 best_set_reg(0xe0a00000, %r20, %r27)
12599 .word 0xb7c6c000 ! 749: JMPL_R jmpl %r27 + %r0, %r27
12600brcommon3_2_405:
12601 nop
12602 nop
12603 setx common_target, %r12, %r27
12604 lduw [%r27-0], %r12 ! Load common dest into dcache ..
12605 stuw %r12, [%r27-4] ! Load common dest into dcache ..
12606 ba,a .+12
12607 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
12608 ba,a .+8
12609 jmpl %r27-4, %r27
12610 .word 0xe9e7d060 ! 753: CASA_I casa [%r31] 0x83, %r0, %r20
12611jmptr_2_407:
12612 nop
12613 nop
12614 best_set_reg(0xe1a00000, %r20, %r27)
12615 .word 0xb7c6c000 ! 757: JMPL_R jmpl %r27 + %r0, %r27
12616 .word 0xe927e01c ! 761: STF_I st %f20, [0x001c, %r31]
12617splash_lsu_2_412:
12618 nop
12619 nop
12620 ta T_CHANGE_HPRIV
12621 set 0x0892bb6b, %r2
12622 mov 0x5, %r1
12623 sllx %r1, 32, %r1
12624 or %r1, %r2, %r2
12625 .word 0x1a800001 ! 1: BCC bcc <label_0x1>
12626 stxa %r2, [%r0] ASI_LSU_CONTROL
12627 .word 0x3d400001 ! 765: FBPULE fbule,a,pn %fcc0, <label_0x1>
12628memptr_2_415:
12629 set 0x60340000, %r31
12630 .word 0x85817702 ! 769: WRCCR_I wr %r5, 0x1702, %ccr
12631 nop
12632 nop
12633 ta T_CHANGE_HPRIV ! macro
12634donret_2_417:
12635 rd %pc, %r12
12636 mov HIGHVA_HIGHNUM, %r10
12637 sllx %r10, 32, %r10
12638 or %r12, %r10, %r12
12639 add %r12, (donretarg_2_417-donret_2_417), %r12
12640 add %r12, 0x4, %r11 ! seq tnpc
12641 andn %r11, %r10, %r11 ! low VA tnpc
12642 wrpr %g0, 0x1, %tl
12643 wrpr %g0, %r12, %tpc
12644 wrpr %g0, %r11, %tnpc
12645 set (0x00e2d500 | (0x88 << 24)), %r13
12646 and %r12, 0xfff, %r14
12647 sllx %r14, 32, %r14
12648 or %r13, %r14, %r20
12649 wrpr %r20, %g0, %tstate
12650 wrhpr %g0, 0x15b6, %htstate
12651 best_set_reg(0xd90, %g1, %g2)
12652 wrpr %g0, %g2, %pstate ! rand=0 (2)
12653 ldx [%r11+%r0], %g1
12654 done
12655.align 512
12656donretarg_2_417:
12657 .word 0x27400002 ! 773: FBPUL fbul,a,pn %fcc0, <label_0x2>
12658 .word 0xe87fe0c0 ! 777: SWAP_I swap %r20, [%r31 + 0x00c0]
12659 brz,pn %r16, skip_2_420
12660 brlez,pn %r20, skip_2_420
12661.align 1024
12662skip_2_420:
12663 .word 0x04c9c001 ! 781: BRLEZ brlez,pt %r7,<label_0x9c001>
12664trapasi_2_422:
12665 nop
12666 mov 0x0, %r1 ! (VA for ASI 0x73)
12667 .word 0xd4d84e60 ! 785: LDXA_R ldxa [%r1, %r0] 0x73, %r10
12668splash_hpstate_2_423:
12669 ta T_CHANGE_NONHPRIV
12670 .word 0x819836c6 ! 789: WRHPR_HPSTATE_I wrhpr %r0, 0x16c6, %hpstate
12671 .word 0xe1bfe1e0 ! 793: STDFA_I stda %f16, [0x01e0, %r31]
12672 .word 0xc30fc000 ! 797: LDXFSR_R ld-fsr [%r31, %r0], %f1
12673 nop
12674 nop
12675 ta T_CHANGE_PRIV
12676 wrpr %g0, %g0, %gl
12677 nop
12678 nop
12679.text
12680 setx join_lbl_0_0, %g1, %g2
12681 jmp %g2
12682 nop
12683fork_lbl_0_1:
12684master_thread_stuff:
12685
12686setup_tick:
12687 setx 0x3d573f1906dd9fb8, %r1, %r17
12688 wrpr %g0, %r17, %tick
12689
12690 rd %asi, %r12
12691#ifdef XIR_RND_CORES
12692setup_xir_1:
12693 setx 0xb13f80693f2316a7, %r1, %r28
12694 mov 0x30, %r17
12695 stxa %r28, [%r17] 0x41
12696#endif
12697#ifdef SPLASH_HIDECR
12698 mov 8, %r1
12699 set SPLASH_HIDECR, %r2
12700 sllx %r2, 32, %r2
12701 stxa %r2, [%r1] 0x45
12702#endif
12703#if (MULTIPASS > 0)
12704 mov 0x38, %g1
12705 ldxa [%g1]ASI_SCRATCHPAD, %r10
12706 brnz %g1, unlock_sync_thds_1
12707 wrpr %g0, %g0, %pstate
12708#endif
12709#ifndef NO_INTERNAL_SPU
12710setup_spu_1:
12711 wr %g0, 0x40, %asi
12712 !# allocate control word queue (e.g., setup head/tail/first/last registers)
12713 set CWQ_BASE, %l6
12714
12715#ifndef SPC
12716 ldxa [%g0]0x63, %o2
12717 and %o2, 0x38, %o2
12718#ifndef PORTABLE_CORE
12719 sllx %o2, 5, %o2 !(CID*256)
12720 add %l6, %o2, %l6
12721#endif
12722#endif
12723 !# write base addr to first, head, and tail ptr
12724 !# first store to first
12725 stxa %l6, [%g0 + ASI_SPU_CWQ_FIRST] %asi !# first store to first
12726
12727 stxa %l6, [%g0 + ASI_SPU_CWQ_HEAD] %asi !# then to head
12728 stxa %l6, [%g0 + ASI_SPU_CWQ_TAIL] %asi !# then to tail
12729 setx CWQ_LAST, %g1, %l5 !# then end of CWQ region to LAST
12730#ifndef SPC
12731 add %l5, %o2, %l5
12732#endif
12733 stxa %l5, [%g0 + ASI_SPU_CWQ_LAST] %asi
12734
12735 !# set CWQ control word ([39:37] is strand ID ..)
12736 best_set_reg(0x20610090, %l1, %l2) !# Control Word
12737 sllx %l2, 32, %l2
12738
12739 !# write CWQ entry (%l6 points to CWQ)
12740 stx %l2, [%l6 + 0x0]
12741
12742 setx msg, %g1, %l2
12743 stx %l2, [%l6 + 0x8] !# source address
12744
12745 stx %g0, [%l6 + 0x10] !# Authentication Key Address (40-bit)
12746 stx %g0, [%l6 + 0x18] !# Authentication IV Address (40-bit)
12747 stx %g0, [%l6 + 0x20] !# Authentication FSAS Address (40-bit)
12748 stx %g0, [%l6 + 0x28] !# Encryption Key Address (40-bit)
12749 stx %g0, [%l6 + 0x30] !# Encryption Initialization Vector Address (40-bit)
12750
12751 setx results, %g1, %o3
12752 stx %o3, [%l6 + 0x38] !# Destination Address (40-bit)
12753
12754 membar #Sync
12755
12756 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l2
12757 add %l2, 0x40, %l2
12758 stxa %l2, [%g0 + ASI_SPU_CWQ_TAIL] %asi
12759
12760 !# Kick off the CWQ operation by writing to the CWQ_CSR
12761 !# Set the enabled bit and reset the other bits
12762 or %g0, 0x1, %g1
12763 stxa %g1, [%g0 + ASI_SPU_CWQ_CSR] %asi
12764#endif
12765unlock_sync_thds_1:
12766 set sync_thr_counter6, %r23
12767#if (!defined SPC && ! defined PORTABLE_CORE)
12768 ldxa [%g0]0x63, %o2
12769 and %o2, 0x38, %o2
12770 add %o2, %r23, %r23
12771#endif
12772 st %r0, [%r23] !unlock sync_thr_counter6
12773 sub %r23, 64, %r23
12774 st %r0, [%r23] !unlock sync_thr_counter5
12775 sub %r23, 64, %r23
12776 st %r0, [%r23] !unlock sync_thr_counter4
12777
12778 wr %r0, %r12, %asi
12779 wrhpr %g0, 0x982, %hpstate ! ta T_CHANGE_NONHPRIV
12780br_longdelay4_1_0:
12781 nop
12782 not %g0, %r27
12783 jmpl %r27+0, %r27
12784brcommon2_1_1:
12785 nop
12786 nop
12787 setx common_target, %r12, %r27
12788 ba,a .+12
12789 .word 0x9f8020a0 ! 1: SIR sir 0x00a0
12790 ba,a .+8
12791 jmpl %r27-0, %r27
12792 .word 0xa1b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d16
12793brcommon2_1_4:
12794 nop
12795 nop
12796 setx common_target, %r12, %r27
12797 ba,a .+12
12798 .word 0xa7a7c960 ! 1: FMULq dis not found
12799
12800 ba,a .+8
12801 jmpl %r27-0, %r27
12802 .word 0xe1bfde20 ! 5: STDFA_R stda %f16, [%r0, %r31]
12803dvapa_1_6:
12804 nop
12805 nop
12806 ta T_CHANGE_HPRIV
12807 mov 0xb16, %r20
12808 mov 0x13, %r19
12809 sllx %r20, 23, %r20
12810 or %r19, %r20, %r19
12811 stxa %r19, [%g0] ASI_LSU_CONTROL
12812 mov 0x38, %r18
12813 stxa %r31, [%r18]0x58
12814 wrhpr %g0, 0xecb, %hpstate ! ta T_CHANGE_NONHPRIV
12815 .word 0xa7a449b0 ! 9: FDIVs fdivs %f17, %f16, %f19
12816demap_1_9:
12817 nop
12818 mov 0x80, %g3
12819 ta T_CHANGE_HPRIV
12820 .word 0x03400001 ! 1: FBPNE fbne
12821 stxa %g3, [%g3] 0x5f
12822 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12823 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
12824 stxa %g3, [%g3] 0x5f
12825 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
12826 wrhpr %g0, 0x442, %hpstate ! ta T_CHANGE_NONHPRIV
12827 .word 0xe61fe10e ! 13: LDD_I ldd [%r31 + 0x010e], %r19
12828 .word 0xe737c000 ! 17: STQF_R - %f19, [%r0, %r31]
12829splash_tick_1_11:
12830 nop
12831 nop
12832 ta T_CHANGE_HPRIV
12833 best_set_reg(0x15fb95075a114fe6, %r16, %r17)
12834 .word 0x8980000a ! 21: WRTICK_R wr %r0, %r10, %tick
12835memptr_1_14:
12836 set 0x60740000, %r31
12837 .word 0x858137d9 ! 25: WRCCR_I wr %r4, 0x17d9, %ccr
12838jmptr_1_17:
12839 nop
12840 nop
12841 best_set_reg(0xe1200000, %r20, %r27)
12842 .word 0xb7c6c000 ! 29: JMPL_R jmpl %r27 + %r0, %r27
12843 .word 0xe73fe1c0 ! 33: STDF_I std %f19, [0x01c0, %r31]
12844jmptr_1_19:
12845 nop
12846 nop
12847 best_set_reg(0xe0200000, %r20, %r27)
12848 .word 0xb7c6c000 ! 37: JMPL_R jmpl %r27 + %r0, %r27
12849intveclr_1_20:
12850 nop
12851 nop
12852 ta T_CHANGE_HPRIV
12853 setx 0x164df88d3a976b27, %r1, %r28
12854 stxa %r28, [%g0] 0x72
12855 wrhpr %g0, 0xc88, %hpstate ! ta T_CHANGE_NONHPRIV
12856 .word 0x25400001 ! 41: FBPLG fblg,a,pn %fcc0, <label_0x1>
12857 nop
12858 nop
12859 ta T_CHANGE_HPRIV ! macro
12860donret_1_21:
12861 rd %pc, %r12
12862 mov HIGHVA_HIGHNUM, %r10
12863 sllx %r10, 32, %r10
12864 or %r12, %r10, %r12
12865 add %r12, (donretarg_1_21-donret_1_21+4), %r12
12866 add %r12, 0x4, %r11 ! seq tnpc
12867 wrpr %g0, 0x2, %tl
12868 wrpr %g0, %r12, %tpc
12869 wrpr %g0, %r11, %tnpc
12870 set (0x0003b800 | (0x4f << 24)), %r13
12871 and %r12, 0xfff, %r14
12872 sllx %r14, 32, %r14
12873 or %r13, %r14, %r20
12874 wrpr %r20, %g0, %tstate
12875 wrhpr %g0, 0xc65, %htstate
12876 best_set_reg(0xb08, %g1, %g2)
12877 wrpr %g0, %g2, %pstate ! rand=0 (1)
12878 done
12879.align 512
12880donretarg_1_21:
12881 .word 0xe66fe13d ! 45: LDSTUB_I ldstub %r19, [%r31 + 0x013d]
12882 .word 0xc1bfde00 ! 49: STDFA_R stda %f0, [%r0, %r31]
12883cancelint_1_26:
12884 rdhpr %halt, %r8
12885 .word 0x85880000 ! 53: ALLCLEAN <illegal instruction>
12886 .word 0x8d903a74 ! 57: WRPR_PSTATE_I wrpr %r0, 0x1a74, %pstate
12887 .word 0xd01fe1d0 ! 1: LDD_I ldd [%r31 + 0x01d0], %r8
12888 .word 0x9f803449 ! 61: SIR sir 0x1449
12889ibp_1_32:
12890 nop
12891 nop
12892 .word 0x20800002 ! 65: BN bn,a <label_0x2>
12893br_longdelay3_1_35:
12894 nop
12895 not %g0, %r27
12896 jmpl %r27+0, %r27
12897 .word 0x80000001 ! 69: ILLTRAP add %r0, %r1, %r0
12898cancelint_1_38:
12899 rdhpr %halt, %r13
12900 .word 0x85880000 ! 73: ALLCLEAN <illegal instruction>
12901 nop
12902 nop
12903 ta T_CHANGE_HPRIV
12904 mov 0x0, %r11
12905splash_cmpr_1_40:
12906 sllx %r11, 63, %r11
12907 not %r11, %r11
12908 rd %tick, %r10
12909#if (defined SPC || defined CMP1)
12910 add %r10, 0x250, %r10
12911#else
12912 add %r10, 0x700, %r10
12913#endif
12914 and %r10, %r11, %r10
12915 wrhpr %r10, %g0, %hsys_tick_cmpr
12916 rd %tick, %r10
12917#if (defined SPC || defined CMP1)
12918 add %r10, 0x250, %r10
12919#else
12920 add %r10, 0x380, %r10
12921#endif
12922 and %r10, %r11, %r10
12923 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
12924 .word 0x81983102 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1102, %hpstate
12925 .word 0x81b01021 ! 77: SIAM siam 1
12926 nop
12927 nop
12928 set 0x365040a2, %r28 !TTID : 0 (mask2tid(0x1))
12929#if (defined PORTABLE_CORE || MAX_THREADS == 8)
12930 sethi %hi(0x3800), %r27
12931 andn %r28, %r27, %r28
12932#ifdef PORTABLE_CORE
12933 ! Add CID to vector
12934 ta T_CHANGE_HPRIV
12935 ldxa [%g0]0x63, %r27
12936 sllx %r27, 8, %r27
12937 or %r27, %r28, %r28
12938#endif
12939#else
12940 ! Add CID IF tid matches
12941ifelse(0,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
12942#endif
12943 sethi %hi(0x30000), %r27
12944 andn %r28, %r27, %r28
12945 ta T_CHANGE_HPRIV
12946ifelse(0,mask2tid(0x1),`.align 16')
12947 stxa %r28, [%g0] 0x73
12948intvec_1_43:
12949 .word 0x91b484c5 ! 81: FCMPNE32 fcmpne32 %d18, %d36, %r8
12950brcommon2_1_45:
12951 nop
12952 nop
12953 setx common_target, %r12, %r27
12954 ba,a .+12
12955 .word 0x91a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f8
12956 ba,a .+8
12957 jmpl %r27-4, %r27
12958 .word 0x81b7c7c0 ! 85: PDIST pdistn %d62, %d0, %d0
12959brcommon1_1_48:
12960 nop
12961 nop
12962 setx common_target, %r12, %r27
12963 lduw [%r27-0], %r12 ! Load common dest into dcache ..
12964 stuw %r12, [%r27-4] ! Load common dest into dcache ..
12965 ba,a .+12
12966 .word 0xda6fe180 ! 1: LDSTUB_I ldstub %r13, [%r31 + 0x0180]
12967 ba,a .+8
12968 jmpl %r27-0, %r27
12969 .word 0x99b50485 ! 89: FCMPLE32 fcmple32 %d20, %d36, %r12
12970cmp_1_49:
12971 nop
12972 nop
12973 ta T_CHANGE_HPRIV
12974 rd %asi, %r12
12975 wr %r0, 0x41, %asi
12976 set sync_thr_counter4, %r23
12977#ifndef SPC
12978 ldxa [%g0]0x63, %r8
12979 and %r8, 0x38, %r8 ! Core ID
12980#ifndef PORTABLE_CORE
12981 add %r8, %r23, %r23
12982#endif
12983 mov 0xff, %r9
12984#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
12985 xor %r9, 0x1, %r9 ! My core mask
12986#else
12987 xor %r9, 0x1, %r9
12988#endif
12989 sllx %r9, %r8, %r9 ! My core mask
12990#else
12991 mov 0, %r8
12992 mov 0xff, %r9
12993 xor %r9, 0x1, %r9 ! My core mask
12994#endif
12995 mov 0x1, %r10
12996cmp_startwait1_49:
12997 cas [%r23],%g0,%r10 !lock
12998 brz,a %r10, continue_cmp_1_49
12999 ldxa [0x50]%asi, %r13 !Running_rw
13000 ld [%r23], %r10
13001cmp_wait1_49:
13002 brnz,a %r10, cmp_wait1_49
13003 ld [%r23], %r10
13004 ba cmp_startwait1_49
13005 mov 0x1, %r10
13006continue_cmp_1_49:
13007 ldxa [0x58]%asi, %r14 !Running_status
13008 xnor %r14, %r13, %r14 !Bits equal
13009#ifndef PORTABLE_CORE
13010 brz,a %r8, cmp_multi_core_1_49
13011#endif
13012 mov 0x26, %r17
13013#ifndef PORTABLE_CORE
13014 best_set_reg(0xce79519a3da110e4, %r16, %r17)
13015#else
13016 sllx %r17, %r8, %r17
13017#endif
13018cmp_multi_core_1_49:
13019 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13020 and %r14, %r9, %r14 !Apply core-mask
13021 stxa %r14, [0x60]%asi
13022 st %g0, [%r23] !clear lock
13023 wr %g0, %r12, %asi
13024 wrhpr %g0, 0x5d8, %hpstate ! ta T_CHANGE_NONHPRIV
13025 .word 0x9194c006 ! 93: WRPR_PIL_R wrpr %r19, %r6, %pil
13026 .word 0xd8bfe1d3 ! 97: STDA_I stda %r12, [%r31 + 0x01d3] %asi
13027 nop
13028 nop
13029 ta T_CHANGE_HPRIV ! macro
13030donret_1_54:
13031 rd %pc, %r12
13032 mov HIGHVA_HIGHNUM, %r10
13033 sllx %r10, 32, %r10
13034 or %r12, %r10, %r12
13035 add %r12, (donretarg_1_54-donret_1_54), %r12
13036 add %r12, 0x4, %r11 ! seq tnpc
13037 wrpr %g0, 0x2, %tl
13038 wrpr %g0, %r12, %tpc
13039 wrpr %g0, %r11, %tnpc
13040 set (0x0053bf00 | (22 << 24)), %r13
13041 and %r12, 0xfff, %r14
13042 sllx %r14, 32, %r14
13043 or %r13, %r14, %r20
13044 wrpr %r20, %g0, %tstate
13045 wrhpr %g0, 0x746, %htstate
13046 best_set_reg(0x1c50, %g1, %g2)
13047 wrpr %g0, %g2, %pstate ! rand=0 (1)
13048 ldx [%r12+%r0], %g1
13049 retry
13050donretarg_1_54:
13051 .word 0xd86fe154 ! 101: LDSTUB_I ldstub %r12, [%r31 + 0x0154]
13052splash_lsu_1_57:
13053 nop
13054 nop
13055 ta T_CHANGE_HPRIV
13056 set 0xce7746d7, %r2
13057 mov 0x3, %r1
13058 sllx %r1, 32, %r1
13059 or %r1, %r2, %r2
13060 stxa %r2, [%r0] ASI_LSU_CONTROL
13061 .word 0x1d400002 ! 105: FBPULE fbule
13062 .word 0xc19fe0c0 ! 109: LDDFA_I ldda [%r31, 0x00c0], %f0
13063 .word 0xe1bfe1a0 ! 113: STDFA_I stda %f16, [0x01a0, %r31]
13064splash_lsu_1_62:
13065 nop
13066 nop
13067 ta T_CHANGE_HPRIV
13068 set 0xd04e5f5f, %r2
13069 mov 0x7, %r1
13070 sllx %r1, 32, %r1
13071 or %r1, %r2, %r2
13072 stxa %r2, [%r0] ASI_LSU_CONTROL
13073 .word 0x3d400001 ! 117: FBPULE fbule,a,pn %fcc0, <label_0x1>
13074 .word 0xc32fc000 ! 121: STXFSR_R st-sfr %f1, [%r0, %r31]
13075 .word 0xd89fe0e0 ! 125: LDDA_I ldda [%r31, + 0x00e0] %asi, %r12
13076demap_1_68:
13077 nop
13078 mov 0x80, %g3
13079 ta T_CHANGE_HPRIV
13080 .word 0x27400001 ! 1: FBPUL fbul,a,pn %fcc0, <label_0x1>
13081 stxa %g3, [%g3] 0x5f
13082 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
13083 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
13084 wrhpr %g0, 0xe0b, %hpstate ! ta T_CHANGE_NONHPRIV
13085 .word 0xd81fe174 ! 129: LDD_I ldd [%r31 + 0x0174], %r12
13086splash_lsu_1_72:
13087 nop
13088 nop
13089 ta T_CHANGE_HPRIV
13090 set 0x217957d0, %r2
13091 mov 0x3, %r1
13092 sllx %r1, 32, %r1
13093 or %r1, %r2, %r2
13094 .word 0x34800001 ! 1: BG bg,a <label_0x1>
13095 stxa %r2, [%r0] ASI_LSU_CONTROL
13096 .word 0x1d400002 ! 133: FBPULE fbule
13097 .word 0xd83fc000 ! 137: STD_R std %r12, [%r31 + %r0]
13098cancelint_1_76:
13099 rdhpr %halt, %r12
13100 .word 0x85880000 ! 141: ALLCLEAN <illegal instruction>
13101cancelint_1_78:
13102 rdhpr %halt, %r8
13103 .word 0x85880000 ! 145: ALLCLEAN <illegal instruction>
13104frzptr_1_82:
13105 nop
13106 nop
13107 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
13108 ldx [%r27+0xc], %r20
13109 jmpl %r27+4, %r27
13110 .word 0x91b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d8
13111 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
13112 jmpl %r27, %r27
13113 .word 0x00800001 ! 149: BN bn <label_0x1>
13114splash_lsu_1_85:
13115 nop
13116 nop
13117 ta T_CHANGE_HPRIV
13118 set 0xe3323fde, %r2
13119 mov 0x3, %r1
13120 sllx %r1, 32, %r1
13121 or %r1, %r2, %r2
13122 .word 0x24800001 ! 1: BLE ble,a <label_0x1>
13123 stxa %r2, [%r0] ASI_LSU_CONTROL
13124 .word 0x1d400001 ! 153: FBPULE fbule
13125splash_tick_1_88:
13126 nop
13127 nop
13128 ta T_CHANGE_HPRIV
13129 best_set_reg(0x0b321fe2f7b2909a, %r16, %r17)
13130 .word 0x8980000a ! 157: WRTICK_R wr %r0, %r10, %tick
13131frzptr_1_91:
13132 nop
13133 nop
13134 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
13135 ldx [%r27+0xc], %r20
13136 jmpl %r27, %r27
13137 .word 0xc19fdd40 ! 161: LDDFA_R ldda [%r31, %r0], %f0
13138brcommon3_1_93:
13139 nop
13140 nop
13141 setx common_target, %r12, %r27
13142 lduw [%r27-4], %r12 ! Load common dest into dcache ..
13143 stuw %r12, [%r27-0] ! Load common dest into dcache ..
13144 ba,a .+12
13145 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
13146 ba,a .+8
13147 jmpl %r27+0, %r27
13148 .word 0x81983083 ! 165: WRHPR_HPSTATE_I wrhpr %r0, 0x1083, %hpstate
13149cmp_1_95:
13150 nop
13151 nop
13152 ta T_CHANGE_HPRIV
13153 rd %asi, %r12
13154 wr %r0, 0x41, %asi
13155 set sync_thr_counter4, %r23
13156#ifndef SPC
13157 ldxa [%g0]0x63, %r8
13158 and %r8, 0x38, %r8 ! Core ID
13159#ifndef PORTABLE_CORE
13160 add %r8, %r23, %r23
13161#endif
13162 mov 0xff, %r9
13163#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13164 xor %r9, 0x1, %r9 ! My core mask
13165#else
13166 xor %r9, 0x1, %r9
13167#endif
13168 sllx %r9, %r8, %r9 ! My core mask
13169#else
13170 mov 0, %r8
13171 mov 0xff, %r9
13172 xor %r9, 0x1, %r9 ! My core mask
13173#endif
13174 mov 0x1, %r10
13175cmp_startwait1_95:
13176 cas [%r23],%g0,%r10 !lock
13177 brz,a %r10, continue_cmp_1_95
13178 ldxa [0x50]%asi, %r13 !Running_rw
13179 ld [%r23], %r10
13180cmp_wait1_95:
13181 brnz,a %r10, cmp_wait1_95
13182 ld [%r23], %r10
13183 ba cmp_startwait1_95
13184 mov 0x1, %r10
13185continue_cmp_1_95:
13186 ldxa [0x58]%asi, %r14 !Running_status
13187 xnor %r14, %r13, %r14 !Bits equal
13188#ifndef PORTABLE_CORE
13189 brz,a %r8, cmp_multi_core_1_95
13190#endif
13191 mov 0x9b, %r17
13192#ifndef PORTABLE_CORE
13193 best_set_reg(0x3515dbb152f40445, %r16, %r17)
13194#else
13195 sllx %r17, %r8, %r17
13196#endif
13197cmp_multi_core_1_95:
13198 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13199 and %r14, %r9, %r14 !Apply core-mask
13200 stxa %r14, [0x60]%asi
13201 st %g0, [%r23] !clear lock
13202 wr %g0, %r12, %asi
13203 wrhpr %g0, 0x988, %hpstate ! ta T_CHANGE_NONHPRIV
13204 .word 0x91914004 ! 169: WRPR_PIL_R wrpr %r5, %r4, %pil
13205splash_lsu_1_97:
13206 nop
13207 nop
13208 ta T_CHANGE_HPRIV
13209 set 0x575d5dcd, %r2
13210 mov 0x1, %r1
13211 sllx %r1, 32, %r1
13212 or %r1, %r2, %r2
13213 .word 0x22800001 ! 1: BE be,a <label_0x1>
13214 stxa %r2, [%r0] ASI_LSU_CONTROL
13215 .word 0x1d400001 ! 173: FBPULE fbule
13216 .word 0xd047e038 ! 177: LDSW_I ldsw [%r31 + 0x0038], %r8
13217 .word 0xc1bfc2c0 ! 181: STDFA_R stda %f0, [%r0, %r31]
13218brcommon3_1_100:
13219 nop
13220 nop
13221 setx common_target, %r12, %r27
13222 lduw [%r27-0], %r12 ! Load common dest into dcache ..
13223 stuw %r12, [%r27-4] ! Load common dest into dcache ..
13224 ba,a .+12
13225 .word 0xd137e1d0 ! 1: STQF_I - %f8, [0x01d0, %r31]
13226 ba,a .+8
13227 jmpl %r27+0, %r27
13228 .word 0x8d9030ce ! 185: WRPR_PSTATE_I wrpr %r0, 0x10ce, %pstate
13229cmp_1_102:
13230 nop
13231 nop
13232 ta T_CHANGE_HPRIV
13233 rd %asi, %r12
13234 wr %r0, 0x41, %asi
13235 set sync_thr_counter4, %r23
13236#ifndef SPC
13237 ldxa [%g0]0x63, %r8
13238 and %r8, 0x38, %r8 ! Core ID
13239#ifndef PORTABLE_CORE
13240 add %r8, %r23, %r23
13241#endif
13242 mov 0xff, %r9
13243#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13244 xor %r9, 0x1, %r9 ! My core mask
13245#else
13246 xor %r9, 0x1, %r9
13247#endif
13248 sllx %r9, %r8, %r9 ! My core mask
13249#else
13250 mov 0, %r8
13251 mov 0xff, %r9
13252 xor %r9, 0x1, %r9 ! My core mask
13253#endif
13254 mov 0x1, %r10
13255cmp_startwait1_102:
13256 cas [%r23],%g0,%r10 !lock
13257 brz,a %r10, continue_cmp_1_102
13258 ldxa [0x50]%asi, %r13 !Running_rw
13259 ld [%r23], %r10
13260cmp_wait1_102:
13261 brnz,a %r10, cmp_wait1_102
13262 ld [%r23], %r10
13263 ba cmp_startwait1_102
13264 mov 0x1, %r10
13265continue_cmp_1_102:
13266 ldxa [0x58]%asi, %r14 !Running_status
13267 xnor %r14, %r13, %r14 !Bits equal
13268#ifndef PORTABLE_CORE
13269 brz,a %r8, cmp_multi_core_1_102
13270#endif
13271 mov 0x35, %r17
13272#ifndef PORTABLE_CORE
13273 best_set_reg(0x3107da455944d746, %r16, %r17)
13274#else
13275 sllx %r17, %r8, %r17
13276#endif
13277cmp_multi_core_1_102:
13278 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13279 and %r14, %r9, %r14 !Apply core-mask
13280 stxa %r14, [0x68]%asi
13281 st %g0, [%r23] !clear lock
13282 wr %g0, %r12, %asi
13283 wrhpr %g0, 0x19b, %hpstate ! ta T_CHANGE_NONHPRIV
13284 .word 0xd0bfd000 ! 189: STDA_R stda %r8, [%r31 + %r0] 0x80
13285jmptr_1_104:
13286 nop
13287 nop
13288 best_set_reg(0xe1200000, %r20, %r27)
13289 .word 0xb7c6c000 ! 193: JMPL_R jmpl %r27 + %r0, %r27
13290intveclr_1_106:
13291 nop
13292 nop
13293 ta T_CHANGE_HPRIV
13294 setx 0x31167b270be325a5, %r1, %r28
13295 stxa %r28, [%g0] 0x72
13296 wrhpr %g0, 0x9d9, %hpstate ! ta T_CHANGE_NONHPRIV
13297 .word 0x25400001 ! 197: FBPLG fblg,a,pn %fcc0, <label_0x1>
13298 .word 0xa9b504d0 ! 201: FCMPNE32 fcmpne32 %d20, %d16, %r20
13299 .word 0xe8800c40 ! 205: LDUWA_R lduwa [%r0, %r0] 0x62, %r20
13300 nop
13301 nop
13302 ta T_CHANGE_HPRIV ! macro
13303donret_1_111:
13304 rd %pc, %r12
13305 mov HIGHVA_HIGHNUM, %r10
13306 sllx %r10, 32, %r10
13307 or %r12, %r10, %r12
13308 add %r12, (donretarg_1_111-donret_1_111+4), %r12
13309 add %r12, 0x4, %r11 ! seq tnpc
13310 andn %r11, %r10, %r11 ! low VA tnpc
13311 wrpr %g0, 0x2, %tl
13312 wrpr %g0, %r12, %tpc
13313 wrpr %g0, %r11, %tnpc
13314 set (0x00e6b500 | (28 << 24)), %r13
13315 and %r12, 0xfff, %r14
13316 sllx %r14, 32, %r14
13317 or %r13, %r14, %r20
13318 wrpr %r20, %g0, %tstate
13319 wrhpr %g0, 0xf95, %htstate
13320 best_set_reg(0x232, %g1, %g2)
13321 wrpr %g0, %g2, %pstate ! rand=0 (1)
13322 ldx [%r11+%r0], %g1
13323 done
13324donretarg_1_111:
13325 .word 0x8198262d ! 209: WRHPR_HPSTATE_I wrhpr %r0, 0x062d, %hpstate
13326 .word 0xa9a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f20
13327 .word 0x9f8032eb ! 213: SIR sir 0x12eb
13328intveclr_1_115:
13329 nop
13330 nop
13331 ta T_CHANGE_HPRIV
13332 setx 0x71da08aa550b12f8, %r1, %r28
13333 stxa %r28, [%g0] 0x72
13334 wrhpr %g0, 0xb09, %hpstate ! ta T_CHANGE_NONHPRIV
13335 .word 0x25400001 ! 217: FBPLG fblg,a,pn %fcc0, <label_0x1>
13336 .word 0xe927e011 ! 221: STF_I st %f20, [0x0011, %r31]
13337cmp_1_118:
13338 nop
13339 nop
13340 ta T_CHANGE_HPRIV
13341 rd %asi, %r12
13342 wr %r0, 0x41, %asi
13343 set sync_thr_counter4, %r23
13344#ifndef SPC
13345 ldxa [%g0]0x63, %r8
13346 and %r8, 0x38, %r8 ! Core ID
13347#ifndef PORTABLE_CORE
13348 add %r8, %r23, %r23
13349#endif
13350 mov 0xff, %r9
13351#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13352 xor %r9, 0x1, %r9 ! My core mask
13353#else
13354 xor %r9, 0x1, %r9
13355#endif
13356 sllx %r9, %r8, %r9 ! My core mask
13357#else
13358 mov 0, %r8
13359 mov 0xff, %r9
13360 xor %r9, 0x1, %r9 ! My core mask
13361#endif
13362 mov 0x1, %r10
13363cmp_startwait1_118:
13364 cas [%r23],%g0,%r10 !lock
13365 brz,a %r10, continue_cmp_1_118
13366 ldxa [0x50]%asi, %r13 !Running_rw
13367 ld [%r23], %r10
13368cmp_wait1_118:
13369 brnz,a %r10, cmp_wait1_118
13370 ld [%r23], %r10
13371 ba cmp_startwait1_118
13372 mov 0x1, %r10
13373continue_cmp_1_118:
13374 ldxa [0x58]%asi, %r14 !Running_status
13375 xnor %r14, %r13, %r14 !Bits equal
13376#ifndef PORTABLE_CORE
13377 brz,a %r8, cmp_multi_core_1_118
13378#endif
13379 mov 0x79, %r17
13380#ifndef PORTABLE_CORE
13381 best_set_reg(0xb3a5d71da446a6ee, %r16, %r17)
13382#else
13383 sllx %r17, %r8, %r17
13384#endif
13385cmp_multi_core_1_118:
13386 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13387 and %r14, %r9, %r14 !Apply core-mask
13388 stxa %r14, [0x68]%asi
13389 st %g0, [%r23] !clear lock
13390 wr %g0, %r12, %asi
13391 .word 0x91944011 ! 225: WRPR_PIL_R wrpr %r17, %r17, %pil
13392splash_tba_1_121:
13393 nop
13394 ta T_CHANGE_PRIV
13395 setx 0x0000000400380000, %r11, %r12
13396 .word 0x8b90000c ! 229: WRPR_TBA_R wrpr %r0, %r12, %tba
13397 .word 0xe937c000 ! 233: STQF_R - %f20, [%r0, %r31]
13398memptr_1_123:
13399 set user_data_start, %r31
13400 .word 0x85816d04 ! 237: WRCCR_I wr %r5, 0x0d04, %ccr
13401br_badelay2_1_124:
13402 .word 0x02800001 ! 1: BE be <label_0x1>
13403 pdist %f12, %f8, %f14
13404 .word 0x91b44310 ! 241: ALIGNADDRESS alignaddr %r17, %r16, %r8
13405 .word 0xd0dfc380 ! 245: LDXA_R ldxa [%r31, %r0] 0x1c, %r8
13406brcommon3_1_127:
13407 nop
13408 nop
13409 setx common_target, %r12, %r27
13410 lduw [%r27-4], %r12 ! Load common dest into dcache ..
13411 stuw %r12, [%r27-0] ! Load common dest into dcache ..
13412 ba,a .+12
13413 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
13414 ba,a .+8
13415 jmpl %r27+0, %r27
13416 .word 0x819824c1 ! 249: WRHPR_HPSTATE_I wrhpr %r0, 0x04c1, %hpstate
13417memptr_1_129:
13418 set user_data_start, %r31
13419 .word 0x85846855 ! 253: WRCCR_I wr %r17, 0x0855, %ccr
13420splash_lsu_1_132:
13421 nop
13422 nop
13423 ta T_CHANGE_HPRIV
13424 set 0xc60d0552, %r2
13425 mov 0x2, %r1
13426 sllx %r1, 32, %r1
13427 or %r1, %r2, %r2
13428 .word 0x2ecc8001 ! 1: BRGEZ brgez,a,pt %r18,<label_0xc8001>
13429 stxa %r2, [%r0] ASI_LSU_CONTROL
13430 ta T_CHANGE_NONHPRIV
13431 .word 0x3d400001 ! 257: FBPULE fbule,a,pn %fcc0, <label_0x1>
13432 .word 0xd1e7c600 ! 261: CASA_I casa [%r31] 0x30, %r0, %r8
13433frzptr_1_139:
13434 nop
13435 nop
13436 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
13437 ldx [%r27+0xc], %r20
13438 jmpl %r27, %r27
13439 .word 0x20800001 ! 265: BN bn,a <label_0x1>
13440 .word 0xe19fdf20 ! 269: LDDFA_R ldda [%r31, %r0], %f16
13441cmp_1_142:
13442 nop
13443 nop
13444 ta T_CHANGE_HPRIV
13445 rd %asi, %r12
13446 wr %r0, 0x41, %asi
13447 set sync_thr_counter4, %r23
13448#ifndef SPC
13449 ldxa [%g0]0x63, %r8
13450 and %r8, 0x38, %r8 ! Core ID
13451#ifndef PORTABLE_CORE
13452 add %r8, %r23, %r23
13453#endif
13454 mov 0xff, %r9
13455#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13456 xor %r9, 0x1, %r9 ! My core mask
13457#else
13458 xor %r9, 0x1, %r9
13459#endif
13460 sllx %r9, %r8, %r9 ! My core mask
13461#else
13462 mov 0, %r8
13463 mov 0xff, %r9
13464 xor %r9, 0x1, %r9 ! My core mask
13465#endif
13466 mov 0x1, %r10
13467cmp_startwait1_142:
13468 cas [%r23],%g0,%r10 !lock
13469 brz,a %r10, continue_cmp_1_142
13470 ldxa [0x50]%asi, %r13 !Running_rw
13471 ld [%r23], %r10
13472cmp_wait1_142:
13473 brnz,a %r10, cmp_wait1_142
13474 ld [%r23], %r10
13475 ba cmp_startwait1_142
13476 mov 0x1, %r10
13477continue_cmp_1_142:
13478 ldxa [0x58]%asi, %r14 !Running_status
13479 xnor %r14, %r13, %r14 !Bits equal
13480#ifndef PORTABLE_CORE
13481 brz,a %r8, cmp_multi_core_1_142
13482#endif
13483 mov 0x61, %r17
13484#ifndef PORTABLE_CORE
13485 best_set_reg(0x8c1df87dbf07d9ae, %r16, %r17)
13486#else
13487 sllx %r17, %r8, %r17
13488#endif
13489cmp_multi_core_1_142:
13490 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13491 and %r14, %r9, %r14 !Apply core-mask
13492 stxa %r14, [0x68]%asi
13493 st %g0, [%r23] !clear lock
13494 wr %g0, %r12, %asi
13495 .word 0x91950014 ! 273: WRPR_PIL_R wrpr %r20, %r20, %pil
13496 .word 0xd1e7c600 ! 277: CASA_I casa [%r31] 0x30, %r0, %r8
13497brcommon3_1_147:
13498 nop
13499 nop
13500 setx common_target, %r12, %r27
13501 lduw [%r27], %r12 ! Load common dest into dcache ..
13502 stuw %r12, [%r27] ! Load common dest into dcache ..
13503 ba,a .+12
13504 .word 0xd137c000 ! 1: STQF_R - %f8, [%r0, %r31]
13505 ba,a .+8
13506 jmpl %r27+0, %r27
13507 stxa %r11, [%r0] ASI_LSU_CONTROL
13508 .word 0x99aac827 ! 281: FMOVGE fmovs %fcc1, %f7, %f12
13509br_badelay2_1_151:
13510 .word 0x14800001 ! 1: BG bg <label_0x1>
13511 pdist %f28, %f16, %f10
13512 .word 0x95b14314 ! 285: ALIGNADDRESS alignaddr %r5, %r20, %r10
13513cmp_1_154:
13514 nop
13515 nop
13516 ta T_CHANGE_HPRIV
13517 rd %asi, %r12
13518 wr %r0, 0x41, %asi
13519 set sync_thr_counter4, %r23
13520#ifndef SPC
13521 ldxa [%g0]0x63, %r8
13522 and %r8, 0x38, %r8 ! Core ID
13523#ifndef PORTABLE_CORE
13524 add %r8, %r23, %r23
13525#endif
13526 mov 0xff, %r9
13527#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13528 xor %r9, 0x1, %r9 ! My core mask
13529#else
13530 xor %r9, 0x1, %r9
13531#endif
13532 sllx %r9, %r8, %r9 ! My core mask
13533#else
13534 mov 0, %r8
13535 mov 0xff, %r9
13536 xor %r9, 0x1, %r9 ! My core mask
13537#endif
13538 mov 0x1, %r10
13539cmp_startwait1_154:
13540 cas [%r23],%g0,%r10 !lock
13541 brz,a %r10, continue_cmp_1_154
13542 ldxa [0x50]%asi, %r13 !Running_rw
13543 ld [%r23], %r10
13544cmp_wait1_154:
13545 brnz,a %r10, cmp_wait1_154
13546 ld [%r23], %r10
13547 ba cmp_startwait1_154
13548 mov 0x1, %r10
13549continue_cmp_1_154:
13550 ldxa [0x58]%asi, %r14 !Running_status
13551 xnor %r14, %r13, %r14 !Bits equal
13552#ifndef PORTABLE_CORE
13553 brz,a %r8, cmp_multi_core_1_154
13554#endif
13555 mov 0xf3, %r17
13556#ifndef PORTABLE_CORE
13557 best_set_reg(0x06760d0daf404dcd, %r16, %r17)
13558#else
13559 sllx %r17, %r8, %r17
13560#endif
13561cmp_multi_core_1_154:
13562 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13563 and %r14, %r9, %r14 !Apply core-mask
13564 stxa %r14, [0x68]%asi
13565 st %g0, [%r23] !clear lock
13566 wr %g0, %r12, %asi
13567 wrhpr %g0, 0x6d0, %hpstate ! ta T_CHANGE_NONHPRIV
13568 .word 0xd53fe190 ! 289: STDF_I std %f10, [0x0190, %r31]
13569cmp_1_156:
13570 nop
13571 nop
13572 ta T_CHANGE_HPRIV
13573 rd %asi, %r12
13574 wr %r0, 0x41, %asi
13575 set sync_thr_counter4, %r23
13576#ifndef SPC
13577 ldxa [%g0]0x63, %r8
13578 and %r8, 0x38, %r8 ! Core ID
13579#ifndef PORTABLE_CORE
13580 add %r8, %r23, %r23
13581#endif
13582 mov 0xff, %r9
13583#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13584 xor %r9, 0x1, %r9 ! My core mask
13585#else
13586 xor %r9, 0x1, %r9
13587#endif
13588 sllx %r9, %r8, %r9 ! My core mask
13589#else
13590 mov 0, %r8
13591 mov 0xff, %r9
13592 xor %r9, 0x1, %r9 ! My core mask
13593#endif
13594 mov 0x1, %r10
13595cmp_startwait1_156:
13596 cas [%r23],%g0,%r10 !lock
13597 brz,a %r10, continue_cmp_1_156
13598 ldxa [0x50]%asi, %r13 !Running_rw
13599 ld [%r23], %r10
13600cmp_wait1_156:
13601 brnz,a %r10, cmp_wait1_156
13602 ld [%r23], %r10
13603 ba cmp_startwait1_156
13604 mov 0x1, %r10
13605continue_cmp_1_156:
13606 ldxa [0x58]%asi, %r14 !Running_status
13607 xnor %r14, %r13, %r14 !Bits equal
13608#ifndef PORTABLE_CORE
13609 brz,a %r8, cmp_multi_core_1_156
13610#endif
13611 mov 0x11, %r17
13612#ifndef PORTABLE_CORE
13613 best_set_reg(0x0eb189b4eab5959e, %r16, %r17)
13614#else
13615 sllx %r17, %r8, %r17
13616#endif
13617cmp_multi_core_1_156:
13618 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13619 and %r14, %r9, %r14 !Apply core-mask
13620 stxa %r14, [0x68]%asi
13621 st %g0, [%r23] !clear lock
13622 wr %g0, %r12, %asi
13623 wrhpr %g0, 0x11a, %hpstate ! ta T_CHANGE_NONHPRIV
13624 .word 0xd49fd040 ! 293: LDDA_R ldda [%r31, %r0] 0x82, %r10
13625 .word 0xe19fe140 ! 297: LDDFA_I ldda [%r31, 0x0140], %f16
13626 .word 0xd41fe0a0 ! 301: LDD_I ldd [%r31 + 0x00a0], %r10
13627 .word 0xe19fe1a0 ! 305: LDDFA_I ldda [%r31, 0x01a0], %f16
13628 nop
13629 nop
13630 ta T_CHANGE_HPRIV ! macro
13631donret_1_161:
13632 rd %pc, %r12
13633 mov HIGHVA_HIGHNUM, %r10
13634 sllx %r10, 32, %r10
13635 or %r12, %r10, %r12
13636 add %r12, (donretarg_1_161-donret_1_161+4), %r12
13637 add %r12, 0x4, %r11 ! seq tnpc
13638 wrpr %g0, 0x1, %tl
13639 wrpr %g0, %r12, %tpc
13640 wrpr %g0, %r11, %tnpc
13641 set (0x00fcaa00 | (22 << 24)), %r13
13642 and %r12, 0xfff, %r14
13643 sllx %r14, 32, %r14
13644 or %r13, %r14, %r20
13645 wrpr %r20, %g0, %tstate
13646 wrhpr %g0, 0x161e, %htstate
13647 wrhpr %g0, 0x559, %hpstate ! rand=1 (1)
13648 done
13649.align 512
13650donretarg_1_161:
13651 .word 0xd4ffc600 ! 309: SWAPA_R swapa %r10, [%r31 + %r0] 0x30
13652 .word 0xa1454000 ! 313: RD_CLEAR_SOFTINT rd %clear_softint, %r16
13653cmp_1_164:
13654 nop
13655 nop
13656 ta T_CHANGE_HPRIV
13657 rd %asi, %r12
13658 wr %r0, 0x41, %asi
13659 set sync_thr_counter4, %r23
13660#ifndef SPC
13661 ldxa [%g0]0x63, %r8
13662 and %r8, 0x38, %r8 ! Core ID
13663#ifndef PORTABLE_CORE
13664 add %r8, %r23, %r23
13665#endif
13666 mov 0xff, %r9
13667#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13668 xor %r9, 0x1, %r9 ! My core mask
13669#else
13670 xor %r9, 0x1, %r9
13671#endif
13672 sllx %r9, %r8, %r9 ! My core mask
13673#else
13674 mov 0, %r8
13675 mov 0xff, %r9
13676 xor %r9, 0x1, %r9 ! My core mask
13677#endif
13678 mov 0x1, %r10
13679cmp_startwait1_164:
13680 cas [%r23],%g0,%r10 !lock
13681 brz,a %r10, continue_cmp_1_164
13682 ldxa [0x50]%asi, %r13 !Running_rw
13683 ld [%r23], %r10
13684cmp_wait1_164:
13685 brnz,a %r10, cmp_wait1_164
13686 ld [%r23], %r10
13687 ba cmp_startwait1_164
13688 mov 0x1, %r10
13689continue_cmp_1_164:
13690 ldxa [0x58]%asi, %r14 !Running_status
13691 xnor %r14, %r13, %r14 !Bits equal
13692#ifndef PORTABLE_CORE
13693 brz,a %r8, cmp_multi_core_1_164
13694#endif
13695 mov 0x99, %r17
13696#ifndef PORTABLE_CORE
13697 best_set_reg(0x0c24d669dbc66484, %r16, %r17)
13698#else
13699 sllx %r17, %r8, %r17
13700#endif
13701cmp_multi_core_1_164:
13702 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13703 and %r14, %r9, %r14 !Apply core-mask
13704 stxa %r14, [0x68]%asi
13705 st %g0, [%r23] !clear lock
13706 wr %g0, %r12, %asi
13707 wrhpr %g0, 0xc2, %hpstate ! ta T_CHANGE_NONHPRIV
13708 .word 0x9192c014 ! 317: WRPR_PIL_R wrpr %r11, %r20, %pil
13709br_longdelay3_1_166:
13710 nop
13711 not %g0, %r27
13712 jmpl %r27+0, %r27
13713 .word 0x80000001 ! 321: ILLTRAP add %r0, %r1, %r0
13714 .word 0xc1bfe140 ! 325: STDFA_I stda %f0, [0x0140, %r31]
13715cancelint_1_171:
13716 rdhpr %halt, %r11
13717 .word 0x85880000 ! 329: ALLCLEAN <illegal instruction>
13718 .word 0xe1bfe140 ! 333: STDFA_I stda %f16, [0x0140, %r31]
13719 .word 0x04cb4001 ! 337: BRLEZ brlez,pt %r13,<label_0xb4001>
13720frzptr_1_177:
13721 nop
13722 nop
13723 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
13724 jmpl %r27+4, %r27
13725 .word 0xa3a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f48
13726 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
13727 jmpl %r27, %r27
13728 .word 0x00800001 ! 341: BN bn <label_0x1>
13729demap_1_180:
13730 nop
13731 mov 0x80, %g3
13732 ta T_CHANGE_HPRIV
13733 .word 0x25400001 ! 1: FBPLG fblg,a,pn %fcc0, <label_0x1>
13734 stxa %g3, [%g3] 0x5f
13735 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
13736 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
13737 stxa %g3, [%g3] 0x57
13738 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
13739 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
13740 stxa %g3, [%g3] 0x57
13741 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
13742 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
13743 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
13744 stxa %g3, [%g3] 0x57
13745 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
13746 wrhpr %g0, 0x81, %hpstate ! ta T_CHANGE_NONHPRIV
13747 .word 0xe21fe0aa ! 345: LDD_I ldd [%r31 + 0x00aa], %r17
13748 .word 0x05400001 ! 1: FBPLG fblg
13749 .word 0x8d9029b7 ! 349: WRPR_PSTATE_I wrpr %r0, 0x09b7, %pstate
13750 .word 0xe3e7c600 ! 353: CASA_I casa [%r31] 0x30, %r0, %r17
13751splash_tba_1_187:
13752 nop
13753 ta T_CHANGE_PRIV
13754 set 0x120000, %r12
13755 .word 0x8b90000c ! 357: WRPR_TBA_R wrpr %r0, %r12, %tba
13756splash_lsu_1_189:
13757 nop
13758 nop
13759 ta T_CHANGE_HPRIV
13760 set 0x5231e508, %r2
13761 mov 0x3, %r1
13762 sllx %r1, 32, %r1
13763 or %r1, %r2, %r2
13764 .word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
13765 stxa %r2, [%r0] ASI_LSU_CONTROL
13766 ta T_CHANGE_NONHPRIV
13767 .word 0x1d400001 ! 361: FBPULE fbule
13768 .word 0xa3b504cb ! 365: FCMPNE32 fcmpne32 %d20, %d42, %r17
13769cancelint_1_194:
13770 rdhpr %halt, %r8
13771 .word 0x85880000 ! 369: ALLCLEAN <illegal instruction>
13772br_badelay3_1_195:
13773 .word 0x97a509cc ! 1: FDIVd fdivd %f20, %f12, %f42
13774 .word 0x20800001 ! 1: BN bn,a <label_0x1>
13775 .word 0x97a409d0 ! 1: FDIVd fdivd %f16, %f16, %f42
13776 .word 0x95a04830 ! 373: FADDs fadds %f1, %f16, %f10
13777#if (defined SPC || defined CMP1)
13778!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_198) , 16, 16)) -> intp(6,0,12,*,984,*,2f,1)
13779!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_198)&0xffffffff) , 16, 16)) -> intp(5,0,27,*,944,*,2f,1)
13780#else
13781 nop
13782 nop
13783 set 0xe4e0abb9, %r28 !TTID : 3 (mask2tid(0x1))
13784#if (defined PORTABLE_CORE || MAX_THREADS == 8)
13785 sethi %hi(0x3800), %r27
13786 andn %r28, %r27, %r28
13787#ifdef PORTABLE_CORE
13788 ! Add CID to vector
13789 ta T_CHANGE_HPRIV
13790 ldxa [%g0]0x63, %r27
13791 sllx %r27, 8, %r27
13792 or %r27, %r28, %r28
13793#endif
13794#else
13795 ! Add CID IF tid matches
13796ifelse(3,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
13797#endif
13798ifelse(3,mask2tid(0x1),`.align 16')
13799 stxa %r28, [%g0] 0x73
13800#endif
13801intvec_1_198:
13802#if (defined SPC || defined CMP1)
13803 wrhpr %g0, 0x0, %halt ! HALT
13804#else
13805ifelse(3,mask2tid(0x1),`wrhpr %g0, 0x0, %halt ! HALT')
13806#endif
13807 .word 0x95a149d1 ! 377: FDIVd fdivd %f36, %f48, %f10
13808 .word 0xa9b504cb ! 381: FCMPNE32 fcmpne32 %d20, %d42, %r20
13809 .word 0x87aa4a47 ! 385: FCMPd fcmpd %fcc<n>, %f40, %f38
13810brcommon3_1_203:
13811 nop
13812 nop
13813 setx common_target, %r12, %r27
13814 lduw [%r27-4], %r12 ! Load common dest into dcache ..
13815 stuw %r12, [%r27-0] ! Load common dest into dcache ..
13816 ba,a .+12
13817 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
13818 ba,a .+8
13819 jmpl %r27+0, %r27
13820 .word 0x20800001 ! 389: BN bn,a <label_0x1>
13821 .word 0xe937e018 ! 393: STQF_I - %f20, [0x0018, %r31]
13822br_longdelay3_1_207:
13823 nop
13824 not %g0, %r27
13825 jmpl %r27+0, %r27
13826 .word 0x81983e07 ! 397: WRHPR_HPSTATE_I wrhpr %r0, 0x1e07, %hpstate
13827jmptr_1_209:
13828 nop
13829 nop
13830 best_set_reg(0xe0200000, %r20, %r27)
13831 .word 0xb7c6c000 ! 401: JMPL_R jmpl %r27 + %r0, %r27
13832intveclr_1_213:
13833 nop
13834 nop
13835 ta T_CHANGE_HPRIV
13836 setx 0x1343632b424725bd, %r1, %r28
13837 stxa %r28, [%g0] 0x72
13838 .word 0x25400002 ! 405: FBPLG fblg,a,pn %fcc0, <label_0x2>
13839 .word 0x87ad0a4a ! 409: FCMPd fcmpd %fcc<n>, %f20, %f10
13840frzptr_1_219:
13841 nop
13842 nop
13843 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
13844 ldx [%r27+0xc], %r20
13845 jmpl %r27, %r27
13846 .word 0x20800002 ! 413: BN bn,a <label_0x2>
13847 .word 0x87ad0a4b ! 417: FCMPd fcmpd %fcc<n>, %f20, %f42
13848#if (defined SPC || defined CMP1)
13849!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_224) , 16, 16)) -> intp(3,0,15,*,904,*,81,1)
13850!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_224)&0xffffffff) , 16, 16)) -> intp(3,0,27,*,640,*,81,1)
13851#else
13852 nop
13853 nop
13854 set 0xd160777e, %r28 !TTID : 7 (mask2tid(0x1))
13855#if (defined PORTABLE_CORE || MAX_THREADS == 8)
13856 sethi %hi(0x3800), %r27
13857 andn %r28, %r27, %r28
13858#ifdef PORTABLE_CORE
13859 ! Add CID to vector
13860 ta T_CHANGE_HPRIV
13861 ldxa [%g0]0x63, %r27
13862 sllx %r27, 8, %r27
13863 or %r27, %r28, %r28
13864#endif
13865#else
13866 ! Add CID IF tid matches
13867ifelse(7,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
13868#endif
13869ifelse(7,mask2tid(0x1),`.align 16')
13870 stxa %r28, [%g0] 0x73
13871#endif
13872intvec_1_224:
13873 .word 0x95b284d2 ! 421: FCMPNE32 fcmpne32 %d10, %d18, %r10
13874br_longdelay2_1_226:
13875 .word 0x21400002 ! 1: FBPN fbn,a,pn %fcc0, <label_0x2>
13876 .word 0x95b7c4c0 ! 425: FCMPNE32 fcmpne32 %d62, %d0, %r10
13877 .word 0x19400001 ! 429: FBPUGE fbuge
13878 .word 0xd69fd000 ! 433: LDDA_R ldda [%r31, %r0] 0x80, %r11
13879 nop
13880 nop
13881 set 0xeac02304, %r28 !TTID : 3 (mask2tid(0x1))
13882#if (defined PORTABLE_CORE || MAX_THREADS == 8)
13883 sethi %hi(0x3800), %r27
13884 andn %r28, %r27, %r28
13885#ifdef PORTABLE_CORE
13886 ! Add CID to vector
13887 ta T_CHANGE_HPRIV
13888 ldxa [%g0]0x63, %r27
13889 sllx %r27, 8, %r27
13890 or %r27, %r28, %r28
13891#endif
13892#else
13893 ! Add CID IF tid matches
13894ifelse(3,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
13895#endif
13896 sethi %hi(0x30000), %r27
13897 andn %r28, %r27, %r28
13898 ta T_CHANGE_HPRIV
13899ifelse(3,mask2tid(0x1),`.align 16')
13900 stxa %r28, [%g0] 0x73
13901intvec_1_233:
13902 .word 0x19400001 ! 437: FBPUGE fbuge
13903cmp_1_236:
13904 nop
13905 nop
13906 ta T_CHANGE_HPRIV
13907 rd %asi, %r12
13908 wr %r0, 0x41, %asi
13909 set sync_thr_counter4, %r23
13910#ifndef SPC
13911 ldxa [%g0]0x63, %r8
13912 and %r8, 0x38, %r8 ! Core ID
13913#ifndef PORTABLE_CORE
13914 add %r8, %r23, %r23
13915#endif
13916 mov 0xff, %r9
13917#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13918 xor %r9, 0x1, %r9 ! My core mask
13919#else
13920 xor %r9, 0x1, %r9
13921#endif
13922 sllx %r9, %r8, %r9 ! My core mask
13923#else
13924 mov 0, %r8
13925 mov 0xff, %r9
13926 xor %r9, 0x1, %r9 ! My core mask
13927#endif
13928 mov 0x1, %r10
13929cmp_startwait1_236:
13930 cas [%r23],%g0,%r10 !lock
13931 brz,a %r10, continue_cmp_1_236
13932 ldxa [0x50]%asi, %r13 !Running_rw
13933 ld [%r23], %r10
13934cmp_wait1_236:
13935 brnz,a %r10, cmp_wait1_236
13936 ld [%r23], %r10
13937 ba cmp_startwait1_236
13938 mov 0x1, %r10
13939continue_cmp_1_236:
13940 ldxa [0x58]%asi, %r14 !Running_status
13941 xnor %r14, %r13, %r14 !Bits equal
13942#ifndef PORTABLE_CORE
13943 brz,a %r8, cmp_multi_core_1_236
13944#endif
13945 mov 0xe, %r17
13946#ifndef PORTABLE_CORE
13947 best_set_reg(0x3b2688e5d69b3c2b, %r16, %r17)
13948#else
13949 sllx %r17, %r8, %r17
13950#endif
13951cmp_multi_core_1_236:
13952 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13953 and %r14, %r9, %r14 !Apply core-mask
13954 stxa %r14, [0x68]%asi
13955 st %g0, [%r23] !clear lock
13956 wr %g0, %r12, %asi
13957 .word 0x9191c010 ! 441: WRPR_PIL_R wrpr %r7, %r16, %pil
13958jmptr_1_238:
13959 nop
13960 nop
13961 best_set_reg(0xe1200000, %r20, %r27)
13962 .word 0xb7c6c000 ! 445: JMPL_R jmpl %r27 + %r0, %r27
13963cmp_1_240:
13964 nop
13965 nop
13966 ta T_CHANGE_HPRIV
13967 rd %asi, %r12
13968 wr %r0, 0x41, %asi
13969 set sync_thr_counter4, %r23
13970#ifndef SPC
13971 ldxa [%g0]0x63, %r8
13972 and %r8, 0x38, %r8 ! Core ID
13973#ifndef PORTABLE_CORE
13974 add %r8, %r23, %r23
13975#endif
13976 mov 0xff, %r9
13977#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13978 xor %r9, 0x1, %r9 ! My core mask
13979#else
13980 xor %r9, 0x1, %r9
13981#endif
13982 sllx %r9, %r8, %r9 ! My core mask
13983#else
13984 mov 0, %r8
13985 mov 0xff, %r9
13986 xor %r9, 0x1, %r9 ! My core mask
13987#endif
13988 mov 0x1, %r10
13989cmp_startwait1_240:
13990 cas [%r23],%g0,%r10 !lock
13991 brz,a %r10, continue_cmp_1_240
13992 ldxa [0x50]%asi, %r13 !Running_rw
13993 ld [%r23], %r10
13994cmp_wait1_240:
13995 brnz,a %r10, cmp_wait1_240
13996 ld [%r23], %r10
13997 ba cmp_startwait1_240
13998 mov 0x1, %r10
13999continue_cmp_1_240:
14000 ldxa [0x58]%asi, %r14 !Running_status
14001 xnor %r14, %r13, %r14 !Bits equal
14002#ifndef PORTABLE_CORE
14003 brz,a %r8, cmp_multi_core_1_240
14004#endif
14005 mov 0x48, %r17
14006#ifndef PORTABLE_CORE
14007 best_set_reg(0x5a7fa3eb43e4272d, %r16, %r17)
14008#else
14009 sllx %r17, %r8, %r17
14010#endif
14011cmp_multi_core_1_240:
14012 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
14013 and %r14, %r9, %r14 !Apply core-mask
14014 stxa %r14, [0x68]%asi
14015 st %g0, [%r23] !clear lock
14016 wr %g0, %r12, %asi
14017 .word 0xd41fe140 ! 449: LDD_I ldd [%r31 + 0x0140], %r10
14018 .word 0x1e800001 ! 1: BVC bvc <label_0x1>
14019br_longdelay5_1_242:
14020#if (defined SPC || defined CMP1)
14021!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_1_242)&0xffffffff) , 16, 16)) -> intp(mask2tid(0x1),0,0,*,664,*,ffffffffffffffff,1)
14022!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_1_242)) , 16, 16)) -> intp(mask2tid(0x1),0,0,*,1016,*,ffffffffffffffff,1)
14023 wrhpr %g0, 0x0, %halt ! HALT
14024#endif
14025 .word 0x19400001 ! 453: FBPUGE fbuge
14026 nop
14027 nop
14028 set 0xb2088c0, %r28 !TTID : 0 (mask2tid(0x1))
14029#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14030 sethi %hi(0x3800), %r27
14031 andn %r28, %r27, %r28
14032#ifdef PORTABLE_CORE
14033 ! Add CID to vector
14034 ta T_CHANGE_HPRIV
14035 ldxa [%g0]0x63, %r27
14036 sllx %r27, 8, %r27
14037 or %r27, %r28, %r28
14038#endif
14039#else
14040 ! Add CID IF tid matches
14041ifelse(0,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14042#endif
14043 sethi %hi(0x30000), %r27
14044 andn %r28, %r27, %r28
14045 ta T_CHANGE_HPRIV
14046ifelse(0,mask2tid(0x1),`.align 16')
14047 stxa %r28, [%g0] 0x73
14048intvec_1_243:
14049 .word 0x39400001 ! 457: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
14050brcommon2_1_246:
14051 nop
14052 nop
14053 setx common_target, %r12, %r27
14054 ba,a .+12
14055 .word 0xa3a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f48
14056 ba,a .+8
14057 jmpl %r27-4, %r27
14058 .word 0xe19fde00 ! 461: LDDFA_R ldda [%r31, %r0], %f16
14059demap_1_248:
14060 nop
14061 mov 0x80, %g3
14062 ta T_CHANGE_HPRIV
14063 .word 0x02800001 ! 1: BE be <label_0x1>
14064 stxa %g3, [%g3] 0x5f
14065 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14066 stxa %g3, [%g3] 0x57
14067 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14068 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14069 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14070 stxa %g3, [%g3] 0x57
14071 stxa %g3, [%g3] 0x5f
14072 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14073 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14074 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14075 stxa %g3, [%g3] 0x57
14076 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14077 stxa %g3, [%g3] 0x5f
14078 stxa %g3, [%g3] 0x5f
14079 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14080 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14081 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14082 wrhpr %g0, 0xe5b, %hpstate ! ta T_CHANGE_NONHPRIV
14083 .word 0xe01fe028 ! 465: LDD_I ldd [%r31 + 0x0028], %r16
14084 .word 0xc0bfdc40 ! 469: STDA_R stda %r0, [%r31 + %r0] 0xe2
14085splash_lsu_1_254:
14086 nop
14087 nop
14088 ta T_CHANGE_HPRIV
14089 set 0xad547f0d, %r2
14090 mov 0x2, %r1
14091 sllx %r1, 32, %r1
14092 or %r1, %r2, %r2
14093 .word 0x28800001 ! 1: BLEU bleu,a <label_0x1>
14094 stxa %r2, [%r0] ASI_LSU_CONTROL
14095 .word 0x3d400001 ! 473: FBPULE fbule,a,pn %fcc0, <label_0x1>
14096 .word 0xe09fe0d0 ! 477: LDDA_I ldda [%r31, + 0x00d0] %asi, %r16
14097cmp_1_258:
14098 nop
14099 nop
14100 ta T_CHANGE_HPRIV
14101 rd %asi, %r12
14102 wr %r0, 0x41, %asi
14103 set sync_thr_counter4, %r23
14104#ifndef SPC
14105 ldxa [%g0]0x63, %r8
14106 and %r8, 0x38, %r8 ! Core ID
14107#ifndef PORTABLE_CORE
14108 add %r8, %r23, %r23
14109#endif
14110 mov 0xff, %r9
14111#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
14112 xor %r9, 0x1, %r9 ! My core mask
14113#else
14114 xor %r9, 0x1, %r9
14115#endif
14116 sllx %r9, %r8, %r9 ! My core mask
14117#else
14118 mov 0, %r8
14119 mov 0xff, %r9
14120 xor %r9, 0x1, %r9 ! My core mask
14121#endif
14122 mov 0x1, %r10
14123cmp_startwait1_258:
14124 cas [%r23],%g0,%r10 !lock
14125 brz,a %r10, continue_cmp_1_258
14126 ldxa [0x50]%asi, %r13 !Running_rw
14127 ld [%r23], %r10
14128cmp_wait1_258:
14129 brnz,a %r10, cmp_wait1_258
14130 ld [%r23], %r10
14131 ba cmp_startwait1_258
14132 mov 0x1, %r10
14133continue_cmp_1_258:
14134 ldxa [0x58]%asi, %r14 !Running_status
14135 xnor %r14, %r13, %r14 !Bits equal
14136#ifndef PORTABLE_CORE
14137 brz,a %r8, cmp_multi_core_1_258
14138#endif
14139 mov 0xac, %r17
14140#ifndef PORTABLE_CORE
14141 best_set_reg(0x09634d88d0f9e366, %r16, %r17)
14142#else
14143 sllx %r17, %r8, %r17
14144#endif
14145cmp_multi_core_1_258:
14146 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
14147 and %r14, %r9, %r14 !Apply core-mask
14148 stxa %r14, [0x68]%asi
14149 st %g0, [%r23] !clear lock
14150 wr %g0, %r12, %asi
14151 wrhpr %g0, 0x40a, %hpstate ! ta T_CHANGE_NONHPRIV
14152 .word 0x91928013 ! 481: WRPR_PIL_R wrpr %r10, %r19, %pil
14153splash_tick_1_260:
14154 nop
14155 nop
14156 ta T_CHANGE_HPRIV
14157 best_set_reg(0x42b866c870b6c1af, %r16, %r17)
14158 .word 0x8980000a ! 485: WRTICK_R wr %r0, %r10, %tick
14159mondo_1_262:
14160 nop
14161 nop
14162 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
14163 stxa %r13, [%r0+0x3d0] %asi
14164 stxa %r20, [%r0+0x3d8] %asi
14165 .word 0x8780204f ! 1: WRASI_I wr %r0, 0x004f, %asi
14166 .word 0x9d950004 ! 489: WRPR_WSTATE_R wrpr %r20, %r4, %wstate
14167#if (defined SPC || defined CMP1)
14168!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_266) , 16, 16)) -> intp(1,0,28,*,656,*,a9,1)
14169!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_266)&0xffffffff) , 16, 16)) -> intp(2,0,5,*,680,*,a9,1)
14170#else
14171 nop
14172 nop
14173 set 0x65c055bc, %r28 !TTID : 5 (mask2tid(0x1))
14174#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14175 sethi %hi(0x3800), %r27
14176 andn %r28, %r27, %r28
14177#ifdef PORTABLE_CORE
14178 ! Add CID to vector
14179 ta T_CHANGE_HPRIV
14180 ldxa [%g0]0x63, %r27
14181 sllx %r27, 8, %r27
14182 or %r27, %r28, %r28
14183#endif
14184#else
14185 ! Add CID IF tid matches
14186ifelse(5,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14187#endif
14188ifelse(5,mask2tid(0x1),`.align 16')
14189 stxa %r28, [%g0] 0x73
14190#endif
14191intvec_1_266:
14192#if (defined SPC || defined CMP1)
14193 wrhpr %g0, 0x0, %halt ! HALT
14194#else
14195ifelse(5,mask2tid(0x1),`wrhpr %g0, 0x0, %halt ! HALT')
14196#endif
14197 .word 0x95a209c9 ! 493: FDIVd fdivd %f8, %f40, %f10
14198trapasi_1_270:
14199 nop
14200 mov 0x8, %r1 ! (VA for ASI 0x5a)
14201 .word 0xd4d84b40 ! 497: LDXA_R ldxa [%r1, %r0] 0x5a, %r10
14202splash_hpstate_1_272:
14203 .word 0x38800001 ! 1: BGU bgu,a <label_0x1>
14204 .word 0x8198293d ! 501: WRHPR_HPSTATE_I wrhpr %r0, 0x093d, %hpstate
14205 .word 0xd41fe0e0 ! 505: LDD_I ldd [%r31 + 0x00e0], %r10
14206memptr_1_278:
14207 set 0x60540000, %r31
14208 .word 0x8580fa7b ! 509: WRCCR_I wr %r3, 0x1a7b, %ccr
14209jmptr_1_281:
14210 nop
14211 nop
14212 best_set_reg(0xe1200000, %r20, %r27)
14213 .word 0xb7c6c000 ! 513: JMPL_R jmpl %r27 + %r0, %r27
14214 .word 0x8f902001 ! 1: WRPR_TL_I wrpr %r0, 0x0001, %tl
14215reduce_priv_lvl_1_283:
14216 ta T_CHANGE_NONHPRIV ! macro
14217 .word 0xf16fe1a5 ! 521: PREFETCH_I prefetch [%r31 + 0x01a5], #24
14218memptr_1_288:
14219 set user_data_start, %r31
14220 .word 0x858223e6 ! 525: WRCCR_I wr %r8, 0x03e6, %ccr
14221 .word 0xd537c000 ! 529: STQF_R - %f10, [%r0, %r31]
14222 .word 0x34780001 ! 533: BPG <illegal instruction>
14223splash_hpstate_1_291:
14224 .word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
14225 .word 0x81983c16 ! 537: WRHPR_HPSTATE_I wrhpr %r0, 0x1c16, %hpstate
14226memptr_1_293:
14227 set 0x60540000, %r31
14228 .word 0x8584fe7e ! 541: WRCCR_I wr %r19, 0x1e7e, %ccr
14229cmp_1_296:
14230 nop
14231 nop
14232 ta T_CHANGE_HPRIV
14233 rd %asi, %r12
14234 wr %r0, 0x41, %asi
14235 set sync_thr_counter4, %r23
14236#ifndef SPC
14237 ldxa [%g0]0x63, %r8
14238 and %r8, 0x38, %r8 ! Core ID
14239#ifndef PORTABLE_CORE
14240 add %r8, %r23, %r23
14241#endif
14242 mov 0xff, %r9
14243#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
14244 xor %r9, 0x1, %r9 ! My core mask
14245#else
14246 xor %r9, 0x1, %r9
14247#endif
14248 sllx %r9, %r8, %r9 ! My core mask
14249#else
14250 mov 0, %r8
14251 mov 0xff, %r9
14252 xor %r9, 0x1, %r9 ! My core mask
14253#endif
14254 mov 0x1, %r10
14255cmp_startwait1_296:
14256 cas [%r23],%g0,%r10 !lock
14257 brz,a %r10, continue_cmp_1_296
14258 ldxa [0x50]%asi, %r13 !Running_rw
14259 ld [%r23], %r10
14260cmp_wait1_296:
14261 brnz,a %r10, cmp_wait1_296
14262 ld [%r23], %r10
14263 ba cmp_startwait1_296
14264 mov 0x1, %r10
14265continue_cmp_1_296:
14266 ldxa [0x58]%asi, %r14 !Running_status
14267 xnor %r14, %r13, %r14 !Bits equal
14268#ifndef PORTABLE_CORE
14269 brz,a %r8, cmp_multi_core_1_296
14270#endif
14271 mov 0x2a, %r17
14272#ifndef PORTABLE_CORE
14273 best_set_reg(0x8f5ee859d21050ea, %r16, %r17)
14274#else
14275 sllx %r17, %r8, %r17
14276#endif
14277cmp_multi_core_1_296:
14278 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
14279 and %r14, %r9, %r14 !Apply core-mask
14280 stxa %r14, [0x68]%asi
14281 st %g0, [%r23] !clear lock
14282 wr %g0, %r12, %asi
14283 .word 0x9191c010 ! 545: WRPR_PIL_R wrpr %r7, %r16, %pil
14284 .word 0xe09fdd40 ! 549: LDDA_R ldda [%r31, %r0] 0xea, %r16
14285brcommon2_1_299:
14286 nop
14287 nop
14288 setx common_target, %r12, %r27
14289 ba,a .+12
14290 .word 0x9f802110 ! 1: SIR sir 0x0110
14291 ba,a .+8
14292 jmpl %r27-0, %r27
14293 .word 0xe1bfdf00 ! 553: STDFA_R stda %f16, [%r0, %r31]
14294frzptr_1_301:
14295 nop
14296 nop
14297 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
14298 jmpl %r27, %r27
14299 .word 0x20800001 ! 557: BN bn,a <label_0x1>
14300 nop
14301 nop
14302 set 0x32103251, %r28 !TTID : 2 (mask2tid(0x1))
14303#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14304 sethi %hi(0x3800), %r27
14305 andn %r28, %r27, %r28
14306#ifdef PORTABLE_CORE
14307 ! Add CID to vector
14308 ta T_CHANGE_HPRIV
14309 ldxa [%g0]0x63, %r27
14310 sllx %r27, 8, %r27
14311 or %r27, %r28, %r28
14312#endif
14313#else
14314 ! Add CID IF tid matches
14315ifelse(2,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14316#endif
14317 sethi %hi(0x30000), %r27
14318 andn %r28, %r27, %r28
14319 ta T_CHANGE_HPRIV
14320ifelse(2,mask2tid(0x1),`.align 16')
14321 stxa %r28, [%g0] 0x73
14322intvec_1_303:
14323 .word 0x19400001 ! 561: FBPUGE fbuge
14324 .word 0xc19fe020 ! 565: LDDFA_I ldda [%r31, 0x0020], %f0
14325splash_hpstate_1_307:
14326 .word 0x81982d93 ! 569: WRHPR_HPSTATE_I wrhpr %r0, 0x0d93, %hpstate
14327demap_1_309:
14328 nop
14329 mov 0x80, %g3
14330 ta T_CHANGE_HPRIV
14331 stxa %g3, [%g3] 0x57
14332 stxa %g3, [%g3] 0x57
14333 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14334 stxa %g3, [%g3] 0x57
14335 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14336 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14337 stxa %g3, [%g3] 0x5f
14338 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14339 stxa %g3, [%g3] 0x5f
14340 stxa %g3, [%g3] 0x5f
14341 stxa %g3, [%g3] 0x57
14342 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14343 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14344 wrhpr %g0, 0x899, %hpstate ! ta T_CHANGE_NONHPRIV
14345 .word 0xd21fe089 ! 573: LDD_I ldd [%r31 + 0x0089], %r9
14346 .word 0x24780002 ! 577: BPLE <illegal instruction>
14347splash_lsu_1_313:
14348 nop
14349 nop
14350 ta T_CHANGE_HPRIV
14351 set 0xa9803f78, %r2
14352 mov 0x3, %r1
14353 sllx %r1, 32, %r1
14354 or %r1, %r2, %r2
14355 .word 0x07400001 ! 1: FBPUL fbul
14356 stxa %r2, [%r0] ASI_LSU_CONTROL
14357 ta T_CHANGE_NONHPRIV
14358 .word 0x3d400001 ! 581: FBPULE fbule,a,pn %fcc0, <label_0x1>
14359demap_1_317:
14360 nop
14361 mov 0x80, %g3
14362 ta T_CHANGE_HPRIV
14363 stxa %g3, [%g3] 0x5f
14364 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14365 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14366 stxa %g3, [%g3] 0x5f
14367 wrhpr %g0, 0xc80, %hpstate ! ta T_CHANGE_NONHPRIV
14368 .word 0xd21fe0e0 ! 585: LDD_I ldd [%r31 + 0x00e0], %r9
14369br_badelay1_1_318:
14370 .word 0x13400001 ! 1: FBPE fbe
14371 .word 0xd337c000 ! 1: STQF_R - %f9, [%r0, %r31]
14372 .word 0xf16fe070 ! 1: PREFETCH_I prefetch [%r31 + 0x0070], #24
14373 normalw
14374 .word 0x95458000 ! 589: RD_SOFTINT_REG rd %softint, %r10
14375cancelint_1_322:
14376 rdhpr %halt, %r16
14377 .word 0x85880000 ! 593: ALLCLEAN <illegal instruction>
14378brcommon1_1_324:
14379 nop
14380 nop
14381 setx common_target, %r12, %r27
14382 lduw [%r27-4], %r12 ! Load common dest into dcache ..
14383 stuw %r12, [%r27-0] ! Load common dest into dcache ..
14384 ba,a .+12
14385 .word 0xc32fe1e0 ! 1: STXFSR_I st-sfr %f1, [0x01e0, %r31]
14386 ba,a .+8
14387 jmpl %r27-4, %r27
14388 .word 0x9b703ec1 ! 597: POPC_I popc 0x1ec1, %r13
14389cmp_1_326:
14390 nop
14391 nop
14392 ta T_CHANGE_HPRIV
14393 rd %asi, %r12
14394 wr %r0, 0x41, %asi
14395 set sync_thr_counter4, %r23
14396#ifndef SPC
14397 ldxa [%g0]0x63, %r8
14398 and %r8, 0x38, %r8 ! Core ID
14399#ifndef PORTABLE_CORE
14400 add %r8, %r23, %r23
14401#endif
14402 mov 0xff, %r9
14403#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
14404 xor %r9, 0x1, %r9 ! My core mask
14405#else
14406 xor %r9, 0x1, %r9
14407#endif
14408 sllx %r9, %r8, %r9 ! My core mask
14409#else
14410 mov 0, %r8
14411 mov 0xff, %r9
14412 xor %r9, 0x1, %r9 ! My core mask
14413#endif
14414 mov 0x1, %r10
14415cmp_startwait1_326:
14416 cas [%r23],%g0,%r10 !lock
14417 brz,a %r10, continue_cmp_1_326
14418 ldxa [0x50]%asi, %r13 !Running_rw
14419 ld [%r23], %r10
14420cmp_wait1_326:
14421 brnz,a %r10, cmp_wait1_326
14422 ld [%r23], %r10
14423 ba cmp_startwait1_326
14424 mov 0x1, %r10
14425continue_cmp_1_326:
14426 ldxa [0x58]%asi, %r14 !Running_status
14427 xnor %r14, %r13, %r14 !Bits equal
14428#ifndef PORTABLE_CORE
14429 brz,a %r8, cmp_multi_core_1_326
14430#endif
14431 mov 0x67, %r17
14432#ifndef PORTABLE_CORE
14433 best_set_reg(0x5d07c3de7b10a7a1, %r16, %r17)
14434#else
14435 sllx %r17, %r8, %r17
14436#endif
14437cmp_multi_core_1_326:
14438 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
14439 and %r14, %r9, %r14 !Apply core-mask
14440 stxa %r14, [0x68]%asi
14441 st %g0, [%r23] !clear lock
14442 wr %g0, %r12, %asi
14443 .word 0x91948013 ! 601: WRPR_PIL_R wrpr %r18, %r19, %pil
14444 .word 0xda8fdd40 ! 605: LDUBA_R lduba [%r31, %r0] 0xea, %r13
14445 .word 0xe19fdf00 ! 609: LDDFA_R ldda [%r31, %r0], %f16
14446#if (defined SPC || defined CMP1)
14447!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_330) , 16, 16)) -> intp(3,0,9,*,992,*,d7,1)
14448!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_330)&0xffffffff) , 16, 16)) -> intp(5,0,14,*,936,*,d7,1)
14449#else
14450 nop
14451 nop
14452 set 0xc4003a24, %r28 !TTID : 2 (mask2tid(0x1))
14453#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14454 sethi %hi(0x3800), %r27
14455 andn %r28, %r27, %r28
14456#ifdef PORTABLE_CORE
14457 ! Add CID to vector
14458 ta T_CHANGE_HPRIV
14459 ldxa [%g0]0x63, %r27
14460 sllx %r27, 8, %r27
14461 or %r27, %r28, %r28
14462#endif
14463#else
14464 ! Add CID IF tid matches
14465ifelse(2,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14466#endif
14467ifelse(2,mask2tid(0x1),`.align 16')
14468 stxa %r28, [%g0] 0x73
14469#endif
14470intvec_1_330:
14471 .word 0xa1a289d0 ! 613: FDIVd fdivd %f10, %f16, %f16
14472 .word 0x39400001 ! 617: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
14473 .word 0xc19fde00 ! 621: LDDFA_R ldda [%r31, %r0], %f0
14474br_longdelay3_1_336:
14475 nop
14476 not %g0, %r27
14477 jmpl %r27+0, %r27
14478 .word 0x8d9026ca ! 625: WRPR_PSTATE_I wrpr %r0, 0x06ca, %pstate
14479brcommon3_1_339:
14480 nop
14481 nop
14482 setx common_target, %r12, %r27
14483 lduw [%r27], %r12 ! Load common dest into dcache ..
14484 stuw %r12, [%r27] ! Load common dest into dcache ..
14485 ba,a .+12
14486 .word 0xe137c000 ! 1: STQF_R - %f16, [%r0, %r31]
14487 ba,a .+8
14488 jmpl %r27+0, %r27
14489 stxa %r14, [%r0] ASI_LSU_CONTROL
14490 .word 0xa7aac834 ! 629: FMOVGE fmovs %fcc1, %f20, %f19
14491demap_1_341:
14492 nop
14493 mov 0x80, %g3
14494 ta T_CHANGE_HPRIV
14495 .word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
14496 stxa %g3, [%g3] 0x57
14497 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14498 stxa %g3, [%g3] 0x57
14499 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14500 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14501 stxa %g3, [%g3] 0x5f
14502 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14503 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14504 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14505 stxa %g3, [%g3] 0x57
14506 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14507 stxa %g3, [%g3] 0x5f
14508 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14509 wrhpr %g0, 0x6c3, %hpstate ! ta T_CHANGE_NONHPRIV
14510 .word 0xe61fe03d ! 633: LDD_I ldd [%r31 + 0x003d], %r19
14511splash_lsu_1_343:
14512 nop
14513 nop
14514 ta T_CHANGE_HPRIV
14515 set 0x652ce97a, %r2
14516 mov 0x5, %r1
14517 sllx %r1, 32, %r1
14518 or %r1, %r2, %r2
14519 stxa %r2, [%r0] ASI_LSU_CONTROL
14520 .word 0x3d400001 ! 637: FBPULE fbule,a,pn %fcc0, <label_0x1>
14521 nop
14522 nop
14523 ta T_CHANGE_HPRIV ! macro
14524donret_1_345:
14525 rd %pc, %r12
14526 mov HIGHVA_HIGHNUM, %r10
14527 sllx %r10, 32, %r10
14528 or %r12, %r10, %r12
14529 add %r12, (donretarg_1_345-donret_1_345), %r12
14530 add %r12, 0x4, %r11 ! seq tnpc
14531 andn %r11, %r10, %r11 ! low VA tnpc
14532 wrpr %g0, 0x1, %tl
14533 wrpr %g0, %r12, %tpc
14534 wrpr %g0, %r11, %tnpc
14535 set (0x008a6e00 | (0x8b << 24)), %r13
14536 and %r12, 0xfff, %r14
14537 sllx %r14, 32, %r14
14538 or %r13, %r14, %r20
14539 wrpr %r20, %g0, %tstate
14540 wrhpr %g0, 0x16cb, %htstate
14541 wrhpr %g0, 0x80a, %hpstate ! rand=1 (1)
14542 ldx [%r11+%r0], %g1
14543 done
14544donretarg_1_345:
14545 .word 0xe66fe07a ! 641: LDSTUB_I ldstub %r19, [%r31 + 0x007a]
14546splash_lsu_1_348:
14547 nop
14548 nop
14549 ta T_CHANGE_HPRIV
14550 set 0x0ebcd8b3, %r2
14551 mov 0x2, %r1
14552 sllx %r1, 32, %r1
14553 or %r1, %r2, %r2
14554 stxa %r2, [%r0] ASI_LSU_CONTROL
14555 .word 0x3d400001 ! 645: FBPULE fbule,a,pn %fcc0, <label_0x1>
14556splash_lsu_1_351:
14557 nop
14558 nop
14559 ta T_CHANGE_HPRIV
14560 set 0xfad946d5, %r2
14561 mov 0x5, %r1
14562 sllx %r1, 32, %r1
14563 or %r1, %r2, %r2
14564 stxa %r2, [%r0] ASI_LSU_CONTROL
14565 ta T_CHANGE_NONHPRIV
14566 .word 0x3d400002 ! 649: FBPULE fbule,a,pn %fcc0, <label_0x2>
14567 .word 0xe68008a0 ! 653: LDUWA_R lduwa [%r0, %r0] 0x45, %r19
14568pmu_1_353:
14569 nop
14570 nop
14571 ta T_CHANGE_PRIV
14572 setx 0xffffffb6ffffffa4, %g1, %g7
14573 .word 0xa3800007 ! 657: WR_PERF_COUNTER_R wr %r0, %r7, %-
14574cancelint_1_355:
14575 rdhpr %halt, %r13
14576 .word 0x85880000 ! 661: ALLCLEAN <illegal instruction>
14577splash_lsu_1_357:
14578 nop
14579 nop
14580 ta T_CHANGE_HPRIV
14581 set 0x26ac5d69, %r2
14582 mov 0x4, %r1
14583 sllx %r1, 32, %r1
14584 or %r1, %r2, %r2
14585 .word 0x06800001 ! 1: BL bl <label_0x1>
14586 stxa %r2, [%r0] ASI_LSU_CONTROL
14587 ta T_CHANGE_NONHPRIV
14588 .word 0x3d400002 ! 665: FBPULE fbule,a,pn %fcc0, <label_0x2>
14589cmp_1_360:
14590 nop
14591 nop
14592 ta T_CHANGE_HPRIV
14593 rd %asi, %r12
14594 wr %r0, 0x41, %asi
14595 set sync_thr_counter4, %r23
14596#ifndef SPC
14597 ldxa [%g0]0x63, %r8
14598 and %r8, 0x38, %r8 ! Core ID
14599#ifndef PORTABLE_CORE
14600 add %r8, %r23, %r23
14601#endif
14602 mov 0xff, %r9
14603#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
14604 xor %r9, 0x1, %r9 ! My core mask
14605#else
14606 xor %r9, 0x1, %r9
14607#endif
14608 sllx %r9, %r8, %r9 ! My core mask
14609#else
14610 mov 0, %r8
14611 mov 0xff, %r9
14612 xor %r9, 0x1, %r9 ! My core mask
14613#endif
14614 mov 0x1, %r10
14615cmp_startwait1_360:
14616 cas [%r23],%g0,%r10 !lock
14617 brz,a %r10, continue_cmp_1_360
14618 ldxa [0x50]%asi, %r13 !Running_rw
14619 ld [%r23], %r10
14620cmp_wait1_360:
14621 brnz,a %r10, cmp_wait1_360
14622 ld [%r23], %r10
14623 ba cmp_startwait1_360
14624 mov 0x1, %r10
14625continue_cmp_1_360:
14626 ldxa [0x58]%asi, %r14 !Running_status
14627 xnor %r14, %r13, %r14 !Bits equal
14628#ifndef PORTABLE_CORE
14629 brz,a %r8, cmp_multi_core_1_360
14630#endif
14631 mov 0x8a, %r17
14632#ifndef PORTABLE_CORE
14633 best_set_reg(0x9d06767b822a9028, %r16, %r17)
14634#else
14635 sllx %r17, %r8, %r17
14636#endif
14637cmp_multi_core_1_360:
14638 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
14639 and %r14, %r9, %r14 !Apply core-mask
14640 stxa %r14, [0x68]%asi
14641 st %g0, [%r23] !clear lock
14642 wr %g0, %r12, %asi
14643 .word 0xc32fe000 ! 669: STXFSR_I st-sfr %f1, [0x0000, %r31]
14644 .word 0xdacfc280 ! 673: LDSBA_R ldsba [%r31, %r0] 0x14, %r13
14645 .word 0xc32fc000 ! 677: STXFSR_R st-sfr %f1, [%r0, %r31]
14646demap_1_370:
14647 nop
14648 mov 0x80, %g3
14649 ta T_CHANGE_HPRIV
14650 .word 0x06800001 ! 1: BL bl <label_0x1>
14651 stxa %g3, [%g3] 0x57
14652 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14653 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14654 stxa %g3, [%g3] 0x5f
14655 wrhpr %g0, 0x5c9, %hpstate ! ta T_CHANGE_NONHPRIV
14656 .word 0xda1fe12d ! 681: LDD_I ldd [%r31 + 0x012d], %r13
14657br_longdelay4_1_373:
14658 nop
14659 not %g0, %r27
14660 jmpl %r27+0, %r27
14661 .word 0x9d902000 ! 685: WRPR_WSTATE_I wrpr %r0, 0x0000, %wstate
14662#if (defined SPC || defined CMP1)
14663!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_376) , 16, 16)) -> intp(0,0,9,*,984,*,2d,1)
14664!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_376)&0xffffffff) , 16, 16)) -> intp(0,0,12,*,696,*,2d,1)
14665#else
14666 nop
14667 nop
14668 set 0x3750bcd2, %r28 !TTID : 4 (mask2tid(0x1))
14669#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14670 sethi %hi(0x3800), %r27
14671 andn %r28, %r27, %r28
14672#ifdef PORTABLE_CORE
14673 ! Add CID to vector
14674 ta T_CHANGE_HPRIV
14675 ldxa [%g0]0x63, %r27
14676 sllx %r27, 8, %r27
14677 or %r27, %r28, %r28
14678#endif
14679#else
14680 ! Add CID IF tid matches
14681ifelse(4,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14682#endif
14683ifelse(4,mask2tid(0x1),`.align 16')
14684 stxa %r28, [%g0] 0x73
14685#endif
14686intvec_1_376:
14687#if (defined SPC || defined CMP1)
14688 wrhpr %g0, 0x0, %halt ! HALT
14689#else
14690ifelse(4,mask2tid(0x1),`wrhpr %g0, 0x0, %halt ! HALT')
14691#endif
14692 .word 0x39400001 ! 689: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
14693cmp_1_379:
14694 nop
14695 nop
14696 ta T_CHANGE_HPRIV
14697 rd %asi, %r12
14698 wr %r0, 0x41, %asi
14699 set sync_thr_counter4, %r23
14700#ifndef SPC
14701 ldxa [%g0]0x63, %r8
14702 and %r8, 0x38, %r8 ! Core ID
14703#ifndef PORTABLE_CORE
14704 add %r8, %r23, %r23
14705#endif
14706 mov 0xff, %r9
14707#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
14708 xor %r9, 0x1, %r9 ! My core mask
14709#else
14710 xor %r9, 0x1, %r9
14711#endif
14712 sllx %r9, %r8, %r9 ! My core mask
14713#else
14714 mov 0, %r8
14715 mov 0xff, %r9
14716 xor %r9, 0x1, %r9 ! My core mask
14717#endif
14718 mov 0x1, %r10
14719cmp_startwait1_379:
14720 cas [%r23],%g0,%r10 !lock
14721 brz,a %r10, continue_cmp_1_379
14722 ldxa [0x50]%asi, %r13 !Running_rw
14723 ld [%r23], %r10
14724cmp_wait1_379:
14725 brnz,a %r10, cmp_wait1_379
14726 ld [%r23], %r10
14727 ba cmp_startwait1_379
14728 mov 0x1, %r10
14729continue_cmp_1_379:
14730 ldxa [0x58]%asi, %r14 !Running_status
14731 xnor %r14, %r13, %r14 !Bits equal
14732#ifndef PORTABLE_CORE
14733 brz,a %r8, cmp_multi_core_1_379
14734#endif
14735 mov 0xe9, %r17
14736#ifndef PORTABLE_CORE
14737 best_set_reg(0x3726f49ae918e904, %r16, %r17)
14738#else
14739 sllx %r17, %r8, %r17
14740#endif
14741cmp_multi_core_1_379:
14742 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
14743 and %r14, %r9, %r14 !Apply core-mask
14744 stxa %r14, [0x60]%asi
14745 st %g0, [%r23] !clear lock
14746 wr %g0, %r12, %asi
14747 .word 0xd29fc720 ! 693: LDDA_R ldda [%r31, %r0] 0x39, %r9
14748 nop
14749 nop
14750 set 0xb2505675, %r28 !TTID : 6 (mask2tid(0x1))
14751#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14752 sethi %hi(0x3800), %r27
14753 andn %r28, %r27, %r28
14754#ifdef PORTABLE_CORE
14755 ! Add CID to vector
14756 ta T_CHANGE_HPRIV
14757 ldxa [%g0]0x63, %r27
14758 sllx %r27, 8, %r27
14759 or %r27, %r28, %r28
14760#endif
14761#else
14762 ! Add CID IF tid matches
14763ifelse(6,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14764#endif
14765 sethi %hi(0x30000), %r27
14766 andn %r28, %r27, %r28
14767 ta T_CHANGE_HPRIV
14768ifelse(6,mask2tid(0x1),`.align 16')
14769 stxa %r28, [%g0] 0x73
14770intvec_1_381:
14771 .word 0x39400001 ! 697: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
14772 nop
14773 nop
14774 ta T_CHANGE_HPRIV
14775 mov 0x1, %r11
14776splash_cmpr_1_383:
14777 sllx %r11, 63, %r11
14778 not %r11, %r11
14779 rd %tick, %r10
14780#if (defined SPC || defined CMP1)
14781 add %r10, 0x150, %r10
14782#else
14783 add %r10, 0xc00, %r10
14784#endif
14785 and %r10, %r11, %r10
14786 wrhpr %r10, %g0, %hsys_tick_cmpr
14787 wrhpr %g0, 0x0, %halt ! HALT
14788 rd %tick, %r10
14789#if (defined SPC || defined CMP1)
14790 add %r10, 0x250, %r10
14791#else
14792 add %r10, 0xc00, %r10
14793#endif
14794 and %r10, %r11, %r10
14795 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
14796#if (defined SPC || defined CMP1)
14797!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_1_383)+8 , 16, 16)) -> intp(4,0,14,*,752,*,a1,1)
14798!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_1_383)&0xffffffff)+8 , 16, 16)) -> intp(0,0,28,*,960,*,a1,1)
14799#endif
14800 wrhpr %g0, 0x0, %halt ! HALT
14801 .word 0x81982885 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0885, %hpstate
14802 .word 0x81b01021 ! 701: SIAM siam 1
14803memptr_1_385:
14804 set 0x60140000, %r31
14805 .word 0x8584b1de ! 705: WRCCR_I wr %r18, 0x11de, %ccr
14806 .word 0x22780002 ! 709: BPE <illegal instruction>
14807 .word 0xd13fe15a ! 713: STDF_I std %f8, [0x015a, %r31]
14808 nop
14809 nop
14810 set 0x97709225, %r28 !TTID : 2 (mask2tid(0x1))
14811#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14812 sethi %hi(0x3800), %r27
14813 andn %r28, %r27, %r28
14814#ifdef PORTABLE_CORE
14815 ! Add CID to vector
14816 ta T_CHANGE_HPRIV
14817 ldxa [%g0]0x63, %r27
14818 sllx %r27, 8, %r27
14819 or %r27, %r28, %r28
14820#endif
14821#else
14822 ! Add CID IF tid matches
14823ifelse(2,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14824#endif
14825 sethi %hi(0x30000), %r27
14826 andn %r28, %r27, %r28
14827 ta T_CHANGE_HPRIV
14828ifelse(2,mask2tid(0x1),`.align 16')
14829 stxa %r28, [%g0] 0x73
14830intvec_1_391:
14831 .word 0x39400001 ! 717: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
14832 nop
14833 nop
14834 ta T_CHANGE_HPRIV ! macro
14835donret_1_392:
14836 rd %pc, %r12
14837 mov HIGHVA_HIGHNUM, %r10
14838 sllx %r10, 32, %r10
14839 or %r12, %r10, %r12
14840 add %r12, (donretarg_1_392-donret_1_392), %r12
14841 add %r12, 0x8, %r11 ! nonseq tnpc
14842 wrpr %g0, 0x1, %tl
14843 wrpr %g0, %r12, %tpc
14844 wrpr %g0, %r11, %tnpc
14845 set (0x002d4600 | (0x89 << 24)), %r13
14846 and %r12, 0xfff, %r14
14847 sllx %r14, 32, %r14
14848 or %r13, %r14, %r20
14849 wrpr %r20, %g0, %tstate
14850 wrhpr %g0, 0x440, %htstate
14851 best_set_reg(0x1e31, %g1, %g2)
14852 wrpr %g0, %g2, %pstate ! rand=0 (1)
14853 ldx [%r12+%r0], %g1
14854 retry
14855donretarg_1_392:
14856 .word 0x23400001 ! 721: FBPNE fbne,a,pn %fcc0, <label_0x1>
14857 .word 0x24780001 ! 725: BPLE <illegal instruction>
14858splash_tba_1_395:
14859 nop
14860 ta T_CHANGE_PRIV
14861 set 0x120000, %r12
14862 .word 0x8b90000c ! 729: WRPR_TBA_R wrpr %r0, %r12, %tba
14863 .word 0x87ab0a51 ! 733: FCMPd fcmpd %fcc<n>, %f12, %f48
14864 .word 0xc1bfe180 ! 737: STDFA_I stda %f0, [0x0180, %r31]
14865 .word 0xe8c7c600 ! 741: LDSWA_R ldswa [%r31, %r0] 0x30, %r20
14866intveclr_1_399:
14867 nop
14868 nop
14869 ta T_CHANGE_HPRIV
14870 setx 0xfb83b6d520a78a3c, %r1, %r28
14871 stxa %r28, [%g0] 0x72
14872 .word 0x25400001 ! 745: FBPLG fblg,a,pn %fcc0, <label_0x1>
14873jmptr_1_402:
14874 nop
14875 nop
14876 best_set_reg(0xe1200000, %r20, %r27)
14877 .word 0xb7c6c000 ! 749: JMPL_R jmpl %r27 + %r0, %r27
14878brcommon3_1_405:
14879 nop
14880 nop
14881 setx common_target, %r12, %r27
14882 lduw [%r27-4], %r12 ! Load common dest into dcache ..
14883 stuw %r12, [%r27-4] ! Load common dest into dcache ..
14884 ba,a .+12
14885 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
14886 ba,a .+8
14887 jmpl %r27-0, %r27
14888 .word 0x20800001 ! 753: BN bn,a <label_0x1>
14889jmptr_1_407:
14890 nop
14891 nop
14892 best_set_reg(0xe0200000, %r20, %r27)
14893 .word 0xb7c6c000 ! 757: JMPL_R jmpl %r27 + %r0, %r27
14894 .word 0xe927e0ca ! 761: STF_I st %f20, [0x00ca, %r31]
14895splash_lsu_1_412:
14896 nop
14897 nop
14898 ta T_CHANGE_HPRIV
14899 set 0xd21ac442, %r2
14900 mov 0x6, %r1
14901 sllx %r1, 32, %r1
14902 or %r1, %r2, %r2
14903 .word 0x24ccc001 ! 1: BRLEZ brlez,a,pt %r19,<label_0xcc001>
14904 stxa %r2, [%r0] ASI_LSU_CONTROL
14905 .word 0x1d400001 ! 765: FBPULE fbule
14906memptr_1_415:
14907 set 0x60140000, %r31
14908 .word 0x858531ed ! 769: WRCCR_I wr %r20, 0x11ed, %ccr
14909 nop
14910 nop
14911 ta T_CHANGE_HPRIV ! macro
14912donret_1_417:
14913 rd %pc, %r12
14914 mov HIGHVA_HIGHNUM, %r10
14915 sllx %r10, 32, %r10
14916 or %r12, %r10, %r12
14917 add %r12, (donretarg_1_417-donret_1_417), %r12
14918 add %r12, 0x4, %r11 ! seq tnpc
14919 andn %r11, %r10, %r11 ! low VA tnpc
14920 wrpr %g0, 0x1, %tl
14921 wrpr %g0, %r12, %tpc
14922 wrpr %g0, %r11, %tnpc
14923 set (0x00b6b900 | (0x88 << 24)), %r13
14924 and %r12, 0xfff, %r14
14925 sllx %r14, 32, %r14
14926 or %r13, %r14, %r20
14927 wrpr %r20, %g0, %tstate
14928 wrhpr %g0, 0x159d, %htstate
14929 best_set_reg(0xf59, %g1, %g2)
14930 wrpr %g0, %g2, %pstate ! rand=0 (1)
14931 ldx [%r11+%r0], %g1
14932 done
14933.align 512
14934donretarg_1_417:
14935 .word 0x0eca8001 ! 773: BRGEZ brgez,pt %r10,<label_0xa8001>
14936 .word 0xe87fe1e0 ! 777: SWAP_I swap %r20, [%r31 + 0x01e0]
14937 .word 0x95b444c9 ! 781: FCMPNE32 fcmpne32 %d48, %d40, %r10
14938trapasi_1_422:
14939 nop
14940 mov 0x0, %r1 ! (VA for ASI 0x73)
14941 .word 0xd4d84e60 ! 785: LDXA_R ldxa [%r1, %r0] 0x73, %r10
14942splash_hpstate_1_423:
14943 ta T_CHANGE_NONHPRIV
14944 .word 0x8198260d ! 789: WRHPR_HPSTATE_I wrhpr %r0, 0x060d, %hpstate
14945 .word 0xc1bfe060 ! 793: STDFA_I stda %f0, [0x0060, %r31]
14946 .word 0xc30fc000 ! 797: LDXFSR_R ld-fsr [%r31, %r0], %f1
14947cmpenall_1_426:
14948 nop
14949 nop
14950 ta T_CHANGE_HPRIV
14951 rd %asi, %r12
14952 wr %r0, 0x41, %asi
14953 set sync_thr_counter4, %r23
14954#ifndef SPC
14955 ldxa [%g0]0x63, %r8
14956 and %r8, 0x38, %r8 ! Core ID
14957#ifndef PORTABLE_CORE
14958 add %r8, %r23, %r23
14959#endif
14960 mov 0xff, %r9
14961 sllx %r9, %r8, %r9 ! My core mask
14962#else
14963 mov 0xff, %r9 ! My core mask
14964#endif
14965cmpenall_startwait1_426:
14966 mov 0x1, %r10
14967 cas [%r23],%g0,%r10 !lock
14968 brz,a %r10, continue_cmpenall_1_426
14969 nop
14970cmpenall_wait1_426:
14971 ld [%r23], %r10
14972 brnz %r10, cmpenall_wait1_426
14973 nop
14974 ba,a cmpenall_startwait1_426
14975continue_cmpenall_1_426:
14976 ldxa [0x58]%asi, %r14 !Running_status
14977wait_for_cmpstat_1_426:
14978 ldxa [0x50]%asi, %r13 !Running_rw
14979 cmp %r13, %r14
14980 bne,a %xcc, wait_for_cmpstat_1_426
14981 ldxa [0x58]%asi, %r14 !Running_status
14982 ldxa [0x10]%asi, %r14 !Get enabled threads
14983 and %r14, %r9, %r14 !My core mask
14984 stxa %r14, [0x60]%asi !W1S
14985 ldxa [0x58]%asi, %r16 !Running_status
14986wait_for_cmpstat2_1_426:
14987 and %r16, %r9, %r16 !My core mask
14988 cmp %r14, %r16
14989 bne,a %xcc, wait_for_cmpstat2_1_426
14990 ldxa [0x58]%asi, %r16 !Running_status
14991 st %g0, [%r23] !clear lock
14992!! Send interrupt to all threads in my core in case of halted threads.
14993intall_mast:
14994 mov 7, %r14
14995#ifdef SPC
14996 mov %g0, %r8
14997#endif
14998intall_loop_mast:
14999 add %r8, %r14, %r16
15000 sllx %r16, 8, %r16
15001 stxa %r16, [%g0]0x73
15002 brnz %r14, intall_loop_mast
15003 dec %r14
15004
15005#if (MULTIPASS > 0)
15006multipass_check_mt:
15007 rd %asi, %r12
15008 wr %g0, ASI_SCRATCHPAD, %asi
15009 ldxa [0x38]%asi, %r10
15010 cmp %r10, MULTIPASS
15011 inc %r10
15012 stxa %r10, [0x38]%asi
15013 be finish_diag
15014 wr %g0, %r12, %asi
15015lock_sync_thds_again:
15016 mov 0xff, %r10
15017 set sync_thr_counter4, %r23
15018#ifndef SPC
15019 add %r23,%r8,%r23 !Core's sync counter
15020#endif
15021 ! st %r10, [%r23] !lock sync_thr_counter4 !! Still locked from cmpenall
15022 add %r23, 64, %r23
15023 st %r10, [%r23] !lock sync_thr_counter5
15024 add %r23, 64, %r23
15025 st %r10, [%r23] !lock sync_thr_counter6
15026 ba fork_threads
15027 wrpr %g0, %g0, %gl
15028#endif
15029 nop
15030 nop
15031 ta T_CHANGE_PRIV
15032 wrpr %g0, %g0, %gl
15033 nop
15034 nop
15035
15036join_lbl_0_0:
15037SECTION .MAIN
15038.text
15039diag_finish:
15040 nop
15041 nop
15042 nop
15043 ta T_CHANGE_HPRIV
15044#ifndef SPC
15045 ldxa [%g0]0x63, %r8
15046 and %r8, 0x38, %r8 ! Core ID
15047#else
15048 mov %g0, %r8
15049#endif
15050!! Send interrupt to all threads in my core in case of halted threads.
15051intall_nomast:
15052 mov 7, %r14
15053intall_loop_nomast:
15054 add %r8, %r14, %r16
15055 sllx %r16, 8, %r16
15056 stxa %r16, [%g0]0x73
15057 brnz %r14, intall_loop_nomast
15058 dec %r14
15059#if (MULTIPASS > 0)
15060multipass_check:
15061 rd %asi, %r12
15062 wr %g0, ASI_SCRATCHPAD, %asi
15063 ldxa [0x38]%asi, %r10
15064 cmp %r10, MULTIPASS
15065 inc %r10
15066 stxa %r10, [0x38]%asi
15067 wr %g0, %r12, %asi
15068 bne fork_threads
15069 wrpr %g0, %g0, %gl
15070#endif
15071finish_diag:
15072 best_set_reg(HV_TRAP_BASE_PA, %r1, %r2)
15073 wrhpr %g2, %g0, %htba
15074 ta T_GOOD_TRAP
15075 nop
15076 nop
15077 nop
15078.data
15079 .xword 0x0
15080 ! fp data rs1, rs2, fsr, gsr quads ..
15081.global fp_data_quads
15082fp_data_quads:
15083 .xword 0x0044000000000000
15084 .xword 0x4028000000000000
15085 .xword 0x0fc0400400000000
15086 .xword 0x0000000000000000
15087 .xword 0x0041000000000000
15088 .xword 0x4022000000000000
15089 .xword 0x0600800000000000
15090 .xword 0x0000000000000000
15091 .xword 0x0220000000000000
15092 .xword 0x4140000000000000
15093 .xword 0x4fc0400400000000
15094 .xword 0x0000000000000000
15095 .xword 0x4090000000000000
15096 .xword 0x0090000000000000
15097 .xword 0x0f80400800000000
15098 .xword 0x0a00000000000000
15099.align 128
15100.global user_data_start
15101.data
15102user_data_start:
15103
15104 .xword 0xf13846a11fce06f9
15105 .xword 0x274721195011da88
15106 .xword 0x6935969a10856264
15107 .xword 0x58b86d2c34589921
15108 .xword 0xe69878224e5eb9f5
15109 .xword 0xcaede2ec2189ecaa
15110 .xword 0xc0429aab344730d6
15111 .xword 0x96dce5e66bf3c9fc
15112 .xword 0x5854636cb0e0e3a3
15113 .xword 0xa9f7507ec688be6a
15114 .xword 0xe7e192a38d593546
15115 .xword 0x580cb3e40b397aed
15116 .xword 0x9b7c54161b4f2257
15117 .xword 0x0a01eae8bded6100
15118 .xword 0xc9bfeb8c10557bb2
15119 .xword 0xdb38b2b32b08f348
15120 .xword 0xa9840ed0dcd814dc
15121 .xword 0x989b04d863e4a254
15122 .xword 0x773c2a6e7b95264c
15123 .xword 0x3efe29eeca30dc6e
15124 .xword 0x39c0ee105e8b9098
15125 .xword 0x0fa236761f116e4d
15126 .xword 0x8239253cc00dd554
15127 .xword 0x447af4bccd127afa
15128 .xword 0x78a73ebe68ba3df2
15129 .xword 0xf294b52d204c99ba
15130 .xword 0x0faedbf8575adf14
15131 .xword 0x36626e3b0f489ab2
15132 .xword 0xc63e630caa223007
15133 .xword 0x2ebacb41223ea6e3
15134 .xword 0x7110cd2762a0f2c9
15135 .xword 0x6ef117947b3033b3
15136 .xword 0x4849049f4559ef4d
15137 .xword 0xefa947d0c6c9ef05
15138 .xword 0x0371c4f77490e202
15139 .xword 0xacc893cd4c14d49c
15140 .xword 0x5e75df975465adac
15141 .xword 0xe819fe2c3dd04462
15142 .xword 0x1b8abaefe1cb8dd9
15143 .xword 0x6896654bcd6a4232
15144 .xword 0xf0b11e9f4acc8f22
15145 .xword 0xee4f45b8e5182b58
15146 .xword 0x84b36d356831c1cc
15147 .xword 0x26ea88937e397f0f
15148 .xword 0xb8da22a0dae1b67a
15149 .xword 0x2e4c74c465ff24b1
15150 .xword 0x1debb81fe1ff9fd3
15151 .xword 0x15682f65eea7800b
15152 .xword 0x8f2ca67681f8115e
15153 .xword 0xd6bc8039e2c58d95
15154 .xword 0x67c19a90f9060de9
15155 .xword 0xb56327688911a870
15156 .xword 0x48c28e899a2b59e6
15157 .xword 0xa9dd12b4295667ac
15158 .xword 0xef8bb1064b394b00
15159 .xword 0x65cc603d73bb2288
15160 .xword 0x5350cdb266103047
15161 .xword 0x2e08a34d333b421d
15162 .xword 0x86393a6ad255110e
15163 .xword 0xa92b86fc63002098
15164 .xword 0xe7b70c0a52c4936e
15165 .xword 0xbe603d1adbca2d2c
15166 .xword 0xdff2cfa6fa7740ba
15167 .xword 0x1de22087e89c5292
15168 .xword 0x677572dcaa71a10c
15169 .xword 0x483c0c073e3646e1
15170 .xword 0x1008f5723bafde49
15171 .xword 0x4a0d1b8e49e44e5d
15172 .xword 0x02091c4af23135ff
15173 .xword 0x0d613432e0fb5d52
15174 .xword 0x2bb565044af19cca
15175 .xword 0xcc9db989468d32b3
15176 .xword 0xde4c016b7e8c8582
15177 .xword 0xf52472603fcd6819
15178 .xword 0x386f25afd3927df1
15179 .xword 0x3eaf77bac779cca2
15180 .xword 0x7001a01d14f6e20b
15181 .xword 0x04b328c73692d616
15182 .xword 0x846bb72e815971b8
15183 .xword 0xab3f189743fd9713
15184 .xword 0x5344293ad6936b4b
15185 .xword 0xc05b9d7e915762d5
15186 .xword 0xfb7bf1040f033325
15187 .xword 0x79cacba4136c3b48
15188 .xword 0xdc4c909f06f0c1ae
15189 .xword 0xa9591e8359824818
15190 .xword 0x595f900465f57ef0
15191 .xword 0x48f4630d4c2c3764
15192 .xword 0xa1f21ccaa41e1ff9
15193 .xword 0x56194d1c9b110ef3
15194 .xword 0xac7843057a5631e5
15195 .xword 0x8c8d1e11b14a1082
15196 .xword 0x74b452a2e459beff
15197 .xword 0x1a2123836501ba23
15198 .xword 0x667c579b7d6dd037
15199 .xword 0xd4a1329f7a1c4123
15200 .xword 0x440db5ab6bcf65eb
15201 .xword 0x0c69a28c2444d435
15202 .xword 0x960f5c8f939fb302
15203 .xword 0x9c8ae72fd5a2b0cc
15204 .xword 0xff8fbd3d34d6e35c
15205 .xword 0x7d592ba9f0d9e5e0
15206 .xword 0xf00e98bea050872f
15207 .xword 0x7606462ceea88d4a
15208 .xword 0x1254f740f19ab1bc
15209 .xword 0x624e4701965a50a3
15210 .xword 0x28585b7fadc6d84e
15211 .xword 0x68dcd1949776a1d5
15212 .xword 0x6ff24540f68440e7
15213 .xword 0xa2a9baf16e9850cf
15214 .xword 0xbc8d39256228fb0d
15215 .xword 0x4b4cc926a2b574d8
15216 .xword 0xa9f732df4eeb5452
15217 .xword 0xe982e38158a787a2
15218 .xword 0xf2027d27ec26b224
15219 .xword 0x16bd56cf5e0c65a0
15220 .xword 0xd65f8c13702d9e36
15221 .xword 0x11a5b73c21d3b342
15222 .xword 0x9e56209765ab7d02
15223 .xword 0x0740c61ab78eef56
15224 .xword 0x5bb73c5af68cd023
15225 .xword 0xb28b2da641b9809b
15226 .xword 0x17112257142464d7
15227 .xword 0x8658d4db5811d1c8
15228 .xword 0x725f208ae1c11cf8
15229 .xword 0x78d08ec01bda5455
15230 .xword 0x19f892897310bcaa
15231 .xword 0x1938918213ea5185
15232 .xword 0x4a8b615facbb9606
15233 .xword 0x2b1dc55e05d563dc
15234 .xword 0xb68e5e456bd19bab
15235 .xword 0x07233f6e32c5e364
15236 .xword 0xc4491b7256d2f165
15237 .xword 0x131f07f970a61838
15238 .xword 0xad98f437a6257149
15239 .xword 0x2f4582e9942a74ab
15240 .xword 0x307ea6a7cdccb9b4
15241 .xword 0x19d3270a275caf26
15242 .xword 0x288626e87d30f657
15243 .xword 0x1ae7e90222c9ab07
15244 .xword 0x1373516cd9a243ef
15245 .xword 0xfb393344d11f9723
15246 .xword 0xcf86a65b8b57bdc6
15247 .xword 0xca9e11f9dacef74b
15248 .xword 0xda444cd719231e94
15249 .xword 0x9fbe9607f089e14e
15250 .xword 0xd5388f97c4bacef4
15251 .xword 0x0a83ae667f998a26
15252 .xword 0xdc44b0b8eb201f2b
15253 .xword 0x65454b91b4f75da8
15254 .xword 0xe7a7ab91e4bc4ae2
15255 .xword 0x070bddde161fb415
15256 .xword 0xda666265a09e4f3c
15257 .xword 0xb666d15d44e105c5
15258 .xword 0xd7d78f5b365676ad
15259 .xword 0x2c4280e63ee60708
15260 .xword 0x1c0e560663954708
15261 .xword 0x75c799c17f7d2e9f
15262 .xword 0x6f160289ab3758a1
15263 .xword 0x579db6b6196f52f3
15264 .xword 0xde37d69024c8b9ad
15265 .xword 0x4df4388a6e887941
15266 .xword 0xfe89a405a13cc85f
15267 .xword 0xc959e9caa5ca65a3
15268 .xword 0x7e255002410719da
15269 .xword 0x1a40499137886524
15270 .xword 0x2fffc114b4b10869
15271 .xword 0xf96ddc130c2a5da1
15272 .xword 0xac85ca2fcb922b6b
15273 .xword 0xd69c6df7737d2d57
15274 .xword 0x601fb40ce503b574
15275 .xword 0x6166dbe29d73e9a0
15276 .xword 0xf8dbf4a6c7d9e2c0
15277 .xword 0xc9625897cc021b7c
15278 .xword 0x1ccf9b575bc989c8
15279 .xword 0xd5baf8b548c0a480
15280 .xword 0x7f1c18d53774a916
15281 .xword 0xfd892277afcc7ced
15282 .xword 0x8410872901967ae5
15283 .xword 0xb02ee67b85d47995
15284 .xword 0xc0a5bfa270a7bb0d
15285 .xword 0x6d631a142cfec94c
15286 .xword 0xcfcc428513c2fe60
15287 .xword 0x5e345ff03a4ae6d8
15288 .xword 0x1c543f7b31cd1197
15289 .xword 0x7c47161804d235b9
15290 .xword 0x684ae263c074fb74
15291 .xword 0x72963b73a59f6d9a
15292 .xword 0x3ed5c4d107722a5c
15293 .xword 0xe8941861a518e2be
15294 .xword 0xcbe362fa02b96e10
15295 .xword 0xdd69ff305f9d902b
15296 .xword 0xbfe432ca116a7b76
15297 .xword 0x90ac39f48ba4d1a5
15298 .xword 0xc8c450810ddd5a96
15299 .xword 0x0be933b043781544
15300 .xword 0xcf0411982d8fd66c
15301 .xword 0xe6d89732a7d8c22b
15302 .xword 0x8b43c643270e7ab5
15303 .xword 0xfa14d994a1ecab64
15304 .xword 0xc6a2d5757a4c3320
15305 .xword 0x424c84099cb2ee77
15306 .xword 0x520250601fcc933c
15307 .xword 0x3a0f28627fccd219
15308 .xword 0x50b348fcb30c9e5b
15309 .xword 0xc9fb2bf28e994a39
15310 .xword 0xa46209485d287ed8
15311 .xword 0x2513d546a29232a7
15312 .xword 0xc8301c4806e1c34a
15313 .xword 0x58add47cc6f5c457
15314 .xword 0x41f91f958328c1a5
15315 .xword 0xda7300e6aa5df1f4
15316 .xword 0xfe09f8f4166a1b51
15317 .xword 0x4e0fa25f3cab7c57
15318 .xword 0xc5f81746d6f4fa1e
15319 .xword 0xcf1fecbbabe524ad
15320 .xword 0x73844a6b673cc583
15321 .xword 0x8c41b790c7ac96ec
15322 .xword 0xa168ed082a06f0a7
15323 .xword 0x4410442ddc75e234
15324 .xword 0x1b35ad53df94f70e
15325 .xword 0x9ba6b5311a6905de
15326 .xword 0xb4e324f1e990baf7
15327 .xword 0x8257a910589262c7
15328 .xword 0xe034749b542733a5
15329 .xword 0xc80e1680853f79e6
15330 .xword 0xfacb0c2285cd006d
15331 .xword 0x344df370381cf31e
15332 .xword 0x283fe2093e294974
15333 .xword 0x19cc3343d7d7d6b4
15334 .xword 0x9da20e72b86ccf71
15335 .xword 0x78805fce9c34d4bb
15336 .xword 0x58e2fa721fe8d1c1
15337 .xword 0xf3c4d86c9dc91565
15338 .xword 0x61c7eab50178682c
15339 .xword 0xa5e169606a5c7e64
15340 .xword 0x19a56f3809268727
15341 .xword 0x80a3d9b4f29ff0c8
15342 .xword 0x4746bcb17b65a2d7
15343 .xword 0x780539af3a3af596
15344 .xword 0x175202cb42293c25
15345 .xword 0x85b3ab318c7deb55
15346 .xword 0x4d15d55aa98e0e46
15347 .xword 0x8c3c5a289c579456
15348 .xword 0x6ba7d27ce4128ac2
15349 .xword 0xcaca633175e82662
15350 .xword 0x00b1cb4411767f6b
15351 .xword 0xa2c953b3f4dab1a4
15352 .xword 0x84b1f892ea336848
15353 .xword 0x7dcb3b81c810b0e2
15354 .xword 0x40db12ec9fdf3b3c
15355 .xword 0xfde439c93bcd983f
15356 .xword 0x965c71bdc63dabb6
15357 .xword 0x825f4da77a0ed680
15358 .xword 0x99f8e62831b1b691
15359 .xword 0x8a55cf2455577852
15360
15361SECTION .HTRAPS
15362.text
15363.global restore_range_regs
15364restore_range_regs:
15365 wr %g0, ASI_MMU_REAL_RANGE, %asi
15366 mov 1, %g1
15367 sllx %g1, 63, %g1
15368 ldxa [ASI_MMU_REAL_RANGE_0] %asi, %g2
15369 or %g2 ,%g1, %g2
15370 stxa %g2, [ASI_MMU_REAL_RANGE_0] %asi
15371 ldxa [ASI_MMU_REAL_RANGE_1] %asi, %g2
15372 or %g2 ,%g1, %g2
15373 stxa %g2, [ASI_MMU_REAL_RANGE_1] %asi
15374 ldxa [ASI_MMU_REAL_RANGE_2] %asi, %g2
15375 or %g2 ,%g1, %g2
15376 stxa %g2, [ASI_MMU_REAL_RANGE_2] %asi
15377 ldxa [ASI_MMU_REAL_RANGE_3] %asi, %g2
15378 or %g2 ,%g1, %g2
15379 stxa %g2, [ASI_MMU_REAL_RANGE_3] %asi
15380 retry
15381
15382.global wdog_2_ext
15383SECTION .HTRAPS
15384.global wdog_2_ext
15385.global retry_with_base_tba
15386.global resolve_bad_tte
15387
15388.text
15389resolve_bad_tte:
15390 !if pc[63:15] matches tba, then relocated handler ..
15391 rdpr %tpc, %r4
15392check_tba:
15393 set 0x7fff, %r5
15394 andn %r4, %r5, %r5 !clear 14:0
15395 rdpr %tba, %r6 !compare pc[63:15] to tba
15396 cmp %r5, %r6
15397 bne,a not_a_reloc_handler
15398 andn %r27, 0x1f, %r6
15399retry_with_base_tba:
15400 best_set_reg(TRAP_BASE_VA, %r3, %r5)
15401 cmp %r4, %r5
15402 bz htrap_5_ext_done
15403 set 0x7fff, %r3
15404 and %r4, %r3, %r4
15405 or %r5, %r4, %r4
15406 wrpr %r4, %tpc
15407 rdpr %tnpc, %r4
15408 and %r4, %r3, %r4
15409 or %r5, %r4, %r4
15410 wrpr %r4, %tnpc
15411 retry
15412
15413 !assume %r27 is where we came from ..
15414not_a_reloc_handler:
15415 stxa %r27, [%r6] 0x57
15416 add %r27, 8, %r27
15417 wrpr %r27, %tnpc
15418 done
15419
15420htrap_5_ext:
15421 rd %pc, %l2
15422 inc %l3
15423 add %l2, htrap_5_ext_done-htrap_5_ext, %l2
15424 rdpr %tl, %l3
15425 rdpr %tstate, %l4
15426 rdhpr %htstate, %l5
15427 or %l5, 0x4, %l5
15428 inc %l3
15429 wrpr %l3, %tl
15430 wrpr %l2, %tpc
15431 add %l2, 4, %l2
15432 wrpr %l2, %tnpc
15433 wrpr %l4, %tstate
15434 wrhpr %l5, %htstate
15435 retry
15436htrap_5_ext_done:
15437 done
15438
15439wdog_2_ext:
15440 mov 0x1f, %l1
15441 stxa %l1, [%g0] ASI_LSU_CTL_REG
15442 ! If TT != 2, then goto trap handler
15443 rdpr %tt, %l1
15444 cmp %l1, 0x2
15445 bne wdog_2_goto_handler
15446 nop
15447 ! else done
15448 done
15449wdog_2_goto_handler:
15450 rdhpr %htstate, %l3
15451 and %l3, 0x4, %l3 ! If previously in hpriv mode, go to hpriv
15452 brnz,a %l3, wdog_2_goto_handler_1
15453 rdhpr %htba, %l3
15454 srlx %l1, 7, %l3 ! Send priv sw traps to priv mode ..
15455 cmp %l3, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
15456 be,a wdog_2_goto_handler_1
15457 rdpr %tba, %l3
15458 rdhpr %htba, %l3
15459wdog_2_goto_handler_1:
15460 sllx %l1, 5, %l1
15461 add %l1, %l3, %l3
15462 jmp %l3
15463 nop
15464! Red mode other reset handler
15465! Get htba, and tt and make trap address
15466! Jump to trap handler ..
15467
15468SECTION .RED_SEC
15469.global red_other_ext
15470.global wdog_red_ext
15471.text
15472red_other_ext:
15473 ! IF TL=6, shift stack by one ..
15474 rdpr %tl, %l1
15475 cmp %l1, 6
15476 be start_tsa_shift
15477 nop
15478
15479continue_red_other:
15480 mov 0x1f, %l1
15481 stxa %l1, [%g0] ASI_LSU_CTL_REG
15482
15483 rdpr %tt, %l1
15484
15485 rdhpr %htstate, %l2
15486 and %l2, 0x4, %l2 ! If previously in hpriv mode, go to hpriv
15487 brnz,a %l2, red_goto_handler
15488 rdhpr %htba, %l2
15489 srlx %l1, 7, %l2 ! Send priv sw traps to priv mode ..
15490 cmp %l2, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
15491 be,a red_goto_handler
15492 rdpr %tba, %l2
15493 rdhpr %htba, %l2
15494red_goto_handler:
15495
15496 sllx %l1, 5, %l1
15497 add %l1, %l2, %l2
15498 rdhpr %htstate, %l1
15499 andn %l1, 0x20, %l1
15500 wrhpr %g0, %l1, %htstate
15501 rdhpr %hpstate, %l1
15502 jmp %l2
15503 wrhpr %l1, 0x20, %hpstate
15504 nop
15505
15506wdog_red_ext:
15507 ! Shift stack down by 1 ...
15508 rdpr %tl, %l1
15509 cmp %l1, 6
15510 bl wdog_end
15511start_tsa_shift:
15512 mov 0x2, %l2
15513
15514tsa_shift:
15515 wrpr %l2, %tl
15516 rdpr %tt, %l3
15517 rdpr %tpc, %l4
15518 rdpr %tnpc, %l5
15519 rdpr %tstate, %l6
15520 rdhpr %htstate, %l7
15521 dec %l2
15522 wrpr %l2, %tl
15523 wrpr %l3, %tt
15524 wrpr %l4, %tpc
15525 wrpr %l5, %tnpc
15526 wrpr %l6, %tstate
15527 wrhpr %l7, %htstate
15528 add %l2, 2, %l2
15529 cmp %l2, %l1
15530 ble tsa_shift
15531 nop
15532tsa_shift_done:
15533 dec %l1
15534 wrpr %l1, %tl
15535
15536wdog_end:
15537 ! If TT != 2, then goto trap handler
15538 rdpr %tt, %l1
15539
15540 cmp %l1, 0x2
15541 bne continue_red_other
15542 nop
15543 ! else done
15544 mov 0x1f, %l1
15545 stxa %l1, [%g0] ASI_LSU_CTL_REG
15546 done
15547SECTION .T_CWQ_DATA DATA_VA=0x11000000
15548attr_data {
15549 Name = .T_CWQ_DATA
15550 hypervisor
15551}
15552 .data
15553.global _t1_user_data_start
15554_t1_user_data_start:
15555.global _t1_scratch_area
15556_t1_scratch_area:
15557
15558.align 16
15559.global _t1_spu_op_array
15560_t1_spu_op_array:
15561 .xword 0
15562 .xword 6
15563 .xword 7
15564 .xword 3
15565 .xword 3
15566 .xword 1
15567 .xword 6
15568 .xword 2
15569 .xword 0
15570 .xword 3
15571 .xword 1
15572 .xword 3
15573 .xword 6
15574 .xword 2
15575 .xword 2
15576.align 16
15577.global _t1_aes_cwd_array
15578_t1_aes_cwd_array:
15579 .xword 0x40e000601300003f
15580 .xword 0x40e100e01100002f
15581 .xword 0xc06100a01700000f
15582 .xword 0x406000c01100001f
15583 .xword 0x406000e01000003f
15584 .xword 0xc06000401b00002f
15585 .xword 0xc06100801b00000f
15586 .xword 0x406000201500001f
15587 .xword 0xc06000001400001f
15588 .xword 0xc0e100e01700002f
15589 .xword 0xc06000e01100000f
15590 .xword 0xc0e000201900001f
15591 .xword 0xc06000a01400002f
15592 .xword 0xc06100a01700003f
15593 .xword 0x40e000c01100001f
15594.align 16
15595.global _t1_des_cwd_array
15596_t1_des_cwd_array:
15597 .xword 0x40e0004009000007
15598 .xword 0x406000c00800000f
15599 .xword 0xc06000c00e00000f
15600 .xword 0xc0e100000d000017
15601 .xword 0x406000800d00001f
15602 .xword 0xc060000008000017
15603 .xword 0x406100600e00001f
15604 .xword 0x40e100600a00000f
15605 .xword 0xc0e000200a00000f
15606 .xword 0xc0e000800e00000f
15607 .xword 0x406100400800000f
15608 .xword 0x40e000800a00001f
15609 .xword 0x40e100800800001f
15610 .xword 0xc0e000800c000017
15611 .xword 0xc06100600e000017
15612.align 16
15613.global _t1_copy_cwd_array
15614_t1_copy_cwd_array:
15615 .xword 0xa061002000000004
15616 .xword 0x206000800000000c
15617 .xword 0xa060000000000006
15618 .xword 0x2061002000000008
15619 .xword 0x206000200000000d
15620 .xword 0x206100000000000c
15621 .xword 0x206000c00000000a
15622 .xword 0xa06000e000000007
15623 .xword 0x206100800000000c
15624 .xword 0xa06100000000000f
15625 .xword 0xa06100200000000d
15626 .xword 0x206100e00000000b
15627 .xword 0xa061000000000004
15628 .xword 0x206100000000000c
15629 .xword 0xa061002000000000
15630.align 16
15631.global _t1_crc_cwd_array
15632_t1_crc_cwd_array:
15633 .xword 0xc161032400000008
15634 .xword 0xc162018800000001
15635 .xword 0xc16203c40000000e
15636 .xword 0xc163012800000000
15637 .xword 0x416103a400000008
15638 .xword 0x4162018800000004
15639 .xword 0x4163038400000006
15640 .xword 0xc16101c800000001
15641 .xword 0x416003440000000c
15642 .xword 0x4163010800000003
15643 .xword 0x416203e400000001
15644 .xword 0xc16201a800000007
15645 .xword 0xc161032400000002
15646 .xword 0xc16301080000000e
15647.align 16
15648.global _t1_hash_cwd_array
15649_t1_hash_cwd_array:
15650 .xword 0xc1630f810000003f
15651 .xword 0x41630b210000001a
15652 .xword 0x416202010000000f
15653 .xword 0x4163000100000011
15654 .xword 0xc1631d630000001d
15655 .xword 0x4163032100000018
15656 .xword 0xc163058300000025
15657 .xword 0xc163054200000003
15658 .xword 0x4162038100000032
15659 .xword 0x41610f020000001f
15660 .xword 0xc16003610000002c
15661 .xword 0x416307c100000026
15662 .xword 0xc16102a10000002d
15663 .xword 0x41630c0100000024
15664 .xword 0xc16100e100000029
15665.align 16
15666.global _t1_hmac_cwd_array
15667_t1_hmac_cwd_array:
15668 .xword 0xc1610f65000f000d
15669 .xword 0x41600225000f0004
15670 .xword 0x41630449000f0028
15671 .xword 0x41610a05000f0016
15672 .xword 0x41630f07001f000f
15673 .xword 0x4160124a00130030
15674 .xword 0x41610ce5000f0012
15675 .xword 0xc161126600130009
15676 .xword 0xc1630c87001f0003
15677 .xword 0x41600d260013000d
15678 .xword 0xc1610b2b001f0017
15679 .xword 0xc1611ea7001f0029
15680 .xword 0xc16002e5000f003a
15681 .xword 0x4161132b001f001e
15682 .xword 0x41620105000f0019
15683.align 16
15684.global _t1_rc4_cwd_array
15685_t1_rc4_cwd_array:
15686 .xword 0x40e000c004000006
15687 .xword 0xc0e1000004000006
15688 .xword 0xc0e1000004000007
15689 .xword 0x40e000800000000f
15690 .xword 0x40e100200000000c
15691 .xword 0x40e100a004000004
15692 .xword 0xc0e100c004000007
15693 .xword 0xc0e000c004000004
15694 .xword 0x40e000e004000007
15695 .xword 0x40e000600400000b
15696 .xword 0xc0e000000400000e
15697 .xword 0xc0e1002000000003
15698 .xword 0x40e0006004000007
15699 .xword 0x40e1004000000007
15700 .xword 0x40e0002004000002
15701.global _t1_sslkey_cwd_array
15702.align 16
15703_t1_sslkey_cwd_array:
15704 .xword 0x10603dc000000000, 0
15705 .xword 0x1060136000000000, 0
15706 .xword 0x90600d6000000000, 0
15707 .xword 0x10601e2000000000, 0
15708 .xword 0x106009c000000000, 0
15709 .xword 0x906017e000000000, 0
15710 .xword 0x90600e2000000000, 0
15711 .xword 0x906033a000000000, 0
15712 .xword 0x1060314000000000, 0
15713 .xword 0x106000a000000000, 0
15714 .xword 0x9060150000000000, 0
15715 .xword 0x1060210000000000, 0
15716 .xword 0x9060102000000000, 0
15717 .xword 0x1060024000000000, 0
15718 .xword 0x1060066000000000, 0
15719.align 16
15720_t1_aes_key_array:
15721 .xword 0x617bc1e2290a33c2
15722 .xword 0x201d68c387216cb7
15723 .xword 0xc97419e947706cf2
15724 .xword 0x9fee26a5beac88ec
15725 .xword 0x900aadedb7bf09df
15726 .xword 0xb5c9bdb1de43fdb6
15727 .xword 0x9733ba185c48e63d
15728 .xword 0x88f214df8aed352e
15729 .xword 0x8a6966629c04426f
15730 .xword 0xab81617602b24acd
15731 .xword 0xdbbb7aa2a9001a5f
15732 .xword 0x2ad99edf272e3799
15733 .xword 0xb2a51908712854be
15734 .xword 0xa869b115b444b18d
15735 .xword 0x0b0470b857b9165d
15736 .xword 0xa31a1d8b12438699
15737 .xword 0x11c89842947355f6
15738 .xword 0x2990ae703aca439c
15739 .xword 0x79b9663472f4cac2
15740 .xword 0x7d335e474cbd50a7
15741 .xword 0x9192540eb215bc7c
15742 .xword 0xfa76e48de6018cd0
15743 .xword 0xe0204c3a595bfbce
15744 .xword 0x67e5a5b939e88c1e
15745 .xword 0x93932463b6bdcdc6
15746 .xword 0x76b5cf65ce3013ac
15747 .xword 0x7ec069af1f7f0543
15748 .xword 0x35e8e819f0c1b7a4
15749 .xword 0xcb825e983ba515ca
15750 .xword 0x268c49c40ca129de
15751 .xword 0x6238b2f0345ecd6c
15752 .xword 0x6a6cf4761028bcca
15753 .xword 0x857f1fa38424bc7d
15754 .xword 0x9b60a5eebb817f78
15755 .xword 0x97e82cf1f6b81cdc
15756 .xword 0x654c99b4cb01d8fa
15757 .xword 0x54bae06fe7e1952b
15758 .xword 0xcca60e50fb317a42
15759 .xword 0x491e99a43f3402c6
15760 .xword 0xbde2966cc1ff013b
15761 .xword 0x6e2249ee76ab4292
15762 .xword 0x399af5b0effbf3a0
15763 .xword 0xc8ff0dbe24e063ea
15764 .xword 0x8e9d89ac83a9ae03
15765 .xword 0x4567935b34507bd3
15766 .xword 0x1f0116d520586d4a
15767 .xword 0xe18d8dac9d034bcb
15768 .xword 0xdc9ee2f4e75db0fd
15769 .xword 0x51d60dc23c549469
15770 .xword 0xf9feb84778e314f6
15771 .xword 0x4140c9cc2e49cfb4
15772.align 16
15773_t1_aes_iv_array:
15774 .xword 0x1d94d357c4a0229e
15775 .xword 0xfc2159392aa8985a
15776 .xword 0xed830bf9486b9217
15777 .xword 0x8c4eff27f71c71ce
15778 .xword 0xcc112bb1dabd5f3d
15779 .xword 0x0d324bf807dade56
15780 .xword 0xbb493f0a62b4b99b
15781 .xword 0xf3f1cc3a96beadec
15782 .xword 0x5e810a51edf3f815
15783 .xword 0xb7bd5889f1d3f666
15784 .xword 0xbd0f8e1b17273fbe
15785 .xword 0x373d35fe4e1f0ad8
15786 .xword 0x5026e45d9a8c08ad
15787 .xword 0x93536ce8aa9973e7
15788 .xword 0xf4cb5e8f348a3ca6
15789 .xword 0x8c8bef7120c80fd6
15790 .xword 0x07719f1c145a3798
15791 .xword 0x1a4db48dbf32af7e
15792 .xword 0x5639f9ca38766106
15793 .xword 0x80ab34ceef8fab07
15794 .xword 0xbf85448df709f33e
15795 .xword 0xd566f6329b27c54c
15796 .xword 0xecb63d22e9865849
15797 .xword 0x8b7ee8d24097e617
15798 .xword 0x412feb4e32ae0a1b
15799 .xword 0x93689c1ed5d13cd0
15800 .xword 0x807a6c2c0beab785
15801 .xword 0xe18935e24c727dbc
15802 .xword 0x8c173ead83853548
15803 .xword 0x7075288f1415a603
15804 .xword 0x747ae6520d23097b
15805 .xword 0x42bfca7024728e00
15806 .xword 0x95263c523b55d6da
15807 .xword 0xd80d5839cd614fc8
15808 .xword 0x502bd33fc8055127
15809 .xword 0x054dc16279d53232
15810 .xword 0x5b057938f3fd8367
15811 .xword 0xb105f7f1276150f7
15812 .xword 0x7f657ea09144e93d
15813 .xword 0xd6f3d66499289ef6
15814 .xword 0xe0f4cafe84bd7438
15815 .xword 0xc9afc6ae7fc56242
15816 .xword 0xde3eb6b4707c6a3b
15817 .xword 0x8b6a901dbeaa2184
15818 .xword 0xe6a5a0c30ce0ca5a
15819.align 16
15820_t1_aes_alignment_array:
15821 .xword 13
15822 .xword 0
15823 .xword 4
15824 .xword 0
15825 .xword 4
15826 .xword 11
15827 .xword 9
15828 .xword 4
15829 .xword 9
15830 .xword 6
15831 .xword 0
15832 .xword 7
15833 .xword 13
15834 .xword 12
15835 .xword 6
15836 .xword 3
15837 .xword 12
15838 .xword 6
15839 .xword 12
15840 .xword 2
15841 .xword 8
15842 .xword 1
15843 .xword 3
15844 .xword 14
15845 .xword 6
15846 .xword 10
15847 .xword 4
15848 .xword 7
15849 .xword 11
15850 .xword 6
15851 .xword 7
15852 .xword 7
15853 .xword 13
15854 .xword 3
15855 .xword 11
15856 .xword 8
15857 .xword 7
15858 .xword 7
15859 .xword 4
15860 .xword 13
15861 .xword 15
15862 .xword 0
15863 .xword 12
15864 .xword 1
15865 .xword 7
15866 .xword 12
15867 .xword 3
15868 .xword 8
15869 .xword 8
15870 .xword 7
15871 .xword 9
15872 .xword 2
15873 .xword 1
15874 .xword 4
15875 .xword 13
15876 .xword 9
15877 .xword 14
15878 .xword 5
15879 .xword 10
15880 .xword 7
15881 .xword 9
15882 .xword 1
15883 .xword 0
15884 .xword 4
15885 .xword 15
15886 .xword 8
15887 .xword 2
15888 .xword 0
15889 .xword 0
15890 .xword 14
15891 .xword 15
15892 .xword 15
15893 .xword 1
15894 .xword 2
15895 .xword 7
15896 .xword 14
15897 .xword 8
15898 .xword 6
15899 .xword 2
15900 .xword 0
15901 .xword 12
15902 .xword 3
15903 .xword 12
15904 .xword 5
15905 .xword 2
15906 .xword 9
15907 .xword 6
15908 .xword 5
15909 .xword 0
15910 .xword 10
15911 .xword 0
15912 .xword 8
15913 .xword 3
15914 .xword 12
15915 .xword 5
15916 .xword 12
15917 .xword 7
15918 .xword 7
15919 .xword 7
15920 .xword 1
15921 .xword 0
15922 .xword 0
15923 .xword 8
15924 .xword 13
15925 .xword 9
15926.align 16
15927_t1_aes_src:
15928 .xword 0x81ceff826f31fb16
15929 .xword 0xd86e3c1e26bd7e33
15930 .xword 0xcdae7ef19659c83a
15931 .xword 0x7e32689f9424ae7d
15932 .xword 0x682af5ba47adb4b5
15933 .xword 0xebedb38769a2f7fc
15934 .xword 0x77763feaf2155869
15935 .xword 0xeeec1bcda2364e99
15936 .xword 0x1aba51620900f5ba
15937 .xword 0x3771eb97864aa05e
15938 .xword 0x6071930f6b5af6f1
15939 .xword 0x209ada4d236e860a
15940 .xword 0x71caa61d323aff15
15941 .xword 0xb9753aa058965b21
15942 .xword 0xce58fd9cda11a47c
15943 .xword 0xbf15c44714039ce1
15944 .xword 0xba639c070f329862
15945 .xword 0x7081b48d8ef68dea
15946 .xword 0x769b10f523f1e5d1
15947 .xword 0xd36da8e35f82960d
15948 .xword 0x1b19ee4270e4bb24
15949 .xword 0x60c01242edda5cfa
15950 .xword 0x614c548161e62ef1
15951 .xword 0xfe66f5bcc9daf7c8
15952 .xword 0x97e80783673778bd
15953 .xword 0x3431cf2ca9b32a67
15954 .xword 0x016556b72d3d192e
15955 .xword 0x1194fb4eb28fc61b
15956 .xword 0xb2a56005191fec61
15957 .xword 0x477430a1ee07aebb
15958 .xword 0xe227e812d4ab725d
15959 .xword 0xe986edf3fd955b8b
15960 .xword 0x81bf15a53e7d9b89
15961 .xword 0xf27bd04d7431eadb
15962 .xword 0x0f9651377289cd62
15963 .xword 0xd5f1ddbb11d496fa
15964 .xword 0xcc7708bc7af6a74b
15965 .xword 0xee45af6106549c94
15966 .xword 0x2c7bade60751f771
15967 .xword 0x5535b8d035021b4a
15968 .xword 0xfc54f49ae301ab8c
15969 .xword 0x6b1fd01cbecaea09
15970 .xword 0x570a363cbaddc2a5
15971 .xword 0x7a7baf0ae872346f
15972 .xword 0x4bccbb278878bd34
15973 .xword 0xda5a2929940239a8
15974 .xword 0xa24ef9019b1d4fdb
15975 .xword 0x845b9dc638c1ad65
15976 .xword 0x74252939c499f056
15977 .xword 0x530822763eea6cd9
15978 .xword 0x327390a70f38ee97
15979 .xword 0xaa4d134fe9f15c87
15980 .xword 0xf9462019ff4d1660
15981 .xword 0xb30ddd25c4d050b4
15982 .xword 0x5604d51156b0bbe6
15983 .xword 0x27ef3dbbb66dd563
15984 .xword 0xc8f8008bf6cdb794
15985 .xword 0x4622869652365e1a
15986 .xword 0xe496e1f2a46965d5
15987 .xword 0xe9547b7c6bb18b99
15988 .xword 0x74ac70ecd5ded8b0
15989 .xword 0xcee8339cd1cf27f8
15990 .xword 0x0d12b5fcfb97577e
15991 .xword 0xc678daae37f95a7e
15992 .xword 0x859c66497af1e92a
15993 .xword 0xf08396c6e4e0b319
15994 .xword 0xbbc8d80af1c4323a
15995 .xword 0x933e612250757cc6
15996 .xword 0x4bab0bc9ab61280b
15997 .xword 0xec84167a62758048
15998 .xword 0x681f3dd6f207dfce
15999 .xword 0xed555163d1c07e59
16000 .xword 0x516fda31dffd92dd
16001 .xword 0x85da569f4ffade18
16002 .xword 0x30645a07ff994e2a
16003 .xword 0xbd5ea1fa86a4b19f
16004 .xword 0x9c7be3bd4c0ce112
16005 .xword 0x47de96c68f5217d4
16006 .xword 0x698e2cb000649f95
16007 .xword 0x1bc7fa92f5cf852f
16008 .xword 0x011d5233e5702f5f
16009 .xword 0xf9f94df2b434f67a
16010 .xword 0x5ac79ece1ac4e28b
16011 .xword 0x27737e9fd8fc456a
16012 .xword 0x55ccf94af94bcbae
16013 .xword 0xbe11c57f30ca1b65
16014 .xword 0x3189fd351992eda6
16015 .xword 0x0024665eca6d398a
16016 .xword 0x1df42a06f9815cd4
16017 .xword 0xef256fb7a0dd5d11
16018 .xword 0x3fb32313cd756a78
16019 .xword 0xcdb367945b462eb6
16020 .xword 0x53ab6e007814f077
16021 .xword 0x11272aeb169cf873
16022 .xword 0x1a4784ab0a1a587a
16023 .xword 0xe89ded35310146b3
16024 .xword 0x8e05901175b9103b
16025 .xword 0x6edca807b57440b5
16026 .xword 0x76c2a5902cbfdd7b
16027 .xword 0x236e8ae0a52a1bad
16028 .xword 0x1d7deb54eaf82743
16029 .xword 0xdc5a2a20c7f19b4b
16030 .xword 0x80252a78a0469b7a
16031 .xword 0x798d5095b545a0be
16032 .xword 0x78f78198922c4c22
16033 .xword 0x5787658a36819628
16034 .xword 0x4784fe6d204c86e1
16035 .xword 0x6d56234661389352
16036 .xword 0x2773f1aa7150d939
16037 .xword 0xa69318806d956373
16038 .xword 0x74f2d5796380d05a
16039 .xword 0x88ccfc8567b6960a
16040 .xword 0x7d9f8a45d36c5459
16041 .xword 0x36ea521292ca4799
16042 .xword 0x053fa164ee400112
16043 .xword 0x4bca62bdab776068
16044 .xword 0x48e89d9db7004ed5
16045 .xword 0xcf9ec08933904500
16046 .xword 0x41df0dcab613c044
16047 .xword 0x13f3de2fb2740cc9
16048 .xword 0x6f318e9b095df734
16049 .xword 0x62c4fbf33d523055
16050 .xword 0x14bbded5dd964c1b
16051 .xword 0x802de0924380f321
16052 .xword 0x065d4cf28f52c610
16053 .xword 0xdbef45268ad3ab61
16054 .xword 0x852dadbce55cd8ea
16055 .xword 0xc433692d7a951173
16056 .xword 0xd5ffadde395a0693
16057 .xword 0xf307fd70e0eac8bb
16058 .xword 0x253c46433a834f8e
16059 .xword 0xdae9c8067358c50b
16060 .xword 0x77f547933c07d50b
16061 .xword 0x58ce8e3ddbc63b1a
16062 .xword 0xf85e6fc314fe42a6
16063 .xword 0x6a1cff13b8f94571
16064 .xword 0x4c876712bca58455
16065 .xword 0x2ed8f9b403704f7e
16066 .xword 0x32d3c5d4d72d7b14
16067 .xword 0xff299125390fec4e
16068 .xword 0x066335c000d48401
16069 .xword 0x4ca645e851a9bb99
16070 .xword 0x69a7b8a90bd9d9de
16071 .xword 0x282818238c67bfd6
16072 .xword 0xe8b50039ea4ca2a4
16073 .xword 0xd7af14aee7115740
16074 .xword 0x3b656b92ab4ceb81
16075 .xword 0xc3095ed0ed18706f
16076 .xword 0x6a7e65c3a55a8c70
16077 .xword 0xabf057980d25a64c
16078 .xword 0x55c25da89696933d
16079 .xword 0xb91349eb71a90936
16080 .xword 0x7870161c01839d81
16081 .xword 0xf816329ebed959e4
16082 .xword 0x3464b5ea290d458a
16083 .xword 0x76c36ff56409a6ea
16084 .xword 0xd092e0b3dbb5bbc1
16085 .xword 0x17574ea16f17f0ba
16086 .xword 0x9d8b6a81aa5337f7
16087 .xword 0x83ff806d6e7351b9
16088 .xword 0x1915eb3745de56cf
16089 .xword 0x71c344263b0e7010
16090 .xword 0xc2c92363271a6dfb
16091 .xword 0x5c436eab660e91b8
16092 .xword 0x11fe084e40cc9524
16093 .xword 0x2db16e54341e80b7
16094 .xword 0xeeec5d285c123ca1
16095 .xword 0xcfc57ac89dca8a26
16096 .xword 0xf390c894e30b5ab9
16097 .xword 0x13080b0f4c5bfa37
16098 .xword 0xc3aa8d4d7dde8f3c
16099 .xword 0x9008b52f5d0dc1df
16100 .xword 0x5cbb264a206d85c3
16101 .xword 0xbf37538122e19a10
16102 .xword 0x3cdcf2fef855521e
16103 .xword 0xd3cf870e0ad380e1
16104 .xword 0x09813a5253dd4ccd
16105 .xword 0xde2c53b1ad1812b6
16106 .xword 0xb041525b855421cc
16107 .xword 0xdf7c85ea6405a592
16108 .xword 0xdd57634fde4b1338
16109 .xword 0x3b695cd56adf4a09
16110 .xword 0xfb73d51de4693c51
16111 .xword 0xd9284ad21e5f1917
16112 .xword 0x88b8925afddd60e4
16113 .xword 0xf83d8dfa4b672c68
16114 .xword 0xa4ec3cf98bf18c04
16115 .xword 0x4669bcb9080aa9fc
16116 .xword 0x22b07e312880253a
16117 .xword 0xd6fc7f3dd12c7181
16118 .xword 0x87201efb57729feb
16119 .xword 0x801f7debf0ee5928
16120 .xword 0xf102fc9f7f37bd75
16121 .xword 0x01a7b428ab191a06
16122 .xword 0xddde2d37bf3b95dd
16123 .xword 0x89b5aea26e79e604
16124 .xword 0x8e306a437d457c48
16125 .xword 0x4b09b4c53f940721
16126 .xword 0xfa50884694eb8aaf
16127 .xword 0xea85ac3d987df920
16128 .xword 0xb618978f78731a74
16129 .xword 0xd52393afc763d0e1
16130 .xword 0x13e40b0eabbcac37
16131 .xword 0xb438e880eb260bc3
16132 .xword 0xfff7d57749fcbce4
16133 .xword 0x3b92d5af067772b8
16134 .xword 0x8304cb6e512dd48c
16135 .xword 0x3adfd19c2c8935b7
16136 .xword 0x4ff0fc7a0e6cfdc2
16137 .xword 0xd82a30667be8ca6f
16138 .xword 0x5d4e1a553bccbdf3
16139 .xword 0xe4539fa8bafc1a98
16140 .xword 0x7b163296f282c8e1
16141 .xword 0x98267a61af10cce8
16142 .xword 0xd2912444a9e4b1d8
16143 .xword 0x3389d8e5f5291352
16144 .xword 0x19875061fa0f0616
16145 .xword 0xb91db052654eb934
16146 .xword 0xc90063f269bfa0a2
16147 .xword 0x115ecbb0a489315e
16148 .xword 0xd88d9319bdbaedc6
16149 .xword 0x855efd1846c44b65
16150 .xword 0xa3cfc99495205b0b
16151 .xword 0xe40c33d3feb4919b
16152 .xword 0x521895f7602fe60c
16153 .xword 0xe6362b2ada0eefe7
16154 .xword 0x93dad8f1c0bf8eb9
16155 .xword 0xa1e924906bd62af8
16156 .xword 0x47c4badee0e6cb65
16157 .xword 0x739d38739c73c933
16158 .xword 0x5346605cd4ae5234
16159 .xword 0xf7218d7fd11f3d06
16160 .xword 0xddb256f8f8542254
16161 .xword 0x44898fd1e7dfc0f5
16162 .xword 0x09427b0a420522b2
16163 .xword 0x38ba9d57fc1e8710
16164 .xword 0xab3d44ad714392ea
16165 .xword 0xeee1c376cec72290
16166 .xword 0x4d1d7c48990c16f2
16167 .xword 0xe03aee8b6ff54711
16168 .xword 0x720083550d874b53
16169 .xword 0x2e5b280d1fcfcdcf
16170 .xword 0x33c16afc1f3c2848
16171 .xword 0xedfe0be547ac3428
16172 .xword 0x8638b7c72d5a2a6b
16173 .xword 0x83d4325de3be209f
16174 .xword 0x18f0b12cac005f03
16175 .xword 0x044cdc0ae5b7beeb
16176 .xword 0x3acc1bcd9eb55f23
16177 .xword 0x74c774cdbe861722
16178 .xword 0xad45b5d3110584d3
16179 .xword 0x9dab4b8f340c5823
16180 .xword 0xe183674fd4dde794
16181 .xword 0xb3ab334efccc8dee
16182 .xword 0x0f52278afa59ed53
16183 .xword 0xca582aefd0d3846d
16184 .xword 0xc63ba99c4b2a3a38
16185 .xword 0x156a1c956073940b
16186 .xword 0xd7d332537886e21c
16187 .xword 0x45447525a3bcda25
16188 .xword 0x281d8d8df2be915d
16189 .xword 0x552f7b3a1f96efef
16190 .xword 0x5a5c2a834c1c82a2
16191 .xword 0x7cada1e038e75abe
16192 .xword 0xfd0a29c24d5357f4
16193 .xword 0xc457811dc2150dfa
16194 .xword 0x5f352a5d27aff3e0
16195 .xword 0x519c11c79d38123d
16196 .xword 0xa30bad59dd95a1d7
16197 .xword 0x99810aec2489a3f8
16198 .xword 0x53918bb3f5957d08
16199 .xword 0x01ad363143adf536
16200 .xword 0xbc78d24ce2ad1551
16201 .xword 0xa04998cfc3193070
16202 .xword 0x8bcc6fca550d7769
16203.align 16
16204_t1_aes_dest:
16205 .xword 0xDEADBEEFDEADBEEF
16206 .xword 0xDEADBEEFDEADBEEF
16207 .xword 0xDEADBEEFDEADBEEF
16208 .xword 0xDEADBEEFDEADBEEF
16209 .xword 0xDEADBEEFDEADBEEF
16210 .xword 0xDEADBEEFDEADBEEF
16211 .xword 0xDEADBEEFDEADBEEF
16212 .xword 0xDEADBEEFDEADBEEF
16213 .xword 0xDEADBEEFDEADBEEF
16214 .xword 0xDEADBEEFDEADBEEF
16215 .xword 0xDEADBEEFDEADBEEF
16216 .xword 0xDEADBEEFDEADBEEF
16217 .xword 0xDEADBEEFDEADBEEF
16218 .xword 0xDEADBEEFDEADBEEF
16219 .xword 0xDEADBEEFDEADBEEF
16220 .xword 0xDEADBEEFDEADBEEF
16221 .xword 0xDEADBEEFDEADBEEF
16222 .xword 0xDEADBEEFDEADBEEF
16223 .xword 0xDEADBEEFDEADBEEF
16224 .xword 0xDEADBEEFDEADBEEF
16225 .xword 0xDEADBEEFDEADBEEF
16226 .xword 0xDEADBEEFDEADBEEF
16227 .xword 0xDEADBEEFDEADBEEF
16228 .xword 0xDEADBEEFDEADBEEF
16229 .xword 0xDEADBEEFDEADBEEF
16230 .xword 0xDEADBEEFDEADBEEF
16231 .xword 0xDEADBEEFDEADBEEF
16232 .xword 0xDEADBEEFDEADBEEF
16233 .xword 0xDEADBEEFDEADBEEF
16234 .xword 0xDEADBEEFDEADBEEF
16235 .xword 0xDEADBEEFDEADBEEF
16236 .xword 0xDEADBEEFDEADBEEF
16237 .xword 0xDEADBEEFDEADBEEF
16238 .xword 0xDEADBEEFDEADBEEF
16239 .xword 0xDEADBEEFDEADBEEF
16240 .xword 0xDEADBEEFDEADBEEF
16241 .xword 0xDEADBEEFDEADBEEF
16242 .xword 0xDEADBEEFDEADBEEF
16243 .xword 0xDEADBEEFDEADBEEF
16244 .xword 0xDEADBEEFDEADBEEF
16245 .xword 0xDEADBEEFDEADBEEF
16246 .xword 0xDEADBEEFDEADBEEF
16247 .xword 0xDEADBEEFDEADBEEF
16248 .xword 0xDEADBEEFDEADBEEF
16249 .xword 0xDEADBEEFDEADBEEF
16250 .xword 0xDEADBEEFDEADBEEF
16251 .xword 0xDEADBEEFDEADBEEF
16252 .xword 0xDEADBEEFDEADBEEF
16253 .xword 0xDEADBEEFDEADBEEF
16254 .xword 0xDEADBEEFDEADBEEF
16255 .xword 0xDEADBEEFDEADBEEF
16256 .xword 0xDEADBEEFDEADBEEF
16257 .xword 0xDEADBEEFDEADBEEF
16258 .xword 0xDEADBEEFDEADBEEF
16259 .xword 0xDEADBEEFDEADBEEF
16260 .xword 0xDEADBEEFDEADBEEF
16261 .xword 0xDEADBEEFDEADBEEF
16262 .xword 0xDEADBEEFDEADBEEF
16263 .xword 0xDEADBEEFDEADBEEF
16264 .xword 0xDEADBEEFDEADBEEF
16265 .xword 0xDEADBEEFDEADBEEF
16266 .xword 0xDEADBEEFDEADBEEF
16267 .xword 0xDEADBEEFDEADBEEF
16268 .xword 0xDEADBEEFDEADBEEF
16269 .xword 0xDEADBEEFDEADBEEF
16270 .xword 0xDEADBEEFDEADBEEF
16271 .xword 0xDEADBEEFDEADBEEF
16272 .xword 0xDEADBEEFDEADBEEF
16273 .xword 0xDEADBEEFDEADBEEF
16274 .xword 0xDEADBEEFDEADBEEF
16275 .xword 0xDEADBEEFDEADBEEF
16276 .xword 0xDEADBEEFDEADBEEF
16277 .xword 0xDEADBEEFDEADBEEF
16278 .xword 0xDEADBEEFDEADBEEF
16279 .xword 0xDEADBEEFDEADBEEF
16280 .xword 0xDEADBEEFDEADBEEF
16281 .xword 0xDEADBEEFDEADBEEF
16282 .xword 0xDEADBEEFDEADBEEF
16283 .xword 0xDEADBEEFDEADBEEF
16284 .xword 0xDEADBEEFDEADBEEF
16285 .xword 0xDEADBEEFDEADBEEF
16286 .xword 0xDEADBEEFDEADBEEF
16287 .xword 0xDEADBEEFDEADBEEF
16288 .xword 0xDEADBEEFDEADBEEF
16289 .xword 0xDEADBEEFDEADBEEF
16290 .xword 0xDEADBEEFDEADBEEF
16291 .xword 0xDEADBEEFDEADBEEF
16292 .xword 0xDEADBEEFDEADBEEF
16293 .xword 0xDEADBEEFDEADBEEF
16294 .xword 0xDEADBEEFDEADBEEF
16295 .xword 0xDEADBEEFDEADBEEF
16296 .xword 0xDEADBEEFDEADBEEF
16297 .xword 0xDEADBEEFDEADBEEF
16298 .xword 0xDEADBEEFDEADBEEF
16299 .xword 0xDEADBEEFDEADBEEF
16300 .xword 0xDEADBEEFDEADBEEF
16301 .xword 0xDEADBEEFDEADBEEF
16302 .xword 0xDEADBEEFDEADBEEF
16303 .xword 0xDEADBEEFDEADBEEF
16304 .xword 0xDEADBEEFDEADBEEF
16305 .xword 0xDEADBEEFDEADBEEF
16306 .xword 0xDEADBEEFDEADBEEF
16307 .xword 0xDEADBEEFDEADBEEF
16308 .xword 0xDEADBEEFDEADBEEF
16309 .xword 0xDEADBEEFDEADBEEF
16310 .xword 0xDEADBEEFDEADBEEF
16311 .xword 0xDEADBEEFDEADBEEF
16312 .xword 0xDEADBEEFDEADBEEF
16313 .xword 0xDEADBEEFDEADBEEF
16314 .xword 0xDEADBEEFDEADBEEF
16315 .xword 0xDEADBEEFDEADBEEF
16316 .xword 0xDEADBEEFDEADBEEF
16317 .xword 0xDEADBEEFDEADBEEF
16318 .xword 0xDEADBEEFDEADBEEF
16319 .xword 0xDEADBEEFDEADBEEF
16320 .xword 0xDEADBEEFDEADBEEF
16321 .xword 0xDEADBEEFDEADBEEF
16322 .xword 0xDEADBEEFDEADBEEF
16323 .xword 0xDEADBEEFDEADBEEF
16324 .xword 0xDEADBEEFDEADBEEF
16325 .xword 0xDEADBEEFDEADBEEF
16326 .xword 0xDEADBEEFDEADBEEF
16327 .xword 0xDEADBEEFDEADBEEF
16328 .xword 0xDEADBEEFDEADBEEF
16329 .xword 0xDEADBEEFDEADBEEF
16330 .xword 0xDEADBEEFDEADBEEF
16331 .xword 0xDEADBEEFDEADBEEF
16332 .xword 0xDEADBEEFDEADBEEF
16333 .xword 0xDEADBEEFDEADBEEF
16334 .xword 0xDEADBEEFDEADBEEF
16335 .xword 0xDEADBEEFDEADBEEF
16336 .xword 0xDEADBEEFDEADBEEF
16337 .xword 0xDEADBEEFDEADBEEF
16338 .xword 0xDEADBEEFDEADBEEF
16339 .xword 0xDEADBEEFDEADBEEF
16340 .xword 0xDEADBEEFDEADBEEF
16341 .xword 0xDEADBEEFDEADBEEF
16342 .xword 0xDEADBEEFDEADBEEF
16343 .xword 0xDEADBEEFDEADBEEF
16344 .xword 0xDEADBEEFDEADBEEF
16345 .xword 0xDEADBEEFDEADBEEF
16346 .xword 0xDEADBEEFDEADBEEF
16347 .xword 0xDEADBEEFDEADBEEF
16348 .xword 0xDEADBEEFDEADBEEF
16349 .xword 0xDEADBEEFDEADBEEF
16350 .xword 0xDEADBEEFDEADBEEF
16351 .xword 0xDEADBEEFDEADBEEF
16352 .xword 0xDEADBEEFDEADBEEF
16353 .xword 0xDEADBEEFDEADBEEF
16354 .xword 0xDEADBEEFDEADBEEF
16355 .xword 0xDEADBEEFDEADBEEF
16356 .xword 0xDEADBEEFDEADBEEF
16357 .xword 0xDEADBEEFDEADBEEF
16358 .xword 0xDEADBEEFDEADBEEF
16359 .xword 0xDEADBEEFDEADBEEF
16360 .xword 0xDEADBEEFDEADBEEF
16361 .xword 0xDEADBEEFDEADBEEF
16362 .xword 0xDEADBEEFDEADBEEF
16363 .xword 0xDEADBEEFDEADBEEF
16364 .xword 0xDEADBEEFDEADBEEF
16365 .xword 0xDEADBEEFDEADBEEF
16366 .xword 0xDEADBEEFDEADBEEF
16367 .xword 0xDEADBEEFDEADBEEF
16368 .xword 0xDEADBEEFDEADBEEF
16369 .xword 0xDEADBEEFDEADBEEF
16370 .xword 0xDEADBEEFDEADBEEF
16371 .xword 0xDEADBEEFDEADBEEF
16372 .xword 0xDEADBEEFDEADBEEF
16373 .xword 0xDEADBEEFDEADBEEF
16374 .xword 0xDEADBEEFDEADBEEF
16375 .xword 0xDEADBEEFDEADBEEF
16376 .xword 0xDEADBEEFDEADBEEF
16377 .xword 0xDEADBEEFDEADBEEF
16378 .xword 0xDEADBEEFDEADBEEF
16379 .xword 0xDEADBEEFDEADBEEF
16380 .xword 0xDEADBEEFDEADBEEF
16381 .xword 0xDEADBEEFDEADBEEF
16382 .xword 0xDEADBEEFDEADBEEF
16383 .xword 0xDEADBEEFDEADBEEF
16384 .xword 0xDEADBEEFDEADBEEF
16385 .xword 0xDEADBEEFDEADBEEF
16386 .xword 0xDEADBEEFDEADBEEF
16387 .xword 0xDEADBEEFDEADBEEF
16388 .xword 0xDEADBEEFDEADBEEF
16389 .xword 0xDEADBEEFDEADBEEF
16390 .xword 0xDEADBEEFDEADBEEF
16391 .xword 0xDEADBEEFDEADBEEF
16392 .xword 0xDEADBEEFDEADBEEF
16393 .xword 0xDEADBEEFDEADBEEF
16394 .xword 0xDEADBEEFDEADBEEF
16395 .xword 0xDEADBEEFDEADBEEF
16396 .xword 0xDEADBEEFDEADBEEF
16397 .xword 0xDEADBEEFDEADBEEF
16398 .xword 0xDEADBEEFDEADBEEF
16399 .xword 0xDEADBEEFDEADBEEF
16400 .xword 0xDEADBEEFDEADBEEF
16401 .xword 0xDEADBEEFDEADBEEF
16402 .xword 0xDEADBEEFDEADBEEF
16403 .xword 0xDEADBEEFDEADBEEF
16404 .xword 0xDEADBEEFDEADBEEF
16405 .xword 0xDEADBEEFDEADBEEF
16406 .xword 0xDEADBEEFDEADBEEF
16407 .xword 0xDEADBEEFDEADBEEF
16408 .xword 0xDEADBEEFDEADBEEF
16409 .xword 0xDEADBEEFDEADBEEF
16410 .xword 0xDEADBEEFDEADBEEF
16411 .xword 0xDEADBEEFDEADBEEF
16412 .xword 0xDEADBEEFDEADBEEF
16413 .xword 0xDEADBEEFDEADBEEF
16414 .xword 0xDEADBEEFDEADBEEF
16415 .xword 0xDEADBEEFDEADBEEF
16416 .xword 0xDEADBEEFDEADBEEF
16417 .xword 0xDEADBEEFDEADBEEF
16418 .xword 0xDEADBEEFDEADBEEF
16419 .xword 0xDEADBEEFDEADBEEF
16420 .xword 0xDEADBEEFDEADBEEF
16421 .xword 0xDEADBEEFDEADBEEF
16422 .xword 0xDEADBEEFDEADBEEF
16423 .xword 0xDEADBEEFDEADBEEF
16424 .xword 0xDEADBEEFDEADBEEF
16425 .xword 0xDEADBEEFDEADBEEF
16426 .xword 0xDEADBEEFDEADBEEF
16427 .xword 0xDEADBEEFDEADBEEF
16428 .xword 0xDEADBEEFDEADBEEF
16429 .xword 0xDEADBEEFDEADBEEF
16430 .xword 0xDEADBEEFDEADBEEF
16431 .xword 0xDEADBEEFDEADBEEF
16432 .xword 0xDEADBEEFDEADBEEF
16433 .xword 0xDEADBEEFDEADBEEF
16434 .xword 0xDEADBEEFDEADBEEF
16435 .xword 0xDEADBEEFDEADBEEF
16436 .xword 0xDEADBEEFDEADBEEF
16437 .xword 0xDEADBEEFDEADBEEF
16438 .xword 0xDEADBEEFDEADBEEF
16439 .xword 0xDEADBEEFDEADBEEF
16440 .xword 0xDEADBEEFDEADBEEF
16441 .xword 0xDEADBEEFDEADBEEF
16442 .xword 0xDEADBEEFDEADBEEF
16443 .xword 0xDEADBEEFDEADBEEF
16444 .xword 0xDEADBEEFDEADBEEF
16445 .xword 0xDEADBEEFDEADBEEF
16446 .xword 0xDEADBEEFDEADBEEF
16447 .xword 0xDEADBEEFDEADBEEF
16448 .xword 0xDEADBEEFDEADBEEF
16449 .xword 0xDEADBEEFDEADBEEF
16450 .xword 0xDEADBEEFDEADBEEF
16451 .xword 0xDEADBEEFDEADBEEF
16452 .xword 0xDEADBEEFDEADBEEF
16453 .xword 0xDEADBEEFDEADBEEF
16454 .xword 0xDEADBEEFDEADBEEF
16455 .xword 0xDEADBEEFDEADBEEF
16456 .xword 0xDEADBEEFDEADBEEF
16457 .xword 0xDEADBEEFDEADBEEF
16458 .xword 0xDEADBEEFDEADBEEF
16459 .xword 0xDEADBEEFDEADBEEF
16460 .xword 0xDEADBEEFDEADBEEF
16461 .xword 0xDEADBEEFDEADBEEF
16462 .xword 0xDEADBEEFDEADBEEF
16463 .xword 0xDEADBEEFDEADBEEF
16464 .xword 0xDEADBEEFDEADBEEF
16465 .xword 0xDEADBEEFDEADBEEF
16466 .xword 0xDEADBEEFDEADBEEF
16467 .xword 0xDEADBEEFDEADBEEF
16468 .xword 0xDEADBEEFDEADBEEF
16469 .xword 0xDEADBEEFDEADBEEF
16470 .xword 0xDEADBEEFDEADBEEF
16471 .xword 0xDEADBEEFDEADBEEF
16472 .xword 0xDEADBEEFDEADBEEF
16473 .xword 0xDEADBEEFDEADBEEF
16474 .xword 0xDEADBEEFDEADBEEF
16475 .xword 0xDEADBEEFDEADBEEF
16476 .xword 0xDEADBEEFDEADBEEF
16477 .xword 0xDEADBEEFDEADBEEF
16478 .xword 0xDEADBEEFDEADBEEF
16479 .xword 0xDEADBEEFDEADBEEF
16480.align 16
16481_t1_aes_auth_key:
16482 .xword 0xe731465eb7d9f97c
16483 .xword 0x1d3843c6b9fae015
16484 .xword 0xfa56068657631695
16485 .xword 0xdcc1e4c6d5c99f9f
16486 .xword 0x7b53f7c3e378133a
16487 .xword 0xe1f5d9bedba2ed22
16488 .xword 0xe6d680aae71bf657
16489 .xword 0xf15fe03f38447a9b
16490 .xword 0x6cb660236daa9daf
16491 .xword 0x8dd1552cfdb7f0b0
16492 .xword 0x585e0dcba15dae1f
16493 .xword 0xa2726013104426c5
16494 .xword 0x93b4d9e4e734944d
16495 .xword 0x5662ca18638f135e
16496 .xword 0x4b6de58c5e742009
16497 .xword 0x126534ecf1f0f766
16498 .xword 0x7e527d660977b430
16499 .xword 0x7327a3a2311addf0
16500 .xword 0x87fefff3908b834e
16501 .xword 0x84bc9e83898db8bd
16502 .xword 0x8b360e3052399fe9
16503 .xword 0xf3c0b74b331e60ca
16504 .xword 0xc36c4c55185e1e5b
16505.align 16
16506_t1_aes_auth_iv:
16507 .xword 0xa90e449bbf3351cd
16508 .xword 0xbd5371d8cb6f4e59
16509 .xword 0x32c44c46d816bb24
16510 .xword 0x7747cf5fa27b5e0a
16511 .xword 0x0915acadd13a9413
16512 .xword 0x80b77cd00a89946d
16513 .xword 0xf32d3c7d6ebf8a2e
16514 .xword 0x8b7c574daf2bb2f4
16515 .xword 0x5d4c8e66955a9d56
16516 .xword 0x95632caa4548aad5
16517 .xword 0x610f57bc6d8b5017
16518 .xword 0xc96813c395e51285
16519 .xword 0xa6de3af1bf1dc4bb
16520 .xword 0x89ff055fa84dfe19
16521 .xword 0x0ff0641b4d9b9c83
16522 .xword 0x639da4025acf4fbf
16523 .xword 0xb9ebb07be871a595
16524 .xword 0x05d1885fa1204bab
16525 .xword 0x1a0e5547142c6321
16526 .xword 0x0bc5a40fa4bb7794
16527 .xword 0xaeb24cf6a378f861
16528 .xword 0xf02bd2b3e1e963be
16529 .xword 0xdb8c071f75ab3533
16530.align 16
16531_t1_aes_fas_result:
16532 .xword 0xDEADBEEFDEADBEEF
16533 .xword 0xDEADBEEFDEADBEEF
16534 .xword 0xDEADBEEFDEADBEEF
16535 .xword 0xDEADBEEFDEADBEEF
16536 .xword 0xDEADBEEFDEADBEEF
16537 .xword 0xDEADBEEFDEADBEEF
16538 .xword 0xDEADBEEFDEADBEEF
16539 .xword 0xDEADBEEFDEADBEEF
16540 .xword 0xDEADBEEFDEADBEEF
16541 .xword 0xDEADBEEFDEADBEEF
16542 .xword 0xDEADBEEFDEADBEEF
16543 .xword 0xDEADBEEFDEADBEEF
16544 .xword 0xDEADBEEFDEADBEEF
16545 .xword 0xDEADBEEFDEADBEEF
16546 .xword 0xDEADBEEFDEADBEEF
16547 .xword 0xDEADBEEFDEADBEEF
16548 .xword 0xDEADBEEFDEADBEEF
16549 .xword 0xDEADBEEFDEADBEEF
16550 .xword 0xDEADBEEFDEADBEEF
16551 .xword 0xDEADBEEFDEADBEEF
16552 .xword 0xDEADBEEFDEADBEEF
16553 .xword 0xDEADBEEFDEADBEEF
16554 .xword 0xDEADBEEFDEADBEEF
16555.align 16
16556_t1_des_key_array:
16557 .xword 0xc31b71e3716d2d82
16558 .xword 0xaac5b63acdd3da65
16559 .xword 0x60b337b0d479bd76
16560 .xword 0x41afe966da288b16
16561 .xword 0xcc6556682d8fca5f
16562 .xword 0xef8e3d91bd38c63a
16563 .xword 0xc0acb568488e01b3
16564 .xword 0x73134c1612fe5ae1
16565 .xword 0x6768018ed9b181ef
16566 .xword 0x5dda71c5dfc02996
16567 .xword 0x510b85bbd508d60c
16568 .xword 0x7c0a341252bcd7a4
16569 .xword 0x8e9d3e1ad5b1738e
16570 .xword 0x0eaa174097fce3ad
16571 .xword 0x248109818cdfa44f
16572 .xword 0xe1b880be47fe2342
16573 .xword 0x49ec57382675d359
16574 .xword 0xe68c41119875fe33
16575 .xword 0x3b5c63fee5b78450
16576 .xword 0x7a3d62e32032c7ea
16577 .xword 0x441c724d5c6b6f5f
16578 .xword 0xa7fb613084061595
16579 .xword 0xd990123970c5d61f
16580 .xword 0xd87ffd5a349ff176
16581 .xword 0xa8cc1af12dc12f2f
16582 .xword 0xedeb1cf12359c835
16583 .xword 0xbb14ce1735a6effa
16584 .xword 0x0d7db4f6dee655f8
16585 .xword 0xe61c67410314773c
16586 .xword 0xb2dbeb08eccc5c9b
16587 .xword 0x3e2104a520180f5b
16588 .xword 0x663c637691252284
16589 .xword 0xedc0ae7f2b73f197
16590 .xword 0x978c6e6ea9cd7020
16591 .xword 0xe5fe5f4f113baffb
16592 .xword 0xcf79264eb8bbd1d6
16593 .xword 0x3627a49371d4b8d4
16594 .xword 0x510ccacc9df08459
16595 .xword 0x3a7357f7dbbca788
16596 .xword 0x7a6b92be694068e9
16597 .xword 0x06f2fd147219808c
16598 .xword 0x156f05627420f8d4
16599 .xword 0x232a02be18583966
16600 .xword 0x13e95598c707228d
16601 .xword 0xdc1088efc12d1f78
16602 .xword 0xe394d0c78d7d182b
16603 .xword 0x075aeea0b3bd2ac0
16604 .xword 0x2159963c95ceec45
16605 .xword 0xf56d64d7a776442f
16606 .xword 0x3b1a5e0ff7ba556c
16607 .xword 0x62c0fb0a08a0f061
16608.align 16
16609_t1_des_iv_array:
16610 .xword 0x260542c79a931b4e
16611 .xword 0x30cf3ea781979a7c
16612 .xword 0x540ee2d9a66798bf
16613 .xword 0x302d73238dd44470
16614 .xword 0x44c0c4a53c6720af
16615 .xword 0xd3630bd58653e419
16616 .xword 0x7725c57188554dc3
16617 .xword 0x989dabef2f0a5d53
16618 .xword 0x2908fc66229dd9ef
16619 .xword 0x3f4377ba651ba9d6
16620 .xword 0x9eaddc277b689aea
16621 .xword 0x9a946948fb5398ab
16622 .xword 0x8133cba4f984baf4
16623 .xword 0xf25f6b9306a4e38a
16624 .xword 0x050c3b7acde127b0
16625 .xword 0xb89ef5ed5b12d0f1
16626 .xword 0xab4e1fe312b5335a
16627 .xword 0xbf4df30028aa0cfc
16628 .xword 0x651d74aba203ff53
16629 .xword 0x94223ce28b42c773
16630 .xword 0xd8b4bb0ec6ce8107
16631 .xword 0x4fa6de4b4b469e5d
16632 .xword 0x5801f88a80cc67c8
16633 .xword 0x93335777e1aa57ba
16634 .xword 0x313aa253e42687f0
16635 .xword 0x0d0cb5b61e277b4f
16636 .xword 0xc90266c303379c26
16637 .xword 0xc5c2ef51e304789d
16638 .xword 0x47b884673f9aef7e
16639 .xword 0x56aca9be99792c08
16640 .xword 0xed532be9baad1b61
16641 .xword 0xff63634cedd88bc0
16642 .xword 0x42589b04a0110ec9
16643 .xword 0xde48b573d8a03878
16644 .xword 0x383282e00f05ff20
16645 .xword 0x42c6a4e93189cf8a
16646 .xword 0xcf92bf92e36e6ac0
16647 .xword 0x9bacfcd59e36179e
16648 .xword 0x24a16d5f5563face
16649 .xword 0x978cfa9ed4a8c920
16650 .xword 0xae464aa1871113b0
16651 .xword 0x8ccf2925351b91e3
16652 .xword 0x7fba3663e8a68de0
16653 .xword 0x164bf7ec6018e612
16654 .xword 0x9abd776cc53dcc4b
16655.align 16
16656_t1_des_alignment_array:
16657 .xword 13
16658 .xword 8
16659 .xword 5
16660 .xword 8
16661 .xword 7
16662 .xword 11
16663 .xword 6
16664 .xword 0
16665 .xword 1
16666 .xword 11
16667 .xword 5
16668 .xword 10
16669 .xword 3
16670 .xword 11
16671 .xword 12
16672 .xword 3
16673 .xword 14
16674 .xword 5
16675 .xword 0
16676 .xword 4
16677 .xword 13
16678 .xword 7
16679 .xword 8
16680 .xword 11
16681 .xword 6
16682 .xword 6
16683 .xword 14
16684 .xword 9
16685 .xword 11
16686 .xword 3
16687 .xword 3
16688 .xword 11
16689 .xword 3
16690 .xword 7
16691 .xword 0
16692 .xword 12
16693 .xword 12
16694 .xword 7
16695 .xword 4
16696 .xword 11
16697 .xword 3
16698 .xword 2
16699 .xword 4
16700 .xword 10
16701 .xword 4
16702 .xword 14
16703 .xword 5
16704 .xword 14
16705 .xword 2
16706 .xword 0
16707 .xword 0
16708 .xword 13
16709 .xword 2
16710 .xword 1
16711 .xword 1
16712 .xword 10
16713 .xword 7
16714 .xword 12
16715 .xword 3
16716 .xword 10
16717 .xword 14
16718 .xword 3
16719 .xword 4
16720 .xword 0
16721 .xword 1
16722 .xword 8
16723 .xword 1
16724 .xword 13
16725 .xword 3
16726 .xword 8
16727 .xword 12
16728 .xword 3
16729 .xword 5
16730 .xword 9
16731 .xword 2
16732 .xword 10
16733 .xword 5
16734 .xword 15
16735 .xword 0
16736 .xword 9
16737 .xword 3
16738 .xword 2
16739 .xword 3
16740 .xword 8
16741 .xword 11
16742 .xword 14
16743 .xword 0
16744 .xword 9
16745 .xword 15
16746 .xword 4
16747 .xword 2
16748 .xword 12
16749 .xword 4
16750 .xword 4
16751 .xword 6
16752 .xword 13
16753 .xword 15
16754 .xword 15
16755 .xword 8
16756 .xword 14
16757 .xword 14
16758 .xword 0
16759 .xword 6
16760 .xword 15
16761 .xword 9
16762.align 16
16763_t1_des_src:
16764 .xword 0x0d1dc1419f335f73
16765 .xword 0x059d019bef61eac7
16766 .xword 0xba8a8efcffc751af
16767 .xword 0x1297253e0ec8b0c7
16768 .xword 0x26ac45248baa7c0a
16769 .xword 0xda2d4fd394dc2d19
16770 .xword 0xde29823a8ced04ac
16771 .xword 0x124dc07c19fbee59
16772 .xword 0x7e25afc4a8440f47
16773 .xword 0x40506b50f5a64d02
16774 .xword 0x324375b3fa736e6c
16775 .xword 0xe2c712e817db2d1d
16776 .xword 0x64d6063b857d5dba
16777 .xword 0x75f32d28af76cb16
16778 .xword 0x01ef84f601ea4b8b
16779 .xword 0xe04b411d76065d9e
16780 .xword 0x494f889e302a5920
16781 .xword 0xa3e005b8bd513587
16782 .xword 0x51c35219fece53b7
16783 .xword 0xd4b72437df071254
16784 .xword 0x902710a0c9ad80c0
16785 .xword 0x9872b9a59d1e553d
16786 .xword 0x490a536ca44917e4
16787 .xword 0xf35bcfba351eb5ff
16788 .xword 0x3893dc2ca2721700
16789 .xword 0xdb63dd63c8659568
16790 .xword 0xa0f151c91a1ffb08
16791 .xword 0x6c130c1cb093ec3d
16792 .xword 0xa231e43c6de0bcdc
16793 .xword 0x15c673b3ec24f64d
16794 .xword 0x7dd35a002b7bcb7c
16795 .xword 0x7f2d16e6143a3d3f
16796 .xword 0x49eecadcaa81ea22
16797 .xword 0x481ed2f2b568672d
16798 .xword 0xbf840c41b4bdf503
16799 .xword 0x3eb84a1fe90d3009
16800 .xword 0xc40a1e13d517e371
16801 .xword 0x5997fc011c20a690
16802 .xword 0x6eecb6b73c0295eb
16803 .xword 0x88448e279021e6c1
16804 .xword 0xeee6a15ab41078eb
16805 .xword 0xef22451afa576c86
16806 .xword 0x3780692a2c749fd5
16807 .xword 0xdff07d4b9b5a3b0e
16808 .xword 0x38d24b798ef21bd4
16809 .xword 0x2347e3e1931db897
16810 .xword 0xfc3b78a05611bdef
16811 .xword 0x25889851aafeea95
16812 .xword 0xef78b6ea2d5423a0
16813 .xword 0x4e43bb56d48d0345
16814 .xword 0x693f27255e811ddc
16815 .xword 0x36d195595191294e
16816 .xword 0xca8cd4448d71f505
16817 .xword 0xfae057a3e637e0fb
16818 .xword 0xde947b12288a20c8
16819 .xword 0xc666bbf88763abf2
16820 .xword 0xe7c54a987e1d3d35
16821 .xword 0x7abd48b0f5000fec
16822 .xword 0x367ca2b8b350427b
16823 .xword 0xad6f3d858f86ce8c
16824 .xword 0x412716c08a77b0d9
16825 .xword 0x73388bc71a097dfa
16826 .xword 0x06ce08f75230fd56
16827 .xword 0xc1feb842cbf58359
16828 .xword 0x8396ea89f025c6af
16829 .xword 0x8095f75c782723de
16830 .xword 0x4422a5ae5ad3fd36
16831 .xword 0xc9b520e1ad75d2fe
16832 .xword 0xb36f3dde0b19c9dd
16833 .xword 0xad3c8e63f60bd7a8
16834 .xword 0x41690b6aa9a00a5b
16835 .xword 0xf4e05c6d4e6db189
16836 .xword 0x44507a52fb630005
16837 .xword 0x82ef81ee66d806b9
16838 .xword 0xb02878416c3d9e27
16839 .xword 0x9a533449337ce94b
16840 .xword 0x83a73537215dae1d
16841 .xword 0x0f64cb239ffd59c0
16842 .xword 0xcc1718da8c7326ae
16843 .xword 0x78d671740f49563f
16844 .xword 0x1ca16206c496a1dd
16845 .xword 0xf4ae989b4944ed92
16846 .xword 0xdfd1ec90c7821fd0
16847 .xword 0x34975d835c50c16d
16848 .xword 0xeaec2542b72998fa
16849 .xword 0x2336e3a77e1f0a84
16850 .xword 0x0cd84575652ab186
16851 .xword 0xca2e3a47efeed21f
16852 .xword 0xe4dc91de6dc40291
16853 .xword 0xf151922722538bc8
16854 .xword 0xbdf7c66c674fd611
16855 .xword 0xb45227fed1298a28
16856 .xword 0x61ef8c065e3f2861
16857 .xword 0x4aff01478641c73d
16858 .xword 0x7787947245940495
16859 .xword 0x426386d3d6234c0e
16860 .xword 0x727fb047a9262ef6
16861 .xword 0x4c37395c002d02fd
16862 .xword 0x8160f4ad35dcb2d7
16863 .xword 0x8a0f76ac1e8f02ab
16864 .xword 0xae9bde5232fb56cf
16865 .xword 0xcdd833e8c53306e0
16866 .xword 0x6d7ccb28a32bd168
16867 .xword 0x2594bcf52bced593
16868 .xword 0x031ba602513f433e
16869 .xword 0x8f9a891e2fccb54b
16870 .xword 0xe53da3395739ab91
16871 .xword 0x97bcbe799dae2835
16872 .xword 0xba327c28713b81ac
16873 .xword 0x78a40c7252f528b8
16874 .xword 0x148a91aec38a6cff
16875 .xword 0x64805eb98b7d5f38
16876 .xword 0xe9cc6fbbe856ef5a
16877 .xword 0x515d3a96daff14e0
16878 .xword 0xc8cb900b9c0358fc
16879 .xword 0x68113cf7eaac6968
16880 .xword 0x2513bcfe9929feae
16881 .xword 0x3dae46b45cce163b
16882 .xword 0x8bfe6490f3709990
16883 .xword 0x267057fc644ed827
16884 .xword 0x47f0f1321ba048fc
16885 .xword 0x5dd5707ca3ec717d
16886 .xword 0xa0a840fb3a2e4079
16887 .xword 0x8dee9ccf077acc64
16888 .xword 0x454c10bd1f8cd8b5
16889 .xword 0x89478b07a342ca27
16890 .xword 0x6abe0412660687e5
16891 .xword 0xf87e9f1814a15179
16892 .xword 0x34c23d1cf8d3875b
16893 .xword 0x2b4a2ae8a5c668ea
16894 .xword 0x38c76081e70bd62f
16895 .xword 0xa880f2c89532ee22
16896 .xword 0x364e0033852a7d27
16897 .xword 0x792f5a284a48bed1
16898 .xword 0x3b82776dd5c2e2de
16899 .xword 0x1ebfc5ca9633a28b
16900 .xword 0x23092222e4d42a56
16901 .xword 0x4c8f415a3b33830d
16902 .xword 0x833f52440059ebf4
16903 .xword 0xe7a966b1d79fce23
16904 .xword 0x9fe29a544c3e9a33
16905 .xword 0x5a8aa7ede67a3365
16906 .xword 0xb31b7d202fd4dabc
16907 .xword 0x2ec6690174ea7333
16908 .xword 0xb52e206b17b45d6c
16909 .xword 0xc43c388724061a02
16910 .xword 0x147d9a0519a37f71
16911 .xword 0x0f8b4ea8edea4014
16912 .xword 0x15994ee1a6094011
16913 .xword 0xb877831de0000f1c
16914 .xword 0x2cb82472a114fced
16915 .xword 0x660fbfff6622d567
16916 .xword 0xfe5f0fa8d94f2ba2
16917 .xword 0x9205d55d4a6dff29
16918 .xword 0xeebd3de1129fe60e
16919 .xword 0x20d668f65decfdaa
16920 .xword 0x68480befe55ee292
16921 .xword 0xdcbf5847d71146e4
16922 .xword 0x1033151001a8293b
16923 .xword 0xa6e18a1a18292ab9
16924 .xword 0xb2001b96031908c7
16925 .xword 0x27deda95bbc73866
16926 .xword 0xd825adbc6aeb99e9
16927 .xword 0x24bdac76e5499cb7
16928 .xword 0x5eb703807c8d46ee
16929 .xword 0xda035c5c206b0d4a
16930 .xword 0x4cae9beb7cc8d9f3
16931 .xword 0x999833c8cb3a5c0c
16932 .xword 0x1fb2bc38bbeef449
16933 .xword 0xf1d0c8827c177843
16934 .xword 0x034f1e87e127055d
16935 .xword 0x0387d624944930be
16936 .xword 0x0d146d3194646f72
16937 .xword 0x3bd468d5827022f3
16938 .xword 0x34a8ef93e7657553
16939 .xword 0x8967f1e35b8e9896
16940 .xword 0x338bde5e82de12bb
16941 .xword 0x3ccf0ee24f82b4c9
16942 .xword 0xdb0574f2a57c1182
16943 .xword 0xdb19740d903e7d3f
16944 .xword 0x4dac7640527b52b0
16945 .xword 0x5d9f24e769d506c0
16946 .xword 0x0fff9e2207daee4b
16947 .xword 0x0697ec7d4a48a07b
16948 .xword 0x5f6fbaa9ccbfca44
16949 .xword 0x895a090d6f790007
16950 .xword 0x2118e060caa037ad
16951 .xword 0x85bb643da8d468f5
16952 .xword 0x4bdaa525f0910780
16953 .xword 0x3e46fca485fe69b6
16954 .xword 0xf9688e26f16f48b3
16955 .xword 0x6624c57f9c855984
16956 .xword 0x327866776d547446
16957 .xword 0x0fc996956625bba4
16958 .xword 0x6f169062c1d90185
16959 .xword 0xc22f36209ff8777b
16960 .xword 0x5fbf7530602a990b
16961 .xword 0x47b3c4205d1818f7
16962 .xword 0xad06755b233d31af
16963 .xword 0x62c693ab18e8df3b
16964 .xword 0xff38f06734e68028
16965 .xword 0x19533d3f68b0fda5
16966 .xword 0x4b3a6bf0ae5653b0
16967 .xword 0xc635ada7000d0c9c
16968 .xword 0xfa28bb4c5dd9ba06
16969 .xword 0xc3dc40288b6e2c0b
16970 .xword 0x42225d229053f41a
16971 .xword 0x12efcaa751239977
16972 .xword 0x30886616d242f26c
16973 .xword 0x589537294e8349a7
16974 .xword 0xedf64e7c7ea472bc
16975 .xword 0xa56a8aa747904bd6
16976 .xword 0x2aab0eb9ee762f0f
16977 .xword 0xa41ea95182dc41fe
16978 .xword 0x91d5ccd521dfd4fe
16979 .xword 0x681ee959e5e170b6
16980 .xword 0x95c63e2f046e918b
16981 .xword 0xb2fef3f889924631
16982 .xword 0x48415721cfd16beb
16983 .xword 0x3fe5ed452af8b012
16984 .xword 0x0739fe7d024b37a4
16985 .xword 0xd9fa909149ff072b
16986 .xword 0xa2e8281bad1e0beb
16987 .xword 0xbabb8546bfba2be7
16988 .xword 0xc9cc39f5485effb7
16989 .xword 0xb7ce8346f36170df
16990 .xword 0x90e0c792c82d94a0
16991 .xword 0x720c07e695506fce
16992 .xword 0xe7d9f592a1719740
16993 .xword 0x9436ed0264cf7f88
16994 .xword 0xff5baacd2760fd15
16995 .xword 0xdafdac325db49005
16996 .xword 0x66476dd394a5dff3
16997 .xword 0x461fcd5f5c78298f
16998 .xword 0xdbeb75e59bc2ad89
16999 .xword 0x6d5326e2d04cc3f7
17000 .xword 0x1ff8352d3f36d154
17001 .xword 0x0f79f7a28264ec50
17002 .xword 0x0f9e08e57668ddfb
17003 .xword 0x22ca9d0f127bf3f8
17004 .xword 0x13124881dbfd5b05
17005 .xword 0x4b0fda21c10afae7
17006 .xword 0x0f32f552defd4a9d
17007 .xword 0x4a693cb46a6d6c52
17008 .xword 0x02d83c1e179634d7
17009 .xword 0xf384ba22b13053c5
17010 .xword 0xd97b8bb6409cd602
17011 .xword 0xd141d0d8d1dac995
17012 .xword 0xe715009932e86cb1
17013 .xword 0xfe9fb001b1f37ec3
17014 .xword 0x3f47393fa2db97b2
17015 .xword 0x060a2052e40474b8
17016 .xword 0x912d1273ccd01916
17017 .xword 0xf6b595e5c08b6e68
17018 .xword 0x080ed62b7d547079
17019 .xword 0x3b2eea273f1e07f9
17020 .xword 0x84f66e2940eb8c41
17021 .xword 0x40d28e329ae21225
17022 .xword 0xda0a9448db067fac
17023 .xword 0x705dada2f37e0fb5
17024 .xword 0x472b37cd33d98f23
17025 .xword 0x180a79a9f31f70fd
17026 .xword 0x4a1f3a77288181fe
17027 .xword 0xbd87a03411c61940
17028 .xword 0xee7468a97c3b446c
17029 .xword 0x98d88be08aab7f60
17030 .xword 0x76bc57d0d6659d91
17031 .xword 0xaced5a68cfd84bcc
17032 .xword 0x4951702c32de8f45
17033 .xword 0x61a88eb2942d1ded
17034 .xword 0x4a8f233d939e201f
17035 .xword 0xd71bd491c3cc1a06
17036 .xword 0xd07b61ccdfd3c15f
17037 .xword 0x2f731a0fd84115bd
17038 .xword 0x8d015a2880348c95
17039.align 16
17040_t1_des_dest:
17041 .xword 0xDEADBEEFDEADBEEF
17042 .xword 0xDEADBEEFDEADBEEF
17043 .xword 0xDEADBEEFDEADBEEF
17044 .xword 0xDEADBEEFDEADBEEF
17045 .xword 0xDEADBEEFDEADBEEF
17046 .xword 0xDEADBEEFDEADBEEF
17047 .xword 0xDEADBEEFDEADBEEF
17048 .xword 0xDEADBEEFDEADBEEF
17049 .xword 0xDEADBEEFDEADBEEF
17050 .xword 0xDEADBEEFDEADBEEF
17051 .xword 0xDEADBEEFDEADBEEF
17052 .xword 0xDEADBEEFDEADBEEF
17053 .xword 0xDEADBEEFDEADBEEF
17054 .xword 0xDEADBEEFDEADBEEF
17055 .xword 0xDEADBEEFDEADBEEF
17056 .xword 0xDEADBEEFDEADBEEF
17057 .xword 0xDEADBEEFDEADBEEF
17058 .xword 0xDEADBEEFDEADBEEF
17059 .xword 0xDEADBEEFDEADBEEF
17060 .xword 0xDEADBEEFDEADBEEF
17061 .xword 0xDEADBEEFDEADBEEF
17062 .xword 0xDEADBEEFDEADBEEF
17063 .xword 0xDEADBEEFDEADBEEF
17064 .xword 0xDEADBEEFDEADBEEF
17065 .xword 0xDEADBEEFDEADBEEF
17066 .xword 0xDEADBEEFDEADBEEF
17067 .xword 0xDEADBEEFDEADBEEF
17068 .xword 0xDEADBEEFDEADBEEF
17069 .xword 0xDEADBEEFDEADBEEF
17070 .xword 0xDEADBEEFDEADBEEF
17071 .xword 0xDEADBEEFDEADBEEF
17072 .xword 0xDEADBEEFDEADBEEF
17073 .xword 0xDEADBEEFDEADBEEF
17074 .xword 0xDEADBEEFDEADBEEF
17075 .xword 0xDEADBEEFDEADBEEF
17076 .xword 0xDEADBEEFDEADBEEF
17077 .xword 0xDEADBEEFDEADBEEF
17078 .xword 0xDEADBEEFDEADBEEF
17079 .xword 0xDEADBEEFDEADBEEF
17080 .xword 0xDEADBEEFDEADBEEF
17081 .xword 0xDEADBEEFDEADBEEF
17082 .xword 0xDEADBEEFDEADBEEF
17083 .xword 0xDEADBEEFDEADBEEF
17084 .xword 0xDEADBEEFDEADBEEF
17085 .xword 0xDEADBEEFDEADBEEF
17086 .xword 0xDEADBEEFDEADBEEF
17087 .xword 0xDEADBEEFDEADBEEF
17088 .xword 0xDEADBEEFDEADBEEF
17089 .xword 0xDEADBEEFDEADBEEF
17090 .xword 0xDEADBEEFDEADBEEF
17091 .xword 0xDEADBEEFDEADBEEF
17092 .xword 0xDEADBEEFDEADBEEF
17093 .xword 0xDEADBEEFDEADBEEF
17094 .xword 0xDEADBEEFDEADBEEF
17095 .xword 0xDEADBEEFDEADBEEF
17096 .xword 0xDEADBEEFDEADBEEF
17097 .xword 0xDEADBEEFDEADBEEF
17098 .xword 0xDEADBEEFDEADBEEF
17099 .xword 0xDEADBEEFDEADBEEF
17100 .xword 0xDEADBEEFDEADBEEF
17101 .xword 0xDEADBEEFDEADBEEF
17102 .xword 0xDEADBEEFDEADBEEF
17103 .xword 0xDEADBEEFDEADBEEF
17104 .xword 0xDEADBEEFDEADBEEF
17105 .xword 0xDEADBEEFDEADBEEF
17106 .xword 0xDEADBEEFDEADBEEF
17107 .xword 0xDEADBEEFDEADBEEF
17108 .xword 0xDEADBEEFDEADBEEF
17109 .xword 0xDEADBEEFDEADBEEF
17110 .xword 0xDEADBEEFDEADBEEF
17111 .xword 0xDEADBEEFDEADBEEF
17112 .xword 0xDEADBEEFDEADBEEF
17113 .xword 0xDEADBEEFDEADBEEF
17114 .xword 0xDEADBEEFDEADBEEF
17115 .xword 0xDEADBEEFDEADBEEF
17116 .xword 0xDEADBEEFDEADBEEF
17117 .xword 0xDEADBEEFDEADBEEF
17118 .xword 0xDEADBEEFDEADBEEF
17119 .xword 0xDEADBEEFDEADBEEF
17120 .xword 0xDEADBEEFDEADBEEF
17121 .xword 0xDEADBEEFDEADBEEF
17122 .xword 0xDEADBEEFDEADBEEF
17123 .xword 0xDEADBEEFDEADBEEF
17124 .xword 0xDEADBEEFDEADBEEF
17125 .xword 0xDEADBEEFDEADBEEF
17126 .xword 0xDEADBEEFDEADBEEF
17127 .xword 0xDEADBEEFDEADBEEF
17128 .xword 0xDEADBEEFDEADBEEF
17129 .xword 0xDEADBEEFDEADBEEF
17130 .xword 0xDEADBEEFDEADBEEF
17131 .xword 0xDEADBEEFDEADBEEF
17132 .xword 0xDEADBEEFDEADBEEF
17133 .xword 0xDEADBEEFDEADBEEF
17134 .xword 0xDEADBEEFDEADBEEF
17135 .xword 0xDEADBEEFDEADBEEF
17136 .xword 0xDEADBEEFDEADBEEF
17137 .xword 0xDEADBEEFDEADBEEF
17138 .xword 0xDEADBEEFDEADBEEF
17139 .xword 0xDEADBEEFDEADBEEF
17140 .xword 0xDEADBEEFDEADBEEF
17141 .xword 0xDEADBEEFDEADBEEF
17142 .xword 0xDEADBEEFDEADBEEF
17143 .xword 0xDEADBEEFDEADBEEF
17144 .xword 0xDEADBEEFDEADBEEF
17145 .xword 0xDEADBEEFDEADBEEF
17146 .xword 0xDEADBEEFDEADBEEF
17147 .xword 0xDEADBEEFDEADBEEF
17148 .xword 0xDEADBEEFDEADBEEF
17149 .xword 0xDEADBEEFDEADBEEF
17150 .xword 0xDEADBEEFDEADBEEF
17151 .xword 0xDEADBEEFDEADBEEF
17152 .xword 0xDEADBEEFDEADBEEF
17153 .xword 0xDEADBEEFDEADBEEF
17154 .xword 0xDEADBEEFDEADBEEF
17155 .xword 0xDEADBEEFDEADBEEF
17156 .xword 0xDEADBEEFDEADBEEF
17157 .xword 0xDEADBEEFDEADBEEF
17158 .xword 0xDEADBEEFDEADBEEF
17159 .xword 0xDEADBEEFDEADBEEF
17160 .xword 0xDEADBEEFDEADBEEF
17161 .xword 0xDEADBEEFDEADBEEF
17162 .xword 0xDEADBEEFDEADBEEF
17163 .xword 0xDEADBEEFDEADBEEF
17164 .xword 0xDEADBEEFDEADBEEF
17165 .xword 0xDEADBEEFDEADBEEF
17166 .xword 0xDEADBEEFDEADBEEF
17167 .xword 0xDEADBEEFDEADBEEF
17168 .xword 0xDEADBEEFDEADBEEF
17169 .xword 0xDEADBEEFDEADBEEF
17170 .xword 0xDEADBEEFDEADBEEF
17171 .xword 0xDEADBEEFDEADBEEF
17172 .xword 0xDEADBEEFDEADBEEF
17173 .xword 0xDEADBEEFDEADBEEF
17174 .xword 0xDEADBEEFDEADBEEF
17175 .xword 0xDEADBEEFDEADBEEF
17176 .xword 0xDEADBEEFDEADBEEF
17177 .xword 0xDEADBEEFDEADBEEF
17178 .xword 0xDEADBEEFDEADBEEF
17179 .xword 0xDEADBEEFDEADBEEF
17180 .xword 0xDEADBEEFDEADBEEF
17181 .xword 0xDEADBEEFDEADBEEF
17182 .xword 0xDEADBEEFDEADBEEF
17183 .xword 0xDEADBEEFDEADBEEF
17184 .xword 0xDEADBEEFDEADBEEF
17185 .xword 0xDEADBEEFDEADBEEF
17186 .xword 0xDEADBEEFDEADBEEF
17187 .xword 0xDEADBEEFDEADBEEF
17188 .xword 0xDEADBEEFDEADBEEF
17189 .xword 0xDEADBEEFDEADBEEF
17190 .xword 0xDEADBEEFDEADBEEF
17191 .xword 0xDEADBEEFDEADBEEF
17192 .xword 0xDEADBEEFDEADBEEF
17193 .xword 0xDEADBEEFDEADBEEF
17194 .xword 0xDEADBEEFDEADBEEF
17195 .xword 0xDEADBEEFDEADBEEF
17196 .xword 0xDEADBEEFDEADBEEF
17197 .xword 0xDEADBEEFDEADBEEF
17198 .xword 0xDEADBEEFDEADBEEF
17199 .xword 0xDEADBEEFDEADBEEF
17200 .xword 0xDEADBEEFDEADBEEF
17201 .xword 0xDEADBEEFDEADBEEF
17202 .xword 0xDEADBEEFDEADBEEF
17203 .xword 0xDEADBEEFDEADBEEF
17204 .xword 0xDEADBEEFDEADBEEF
17205 .xword 0xDEADBEEFDEADBEEF
17206 .xword 0xDEADBEEFDEADBEEF
17207 .xword 0xDEADBEEFDEADBEEF
17208 .xword 0xDEADBEEFDEADBEEF
17209 .xword 0xDEADBEEFDEADBEEF
17210 .xword 0xDEADBEEFDEADBEEF
17211 .xword 0xDEADBEEFDEADBEEF
17212 .xword 0xDEADBEEFDEADBEEF
17213 .xword 0xDEADBEEFDEADBEEF
17214 .xword 0xDEADBEEFDEADBEEF
17215 .xword 0xDEADBEEFDEADBEEF
17216 .xword 0xDEADBEEFDEADBEEF
17217 .xword 0xDEADBEEFDEADBEEF
17218 .xword 0xDEADBEEFDEADBEEF
17219 .xword 0xDEADBEEFDEADBEEF
17220 .xword 0xDEADBEEFDEADBEEF
17221 .xword 0xDEADBEEFDEADBEEF
17222 .xword 0xDEADBEEFDEADBEEF
17223 .xword 0xDEADBEEFDEADBEEF
17224 .xword 0xDEADBEEFDEADBEEF
17225 .xword 0xDEADBEEFDEADBEEF
17226 .xword 0xDEADBEEFDEADBEEF
17227 .xword 0xDEADBEEFDEADBEEF
17228 .xword 0xDEADBEEFDEADBEEF
17229 .xword 0xDEADBEEFDEADBEEF
17230 .xword 0xDEADBEEFDEADBEEF
17231 .xword 0xDEADBEEFDEADBEEF
17232 .xword 0xDEADBEEFDEADBEEF
17233 .xword 0xDEADBEEFDEADBEEF
17234 .xword 0xDEADBEEFDEADBEEF
17235 .xword 0xDEADBEEFDEADBEEF
17236 .xword 0xDEADBEEFDEADBEEF
17237 .xword 0xDEADBEEFDEADBEEF
17238 .xword 0xDEADBEEFDEADBEEF
17239 .xword 0xDEADBEEFDEADBEEF
17240 .xword 0xDEADBEEFDEADBEEF
17241 .xword 0xDEADBEEFDEADBEEF
17242 .xword 0xDEADBEEFDEADBEEF
17243 .xword 0xDEADBEEFDEADBEEF
17244 .xword 0xDEADBEEFDEADBEEF
17245 .xword 0xDEADBEEFDEADBEEF
17246 .xword 0xDEADBEEFDEADBEEF
17247 .xword 0xDEADBEEFDEADBEEF
17248 .xword 0xDEADBEEFDEADBEEF
17249 .xword 0xDEADBEEFDEADBEEF
17250 .xword 0xDEADBEEFDEADBEEF
17251 .xword 0xDEADBEEFDEADBEEF
17252 .xword 0xDEADBEEFDEADBEEF
17253 .xword 0xDEADBEEFDEADBEEF
17254 .xword 0xDEADBEEFDEADBEEF
17255 .xword 0xDEADBEEFDEADBEEF
17256 .xword 0xDEADBEEFDEADBEEF
17257 .xword 0xDEADBEEFDEADBEEF
17258 .xword 0xDEADBEEFDEADBEEF
17259 .xword 0xDEADBEEFDEADBEEF
17260 .xword 0xDEADBEEFDEADBEEF
17261 .xword 0xDEADBEEFDEADBEEF
17262 .xword 0xDEADBEEFDEADBEEF
17263 .xword 0xDEADBEEFDEADBEEF
17264 .xword 0xDEADBEEFDEADBEEF
17265 .xword 0xDEADBEEFDEADBEEF
17266 .xword 0xDEADBEEFDEADBEEF
17267 .xword 0xDEADBEEFDEADBEEF
17268 .xword 0xDEADBEEFDEADBEEF
17269 .xword 0xDEADBEEFDEADBEEF
17270 .xword 0xDEADBEEFDEADBEEF
17271 .xword 0xDEADBEEFDEADBEEF
17272 .xword 0xDEADBEEFDEADBEEF
17273 .xword 0xDEADBEEFDEADBEEF
17274 .xword 0xDEADBEEFDEADBEEF
17275 .xword 0xDEADBEEFDEADBEEF
17276 .xword 0xDEADBEEFDEADBEEF
17277 .xword 0xDEADBEEFDEADBEEF
17278 .xword 0xDEADBEEFDEADBEEF
17279 .xword 0xDEADBEEFDEADBEEF
17280 .xword 0xDEADBEEFDEADBEEF
17281 .xword 0xDEADBEEFDEADBEEF
17282 .xword 0xDEADBEEFDEADBEEF
17283 .xword 0xDEADBEEFDEADBEEF
17284 .xword 0xDEADBEEFDEADBEEF
17285 .xword 0xDEADBEEFDEADBEEF
17286 .xword 0xDEADBEEFDEADBEEF
17287 .xword 0xDEADBEEFDEADBEEF
17288 .xword 0xDEADBEEFDEADBEEF
17289 .xword 0xDEADBEEFDEADBEEF
17290 .xword 0xDEADBEEFDEADBEEF
17291 .xword 0xDEADBEEFDEADBEEF
17292 .xword 0xDEADBEEFDEADBEEF
17293 .xword 0xDEADBEEFDEADBEEF
17294 .xword 0xDEADBEEFDEADBEEF
17295 .xword 0xDEADBEEFDEADBEEF
17296 .xword 0xDEADBEEFDEADBEEF
17297 .xword 0xDEADBEEFDEADBEEF
17298 .xword 0xDEADBEEFDEADBEEF
17299 .xword 0xDEADBEEFDEADBEEF
17300 .xword 0xDEADBEEFDEADBEEF
17301 .xword 0xDEADBEEFDEADBEEF
17302 .xword 0xDEADBEEFDEADBEEF
17303 .xword 0xDEADBEEFDEADBEEF
17304 .xword 0xDEADBEEFDEADBEEF
17305 .xword 0xDEADBEEFDEADBEEF
17306 .xword 0xDEADBEEFDEADBEEF
17307 .xword 0xDEADBEEFDEADBEEF
17308 .xword 0xDEADBEEFDEADBEEF
17309 .xword 0xDEADBEEFDEADBEEF
17310 .xword 0xDEADBEEFDEADBEEF
17311 .xword 0xDEADBEEFDEADBEEF
17312 .xword 0xDEADBEEFDEADBEEF
17313 .xword 0xDEADBEEFDEADBEEF
17314 .xword 0xDEADBEEFDEADBEEF
17315 .xword 0xDEADBEEFDEADBEEF
17316.align 16
17317_t1_des_auth_key:
17318 .xword 0x320861927bbac974
17319 .xword 0x810c2c1f1e369b26
17320 .xword 0xb5d0e22113d7f245
17321 .xword 0x0c4592a418f48bfd
17322 .xword 0x00c00c6f95034e30
17323 .xword 0x20c72f6f56d10a78
17324 .xword 0xf6c6886fce1352ab
17325 .xword 0x026d5a01ec91c1a6
17326 .xword 0xb598b793f22eb1f9
17327 .xword 0xae13fb9400971b82
17328 .xword 0x32a511386f16d103
17329 .xword 0x5c699456a905a0c3
17330 .xword 0x459a6dabbd7fef95
17331 .xword 0xac3e9ea8c29239a1
17332 .xword 0x14244b615307709f
17333 .xword 0x350f1f9b0641e55f
17334 .xword 0x04ed1f89772239fd
17335 .xword 0x77de1bff7482bd33
17336 .xword 0xedd26029d6d2253a
17337 .xword 0xafdf168ec9cc62d4
17338 .xword 0x131b66d11ea858aa
17339 .xword 0xa60cbf0cb33deea5
17340 .xword 0x5de443cad0766090
17341.align 16
17342_t1_des_auth_iv:
17343 .xword 0x9aec443f7a9113e6
17344 .xword 0xeadb2c4702e2670b
17345 .xword 0x10ba0ff95cbf7e16
17346 .xword 0x95c74629a80c1973
17347 .xword 0xeb443fcb2d06f8fa
17348 .xword 0x11a293b15d4cf6da
17349 .xword 0x2107b8c295a78d67
17350 .xword 0x053b0e474a834870
17351 .xword 0x290b1c16449a2136
17352 .xword 0xb07369f0f1bdc587
17353 .xword 0x3ac1b05b9c0d9112
17354 .xword 0x39c7177f4a7311a6
17355 .xword 0xe59d264fb2d92fc4
17356 .xword 0x2b19ca9276299cb2
17357 .xword 0xd0b2e804100240d3
17358 .xword 0x660b735e030ee999
17359 .xword 0xdb831c6ebffb494c
17360 .xword 0x4ff463fd57da13e8
17361 .xword 0x98bafda5199088db
17362 .xword 0x88f482933ec95b1c
17363 .xword 0xedbf4d03a4dfa8b8
17364 .xword 0xdda0a692db74ca6d
17365 .xword 0x8d54090042e742a4
17366.align 16
17367_t1_des_fas_result:
17368 .xword 0xDEADBEEFDEADBEEF
17369 .xword 0xDEADBEEFDEADBEEF
17370 .xword 0xDEADBEEFDEADBEEF
17371 .xword 0xDEADBEEFDEADBEEF
17372 .xword 0xDEADBEEFDEADBEEF
17373 .xword 0xDEADBEEFDEADBEEF
17374 .xword 0xDEADBEEFDEADBEEF
17375 .xword 0xDEADBEEFDEADBEEF
17376 .xword 0xDEADBEEFDEADBEEF
17377 .xword 0xDEADBEEFDEADBEEF
17378 .xword 0xDEADBEEFDEADBEEF
17379 .xword 0xDEADBEEFDEADBEEF
17380 .xword 0xDEADBEEFDEADBEEF
17381 .xword 0xDEADBEEFDEADBEEF
17382 .xword 0xDEADBEEFDEADBEEF
17383 .xword 0xDEADBEEFDEADBEEF
17384 .xword 0xDEADBEEFDEADBEEF
17385 .xword 0xDEADBEEFDEADBEEF
17386 .xword 0xDEADBEEFDEADBEEF
17387 .xword 0xDEADBEEFDEADBEEF
17388 .xword 0xDEADBEEFDEADBEEF
17389 .xword 0xDEADBEEFDEADBEEF
17390 .xword 0xDEADBEEFDEADBEEF
17391.align 16
17392_t1_copy_key_array:
17393 .xword 0x0c92d84250aaf350
17394 .xword 0xac3a2fd5e8af7439
17395 .xword 0x4dfc97bdb132b478
17396 .xword 0xb5779824f9577cbb
17397 .xword 0x9383e3924289e47c
17398 .xword 0x94dd4cdc414b5991
17399 .xword 0x4b09a7a85e960ba5
17400 .xword 0xa888f7433a0f2e25
17401 .xword 0x5f89d1a2600cfadc
17402 .xword 0xaaad5e7eab798481
17403 .xword 0x30fadb1481c9b68a
17404 .xword 0x0fc570e8cb6ed995
17405 .xword 0xa109a52d357dd0d9
17406 .xword 0x5a31dc9a6f9a8dd0
17407 .xword 0x9fbd5fa45dd7277b
17408 .xword 0x85dcd29c105961e8
17409 .xword 0xa997d71b7c019334
17410 .xword 0xc500c161b8dfef4a
17411 .xword 0x9b3bd281ca79e8ec
17412 .xword 0xa425651d296cf820
17413 .xword 0x066aeff85d17d217
17414 .xword 0x0945a80ebe9f9123
17415 .xword 0x5eaf189ba876fd7d
17416 .xword 0x79ececf8b26eb3af
17417 .xword 0xcea148a76afcbbb2
17418 .xword 0x5c674bd9ef9f3573
17419 .xword 0x0888a4351125dd6c
17420 .xword 0x20fc22439a5ee937
17421 .xword 0xe1920274a9c339c4
17422 .xword 0x7dfeab03721b606e
17423 .xword 0xb5d238e3696ec05a
17424 .xword 0x29496fb043b95e91
17425 .xword 0x189b4c9eacafc86e
17426 .xword 0xe8a36f249bc93da2
17427 .xword 0xc52d3e0cde229126
17428 .xword 0x523c2e034c4ad0a5
17429 .xword 0x971e1a661605a7c0
17430 .xword 0x868c4c3cadf87aac
17431 .xword 0x317b2bd24f4c5140
17432 .xword 0x4096d93a8680af31
17433 .xword 0x8af9a76837e0edf9
17434 .xword 0xe8da49a0d9b4f8dc
17435 .xword 0xc79f90059b6dd3c3
17436 .xword 0x88ae178cb0f8414d
17437 .xword 0x8497b4ebb6468d93
17438 .xword 0x678b9dc5b710515b
17439 .xword 0x1acf6d5619133253
17440 .xword 0xf21438d6f49d181c
17441 .xword 0x304b475ee640cbd3
17442 .xword 0x8045c5df99e9257d
17443 .xword 0xf29a3d5df63b39c4
17444.align 16
17445_t1_copy_iv_array:
17446 .xword 0xded7dd3045af4da6
17447 .xword 0x025857fa5b4f17ab
17448 .xword 0x6072b337aab635ba
17449 .xword 0xe09d58754445cf76
17450 .xword 0xab19d76f2ddee547
17451 .xword 0x23d6d9a61ecae0f6
17452 .xword 0x4b8def9b4fd6edeb
17453 .xword 0x70591e492f6893ee
17454 .xword 0x2a486a20ff8ad225
17455 .xword 0x50e2761fc3850229
17456 .xword 0x98dd45ab42f5f76f
17457 .xword 0x96c5a623925dd1ef
17458 .xword 0xbf7454835f4a58d1
17459 .xword 0x3895e382947b5ad0
17460 .xword 0x2740038ca4757033
17461 .xword 0x343739e47427245a
17462 .xword 0xc6710a911aa53457
17463 .xword 0x75cb773244100a3a
17464 .xword 0x82897c8e50209fd2
17465 .xword 0x0f6991b91420a254
17466 .xword 0x882528c3fe9d2e96
17467 .xword 0xe75bb4d4931d4a98
17468 .xword 0xdf0900c60fc43e02
17469 .xword 0xd63ed85f519736ea
17470 .xword 0xc39c9628312c1733
17471 .xword 0x89e7f127c82d1735
17472 .xword 0xc2b8fcc8cb4d33de
17473 .xword 0x49d4e91a6f6b8cfc
17474 .xword 0xdf2cf325faf016ff
17475 .xword 0x8135f98c641aafba
17476 .xword 0xff546766506f9fcb
17477 .xword 0xbbc4ceba2d13160c
17478 .xword 0x163dd0a07319d242
17479 .xword 0x07317dc245165394
17480 .xword 0xa27a97386a45bb60
17481 .xword 0x52085bf31635f8dc
17482 .xword 0x5d3c0b80a6c89b51
17483 .xword 0xac35c50f4c1eadeb
17484 .xword 0x4aad4486801eb002
17485 .xword 0xf2215a40e8084f0b
17486 .xword 0xc99bdd20b8c6d072
17487 .xword 0x4b9f78f2d0b5d146
17488 .xword 0x4998efd5298b83d3
17489 .xword 0x061f70aa5bba9fed
17490 .xword 0x1dc8ab777d3a7e0a
17491.align 16
17492_t1_copy_alignment_array:
17493 .xword 7
17494 .xword 14
17495 .xword 13
17496 .xword 5
17497 .xword 13
17498 .xword 0
17499 .xword 13
17500 .xword 5
17501 .xword 7
17502 .xword 4
17503 .xword 15
17504 .xword 8
17505 .xword 15
17506 .xword 8
17507 .xword 3
17508 .xword 6
17509 .xword 1
17510 .xword 11
17511 .xword 5
17512 .xword 7
17513 .xword 1
17514 .xword 12
17515 .xword 3
17516 .xword 5
17517 .xword 0
17518 .xword 4
17519 .xword 15
17520 .xword 0
17521 .xword 9
17522 .xword 8
17523 .xword 5
17524 .xword 14
17525 .xword 1
17526 .xword 10
17527 .xword 4
17528 .xword 11
17529 .xword 13
17530 .xword 7
17531 .xword 4
17532 .xword 9
17533 .xword 15
17534 .xword 11
17535 .xword 9
17536 .xword 2
17537 .xword 10
17538 .xword 6
17539 .xword 1
17540 .xword 12
17541 .xword 7
17542 .xword 12
17543 .xword 15
17544 .xword 5
17545 .xword 0
17546 .xword 0
17547 .xword 15
17548 .xword 8
17549 .xword 1
17550 .xword 1
17551 .xword 14
17552 .xword 8
17553 .xword 11
17554 .xword 12
17555 .xword 10
17556 .xword 12
17557 .xword 9
17558 .xword 11
17559 .xword 13
17560 .xword 5
17561 .xword 3
17562 .xword 5
17563 .xword 13
17564 .xword 15
17565 .xword 4
17566 .xword 14
17567 .xword 14
17568 .xword 6
17569 .xword 8
17570 .xword 0
17571 .xword 5
17572 .xword 13
17573 .xword 14
17574 .xword 12
17575 .xword 15
17576 .xword 2
17577 .xword 1
17578 .xword 10
17579 .xword 9
17580 .xword 5
17581 .xword 10
17582 .xword 14
17583 .xword 0
17584 .xword 3
17585 .xword 14
17586 .xword 10
17587 .xword 0
17588 .xword 14
17589 .xword 1
17590 .xword 2
17591 .xword 2
17592 .xword 13
17593 .xword 15
17594 .xword 10
17595 .xword 2
17596 .xword 15
17597 .xword 2
17598.align 16
17599_t1_copy_src:
17600 .xword 0xa99563f47d993e0e
17601 .xword 0x12ff4936e813d55d
17602 .xword 0x842e400e4ef66d69
17603 .xword 0x705fa2123ee359a3
17604 .xword 0x6db6e66f17aad757
17605 .xword 0x36164e9b070e4008
17606 .xword 0x6a3363dc6e411bb8
17607 .xword 0xbbfe64529e54c90d
17608 .xword 0x66684b08185ba7d4
17609 .xword 0x127b11bc45ebc45d
17610 .xword 0xf5c41ac9b6b25170
17611 .xword 0x52f8f13b200d3566
17612 .xword 0x98b133662049d21b
17613 .xword 0xfe5beeef6c70b065
17614 .xword 0x8628f7a6ba2b2cd8
17615 .xword 0xe5c00a50fcd0886f
17616 .xword 0x35eb5da89e0504ad
17617 .xword 0x83d3317f84a41cac
17618 .xword 0xe5dbb4ea9195cdc3
17619 .xword 0x9175b143382039a7
17620 .xword 0x4e74289de433ae29
17621 .xword 0x2192f33f2e253e5f
17622 .xword 0x3955db5ca31eeab2
17623 .xword 0xc4d9968dedcf0e88
17624 .xword 0x8843372a5692f999
17625 .xword 0xbc7f3c5059433dea
17626 .xword 0x79837e850b8e990b
17627 .xword 0x4ed206c6159ba431
17628 .xword 0x3fbfe7b7535fabc4
17629 .xword 0x3c80402ea25aab8e
17630 .xword 0xb141ffeda31c75e3
17631 .xword 0x72b33bf99b1851be
17632 .xword 0x8286019e08a9670e
17633 .xword 0x9a794f2a69f701ee
17634 .xword 0xdae145144fe7b1c7
17635 .xword 0xa98063982ea16292
17636 .xword 0x8302f52cd026e302
17637 .xword 0xb2eac5cf12f5af03
17638 .xword 0x508140501bf52fc1
17639 .xword 0x32dc4ac432290944
17640 .xword 0xb30d5cdd2b579a24
17641 .xword 0x86d9543dfeaa938f
17642 .xword 0x5ddc9dea918bbf0f
17643 .xword 0x872d0ef89a034c48
17644 .xword 0xbd09cea30b114843
17645 .xword 0xeaae5912b1d2866d
17646 .xword 0x90e50c3644e5ecaa
17647 .xword 0xf369b4ccbbf5405f
17648 .xword 0x8b260c4b8a5279ba
17649 .xword 0x0a38c3e420c0edfc
17650 .xword 0xbbbca4770fcd5557
17651 .xword 0x124eb4c5b6e86342
17652 .xword 0x6d166c1d849e0d8e
17653 .xword 0x0d79d64387e9c33f
17654 .xword 0x8f2ea97b51979735
17655 .xword 0xfba7013d1d73cea6
17656 .xword 0x23ca6ea0027ab8c1
17657 .xword 0x7ff0906423d91fc9
17658 .xword 0x7f2777673d0c8c7d
17659 .xword 0x4cc2eae7d0835aa4
17660 .xword 0xd47319c4fe9332c6
17661 .xword 0x48f48c1be2acf38d
17662 .xword 0x3ae38942e0561738
17663 .xword 0x9b25a218a36e6dac
17664 .xword 0xe216306b003a56e7
17665 .xword 0xb40abbd37905b912
17666 .xword 0xf19fac634d79cd3b
17667 .xword 0x86c40f40539236ab
17668 .xword 0x809745287c79bdec
17669 .xword 0x94a6648def82a057
17670 .xword 0x390f70e68c00861e
17671 .xword 0x34d53e75a13399cd
17672 .xword 0x8c7c4f2e6c17913b
17673 .xword 0x30dd3f6872b79c08
17674 .xword 0x7ae39a02b4061f95
17675 .xword 0x236398b36f4a2740
17676 .xword 0x3f0fd5e131b0d6af
17677 .xword 0xb3b2ec88d05662c3
17678 .xword 0xd4e03d2c8161299b
17679 .xword 0x9b42e49817a3c499
17680 .xword 0x75e0573297d88c62
17681 .xword 0x5f984c8b5e32b1e2
17682 .xword 0xb11ed84e155cd15d
17683 .xword 0xc9020791e859b87b
17684 .xword 0x6632d4d4c56dc41e
17685 .xword 0xb1b89de637928548
17686 .xword 0xcde67803bd0b79eb
17687 .xword 0xedfdf166bd4e006c
17688 .xword 0xe605c7affa997cb3
17689 .xword 0xfc12ce77e253fad3
17690 .xword 0xcd0b778b3f56f5d7
17691 .xword 0x326df70e3c7fd23a
17692 .xword 0xa54cc5007b30576d
17693 .xword 0x451c3291df35d6c8
17694 .xword 0xe35f4c8fee113427
17695 .xword 0x906ae20c810c4a49
17696 .xword 0xb0e1fee048ba5fe5
17697 .xword 0x7f529c2b65011081
17698 .xword 0xe0c204075329d0b1
17699 .xword 0x6ad3c9b6254e124c
17700 .xword 0x7b02f0670216d41e
17701 .xword 0x520c0efff560f869
17702 .xword 0x6cf6229fc7a2c555
17703 .xword 0xed221fcd7e0deb6a
17704 .xword 0x106d61919a407840
17705 .xword 0xd00e53c14fd0f786
17706 .xword 0x18b3dd7cc8e5a7e4
17707 .xword 0xd592da153f60dff2
17708 .xword 0xf732c217791d266e
17709 .xword 0xb29e629f1aa1a10a
17710 .xword 0xb90555d7d0e41a47
17711 .xword 0xa4f6ef99674fbeb0
17712 .xword 0x3a4920cb55544149
17713 .xword 0x265896019a201c41
17714 .xword 0x376d788e30a6c2d2
17715 .xword 0x3e64fa97976753ba
17716 .xword 0xc58637d13bbdb7a4
17717 .xword 0x2d72f7397680307d
17718 .xword 0xc3d815ca236afcd7
17719 .xword 0x071600c8eaabd6ec
17720 .xword 0x0ab4d71add9cd6b0
17721 .xword 0x4d8e3cb2bd63c88f
17722 .xword 0x2d8d1836388fffc0
17723 .xword 0x8136246d1886ff45
17724 .xword 0xe4df490db76c8270
17725 .xword 0x9188884ff59a6321
17726 .xword 0xb1689abdc94e6c96
17727 .xword 0x423dccd2c021e3ea
17728 .xword 0xd565739f527ca48e
17729 .xword 0x2e55e0a56a859a97
17730 .xword 0x641bf01d7188134e
17731 .xword 0x89ade82b2c32fa9e
17732 .xword 0x5a2bd5cd0f4f0c25
17733 .xword 0xf49addf206cf1b28
17734 .xword 0x1318fd7785cac2f4
17735 .xword 0x96180a18abf43d9b
17736 .xword 0x1c1af80e8e63bf68
17737 .xword 0xabc99df9c33d3b9f
17738 .xword 0xb26084dc1c155e47
17739 .xword 0x74e32bba7f525601
17740 .xword 0x76c2d951a2451e62
17741 .xword 0x794ca22e344dc038
17742 .xword 0xfa1005f6e0d4ca0b
17743 .xword 0x895fe8715cbbdb97
17744 .xword 0xddc9e43626b29bcf
17745 .xword 0x955a2bb7040dab6a
17746 .xword 0xde46a3e2fd17b403
17747 .xword 0xcc06842e05a57e85
17748 .xword 0x0cd2b89ba20e16fb
17749 .xword 0xae7e29d58ae77e1b
17750 .xword 0xd58a45cf381be79c
17751 .xword 0x1b60aacd17231f98
17752 .xword 0xfab7609baad78c98
17753 .xword 0x9e05ae48bccbee85
17754 .xword 0xd6d6ddb08a1ebcf6
17755 .xword 0xe860ac3339297d9b
17756 .xword 0x856d7967f73f0ee1
17757 .xword 0x4f3516b43a22cff5
17758 .xword 0x2f62bb73e382f2c7
17759 .xword 0x6ecd92330a3c0b8a
17760 .xword 0x3fe23cff78e3a58d
17761 .xword 0xdead8a2fc43d8d9c
17762 .xword 0x97ba2ab68934f347
17763 .xword 0x867a8449f6b51580
17764 .xword 0x9122f2a55db30642
17765 .xword 0x13c7a0c0f838fac0
17766 .xword 0x807f6616c302ff0e
17767 .xword 0x0fe45151b86dd015
17768 .xword 0x9f26f4c025165d3d
17769 .xword 0xbb9890df373ac771
17770 .xword 0xb3c57e8386f3116c
17771 .xword 0xcb2b7c812863e638
17772 .xword 0x40b63c4471726e44
17773 .xword 0x7acddfc676d18094
17774 .xword 0xf0234f94f742fe19
17775 .xword 0xebbbfed308f79b8e
17776 .xword 0x6e0725cbf8e99a29
17777 .xword 0x617e6fac64b54c4d
17778 .xword 0x7892a8e0c9efcd97
17779 .xword 0xeff1df575766f856
17780 .xword 0x8433ad94d160030a
17781 .xword 0xca047eac1a518645
17782 .xword 0x597a796b38bfd2d5
17783 .xword 0x1d5782b9d7276697
17784 .xword 0x016666468f7bf815
17785 .xword 0x2c5ded9cdd390b47
17786 .xword 0x58ab88b8c3630793
17787 .xword 0xb497f4cd10e3c9d1
17788 .xword 0x108eaef89a08e67a
17789 .xword 0xdce360e12402249a
17790 .xword 0x2668a57880ad35e8
17791 .xword 0x36d4f15b609cdb20
17792 .xword 0x371ca707efc3e811
17793 .xword 0x8d4cdca993549d67
17794 .xword 0x31a5c91db768e56a
17795 .xword 0x5ec652a5138677bd
17796 .xword 0xe0a45149fe4e7d55
17797 .xword 0x3154ad80710fb2e2
17798 .xword 0x7d2b6ada605ed2c1
17799 .xword 0x03c591e39e23dd7a
17800 .xword 0x46f0fec5c9710284
17801 .xword 0xd8a6020effd1ed48
17802 .xword 0x83f85385703385b8
17803 .xword 0xc6d1b3952fdb2f9f
17804 .xword 0xc888774983c507d4
17805 .xword 0x5bf9ee8c2c03f0ba
17806 .xword 0x53684e8c25dffc28
17807 .xword 0xdac14556b007de9d
17808 .xword 0x55bd7de68f0dcdcb
17809 .xword 0x4068896dee4e27a3
17810 .xword 0x0c893f87cc27b2fc
17811 .xword 0x714984f9c10ade60
17812 .xword 0x0023f8e62948f79d
17813 .xword 0xae4250e52b1814ce
17814 .xword 0x06aa05b5623a8ccf
17815 .xword 0xd1e9fbaa07f2079c
17816 .xword 0x08b894bc8ae8459d
17817 .xword 0xf059726e475135da
17818 .xword 0xbb22d798557fd971
17819 .xword 0x6eae30e0ee854f69
17820 .xword 0x8823a866940e966f
17821 .xword 0xb89c78fbf6b3305f
17822 .xword 0xecce25dcea7b3d77
17823 .xword 0xceb9632f1c79b86a
17824 .xword 0x870dc72d1157a66d
17825 .xword 0x4c09c56b1d8e9eac
17826 .xword 0x9a92526d790defdd
17827 .xword 0x365a45d1da1fe08c
17828 .xword 0xc2e8e10c83109331
17829 .xword 0xe03bc39ba01464e7
17830 .xword 0xfeab28e89d79bb62
17831 .xword 0xd1bd54ca89f6e94d
17832 .xword 0x84d14944951f5731
17833 .xword 0x40e2fd51d5508197
17834 .xword 0xdddfc8a480be6026
17835 .xword 0xda934f83bbe7e19c
17836 .xword 0x112dd1fe28d7e70d
17837 .xword 0x1e2b6bdc5fcd9937
17838 .xword 0x903e47ded1b77dd4
17839 .xword 0xca2d7d1a5cf866aa
17840 .xword 0x61f6f2c151791b75
17841 .xword 0x682d17cc6eab63ca
17842 .xword 0xc283f6b8b0e75836
17843 .xword 0xa9fcf92505113d24
17844 .xword 0xc57abb2a09104fe5
17845 .xword 0xed889ccb99080811
17846 .xword 0xb606c4cf37bcf580
17847 .xword 0x7099d6281692f431
17848 .xword 0xd1c24719ff01639f
17849 .xword 0x4037f27f9af661bd
17850 .xword 0xd53c42707a53dc28
17851 .xword 0x455c0d809a31a562
17852 .xword 0x068dd47ab664ff9b
17853 .xword 0x5c7de67a0dab279a
17854 .xword 0x53c3511506526f10
17855 .xword 0xea619d8f96b81a42
17856 .xword 0x709a4f63e531d19d
17857 .xword 0x3f308cfb63f6407e
17858 .xword 0xac7075b4b79502d5
17859 .xword 0x2ce29653426a4bc2
17860 .xword 0x2a011a168b813479
17861 .xword 0x324305f215793320
17862 .xword 0x000ca2e0c8d9e9ea
17863 .xword 0x180386dd74b3a944
17864 .xword 0xb355508bf19a778a
17865 .xword 0xc0bbfbeb2b6f3265
17866 .xword 0x3c4616cdbd6ee9ca
17867 .xword 0x857e741ad5b9dfde
17868 .xword 0x81dff8ee206fa3d5
17869 .xword 0x6cee5bd37225ab69
17870 .xword 0x824743be39991f10
17871 .xword 0x69fc3658a0b0d301
17872 .xword 0xb5eb5c88cb7d1a4d
17873 .xword 0x1066d8984d124976
17874 .xword 0xb35ee53a3c9ab913
17875.align 16
17876_t1_copy_dest:
17877 .xword 0xDEADBEEFDEADBEEF
17878 .xword 0xDEADBEEFDEADBEEF
17879 .xword 0xDEADBEEFDEADBEEF
17880 .xword 0xDEADBEEFDEADBEEF
17881 .xword 0xDEADBEEFDEADBEEF
17882 .xword 0xDEADBEEFDEADBEEF
17883 .xword 0xDEADBEEFDEADBEEF
17884 .xword 0xDEADBEEFDEADBEEF
17885 .xword 0xDEADBEEFDEADBEEF
17886 .xword 0xDEADBEEFDEADBEEF
17887 .xword 0xDEADBEEFDEADBEEF
17888 .xword 0xDEADBEEFDEADBEEF
17889 .xword 0xDEADBEEFDEADBEEF
17890 .xword 0xDEADBEEFDEADBEEF
17891 .xword 0xDEADBEEFDEADBEEF
17892 .xword 0xDEADBEEFDEADBEEF
17893 .xword 0xDEADBEEFDEADBEEF
17894 .xword 0xDEADBEEFDEADBEEF
17895 .xword 0xDEADBEEFDEADBEEF
17896 .xword 0xDEADBEEFDEADBEEF
17897 .xword 0xDEADBEEFDEADBEEF
17898 .xword 0xDEADBEEFDEADBEEF
17899 .xword 0xDEADBEEFDEADBEEF
17900 .xword 0xDEADBEEFDEADBEEF
17901 .xword 0xDEADBEEFDEADBEEF
17902 .xword 0xDEADBEEFDEADBEEF
17903 .xword 0xDEADBEEFDEADBEEF
17904 .xword 0xDEADBEEFDEADBEEF
17905 .xword 0xDEADBEEFDEADBEEF
17906 .xword 0xDEADBEEFDEADBEEF
17907 .xword 0xDEADBEEFDEADBEEF
17908 .xword 0xDEADBEEFDEADBEEF
17909 .xword 0xDEADBEEFDEADBEEF
17910 .xword 0xDEADBEEFDEADBEEF
17911 .xword 0xDEADBEEFDEADBEEF
17912 .xword 0xDEADBEEFDEADBEEF
17913 .xword 0xDEADBEEFDEADBEEF
17914 .xword 0xDEADBEEFDEADBEEF
17915 .xword 0xDEADBEEFDEADBEEF
17916 .xword 0xDEADBEEFDEADBEEF
17917 .xword 0xDEADBEEFDEADBEEF
17918 .xword 0xDEADBEEFDEADBEEF
17919 .xword 0xDEADBEEFDEADBEEF
17920 .xword 0xDEADBEEFDEADBEEF
17921 .xword 0xDEADBEEFDEADBEEF
17922 .xword 0xDEADBEEFDEADBEEF
17923 .xword 0xDEADBEEFDEADBEEF
17924 .xword 0xDEADBEEFDEADBEEF
17925 .xword 0xDEADBEEFDEADBEEF
17926 .xword 0xDEADBEEFDEADBEEF
17927 .xword 0xDEADBEEFDEADBEEF
17928 .xword 0xDEADBEEFDEADBEEF
17929 .xword 0xDEADBEEFDEADBEEF
17930 .xword 0xDEADBEEFDEADBEEF
17931 .xword 0xDEADBEEFDEADBEEF
17932 .xword 0xDEADBEEFDEADBEEF
17933 .xword 0xDEADBEEFDEADBEEF
17934 .xword 0xDEADBEEFDEADBEEF
17935 .xword 0xDEADBEEFDEADBEEF
17936 .xword 0xDEADBEEFDEADBEEF
17937 .xword 0xDEADBEEFDEADBEEF
17938 .xword 0xDEADBEEFDEADBEEF
17939 .xword 0xDEADBEEFDEADBEEF
17940 .xword 0xDEADBEEFDEADBEEF
17941 .xword 0xDEADBEEFDEADBEEF
17942 .xword 0xDEADBEEFDEADBEEF
17943 .xword 0xDEADBEEFDEADBEEF
17944 .xword 0xDEADBEEFDEADBEEF
17945 .xword 0xDEADBEEFDEADBEEF
17946 .xword 0xDEADBEEFDEADBEEF
17947 .xword 0xDEADBEEFDEADBEEF
17948 .xword 0xDEADBEEFDEADBEEF
17949 .xword 0xDEADBEEFDEADBEEF
17950 .xword 0xDEADBEEFDEADBEEF
17951 .xword 0xDEADBEEFDEADBEEF
17952 .xword 0xDEADBEEFDEADBEEF
17953 .xword 0xDEADBEEFDEADBEEF
17954 .xword 0xDEADBEEFDEADBEEF
17955 .xword 0xDEADBEEFDEADBEEF
17956 .xword 0xDEADBEEFDEADBEEF
17957 .xword 0xDEADBEEFDEADBEEF
17958 .xword 0xDEADBEEFDEADBEEF
17959 .xword 0xDEADBEEFDEADBEEF
17960 .xword 0xDEADBEEFDEADBEEF
17961 .xword 0xDEADBEEFDEADBEEF
17962 .xword 0xDEADBEEFDEADBEEF
17963 .xword 0xDEADBEEFDEADBEEF
17964 .xword 0xDEADBEEFDEADBEEF
17965 .xword 0xDEADBEEFDEADBEEF
17966 .xword 0xDEADBEEFDEADBEEF
17967 .xword 0xDEADBEEFDEADBEEF
17968 .xword 0xDEADBEEFDEADBEEF
17969 .xword 0xDEADBEEFDEADBEEF
17970 .xword 0xDEADBEEFDEADBEEF
17971 .xword 0xDEADBEEFDEADBEEF
17972 .xword 0xDEADBEEFDEADBEEF
17973 .xword 0xDEADBEEFDEADBEEF
17974 .xword 0xDEADBEEFDEADBEEF
17975 .xword 0xDEADBEEFDEADBEEF
17976 .xword 0xDEADBEEFDEADBEEF
17977 .xword 0xDEADBEEFDEADBEEF
17978 .xword 0xDEADBEEFDEADBEEF
17979 .xword 0xDEADBEEFDEADBEEF
17980 .xword 0xDEADBEEFDEADBEEF
17981 .xword 0xDEADBEEFDEADBEEF
17982 .xword 0xDEADBEEFDEADBEEF
17983 .xword 0xDEADBEEFDEADBEEF
17984 .xword 0xDEADBEEFDEADBEEF
17985 .xword 0xDEADBEEFDEADBEEF
17986 .xword 0xDEADBEEFDEADBEEF
17987 .xword 0xDEADBEEFDEADBEEF
17988 .xword 0xDEADBEEFDEADBEEF
17989 .xword 0xDEADBEEFDEADBEEF
17990 .xword 0xDEADBEEFDEADBEEF
17991 .xword 0xDEADBEEFDEADBEEF
17992 .xword 0xDEADBEEFDEADBEEF
17993 .xword 0xDEADBEEFDEADBEEF
17994 .xword 0xDEADBEEFDEADBEEF
17995 .xword 0xDEADBEEFDEADBEEF
17996 .xword 0xDEADBEEFDEADBEEF
17997 .xword 0xDEADBEEFDEADBEEF
17998 .xword 0xDEADBEEFDEADBEEF
17999 .xword 0xDEADBEEFDEADBEEF
18000 .xword 0xDEADBEEFDEADBEEF
18001 .xword 0xDEADBEEFDEADBEEF
18002 .xword 0xDEADBEEFDEADBEEF
18003 .xword 0xDEADBEEFDEADBEEF
18004 .xword 0xDEADBEEFDEADBEEF
18005 .xword 0xDEADBEEFDEADBEEF
18006 .xword 0xDEADBEEFDEADBEEF
18007 .xword 0xDEADBEEFDEADBEEF
18008 .xword 0xDEADBEEFDEADBEEF
18009 .xword 0xDEADBEEFDEADBEEF
18010 .xword 0xDEADBEEFDEADBEEF
18011 .xword 0xDEADBEEFDEADBEEF
18012 .xword 0xDEADBEEFDEADBEEF
18013 .xword 0xDEADBEEFDEADBEEF
18014 .xword 0xDEADBEEFDEADBEEF
18015 .xword 0xDEADBEEFDEADBEEF
18016 .xword 0xDEADBEEFDEADBEEF
18017 .xword 0xDEADBEEFDEADBEEF
18018 .xword 0xDEADBEEFDEADBEEF
18019 .xword 0xDEADBEEFDEADBEEF
18020 .xword 0xDEADBEEFDEADBEEF
18021 .xword 0xDEADBEEFDEADBEEF
18022 .xword 0xDEADBEEFDEADBEEF
18023 .xword 0xDEADBEEFDEADBEEF
18024 .xword 0xDEADBEEFDEADBEEF
18025 .xword 0xDEADBEEFDEADBEEF
18026 .xword 0xDEADBEEFDEADBEEF
18027 .xword 0xDEADBEEFDEADBEEF
18028 .xword 0xDEADBEEFDEADBEEF
18029 .xword 0xDEADBEEFDEADBEEF
18030 .xword 0xDEADBEEFDEADBEEF
18031 .xword 0xDEADBEEFDEADBEEF
18032 .xword 0xDEADBEEFDEADBEEF
18033 .xword 0xDEADBEEFDEADBEEF
18034 .xword 0xDEADBEEFDEADBEEF
18035 .xword 0xDEADBEEFDEADBEEF
18036 .xword 0xDEADBEEFDEADBEEF
18037 .xword 0xDEADBEEFDEADBEEF
18038 .xword 0xDEADBEEFDEADBEEF
18039 .xword 0xDEADBEEFDEADBEEF
18040 .xword 0xDEADBEEFDEADBEEF
18041 .xword 0xDEADBEEFDEADBEEF
18042 .xword 0xDEADBEEFDEADBEEF
18043 .xword 0xDEADBEEFDEADBEEF
18044 .xword 0xDEADBEEFDEADBEEF
18045 .xword 0xDEADBEEFDEADBEEF
18046 .xword 0xDEADBEEFDEADBEEF
18047 .xword 0xDEADBEEFDEADBEEF
18048 .xword 0xDEADBEEFDEADBEEF
18049 .xword 0xDEADBEEFDEADBEEF
18050 .xword 0xDEADBEEFDEADBEEF
18051 .xword 0xDEADBEEFDEADBEEF
18052 .xword 0xDEADBEEFDEADBEEF
18053 .xword 0xDEADBEEFDEADBEEF
18054 .xword 0xDEADBEEFDEADBEEF
18055 .xword 0xDEADBEEFDEADBEEF
18056 .xword 0xDEADBEEFDEADBEEF
18057 .xword 0xDEADBEEFDEADBEEF
18058 .xword 0xDEADBEEFDEADBEEF
18059 .xword 0xDEADBEEFDEADBEEF
18060 .xword 0xDEADBEEFDEADBEEF
18061 .xword 0xDEADBEEFDEADBEEF
18062 .xword 0xDEADBEEFDEADBEEF
18063 .xword 0xDEADBEEFDEADBEEF
18064 .xword 0xDEADBEEFDEADBEEF
18065 .xword 0xDEADBEEFDEADBEEF
18066 .xword 0xDEADBEEFDEADBEEF
18067 .xword 0xDEADBEEFDEADBEEF
18068 .xword 0xDEADBEEFDEADBEEF
18069 .xword 0xDEADBEEFDEADBEEF
18070 .xword 0xDEADBEEFDEADBEEF
18071 .xword 0xDEADBEEFDEADBEEF
18072 .xword 0xDEADBEEFDEADBEEF
18073 .xword 0xDEADBEEFDEADBEEF
18074 .xword 0xDEADBEEFDEADBEEF
18075 .xword 0xDEADBEEFDEADBEEF
18076 .xword 0xDEADBEEFDEADBEEF
18077 .xword 0xDEADBEEFDEADBEEF
18078 .xword 0xDEADBEEFDEADBEEF
18079 .xword 0xDEADBEEFDEADBEEF
18080 .xword 0xDEADBEEFDEADBEEF
18081 .xword 0xDEADBEEFDEADBEEF
18082 .xword 0xDEADBEEFDEADBEEF
18083 .xword 0xDEADBEEFDEADBEEF
18084 .xword 0xDEADBEEFDEADBEEF
18085 .xword 0xDEADBEEFDEADBEEF
18086 .xword 0xDEADBEEFDEADBEEF
18087 .xword 0xDEADBEEFDEADBEEF
18088 .xword 0xDEADBEEFDEADBEEF
18089 .xword 0xDEADBEEFDEADBEEF
18090 .xword 0xDEADBEEFDEADBEEF
18091 .xword 0xDEADBEEFDEADBEEF
18092 .xword 0xDEADBEEFDEADBEEF
18093 .xword 0xDEADBEEFDEADBEEF
18094 .xword 0xDEADBEEFDEADBEEF
18095 .xword 0xDEADBEEFDEADBEEF
18096 .xword 0xDEADBEEFDEADBEEF
18097 .xword 0xDEADBEEFDEADBEEF
18098 .xword 0xDEADBEEFDEADBEEF
18099 .xword 0xDEADBEEFDEADBEEF
18100 .xword 0xDEADBEEFDEADBEEF
18101 .xword 0xDEADBEEFDEADBEEF
18102 .xword 0xDEADBEEFDEADBEEF
18103 .xword 0xDEADBEEFDEADBEEF
18104 .xword 0xDEADBEEFDEADBEEF
18105 .xword 0xDEADBEEFDEADBEEF
18106 .xword 0xDEADBEEFDEADBEEF
18107 .xword 0xDEADBEEFDEADBEEF
18108 .xword 0xDEADBEEFDEADBEEF
18109 .xword 0xDEADBEEFDEADBEEF
18110 .xword 0xDEADBEEFDEADBEEF
18111 .xword 0xDEADBEEFDEADBEEF
18112 .xword 0xDEADBEEFDEADBEEF
18113 .xword 0xDEADBEEFDEADBEEF
18114 .xword 0xDEADBEEFDEADBEEF
18115 .xword 0xDEADBEEFDEADBEEF
18116 .xword 0xDEADBEEFDEADBEEF
18117 .xword 0xDEADBEEFDEADBEEF
18118 .xword 0xDEADBEEFDEADBEEF
18119 .xword 0xDEADBEEFDEADBEEF
18120 .xword 0xDEADBEEFDEADBEEF
18121 .xword 0xDEADBEEFDEADBEEF
18122 .xword 0xDEADBEEFDEADBEEF
18123 .xword 0xDEADBEEFDEADBEEF
18124 .xword 0xDEADBEEFDEADBEEF
18125 .xword 0xDEADBEEFDEADBEEF
18126 .xword 0xDEADBEEFDEADBEEF
18127 .xword 0xDEADBEEFDEADBEEF
18128 .xword 0xDEADBEEFDEADBEEF
18129 .xword 0xDEADBEEFDEADBEEF
18130 .xword 0xDEADBEEFDEADBEEF
18131 .xword 0xDEADBEEFDEADBEEF
18132 .xword 0xDEADBEEFDEADBEEF
18133 .xword 0xDEADBEEFDEADBEEF
18134 .xword 0xDEADBEEFDEADBEEF
18135 .xword 0xDEADBEEFDEADBEEF
18136 .xword 0xDEADBEEFDEADBEEF
18137 .xword 0xDEADBEEFDEADBEEF
18138 .xword 0xDEADBEEFDEADBEEF
18139 .xword 0xDEADBEEFDEADBEEF
18140 .xword 0xDEADBEEFDEADBEEF
18141 .xword 0xDEADBEEFDEADBEEF
18142 .xword 0xDEADBEEFDEADBEEF
18143 .xword 0xDEADBEEFDEADBEEF
18144 .xword 0xDEADBEEFDEADBEEF
18145 .xword 0xDEADBEEFDEADBEEF
18146 .xword 0xDEADBEEFDEADBEEF
18147 .xword 0xDEADBEEFDEADBEEF
18148 .xword 0xDEADBEEFDEADBEEF
18149 .xword 0xDEADBEEFDEADBEEF
18150 .xword 0xDEADBEEFDEADBEEF
18151 .xword 0xDEADBEEFDEADBEEF
18152.align 16
18153_t1_copy_auth_key:
18154 .xword 0xc8a43d75d3d06820
18155 .xword 0xb111f16541b0e5f6
18156 .xword 0xc14037be5f34ea89
18157 .xword 0x195688eeb4bea2df
18158 .xword 0xc5ca8d9b52c1ea19
18159 .xword 0x4ab6285e342cda59
18160 .xword 0x82d9121e97464518
18161 .xword 0xd8f62a6166956e7f
18162 .xword 0xb6c330ac294d0e0c
18163 .xword 0x62105e6484786df7
18164 .xword 0x5f80153b695ab4b8
18165 .xword 0xa1fd7dab27770773
18166 .xword 0x4ce0b4ab2017cc3a
18167 .xword 0xf12425057e691b4d
18168 .xword 0x43e8444575c9ee6c
18169 .xword 0x56733e1126ac5373
18170 .xword 0xaae7d4afecc1c8a9
18171 .xword 0x8d7fcf9ad4156da1
18172 .xword 0xce037ad82dcbaf15
18173 .xword 0x08426d2a3e67ab91
18174 .xword 0xb562f686692603a2
18175 .xword 0x07d0e39b834d5900
18176 .xword 0x0b73dfcc3e46f183
18177.align 16
18178_t1_copy_auth_iv:
18179 .xword 0xfb2244a0c7d40ca1
18180 .xword 0x5a72fb73befb732f
18181 .xword 0x716207b9527ab06f
18182 .xword 0xf1a2e12c0bb201c4
18183 .xword 0xc3f8db38f071e397
18184 .xword 0x3b5c38108dada3f5
18185 .xword 0x33281c5343d862a7
18186 .xword 0x1521484348868977
18187 .xword 0x511683cd1b658bda
18188 .xword 0x121972b0dee789e1
18189 .xword 0x10c818c9dc57ed8f
18190 .xword 0x4bc4a8ea7de7e206
18191 .xword 0xe8fa0d29f2d1513c
18192 .xword 0xc6f11ced2c15b19d
18193 .xword 0x864e028a0ef83d13
18194 .xword 0xc2ce058766a1e20b
18195 .xword 0x23570b8bd34299ef
18196 .xword 0x3ca7cb6151f54ea1
18197 .xword 0x22edb3c4ce11061b
18198 .xword 0xa73c3fb764439e13
18199 .xword 0xcdda4dc6aae2bbe1
18200 .xword 0xc34ea7c25fe49e1a
18201 .xword 0xdd3880a8e5c1d265
18202.align 16
18203_t1_copy_fas_result:
18204 .xword 0xDEADBEEFDEADBEEF
18205 .xword 0xDEADBEEFDEADBEEF
18206 .xword 0xDEADBEEFDEADBEEF
18207 .xword 0xDEADBEEFDEADBEEF
18208 .xword 0xDEADBEEFDEADBEEF
18209 .xword 0xDEADBEEFDEADBEEF
18210 .xword 0xDEADBEEFDEADBEEF
18211 .xword 0xDEADBEEFDEADBEEF
18212 .xword 0xDEADBEEFDEADBEEF
18213 .xword 0xDEADBEEFDEADBEEF
18214 .xword 0xDEADBEEFDEADBEEF
18215 .xword 0xDEADBEEFDEADBEEF
18216 .xword 0xDEADBEEFDEADBEEF
18217 .xword 0xDEADBEEFDEADBEEF
18218 .xword 0xDEADBEEFDEADBEEF
18219 .xword 0xDEADBEEFDEADBEEF
18220 .xword 0xDEADBEEFDEADBEEF
18221 .xword 0xDEADBEEFDEADBEEF
18222 .xword 0xDEADBEEFDEADBEEF
18223 .xword 0xDEADBEEFDEADBEEF
18224 .xword 0xDEADBEEFDEADBEEF
18225 .xword 0xDEADBEEFDEADBEEF
18226 .xword 0xDEADBEEFDEADBEEF
18227.align 16
18228_t1_crc_key_array:
18229 .xword 0xf8a5c8173e771f4c
18230 .xword 0x98a670b20f73d03d
18231 .xword 0x98e9545ef9aab62d
18232 .xword 0x03a5f0d355b2a225
18233 .xword 0xad0efaa4892d4f32
18234 .xword 0x224f9169bb7a4d36
18235 .xword 0x4600a084be8f89e9
18236 .xword 0x79f7e95401b41c40
18237 .xword 0x5b58414464bd1426
18238 .xword 0xfdcdf1c6f7ce56c8
18239 .xword 0x14dfca20fa572bdd
18240 .xword 0x93cdd3374409dbb5
18241 .xword 0x80f8665e79b6c92b
18242 .xword 0x0f8912f0ca043433
18243 .xword 0xf4a52249ce75fa4a
18244 .xword 0x7d8b75b3d3c1dc44
18245 .xword 0x655ee2b28bbacd72
18246 .xword 0x2e814ba3ff9abc1a
18247 .xword 0x30f97156d53b7b3b
18248 .xword 0x62557c4beb7adb73
18249 .xword 0x2beebbc8be7db08e
18250 .xword 0xc542e7829fb6cdfd
18251 .xword 0xc79d5c2d389ebecb
18252 .xword 0x1257886a9fe915e0
18253 .xword 0x452aa24bf0412283
18254 .xword 0xb75e15c9b4f69f84
18255 .xword 0x64ae93ac6e0e3a7d
18256 .xword 0x23a4728b3a5a5ba7
18257 .xword 0x48c9131c1d39f349
18258 .xword 0x781209e784357c05
18259 .xword 0x458c72e2b6da4281
18260 .xword 0xe486680347226a36
18261 .xword 0x1808163256516a00
18262 .xword 0x1c41c98a47b0623c
18263 .xword 0x353835111ca694b9
18264 .xword 0x1e3206ab4c62082a
18265 .xword 0xf8400d41d4e4a2ae
18266 .xword 0x9256c3029aa23973
18267 .xword 0x95cd6d4dab8beaf8
18268 .xword 0x8faa9555e4e09835
18269 .xword 0xff7de963db8f63bb
18270 .xword 0xeece466598379bb9
18271 .xword 0x5ab73f3a94476269
18272 .xword 0xab3ffe0fdf607550
18273 .xword 0x2bea3153c4fbf464
18274 .xword 0x8486372ad39dc205
18275 .xword 0x8bccb4a850827974
18276 .xword 0x87ebe9c408039dd6
18277 .xword 0x6f04b0664ef18aa8
18278 .xword 0x088d8e2e4b0d6874
18279 .xword 0x5d67b3e40ec20060
18280.align 16
18281_t1_crc_iv_array:
18282 .xword 0x8c5d9bd3005ff7af
18283 .xword 0xb384545dcf676379
18284 .xword 0xca9e199461f41fe3
18285 .xword 0xebb698fbe28d3f78
18286 .xword 0x5e658554d3526f51
18287 .xword 0x2c67b5e7d620e6e9
18288 .xword 0xb7bc5e0cc0bfffe7
18289 .xword 0x922e5a05683cba7c
18290 .xword 0x4c6a890e7e3dfbf3
18291 .xword 0x02c41d77a26c90c3
18292 .xword 0xa3cb411c0f70e995
18293 .xword 0x78522055f82ef924
18294 .xword 0x7c57334626ec88c1
18295 .xword 0xf2ad3364dda2a7d6
18296 .xword 0x9b3218fb9cccfc3f
18297 .xword 0x7e7d9200efd212f2
18298 .xword 0x2893aee9451d8f54
18299 .xword 0x5b12ec06fb5f541d
18300 .xword 0x82db5f7435d2108f
18301 .xword 0x82b3865f6d883951
18302 .xword 0xb576a5e79fafcaa1
18303 .xword 0x86cd18f41e23a82a
18304 .xword 0x388b741e001f5df1
18305 .xword 0x6d0f2c1404244876
18306 .xword 0x9d5e4577b75db8c1
18307 .xword 0x1280663ce664f266
18308 .xword 0xf645345153c276f6
18309 .xword 0xc01a6d4bf7900707
18310 .xword 0xe45ceaa70284970f
18311 .xword 0x9446ad7864a0abc4
18312 .xword 0x8d57ddaca9074c3d
18313 .xword 0x07d1cb1038ad31d5
18314 .xword 0xe17a3490099b4988
18315 .xword 0xfe2738d9f8061143
18316 .xword 0x61b1f6f540b03aa2
18317 .xword 0xb288f813ae6752ae
18318 .xword 0x618d7eb82ce26936
18319 .xword 0x515b074e9c6d37aa
18320 .xword 0xc58a960aadf5723e
18321 .xword 0x4c0070a355498353
18322 .xword 0x6d3a0928154dedb4
18323 .xword 0x777d631ecd2a710c
18324 .xword 0x4b901e772f07edb3
18325 .xword 0x7f2a58b83a6cc78b
18326 .xword 0x4951d1b426d41032
18327.align 16
18328_t1_crc_alignment_array:
18329 .xword 5
18330 .xword 2
18331 .xword 10
18332 .xword 1
18333 .xword 9
18334 .xword 15
18335 .xword 11
18336 .xword 10
18337 .xword 13
18338 .xword 6
18339 .xword 8
18340 .xword 10
18341 .xword 8
18342 .xword 1
18343 .xword 8
18344 .xword 6
18345 .xword 13
18346 .xword 15
18347 .xword 12
18348 .xword 11
18349 .xword 5
18350 .xword 14
18351 .xword 10
18352 .xword 8
18353 .xword 10
18354 .xword 3
18355 .xword 6
18356 .xword 6
18357 .xword 3
18358 .xword 14
18359 .xword 4
18360 .xword 9
18361 .xword 11
18362 .xword 8
18363 .xword 10
18364 .xword 5
18365 .xword 12
18366 .xword 3
18367 .xword 6
18368 .xword 8
18369 .xword 5
18370 .xword 5
18371 .xword 3
18372 .xword 9
18373 .xword 6
18374 .xword 0
18375 .xword 6
18376 .xword 13
18377 .xword 8
18378 .xword 0
18379 .xword 0
18380 .xword 11
18381 .xword 10
18382 .xword 10
18383 .xword 0
18384 .xword 14
18385 .xword 15
18386 .xword 7
18387 .xword 0
18388 .xword 12
18389 .xword 3
18390 .xword 1
18391 .xword 14
18392 .xword 3
18393 .xword 2
18394 .xword 13
18395 .xword 8
18396 .xword 15
18397 .xword 12
18398 .xword 15
18399 .xword 3
18400 .xword 15
18401 .xword 13
18402 .xword 8
18403 .xword 9
18404 .xword 11
18405 .xword 14
18406 .xword 3
18407 .xword 14
18408 .xword 13
18409 .xword 5
18410 .xword 1
18411 .xword 9
18412 .xword 10
18413 .xword 14
18414 .xword 13
18415 .xword 9
18416 .xword 10
18417 .xword 14
18418 .xword 4
18419 .xword 12
18420 .xword 15
18421 .xword 3
18422 .xword 15
18423 .xword 6
18424 .xword 3
18425 .xword 5
18426 .xword 10
18427 .xword 4
18428 .xword 10
18429 .xword 6
18430 .xword 0
18431 .xword 8
18432 .xword 3
18433 .xword 11
18434.align 16
18435_t1_crc_src:
18436 .xword 0x59d48b7c2c82d079
18437 .xword 0x1bfaf676186d6f88
18438 .xword 0x8802a83f3674bdaf
18439 .xword 0x8fe0be017a0e911d
18440 .xword 0x99fa59ed497c4cbb
18441 .xword 0xb0102295fb20dd8e
18442 .xword 0xe7dc1fe09c488d81
18443 .xword 0x74f0b72db39c4844
18444 .xword 0x04313cbec20d841f
18445 .xword 0x7754f65aaf53d84d
18446 .xword 0x7cd1073399d37e69
18447 .xword 0x56bd5a5f96451861
18448 .xword 0x8a0c89f1bc70fe3b
18449 .xword 0x9c5e615c3cb1b89d
18450 .xword 0xbc813c0ade48d262
18451 .xword 0x222353172a456f38
18452 .xword 0xcb4b5094cfa393f7
18453 .xword 0xbf45d3852ddf4022
18454 .xword 0x64eb096b4a2d1d26
18455 .xword 0x3fdd84bc37f82e21
18456 .xword 0xa73be02eeb9fe392
18457 .xword 0x84b38e45efd17644
18458 .xword 0xa276f8da9ee8298b
18459 .xword 0x9242c72b0a37752c
18460 .xword 0x8fffc57f317e7a31
18461 .xword 0x9235e0d87d26244d
18462 .xword 0x198eb524d9fbe46e
18463 .xword 0x90d60f1debe48eeb
18464 .xword 0x1ec429df51997129
18465 .xword 0xf7cee6614388fa56
18466 .xword 0x28df83aaa07fae94
18467 .xword 0xa03f9f1bee119b8e
18468 .xword 0x1be1aff2ec00b7ed
18469 .xword 0xff5bd58c76871c97
18470 .xword 0xc93c50f49671acc8
18471 .xword 0x643b781bf47ad407
18472 .xword 0xb027fbc706a835b8
18473 .xword 0x68a6ad02af5b5cb2
18474 .xword 0xc6becdf95a5b5b8d
18475 .xword 0x7297625a7c1057b6
18476 .xword 0xf8da1985a500e86a
18477 .xword 0x6263787ff7aafe74
18478 .xword 0x687ec18142f0c349
18479 .xword 0x6dd4299737afd286
18480 .xword 0x9f06360cb02808f0
18481 .xword 0x3bfc976f683104d4
18482 .xword 0xe9e91cba8b9a2735
18483 .xword 0xfc8e70b0982976da
18484 .xword 0x1bedd5cd1bf3b054
18485 .xword 0xd31d897e228bce9f
18486 .xword 0x0c16bdf8d0bafced
18487 .xword 0xe25820998c0c164e
18488 .xword 0x3c52c2e49b16c724
18489 .xword 0x5fc05649e7f22774
18490 .xword 0xd807c227fcf6fba7
18491 .xword 0x80ecb3bd4b85b016
18492 .xword 0xaaa0099e6d0ded4a
18493 .xword 0x2f3f31cd2487aa44
18494 .xword 0x76de930de932db13
18495 .xword 0x83b6184bc62fb082
18496 .xword 0xa3e0dabd82fb4f39
18497 .xword 0xf310d010d4894a74
18498 .xword 0xd6648461e139eab4
18499 .xword 0xcb5e69545290b440
18500 .xword 0x099ddae6e1dfc9ec
18501 .xword 0x97a6404b38290c8d
18502 .xword 0x680bfa213c90d07e
18503 .xword 0x6b856c9a536d8487
18504 .xword 0xc977653418073bfb
18505 .xword 0x24bb6b67580fb43e
18506 .xword 0x1e7d360fe1f1b074
18507 .xword 0xb2ee87319f82f916
18508 .xword 0xfba9eb35979bc279
18509 .xword 0x4f9217260311225b
18510 .xword 0x8bf437c9609d8b98
18511 .xword 0xf940d71f6225cd5f
18512 .xword 0x028a7afc5c1fd975
18513 .xword 0xb0650cebe727d55f
18514 .xword 0xf7c2e93b54bb0d07
18515 .xword 0x4d28e937df2321dd
18516 .xword 0xba828ee988e90f68
18517 .xword 0x0cb02a96bd3f160a
18518 .xword 0xb45dce706eddfe71
18519 .xword 0x2a3bad881f130993
18520 .xword 0xc7c4a23b77c19446
18521 .xword 0x7a170bd874fa7f18
18522 .xword 0x3765d9d086edf865
18523 .xword 0xab7118c151332022
18524 .xword 0xe7b4d518ffbe2f06
18525 .xword 0xbb9ba374bb366672
18526 .xword 0x10a91b4a7b43d95d
18527 .xword 0x3728d9485ac09af2
18528 .xword 0x45dbbd7a0259011d
18529 .xword 0x048558bae64fbac9
18530 .xword 0x74e2fb22aea6b48a
18531 .xword 0x86ea981f50a84956
18532 .xword 0x9d2c12e1a55fab1a
18533 .xword 0x78462d20d85b997e
18534 .xword 0x751ab7365e66c233
18535 .xword 0xba7eed01dec4556e
18536 .xword 0x5f59297c463bb505
18537 .xword 0xc4608195dd8e238b
18538 .xword 0xb7937fa1d400a70d
18539 .xword 0xd0813804e7e0cb83
18540 .xword 0xec38189966cabd70
18541 .xword 0xefe2dfc65766f831
18542 .xword 0xafe096be0af8a486
18543 .xword 0x242426116f5e1339
18544 .xword 0x697ed6cd68c91ffb
18545 .xword 0x5e1f193231c2d96d
18546 .xword 0xeb122b31e4d439d0
18547 .xword 0xb52a3cd2a629c16e
18548 .xword 0x975f50dcf7c01847
18549 .xword 0x5ef3bb9a1cf483ec
18550 .xword 0x1573c6235fcdf609
18551 .xword 0x3821a2379fa3fe28
18552 .xword 0x6a41ea7c532a7075
18553 .xword 0x64f1240e1e7390f3
18554 .xword 0xf47c5d30c3709b0b
18555 .xword 0xeb87cf79d3afbcd9
18556 .xword 0x0ab02712406cd916
18557 .xword 0x9d2f5af7492a3834
18558 .xword 0x5f83048bbd3eed05
18559 .xword 0xb968c869d0b937ad
18560 .xword 0xa22d2448cc24fbe8
18561 .xword 0x30dc438092051965
18562 .xword 0x47d4bce460f70cdf
18563 .xword 0xa1d968d393596b05
18564 .xword 0xa7b55fd023e09330
18565 .xword 0xd1e9aaa28b40cf5f
18566 .xword 0xae79894b6a4e8b5a
18567 .xword 0x42a765731ea8ae82
18568 .xword 0xb717b74eee8cddbd
18569 .xword 0x4d207494785910fa
18570 .xword 0xe6e74f026046817c
18571 .xword 0x0bda3a4f158e2aee
18572 .xword 0x2fd08b4d1c832bbd
18573 .xword 0x169132defc4551f1
18574 .xword 0xdc3475daf63d2eed
18575 .xword 0x24f176c6a42d0e07
18576 .xword 0xb25a147986d9eb75
18577 .xword 0xffba8dff749b5cd5
18578 .xword 0xd3c5c72de5e5fd78
18579 .xword 0xdd4ff20c2b28cfa6
18580 .xword 0xb8fff80c7947a30c
18581 .xword 0x88ddd0a66ef80a9c
18582 .xword 0xd5feef4abb5d50b6
18583 .xword 0x598d2005b16b2e10
18584 .xword 0xd129a0b9c976b1e2
18585 .xword 0xb3dab138768af116
18586 .xword 0x887d62db4861b1c0
18587 .xword 0x9d70abf55cf6515a
18588 .xword 0x4a4386a068fce685
18589 .xword 0xed4aeffb0afbec14
18590 .xword 0x0b14ff265bf53a92
18591 .xword 0xcf16f5280a2c17a8
18592 .xword 0xc36dea9789851fa3
18593 .xword 0xe4e1b542006a4019
18594 .xword 0xeacb714a111bb2bf
18595 .xword 0x7232f0a4f9d88378
18596 .xword 0x1be753387d90845a
18597 .xword 0x92acd4e581bba8a0
18598 .xword 0x7ac6f3a8703c7a16
18599 .xword 0x125034e8e5f77015
18600 .xword 0x5e81e28721034c8f
18601 .xword 0x0eb31a4209439bcd
18602 .xword 0xfd64f1fc19b17d5b
18603 .xword 0xe0545f1702b23d3d
18604 .xword 0xd8acda13629498ed
18605 .xword 0x9a0b957b19708298
18606 .xword 0x28d17aae93aea610
18607 .xword 0xa9a0579c290f0245
18608 .xword 0xd456fa5b26b20d15
18609 .xword 0x32b4ba2978cedb99
18610 .xword 0xb71a286c403341f4
18611 .xword 0x2d8a35cecdd03f86
18612 .xword 0x4c16f7d9c5657315
18613 .xword 0xc73a68cdf2df827a
18614 .xword 0x92f340670acf57c2
18615 .xword 0x2880eb399211f7aa
18616 .xword 0xcba67150beeb31ff
18617 .xword 0xa0ba7e63dc024d64
18618 .xword 0xadebbd9a45ebb8d5
18619 .xword 0xa97312cb723399b0
18620 .xword 0xdaa875cfd1d50735
18621 .xword 0x8359b19ac29b5486
18622 .xword 0x5125ff23eda30e7d
18623 .xword 0xffcd2a50166b6542
18624 .xword 0x2cf04368d475f921
18625 .xword 0x23aed071fac15ef4
18626 .xword 0xfdfe839af0ef8a11
18627 .xword 0x13630441838d2474
18628 .xword 0x4f0561a82e00580f
18629 .xword 0x9c8c985ed39a6aa8
18630 .xword 0xfbfe9d19c3c59a1a
18631 .xword 0xbcab668fc51f7896
18632 .xword 0xc5be3b20b6c194be
18633 .xword 0x549616d8edc7a065
18634 .xword 0x6a18c09b56b4911e
18635 .xword 0xe78690398867932b
18636 .xword 0x8bea622d10573a5d
18637 .xword 0x8919efa3edf08a1c
18638 .xword 0x1c621db928279926
18639 .xword 0x83921521d8c38dc2
18640 .xword 0xe7a7460066e694fc
18641 .xword 0x7fb6b42af7d3ae1d
18642 .xword 0xcbe843dfca4a996f
18643 .xword 0xff366493ba64f87b
18644 .xword 0x5ccebb83f67dba1e
18645 .xword 0x0819fce3e08b8266
18646 .xword 0x1a871e1ca60cad0b
18647 .xword 0x1d560a977b753162
18648 .xword 0xdc81d886d0756255
18649 .xword 0xf1ac72a88bb84254
18650 .xword 0xf1577ebe995cb8c1
18651 .xword 0xf49320b92b307152
18652 .xword 0xc355d011906a2888
18653 .xword 0xa43900383d0e64dd
18654 .xword 0x53148cc33c5f9289
18655 .xword 0xb8a3dcf57298c5da
18656 .xword 0xc31e8cc9edfc613f
18657 .xword 0xcaa4b41308812ab7
18658 .xword 0xe275480444d98622
18659 .xword 0x20ee25ac072d1d9c
18660 .xword 0xdfc594c801da13a5
18661 .xword 0xf27dd58853ed6957
18662 .xword 0x62cba0b502fbcae6
18663 .xword 0xd8f0e13de1bfde26
18664 .xword 0xa59c4427052e1cc5
18665 .xword 0xb2aadd91b21ff296
18666 .xword 0xf908ec3c1259ab9a
18667 .xword 0xa28fce10bd097a0d
18668 .xword 0xad7ce163a3549055
18669 .xword 0xaeede273d6d85a73
18670 .xword 0x05cd8fcb4bece430
18671 .xword 0x6fd92d38357795a2
18672 .xword 0xdc8aba32d0ce4327
18673 .xword 0xab78fa4a7d08b810
18674 .xword 0x985c56c283c2dd97
18675 .xword 0x5f9f8e0a3766430d
18676 .xword 0xf797f35541a3c848
18677 .xword 0x97c57177a124d7a7
18678 .xword 0x29aee0f61c19a8d7
18679 .xword 0x2abef2bbd3591beb
18680 .xword 0x2f849520ec11ba7c
18681 .xword 0x96d3968bbd578d58
18682 .xword 0xe4daacc9b84546ba
18683 .xword 0x126104478a55dc63
18684 .xword 0x474a3d7e32397c29
18685 .xword 0x5c4903be40a2f864
18686 .xword 0xe5c1897bb99b1cd8
18687 .xword 0xadacb16fac869d75
18688 .xword 0xb09295dcde311ea3
18689 .xword 0x5af9131f0e00cf4f
18690 .xword 0xb3ee2fa34d86b789
18691 .xword 0x6ebe81a03d5e17d1
18692 .xword 0x7e8af2b34c47bc9d
18693 .xword 0x207d696c4a8ba263
18694 .xword 0x5cfb1f79492f9240
18695 .xword 0xaabb113d1cd2a754
18696 .xword 0xbbc63501b786d610
18697 .xword 0xc94fac7e2e6e8a59
18698 .xword 0xc11c439f7b93a06e
18699 .xword 0x22eca4d841e976e0
18700 .xword 0x844ce322135f4544
18701 .xword 0x71ac0194321fefed
18702 .xword 0x090c644fabdc44f4
18703 .xword 0x38b57f37118926c1
18704 .xword 0x508eb1af15316a1c
18705 .xword 0x301e6d2b51b6df0d
18706 .xword 0x0482ab7f92fcdbe3
18707 .xword 0x00d1f5de7b183f66
18708 .xword 0x8265f0d3e3b9b210
18709 .xword 0x63b2037b4a990e28
18710 .xword 0x0a15685238a7eec3
18711.align 16
18712_t1_crc_dest:
18713 .xword 0xDEADBEEFDEADBEEF
18714 .xword 0xDEADBEEFDEADBEEF
18715 .xword 0xDEADBEEFDEADBEEF
18716 .xword 0xDEADBEEFDEADBEEF
18717 .xword 0xDEADBEEFDEADBEEF
18718 .xword 0xDEADBEEFDEADBEEF
18719 .xword 0xDEADBEEFDEADBEEF
18720 .xword 0xDEADBEEFDEADBEEF
18721 .xword 0xDEADBEEFDEADBEEF
18722 .xword 0xDEADBEEFDEADBEEF
18723 .xword 0xDEADBEEFDEADBEEF
18724 .xword 0xDEADBEEFDEADBEEF
18725 .xword 0xDEADBEEFDEADBEEF
18726 .xword 0xDEADBEEFDEADBEEF
18727 .xword 0xDEADBEEFDEADBEEF
18728 .xword 0xDEADBEEFDEADBEEF
18729 .xword 0xDEADBEEFDEADBEEF
18730 .xword 0xDEADBEEFDEADBEEF
18731 .xword 0xDEADBEEFDEADBEEF
18732 .xword 0xDEADBEEFDEADBEEF
18733 .xword 0xDEADBEEFDEADBEEF
18734 .xword 0xDEADBEEFDEADBEEF
18735 .xword 0xDEADBEEFDEADBEEF
18736 .xword 0xDEADBEEFDEADBEEF
18737 .xword 0xDEADBEEFDEADBEEF
18738 .xword 0xDEADBEEFDEADBEEF
18739 .xword 0xDEADBEEFDEADBEEF
18740 .xword 0xDEADBEEFDEADBEEF
18741 .xword 0xDEADBEEFDEADBEEF
18742 .xword 0xDEADBEEFDEADBEEF
18743 .xword 0xDEADBEEFDEADBEEF
18744 .xword 0xDEADBEEFDEADBEEF
18745 .xword 0xDEADBEEFDEADBEEF
18746 .xword 0xDEADBEEFDEADBEEF
18747 .xword 0xDEADBEEFDEADBEEF
18748 .xword 0xDEADBEEFDEADBEEF
18749 .xword 0xDEADBEEFDEADBEEF
18750 .xword 0xDEADBEEFDEADBEEF
18751 .xword 0xDEADBEEFDEADBEEF
18752 .xword 0xDEADBEEFDEADBEEF
18753 .xword 0xDEADBEEFDEADBEEF
18754 .xword 0xDEADBEEFDEADBEEF
18755 .xword 0xDEADBEEFDEADBEEF
18756 .xword 0xDEADBEEFDEADBEEF
18757 .xword 0xDEADBEEFDEADBEEF
18758 .xword 0xDEADBEEFDEADBEEF
18759 .xword 0xDEADBEEFDEADBEEF
18760 .xword 0xDEADBEEFDEADBEEF
18761 .xword 0xDEADBEEFDEADBEEF
18762 .xword 0xDEADBEEFDEADBEEF
18763 .xword 0xDEADBEEFDEADBEEF
18764 .xword 0xDEADBEEFDEADBEEF
18765 .xword 0xDEADBEEFDEADBEEF
18766 .xword 0xDEADBEEFDEADBEEF
18767 .xword 0xDEADBEEFDEADBEEF
18768 .xword 0xDEADBEEFDEADBEEF
18769 .xword 0xDEADBEEFDEADBEEF
18770 .xword 0xDEADBEEFDEADBEEF
18771 .xword 0xDEADBEEFDEADBEEF
18772 .xword 0xDEADBEEFDEADBEEF
18773 .xword 0xDEADBEEFDEADBEEF
18774 .xword 0xDEADBEEFDEADBEEF
18775 .xword 0xDEADBEEFDEADBEEF
18776 .xword 0xDEADBEEFDEADBEEF
18777 .xword 0xDEADBEEFDEADBEEF
18778 .xword 0xDEADBEEFDEADBEEF
18779 .xword 0xDEADBEEFDEADBEEF
18780 .xword 0xDEADBEEFDEADBEEF
18781 .xword 0xDEADBEEFDEADBEEF
18782 .xword 0xDEADBEEFDEADBEEF
18783 .xword 0xDEADBEEFDEADBEEF
18784 .xword 0xDEADBEEFDEADBEEF
18785 .xword 0xDEADBEEFDEADBEEF
18786 .xword 0xDEADBEEFDEADBEEF
18787 .xword 0xDEADBEEFDEADBEEF
18788 .xword 0xDEADBEEFDEADBEEF
18789 .xword 0xDEADBEEFDEADBEEF
18790 .xword 0xDEADBEEFDEADBEEF
18791 .xword 0xDEADBEEFDEADBEEF
18792 .xword 0xDEADBEEFDEADBEEF
18793 .xword 0xDEADBEEFDEADBEEF
18794 .xword 0xDEADBEEFDEADBEEF
18795 .xword 0xDEADBEEFDEADBEEF
18796 .xword 0xDEADBEEFDEADBEEF
18797 .xword 0xDEADBEEFDEADBEEF
18798 .xword 0xDEADBEEFDEADBEEF
18799 .xword 0xDEADBEEFDEADBEEF
18800 .xword 0xDEADBEEFDEADBEEF
18801 .xword 0xDEADBEEFDEADBEEF
18802 .xword 0xDEADBEEFDEADBEEF
18803 .xword 0xDEADBEEFDEADBEEF
18804 .xword 0xDEADBEEFDEADBEEF
18805 .xword 0xDEADBEEFDEADBEEF
18806 .xword 0xDEADBEEFDEADBEEF
18807 .xword 0xDEADBEEFDEADBEEF
18808 .xword 0xDEADBEEFDEADBEEF
18809 .xword 0xDEADBEEFDEADBEEF
18810 .xword 0xDEADBEEFDEADBEEF
18811 .xword 0xDEADBEEFDEADBEEF
18812 .xword 0xDEADBEEFDEADBEEF
18813 .xword 0xDEADBEEFDEADBEEF
18814 .xword 0xDEADBEEFDEADBEEF
18815 .xword 0xDEADBEEFDEADBEEF
18816 .xword 0xDEADBEEFDEADBEEF
18817 .xword 0xDEADBEEFDEADBEEF
18818 .xword 0xDEADBEEFDEADBEEF
18819 .xword 0xDEADBEEFDEADBEEF
18820 .xword 0xDEADBEEFDEADBEEF
18821 .xword 0xDEADBEEFDEADBEEF
18822 .xword 0xDEADBEEFDEADBEEF
18823 .xword 0xDEADBEEFDEADBEEF
18824 .xword 0xDEADBEEFDEADBEEF
18825 .xword 0xDEADBEEFDEADBEEF
18826 .xword 0xDEADBEEFDEADBEEF
18827 .xword 0xDEADBEEFDEADBEEF
18828 .xword 0xDEADBEEFDEADBEEF
18829 .xword 0xDEADBEEFDEADBEEF
18830 .xword 0xDEADBEEFDEADBEEF
18831 .xword 0xDEADBEEFDEADBEEF
18832 .xword 0xDEADBEEFDEADBEEF
18833 .xword 0xDEADBEEFDEADBEEF
18834 .xword 0xDEADBEEFDEADBEEF
18835 .xword 0xDEADBEEFDEADBEEF
18836 .xword 0xDEADBEEFDEADBEEF
18837 .xword 0xDEADBEEFDEADBEEF
18838 .xword 0xDEADBEEFDEADBEEF
18839 .xword 0xDEADBEEFDEADBEEF
18840 .xword 0xDEADBEEFDEADBEEF
18841 .xword 0xDEADBEEFDEADBEEF
18842 .xword 0xDEADBEEFDEADBEEF
18843 .xword 0xDEADBEEFDEADBEEF
18844 .xword 0xDEADBEEFDEADBEEF
18845 .xword 0xDEADBEEFDEADBEEF
18846 .xword 0xDEADBEEFDEADBEEF
18847 .xword 0xDEADBEEFDEADBEEF
18848 .xword 0xDEADBEEFDEADBEEF
18849 .xword 0xDEADBEEFDEADBEEF
18850 .xword 0xDEADBEEFDEADBEEF
18851 .xword 0xDEADBEEFDEADBEEF
18852 .xword 0xDEADBEEFDEADBEEF
18853 .xword 0xDEADBEEFDEADBEEF
18854 .xword 0xDEADBEEFDEADBEEF
18855 .xword 0xDEADBEEFDEADBEEF
18856 .xword 0xDEADBEEFDEADBEEF
18857 .xword 0xDEADBEEFDEADBEEF
18858 .xword 0xDEADBEEFDEADBEEF
18859 .xword 0xDEADBEEFDEADBEEF
18860 .xword 0xDEADBEEFDEADBEEF
18861 .xword 0xDEADBEEFDEADBEEF
18862 .xword 0xDEADBEEFDEADBEEF
18863 .xword 0xDEADBEEFDEADBEEF
18864 .xword 0xDEADBEEFDEADBEEF
18865 .xword 0xDEADBEEFDEADBEEF
18866 .xword 0xDEADBEEFDEADBEEF
18867 .xword 0xDEADBEEFDEADBEEF
18868 .xword 0xDEADBEEFDEADBEEF
18869 .xword 0xDEADBEEFDEADBEEF
18870 .xword 0xDEADBEEFDEADBEEF
18871 .xword 0xDEADBEEFDEADBEEF
18872 .xword 0xDEADBEEFDEADBEEF
18873 .xword 0xDEADBEEFDEADBEEF
18874 .xword 0xDEADBEEFDEADBEEF
18875 .xword 0xDEADBEEFDEADBEEF
18876 .xword 0xDEADBEEFDEADBEEF
18877 .xword 0xDEADBEEFDEADBEEF
18878 .xword 0xDEADBEEFDEADBEEF
18879 .xword 0xDEADBEEFDEADBEEF
18880 .xword 0xDEADBEEFDEADBEEF
18881 .xword 0xDEADBEEFDEADBEEF
18882 .xword 0xDEADBEEFDEADBEEF
18883 .xword 0xDEADBEEFDEADBEEF
18884 .xword 0xDEADBEEFDEADBEEF
18885 .xword 0xDEADBEEFDEADBEEF
18886 .xword 0xDEADBEEFDEADBEEF
18887 .xword 0xDEADBEEFDEADBEEF
18888 .xword 0xDEADBEEFDEADBEEF
18889 .xword 0xDEADBEEFDEADBEEF
18890 .xword 0xDEADBEEFDEADBEEF
18891 .xword 0xDEADBEEFDEADBEEF
18892 .xword 0xDEADBEEFDEADBEEF
18893 .xword 0xDEADBEEFDEADBEEF
18894 .xword 0xDEADBEEFDEADBEEF
18895 .xword 0xDEADBEEFDEADBEEF
18896 .xword 0xDEADBEEFDEADBEEF
18897 .xword 0xDEADBEEFDEADBEEF
18898 .xword 0xDEADBEEFDEADBEEF
18899 .xword 0xDEADBEEFDEADBEEF
18900 .xword 0xDEADBEEFDEADBEEF
18901 .xword 0xDEADBEEFDEADBEEF
18902 .xword 0xDEADBEEFDEADBEEF
18903 .xword 0xDEADBEEFDEADBEEF
18904 .xword 0xDEADBEEFDEADBEEF
18905 .xword 0xDEADBEEFDEADBEEF
18906 .xword 0xDEADBEEFDEADBEEF
18907 .xword 0xDEADBEEFDEADBEEF
18908 .xword 0xDEADBEEFDEADBEEF
18909 .xword 0xDEADBEEFDEADBEEF
18910 .xword 0xDEADBEEFDEADBEEF
18911 .xword 0xDEADBEEFDEADBEEF
18912 .xword 0xDEADBEEFDEADBEEF
18913 .xword 0xDEADBEEFDEADBEEF
18914 .xword 0xDEADBEEFDEADBEEF
18915 .xword 0xDEADBEEFDEADBEEF
18916 .xword 0xDEADBEEFDEADBEEF
18917 .xword 0xDEADBEEFDEADBEEF
18918 .xword 0xDEADBEEFDEADBEEF
18919 .xword 0xDEADBEEFDEADBEEF
18920 .xword 0xDEADBEEFDEADBEEF
18921 .xword 0xDEADBEEFDEADBEEF
18922 .xword 0xDEADBEEFDEADBEEF
18923 .xword 0xDEADBEEFDEADBEEF
18924 .xword 0xDEADBEEFDEADBEEF
18925 .xword 0xDEADBEEFDEADBEEF
18926 .xword 0xDEADBEEFDEADBEEF
18927 .xword 0xDEADBEEFDEADBEEF
18928 .xword 0xDEADBEEFDEADBEEF
18929 .xword 0xDEADBEEFDEADBEEF
18930 .xword 0xDEADBEEFDEADBEEF
18931 .xword 0xDEADBEEFDEADBEEF
18932 .xword 0xDEADBEEFDEADBEEF
18933 .xword 0xDEADBEEFDEADBEEF
18934 .xword 0xDEADBEEFDEADBEEF
18935 .xword 0xDEADBEEFDEADBEEF
18936 .xword 0xDEADBEEFDEADBEEF
18937 .xword 0xDEADBEEFDEADBEEF
18938 .xword 0xDEADBEEFDEADBEEF
18939 .xword 0xDEADBEEFDEADBEEF
18940 .xword 0xDEADBEEFDEADBEEF
18941 .xword 0xDEADBEEFDEADBEEF
18942 .xword 0xDEADBEEFDEADBEEF
18943 .xword 0xDEADBEEFDEADBEEF
18944 .xword 0xDEADBEEFDEADBEEF
18945 .xword 0xDEADBEEFDEADBEEF
18946 .xword 0xDEADBEEFDEADBEEF
18947 .xword 0xDEADBEEFDEADBEEF
18948 .xword 0xDEADBEEFDEADBEEF
18949 .xword 0xDEADBEEFDEADBEEF
18950 .xword 0xDEADBEEFDEADBEEF
18951 .xword 0xDEADBEEFDEADBEEF
18952 .xword 0xDEADBEEFDEADBEEF
18953 .xword 0xDEADBEEFDEADBEEF
18954 .xword 0xDEADBEEFDEADBEEF
18955 .xword 0xDEADBEEFDEADBEEF
18956 .xword 0xDEADBEEFDEADBEEF
18957 .xword 0xDEADBEEFDEADBEEF
18958 .xword 0xDEADBEEFDEADBEEF
18959 .xword 0xDEADBEEFDEADBEEF
18960 .xword 0xDEADBEEFDEADBEEF
18961 .xword 0xDEADBEEFDEADBEEF
18962 .xword 0xDEADBEEFDEADBEEF
18963 .xword 0xDEADBEEFDEADBEEF
18964 .xword 0xDEADBEEFDEADBEEF
18965 .xword 0xDEADBEEFDEADBEEF
18966 .xword 0xDEADBEEFDEADBEEF
18967 .xword 0xDEADBEEFDEADBEEF
18968 .xword 0xDEADBEEFDEADBEEF
18969 .xword 0xDEADBEEFDEADBEEF
18970 .xword 0xDEADBEEFDEADBEEF
18971 .xword 0xDEADBEEFDEADBEEF
18972 .xword 0xDEADBEEFDEADBEEF
18973 .xword 0xDEADBEEFDEADBEEF
18974 .xword 0xDEADBEEFDEADBEEF
18975 .xword 0xDEADBEEFDEADBEEF
18976 .xword 0xDEADBEEFDEADBEEF
18977 .xword 0xDEADBEEFDEADBEEF
18978 .xword 0xDEADBEEFDEADBEEF
18979 .xword 0xDEADBEEFDEADBEEF
18980 .xword 0xDEADBEEFDEADBEEF
18981 .xword 0xDEADBEEFDEADBEEF
18982 .xword 0xDEADBEEFDEADBEEF
18983 .xword 0xDEADBEEFDEADBEEF
18984 .xword 0xDEADBEEFDEADBEEF
18985 .xword 0xDEADBEEFDEADBEEF
18986 .xword 0xDEADBEEFDEADBEEF
18987 .xword 0xDEADBEEFDEADBEEF
18988.align 16
18989_t1_crc_auth_key:
18990 .xword 0x4563a80d00ce125f
18991 .xword 0x2fa2d7dd323d43d8
18992 .xword 0x35d595024bf2b397
18993 .xword 0x08fd5514908241de
18994 .xword 0x98c5cf38f7273cef
18995 .xword 0x04f63a77dba1eeae
18996 .xword 0xad362c2b4fb7f670
18997 .xword 0x4e413c72df18a5f8
18998 .xword 0xd8acaaeb95555d8a
18999 .xword 0x3813a77552e68dea
19000 .xword 0x9ddf4ef883805594
19001 .xword 0x0ecb236e746b63de
19002 .xword 0xaeee7f076e96e412
19003 .xword 0x254f9d32f1ee7f58
19004 .xword 0xf1b4b1356e09f386
19005 .xword 0x5d7b0aab71178ffe
19006 .xword 0x6b66d3ac96eaa1bf
19007 .xword 0x704a6c9240c7208d
19008 .xword 0xb53dab0bc9cb3023
19009 .xword 0xbef1fe04e69017fa
19010 .xword 0xdbc06c3bb6c9d339
19011 .xword 0x8c836954e99dd6c3
19012 .xword 0x6e7acfdf131098b5
19013.align 16
19014_t1_crc_auth_iv:
19015 .xword 0xf276dc465bd568e6
19016 .xword 0x7727573f52df8234
19017 .xword 0x190900ddd22b42d4
19018 .xword 0xa2b115642c89546b
19019 .xword 0xb552c05dbfcafd01
19020 .xword 0x4b6b52648fbaf8a0
19021 .xword 0x4d30fea4004caf9f
19022 .xword 0xea986b030f180991
19023 .xword 0xc9aa9f330ef64a6b
19024 .xword 0x7a89ba0b3044f642
19025 .xword 0x1f896de70eb92c99
19026 .xword 0x1c308ff4689c1cd8
19027 .xword 0x18424dd4dc78b61a
19028 .xword 0xc1ebed78fc777be9
19029 .xword 0x21731da933253261
19030 .xword 0x1fa67b067aba366f
19031 .xword 0x27057ef3cb0b5a7e
19032 .xword 0x33adaa81f9538a72
19033 .xword 0x6478c6d3cdbf2c8d
19034 .xword 0x1c68f73a4e29776d
19035 .xword 0x5fc5745bced69980
19036 .xword 0xc546d31e2ce53d8c
19037 .xword 0x81032b6a393ff342
19038.align 16
19039_t1_crc_fas_result:
19040 .xword 0xDEADBEEFDEADBEEF
19041 .xword 0xDEADBEEFDEADBEEF
19042 .xword 0xDEADBEEFDEADBEEF
19043 .xword 0xDEADBEEFDEADBEEF
19044 .xword 0xDEADBEEFDEADBEEF
19045 .xword 0xDEADBEEFDEADBEEF
19046 .xword 0xDEADBEEFDEADBEEF
19047 .xword 0xDEADBEEFDEADBEEF
19048 .xword 0xDEADBEEFDEADBEEF
19049 .xword 0xDEADBEEFDEADBEEF
19050 .xword 0xDEADBEEFDEADBEEF
19051 .xword 0xDEADBEEFDEADBEEF
19052 .xword 0xDEADBEEFDEADBEEF
19053 .xword 0xDEADBEEFDEADBEEF
19054 .xword 0xDEADBEEFDEADBEEF
19055 .xword 0xDEADBEEFDEADBEEF
19056 .xword 0xDEADBEEFDEADBEEF
19057 .xword 0xDEADBEEFDEADBEEF
19058 .xword 0xDEADBEEFDEADBEEF
19059 .xword 0xDEADBEEFDEADBEEF
19060 .xword 0xDEADBEEFDEADBEEF
19061 .xword 0xDEADBEEFDEADBEEF
19062 .xword 0xDEADBEEFDEADBEEF
19063.align 16
19064_t1_hash_key_array:
19065 .xword 0x82b601c1f00a38f0
19066 .xword 0x25e7edafefac0259
19067 .xword 0xee0659975a2bf74b
19068 .xword 0x10594b2e7e9c5f8c
19069 .xword 0x766247a396e832c8
19070 .xword 0xbb6c1c4e55d3b979
19071 .xword 0x3d20a4cc19448d59
19072 .xword 0xe3b3c14c8930b485
19073 .xword 0x96c5348802f23a21
19074 .xword 0xc9e9e737b9697cbc
19075 .xword 0x2762d05f1d6fa0a4
19076 .xword 0x9ed8214396eb43da
19077 .xword 0xb3ba7bddf5b3dce6
19078 .xword 0x70ac2ed16a7892e2
19079 .xword 0x6c08484b6e2b6c3a
19080 .xword 0xb6fb3ee22cfd9215
19081 .xword 0x979d9322e0ef1bbd
19082 .xword 0x9cab544d262a36ac
19083 .xword 0x1c00a847ce7504be
19084 .xword 0xfc6408055ce639f8
19085 .xword 0xfc97b77080b61559
19086 .xword 0x58db988a3b7a10e4
19087 .xword 0x5e72b6f01b898547
19088 .xword 0x7f4836c58736166c
19089 .xword 0x4321819148eee50b
19090 .xword 0x06a22fc5c9132eb5
19091 .xword 0x0307f3e710235d97
19092 .xword 0x7b8761be410a4b88
19093 .xword 0x9e20c73ff1483eab
19094 .xword 0x8b750077dfc73e6e
19095 .xword 0xec6312c2d1ec89f6
19096 .xword 0x01b6319d418a2337
19097 .xword 0x7051282765f7d381
19098 .xword 0x71b92ba9b2d967a6
19099 .xword 0xcecd7679a65ab8fc
19100 .xword 0x654818420d326957
19101 .xword 0x7b7da018318bba2c
19102 .xword 0xdc3c00dd10968a6a
19103 .xword 0x30e76e15d43cdbd3
19104 .xword 0x05411f973089f384
19105 .xword 0xe4a046c0b2ecec92
19106 .xword 0x305d086d9ff27c4d
19107 .xword 0xf64f753c98376a0e
19108 .xword 0x4756bc9709947578
19109 .xword 0xe8f4d2f825f4f708
19110 .xword 0x2c165f82ec6c9b92
19111 .xword 0xd26daf99187c3e0c
19112 .xword 0x66fffc55346172b3
19113 .xword 0x97cdd24541212a2c
19114 .xword 0xf815d25ee3802878
19115 .xword 0x2fa809d546ceb72b
19116.align 16
19117_t1_hash_iv_array:
19118 .xword 0x30ed53381a434841
19119 .xword 0x333e19303d6b4250
19120 .xword 0xa842845895438546
19121 .xword 0x10b71d6f181c74ee
19122 .xword 0x2f492da81706260f
19123 .xword 0x282026233040fabe
19124 .xword 0xecd1b47aacc1faa4
19125 .xword 0x6361935c15de58cf
19126 .xword 0x82b9813e9b966b58
19127 .xword 0x03b700efcbc1f3c9
19128 .xword 0x7bd45696535df6e9
19129 .xword 0x654d0cb01b865dfb
19130 .xword 0x1e854df96177f6eb
19131 .xword 0x5078dcc73ce21e00
19132 .xword 0x70934bd847454997
19133 .xword 0x800ec4e00a8bd923
19134 .xword 0x8f5c7654283feded
19135 .xword 0xbfb7f6573f0ddf3c
19136 .xword 0xc13dc5e4713f4aa4
19137 .xword 0xe5ef02c37d3f14c9
19138 .xword 0x2cc2083bbe27e736
19139 .xword 0xb7f4f30a536ca02d
19140 .xword 0xae0dab356e437387
19141 .xword 0x3274be4473895393
19142 .xword 0x1632139fd9c1c4d8
19143 .xword 0x77ec6d626f3d0203
19144 .xword 0x77a422875a8ff93d
19145 .xword 0xce8055eab32c31ce
19146 .xword 0x0ee52b95a7797bf1
19147 .xword 0x1961fac42df6e5dc
19148 .xword 0xf7735c64d666a16c
19149 .xword 0x2deaa5933ca602d0
19150 .xword 0xa83b21c0764f4c9e
19151 .xword 0x00beb23890b6a103
19152 .xword 0x87773da246600ca1
19153 .xword 0x5827f0a698f7d99a
19154 .xword 0xc942db1447b51d31
19155 .xword 0x09f4360e62face8c
19156 .xword 0xe40dc4614a102775
19157 .xword 0x5b0315409a7a4e07
19158 .xword 0x9da25ce9984ca621
19159 .xword 0x4c19747d31e44173
19160 .xword 0xe1880ffac460c7fe
19161 .xword 0x8a0f46519704934a
19162 .xword 0xba4f569bb1858cbc
19163.align 16
19164_t1_hash_alignment_array:
19165 .xword 2
19166 .xword 9
19167 .xword 9
19168 .xword 11
19169 .xword 13
19170 .xword 8
19171 .xword 6
19172 .xword 12
19173 .xword 9
19174 .xword 5
19175 .xword 4
19176 .xword 6
19177 .xword 10
19178 .xword 14
19179 .xword 11
19180 .xword 12
19181 .xword 7
19182 .xword 9
19183 .xword 6
19184 .xword 13
19185 .xword 1
19186 .xword 14
19187 .xword 12
19188 .xword 10
19189 .xword 2
19190 .xword 1
19191 .xword 3
19192 .xword 15
19193 .xword 10
19194 .xword 5
19195 .xword 13
19196 .xword 14
19197 .xword 8
19198 .xword 5
19199 .xword 13
19200 .xword 11
19201 .xword 10
19202 .xword 0
19203 .xword 4
19204 .xword 6
19205 .xword 5
19206 .xword 7
19207 .xword 9
19208 .xword 2
19209 .xword 14
19210 .xword 9
19211 .xword 1
19212 .xword 2
19213 .xword 5
19214 .xword 4
19215 .xword 10
19216 .xword 1
19217 .xword 4
19218 .xword 9
19219 .xword 14
19220 .xword 8
19221 .xword 4
19222 .xword 13
19223 .xword 0
19224 .xword 8
19225 .xword 12
19226 .xword 8
19227 .xword 13
19228 .xword 3
19229 .xword 4
19230 .xword 13
19231 .xword 9
19232 .xword 8
19233 .xword 8
19234 .xword 15
19235 .xword 13
19236 .xword 8
19237 .xword 14
19238 .xword 2
19239 .xword 13
19240 .xword 9
19241 .xword 12
19242 .xword 9
19243 .xword 11
19244 .xword 11
19245 .xword 13
19246 .xword 1
19247 .xword 13
19248 .xword 8
19249 .xword 14
19250 .xword 5
19251 .xword 15
19252 .xword 14
19253 .xword 8
19254 .xword 6
19255 .xword 1
19256 .xword 5
19257 .xword 8
19258 .xword 1
19259 .xword 1
19260 .xword 14
19261 .xword 8
19262 .xword 2
19263 .xword 6
19264 .xword 3
19265 .xword 2
19266 .xword 6
19267 .xword 4
19268 .xword 8
19269 .xword 3
19270.align 16
19271_t1_hash_src:
19272 .xword 0x4fcf3fec5e805003
19273 .xword 0x325a0a7e2613037c
19274 .xword 0xab9147e533045f4d
19275 .xword 0x382c44010b066b48
19276 .xword 0x88fbde102d4e0d42
19277 .xword 0x05bc703911e20622
19278 .xword 0xa8f2a99457ecfdea
19279 .xword 0x8c68362a44f73e6b
19280 .xword 0x243c1aee24153f7d
19281 .xword 0x0d26b09f689b8d1b
19282 .xword 0x8989c5199250b2b6
19283 .xword 0xaa40099bbf295616
19284 .xword 0x5d18932b01188a03
19285 .xword 0x0ec81b6d84e23538
19286 .xword 0x29cf6914fe8d1147
19287 .xword 0x1f619127176924c6
19288 .xword 0x7ded23354bca6b78
19289 .xword 0x6b839e77677b9234
19290 .xword 0xcd58a484510352ee
19291 .xword 0x8e19fb3a2ac38b57
19292 .xword 0x5b05d529d06ed45a
19293 .xword 0x06c2b1497e6b8106
19294 .xword 0xfbbc13f950fa3801
19295 .xword 0xa780ad74d4e7c6b0
19296 .xword 0xa4604dd615f5a673
19297 .xword 0x41001618b1d9e4d4
19298 .xword 0x3c27b152671bb1cb
19299 .xword 0x0385a081109fc42a
19300 .xword 0x1dccae81402ad7f6
19301 .xword 0x6589338bfcf15df4
19302 .xword 0x2664091c17508d40
19303 .xword 0x7c8e25fb28d34d72
19304 .xword 0xe6ece70ce99e6e02
19305 .xword 0x34f3f37b40236c96
19306 .xword 0x1d23a88f55a03727
19307 .xword 0x4220b10251c4b17a
19308 .xword 0x1078acc64159680e
19309 .xword 0x38aaf4ae0e48f815
19310 .xword 0xee8989db95cc3eea
19311 .xword 0x08d91d1288a19247
19312 .xword 0x5a9f5b5ad5bef706
19313 .xword 0xa95d0a4e0017a04f
19314 .xword 0x1ff60992b8fa32ed
19315 .xword 0xb682b2ba74ce07f2
19316 .xword 0x954e03078bb5bada
19317 .xword 0x509b1597ff6c3c06
19318 .xword 0xf94abce5cb81a807
19319 .xword 0x65bae70a7ac5041c
19320 .xword 0x964930984858bb7b
19321 .xword 0x32d0cfce049082e5
19322 .xword 0xeed691b357cd26c7
19323 .xword 0x4addc33fc87ab55e
19324 .xword 0x9a5d64493f7b0fc0
19325 .xword 0x9f71d20ceae6450b
19326 .xword 0xd7015d6a44612e5f
19327 .xword 0x2ddbec816001e65a
19328 .xword 0xdfd1f66128b4ae01
19329 .xword 0x04553727f7bbbf17
19330 .xword 0xd19eb1416164143c
19331 .xword 0xda3384eb65c74471
19332 .xword 0x0500f4f3bd4c4b1e
19333 .xword 0x168761a73bb978ec
19334 .xword 0x296fbbef5b676d91
19335 .xword 0xb88c4a0b37d334f8
19336 .xword 0xb128a7d1ccd8ac14
19337 .xword 0xbe6d4f8d68ac55b0
19338 .xword 0x3dac0c566d524cf2
19339 .xword 0x3630bda4468f7e8c
19340 .xword 0xe263b2c1c0532749
19341 .xword 0xd33c1559af8a28e8
19342 .xword 0x9bed8aab72e4cca4
19343 .xword 0xf2b3ce09fc0a4bc1
19344 .xword 0x0cfc4cd0ee6bf50c
19345 .xword 0x2cc11bd677272095
19346 .xword 0xdd8f50071f70d655
19347 .xword 0xffb094376ec634e0
19348 .xword 0xc8aae62a8b24f0a2
19349 .xword 0xba7dcfd7bc9b06de
19350 .xword 0xb8ac0dfe38892d89
19351 .xword 0x448bd80b28d4169e
19352 .xword 0x78d7c5b82f1793c2
19353 .xword 0xd845b63b8808b34a
19354 .xword 0x5b29dcd4639e6986
19355 .xword 0x3d7f258d6cacd4b1
19356 .xword 0xbf8542bdd03e35f0
19357 .xword 0xe545b662c6517976
19358 .xword 0x548d13f29354bb9a
19359 .xword 0xe9c418db6e0597f6
19360 .xword 0x746ae92634fd4548
19361 .xword 0xa9647b55d09ba744
19362 .xword 0x959652bfe497dd39
19363 .xword 0x72473f1c57235288
19364 .xword 0x6ad6e72a3d4ebabf
19365 .xword 0x7cf56494ed911eea
19366 .xword 0xd34af126d078171c
19367 .xword 0x74a96f9c7e75e1a1
19368 .xword 0xbabfc9ce9cb857a4
19369 .xword 0xddb5ee4b849acf6b
19370 .xword 0xdd8918c065332741
19371 .xword 0xad225053fdfc7bf0
19372 .xword 0xabc6da6fa1d90f7d
19373 .xword 0x46853bd211b72209
19374 .xword 0xa6abc6d6c2a3185b
19375 .xword 0xc6eecdf018a297b4
19376 .xword 0x884db88a836833c4
19377 .xword 0x31eea6c698c010f1
19378 .xword 0xdf5c7e4c22ffdc67
19379 .xword 0x692e428be81764ed
19380 .xword 0x1551e892d78b70b8
19381 .xword 0x91c855dbff9a6ccd
19382 .xword 0xa9d2e9b31904c858
19383 .xword 0x839e4090aa41e344
19384 .xword 0x9d0e4ed6ed2d75ee
19385 .xword 0xd36c05fbe5eb9ed3
19386 .xword 0x107c6961a55abac7
19387 .xword 0xa7b8dbefaac5fa18
19388 .xword 0xa6b97d949b3cf177
19389 .xword 0x9949ce4c7377c51f
19390 .xword 0xc4bd4c641c99bb4e
19391 .xword 0x2c1f97070929795c
19392 .xword 0x4ded00cb16ce8e40
19393 .xword 0x112690a767f0b195
19394 .xword 0x0d201ce2c67910a0
19395 .xword 0xd63ac2a31112e7ba
19396 .xword 0xae1275fc3cd775d9
19397 .xword 0x5b94c45f8483a172
19398 .xword 0x09aec85ada2bef42
19399 .xword 0x2789f9ee1d612ea0
19400 .xword 0xede4884fd7e869f6
19401 .xword 0xd681ac1729967c76
19402 .xword 0xaaba152bd2d20858
19403 .xword 0x6e3fc4e135779885
19404 .xword 0x29511b56cc03fd46
19405 .xword 0xf2d2ec9afa4bcb9e
19406 .xword 0x8309a16d4fc7367d
19407 .xword 0xa419e3935c58b524
19408 .xword 0x6ba7c07324cee05e
19409 .xword 0xbb7dd401fe2be833
19410 .xword 0x862b7314680083cf
19411 .xword 0xf159b91edf51dc5a
19412 .xword 0xda33a63c8495fadb
19413 .xword 0x3bc6d0bfcb6ab904
19414 .xword 0x00abea2fcdf7ae1a
19415 .xword 0xd8c721d7288bd8cd
19416 .xword 0x4b1b5ba35a1470d6
19417 .xword 0xf851c8a1c8888071
19418 .xword 0x40837388502c79b5
19419 .xword 0xf066ac99a2905d31
19420 .xword 0xca38c0ceb9db8ff5
19421 .xword 0xdbcc6c54c5fa66b7
19422 .xword 0x5d0982496b34981f
19423 .xword 0x6804eea806cebb9d
19424 .xword 0xfacc3d8ce9fa5cf9
19425 .xword 0xb236f893ec68ec4b
19426 .xword 0x3809bfe6c273f63d
19427 .xword 0x9559563f00efae02
19428 .xword 0x7fe207283375d174
19429 .xword 0xc069de42203ba35f
19430 .xword 0xbdb7dc7fcab6af30
19431 .xword 0xf1ce7c1c27d719a7
19432 .xword 0x4b98dadfcd215608
19433 .xword 0x28eb49cf9e5ca002
19434 .xword 0x3ced27e12a5b80eb
19435 .xword 0x9aad1ccfb588b4b7
19436 .xword 0xc818c91f79adfa40
19437 .xword 0xdf8d1651ce82964f
19438 .xword 0xf7b39f482b28042d
19439 .xword 0x42a067e4f3a8d1d3
19440 .xword 0xdc931b18abb81e4c
19441 .xword 0x5039578557ca5e49
19442 .xword 0xa601487163974f6a
19443 .xword 0x2fbfae56361728ec
19444 .xword 0x127fb13ba1c928bf
19445 .xword 0x89a2a7346144aaac
19446 .xword 0xd3462437cdd07b3d
19447 .xword 0x59b12b8eab1fb5e0
19448 .xword 0x507d309f3e0615e4
19449 .xword 0xfbc521a1fdf15f10
19450 .xword 0x11c6129e30de32f4
19451 .xword 0xf476ab92fb692f19
19452 .xword 0xdc8ee1173e2937ca
19453 .xword 0x87cca8bb7f96b6cc
19454 .xword 0xfc6d787a3b1b4b89
19455 .xword 0xeee2065fdfddc8ee
19456 .xword 0x76d077286e281d87
19457 .xword 0xb3e5d40643ec7ade
19458 .xword 0x30d1d9b8b1bf11e9
19459 .xword 0x87c8ac30e49f6f58
19460 .xword 0xff6c5f2a5402184c
19461 .xword 0x332d2a091bff0043
19462 .xword 0x1da826d97a54a118
19463 .xword 0x9786b88caea132a8
19464 .xword 0x52e0c51e11f301ef
19465 .xword 0xdffb0843cae85e03
19466 .xword 0xa4daea158dc6d2ae
19467 .xword 0xa31f5adcdf4e7541
19468 .xword 0x20689e4030c8315c
19469 .xword 0x3069936766f9f40a
19470 .xword 0x18bce423d628d214
19471 .xword 0xe59c4cf9cf78c83d
19472 .xword 0xedb26b0fd1c1d466
19473 .xword 0x4fe7235daa7ac469
19474 .xword 0x8616f6031cd6be4b
19475 .xword 0x49367c4a4cc9e040
19476 .xword 0xcb4c4259d06981a2
19477 .xword 0x687744e4911fef8e
19478 .xword 0x8a4893ccdb09e29d
19479 .xword 0xddd623a0075fe87f
19480 .xword 0xd02bae2fe0f5b4a9
19481 .xword 0xb1fc600fbaf85723
19482 .xword 0xcaf38abf9ab38d38
19483 .xword 0xcafe314095ab0016
19484 .xword 0x27f5c7d8e164d874
19485 .xword 0x978132bd26230057
19486 .xword 0x754f019dccd0be30
19487 .xword 0x4bed3b5e26f62f58
19488 .xword 0x149b59e44b22a972
19489 .xword 0xe405c148c7c4beb7
19490 .xword 0x2e28003535824fa2
19491 .xword 0x55300e4d88a61114
19492 .xword 0xd5a32759febc910c
19493 .xword 0x62e675a3ed8adaae
19494 .xword 0xff59fc1acc3402c1
19495 .xword 0x2ab67fffdc0ef3bd
19496 .xword 0x1e4b2f1ddd4e0eb3
19497 .xword 0x640226f5ed094c7d
19498 .xword 0x3ac3d3fcdee72dbe
19499 .xword 0x43f9e6aa2e2e786e
19500 .xword 0x066d277cea0f8570
19501 .xword 0x2b55e2835e2aacdc
19502 .xword 0xce4e1ba4892bb425
19503 .xword 0x6a9bd8528b39de8d
19504 .xword 0x3f71973bc2e5e9d8
19505 .xword 0x970a970b3b87ed48
19506 .xword 0x7788753975499eb3
19507 .xword 0x87a625b47cc348d3
19508 .xword 0xfc2691fe0de4c722
19509 .xword 0xb10c2ab21c944385
19510 .xword 0x987b18608ee562cb
19511 .xword 0xb7a7935620165c5d
19512 .xword 0x687c380fb40a979e
19513 .xword 0x227436bde3bb8e97
19514 .xword 0x3e36f4c4578202a2
19515 .xword 0x673ac6b261012a0d
19516 .xword 0xc90aaecc3b09a1fb
19517 .xword 0x2dbd7cd7512240a0
19518 .xword 0x0a571c96ea956f23
19519 .xword 0x49a903bd17f20ae1
19520 .xword 0xad29a7ba46c9069e
19521 .xword 0xe5af4b33f117cd99
19522 .xword 0xda4b64722d95f318
19523 .xword 0x5dd295737af10b1a
19524 .xword 0x39a3f5d612324104
19525 .xword 0x14f5e4b67c712074
19526 .xword 0xf6ca028b8e6ae001
19527 .xword 0x8720b41d2e9ad856
19528 .xword 0x54a1d5d7cec5789d
19529 .xword 0x05deb9fee3770628
19530 .xword 0x93676045d5d3ef6f
19531 .xword 0x7fd726053820fcfe
19532 .xword 0x5ec7417aae873a71
19533 .xword 0x76e6f18c037fe2ea
19534 .xword 0x5e43113c536a2410
19535 .xword 0xbe7e470448206c53
19536 .xword 0x4a7944c40bc1a8eb
19537 .xword 0x7baec56b922b6972
19538 .xword 0xaa627f2e34d7ec1b
19539 .xword 0xbed0d8341b476891
19540 .xword 0x4d5c15a2699b2631
19541 .xword 0xcf6ce4e3a331fdf1
19542 .xword 0xc3ef2c809e0ad388
19543 .xword 0x7a24819de0f92466
19544 .xword 0xe5ce030c17256550
19545 .xword 0x4d4e48a3dae6a106
19546 .xword 0x1068a1d7da0f36ee
19547.align 16
19548_t1_hash_dest:
19549 .xword 0xDEADBEEFDEADBEEF
19550 .xword 0xDEADBEEFDEADBEEF
19551 .xword 0xDEADBEEFDEADBEEF
19552 .xword 0xDEADBEEFDEADBEEF
19553 .xword 0xDEADBEEFDEADBEEF
19554 .xword 0xDEADBEEFDEADBEEF
19555 .xword 0xDEADBEEFDEADBEEF
19556 .xword 0xDEADBEEFDEADBEEF
19557 .xword 0xDEADBEEFDEADBEEF
19558 .xword 0xDEADBEEFDEADBEEF
19559 .xword 0xDEADBEEFDEADBEEF
19560 .xword 0xDEADBEEFDEADBEEF
19561 .xword 0xDEADBEEFDEADBEEF
19562 .xword 0xDEADBEEFDEADBEEF
19563 .xword 0xDEADBEEFDEADBEEF
19564 .xword 0xDEADBEEFDEADBEEF
19565 .xword 0xDEADBEEFDEADBEEF
19566 .xword 0xDEADBEEFDEADBEEF
19567 .xword 0xDEADBEEFDEADBEEF
19568 .xword 0xDEADBEEFDEADBEEF
19569 .xword 0xDEADBEEFDEADBEEF
19570 .xword 0xDEADBEEFDEADBEEF
19571 .xword 0xDEADBEEFDEADBEEF
19572 .xword 0xDEADBEEFDEADBEEF
19573 .xword 0xDEADBEEFDEADBEEF
19574 .xword 0xDEADBEEFDEADBEEF
19575 .xword 0xDEADBEEFDEADBEEF
19576 .xword 0xDEADBEEFDEADBEEF
19577 .xword 0xDEADBEEFDEADBEEF
19578 .xword 0xDEADBEEFDEADBEEF
19579 .xword 0xDEADBEEFDEADBEEF
19580 .xword 0xDEADBEEFDEADBEEF
19581 .xword 0xDEADBEEFDEADBEEF
19582 .xword 0xDEADBEEFDEADBEEF
19583 .xword 0xDEADBEEFDEADBEEF
19584 .xword 0xDEADBEEFDEADBEEF
19585 .xword 0xDEADBEEFDEADBEEF
19586 .xword 0xDEADBEEFDEADBEEF
19587 .xword 0xDEADBEEFDEADBEEF
19588 .xword 0xDEADBEEFDEADBEEF
19589 .xword 0xDEADBEEFDEADBEEF
19590 .xword 0xDEADBEEFDEADBEEF
19591 .xword 0xDEADBEEFDEADBEEF
19592 .xword 0xDEADBEEFDEADBEEF
19593 .xword 0xDEADBEEFDEADBEEF
19594 .xword 0xDEADBEEFDEADBEEF
19595 .xword 0xDEADBEEFDEADBEEF
19596 .xword 0xDEADBEEFDEADBEEF
19597 .xword 0xDEADBEEFDEADBEEF
19598 .xword 0xDEADBEEFDEADBEEF
19599 .xword 0xDEADBEEFDEADBEEF
19600 .xword 0xDEADBEEFDEADBEEF
19601 .xword 0xDEADBEEFDEADBEEF
19602 .xword 0xDEADBEEFDEADBEEF
19603 .xword 0xDEADBEEFDEADBEEF
19604 .xword 0xDEADBEEFDEADBEEF
19605 .xword 0xDEADBEEFDEADBEEF
19606 .xword 0xDEADBEEFDEADBEEF
19607 .xword 0xDEADBEEFDEADBEEF
19608 .xword 0xDEADBEEFDEADBEEF
19609 .xword 0xDEADBEEFDEADBEEF
19610 .xword 0xDEADBEEFDEADBEEF
19611 .xword 0xDEADBEEFDEADBEEF
19612 .xword 0xDEADBEEFDEADBEEF
19613 .xword 0xDEADBEEFDEADBEEF
19614 .xword 0xDEADBEEFDEADBEEF
19615 .xword 0xDEADBEEFDEADBEEF
19616 .xword 0xDEADBEEFDEADBEEF
19617 .xword 0xDEADBEEFDEADBEEF
19618 .xword 0xDEADBEEFDEADBEEF
19619 .xword 0xDEADBEEFDEADBEEF
19620 .xword 0xDEADBEEFDEADBEEF
19621 .xword 0xDEADBEEFDEADBEEF
19622 .xword 0xDEADBEEFDEADBEEF
19623 .xword 0xDEADBEEFDEADBEEF
19624 .xword 0xDEADBEEFDEADBEEF
19625 .xword 0xDEADBEEFDEADBEEF
19626 .xword 0xDEADBEEFDEADBEEF
19627 .xword 0xDEADBEEFDEADBEEF
19628 .xword 0xDEADBEEFDEADBEEF
19629 .xword 0xDEADBEEFDEADBEEF
19630 .xword 0xDEADBEEFDEADBEEF
19631 .xword 0xDEADBEEFDEADBEEF
19632 .xword 0xDEADBEEFDEADBEEF
19633 .xword 0xDEADBEEFDEADBEEF
19634 .xword 0xDEADBEEFDEADBEEF
19635 .xword 0xDEADBEEFDEADBEEF
19636 .xword 0xDEADBEEFDEADBEEF
19637 .xword 0xDEADBEEFDEADBEEF
19638 .xword 0xDEADBEEFDEADBEEF
19639 .xword 0xDEADBEEFDEADBEEF
19640 .xword 0xDEADBEEFDEADBEEF
19641 .xword 0xDEADBEEFDEADBEEF
19642 .xword 0xDEADBEEFDEADBEEF
19643 .xword 0xDEADBEEFDEADBEEF
19644 .xword 0xDEADBEEFDEADBEEF
19645 .xword 0xDEADBEEFDEADBEEF
19646 .xword 0xDEADBEEFDEADBEEF
19647 .xword 0xDEADBEEFDEADBEEF
19648 .xword 0xDEADBEEFDEADBEEF
19649 .xword 0xDEADBEEFDEADBEEF
19650 .xword 0xDEADBEEFDEADBEEF
19651 .xword 0xDEADBEEFDEADBEEF
19652 .xword 0xDEADBEEFDEADBEEF
19653 .xword 0xDEADBEEFDEADBEEF
19654 .xword 0xDEADBEEFDEADBEEF
19655 .xword 0xDEADBEEFDEADBEEF
19656 .xword 0xDEADBEEFDEADBEEF
19657 .xword 0xDEADBEEFDEADBEEF
19658 .xword 0xDEADBEEFDEADBEEF
19659 .xword 0xDEADBEEFDEADBEEF
19660 .xword 0xDEADBEEFDEADBEEF
19661 .xword 0xDEADBEEFDEADBEEF
19662 .xword 0xDEADBEEFDEADBEEF
19663 .xword 0xDEADBEEFDEADBEEF
19664 .xword 0xDEADBEEFDEADBEEF
19665 .xword 0xDEADBEEFDEADBEEF
19666 .xword 0xDEADBEEFDEADBEEF
19667 .xword 0xDEADBEEFDEADBEEF
19668 .xword 0xDEADBEEFDEADBEEF
19669 .xword 0xDEADBEEFDEADBEEF
19670 .xword 0xDEADBEEFDEADBEEF
19671 .xword 0xDEADBEEFDEADBEEF
19672 .xword 0xDEADBEEFDEADBEEF
19673 .xword 0xDEADBEEFDEADBEEF
19674 .xword 0xDEADBEEFDEADBEEF
19675 .xword 0xDEADBEEFDEADBEEF
19676 .xword 0xDEADBEEFDEADBEEF
19677 .xword 0xDEADBEEFDEADBEEF
19678 .xword 0xDEADBEEFDEADBEEF
19679 .xword 0xDEADBEEFDEADBEEF
19680 .xword 0xDEADBEEFDEADBEEF
19681 .xword 0xDEADBEEFDEADBEEF
19682 .xword 0xDEADBEEFDEADBEEF
19683 .xword 0xDEADBEEFDEADBEEF
19684 .xword 0xDEADBEEFDEADBEEF
19685 .xword 0xDEADBEEFDEADBEEF
19686 .xword 0xDEADBEEFDEADBEEF
19687 .xword 0xDEADBEEFDEADBEEF
19688 .xword 0xDEADBEEFDEADBEEF
19689 .xword 0xDEADBEEFDEADBEEF
19690 .xword 0xDEADBEEFDEADBEEF
19691 .xword 0xDEADBEEFDEADBEEF
19692 .xword 0xDEADBEEFDEADBEEF
19693 .xword 0xDEADBEEFDEADBEEF
19694 .xword 0xDEADBEEFDEADBEEF
19695 .xword 0xDEADBEEFDEADBEEF
19696 .xword 0xDEADBEEFDEADBEEF
19697 .xword 0xDEADBEEFDEADBEEF
19698 .xword 0xDEADBEEFDEADBEEF
19699 .xword 0xDEADBEEFDEADBEEF
19700 .xword 0xDEADBEEFDEADBEEF
19701 .xword 0xDEADBEEFDEADBEEF
19702 .xword 0xDEADBEEFDEADBEEF
19703 .xword 0xDEADBEEFDEADBEEF
19704 .xword 0xDEADBEEFDEADBEEF
19705 .xword 0xDEADBEEFDEADBEEF
19706 .xword 0xDEADBEEFDEADBEEF
19707 .xword 0xDEADBEEFDEADBEEF
19708 .xword 0xDEADBEEFDEADBEEF
19709 .xword 0xDEADBEEFDEADBEEF
19710 .xword 0xDEADBEEFDEADBEEF
19711 .xword 0xDEADBEEFDEADBEEF
19712 .xword 0xDEADBEEFDEADBEEF
19713 .xword 0xDEADBEEFDEADBEEF
19714 .xword 0xDEADBEEFDEADBEEF
19715 .xword 0xDEADBEEFDEADBEEF
19716 .xword 0xDEADBEEFDEADBEEF
19717 .xword 0xDEADBEEFDEADBEEF
19718 .xword 0xDEADBEEFDEADBEEF
19719 .xword 0xDEADBEEFDEADBEEF
19720 .xword 0xDEADBEEFDEADBEEF
19721 .xword 0xDEADBEEFDEADBEEF
19722 .xword 0xDEADBEEFDEADBEEF
19723 .xword 0xDEADBEEFDEADBEEF
19724 .xword 0xDEADBEEFDEADBEEF
19725 .xword 0xDEADBEEFDEADBEEF
19726 .xword 0xDEADBEEFDEADBEEF
19727 .xword 0xDEADBEEFDEADBEEF
19728 .xword 0xDEADBEEFDEADBEEF
19729 .xword 0xDEADBEEFDEADBEEF
19730 .xword 0xDEADBEEFDEADBEEF
19731 .xword 0xDEADBEEFDEADBEEF
19732 .xword 0xDEADBEEFDEADBEEF
19733 .xword 0xDEADBEEFDEADBEEF
19734 .xword 0xDEADBEEFDEADBEEF
19735 .xword 0xDEADBEEFDEADBEEF
19736 .xword 0xDEADBEEFDEADBEEF
19737 .xword 0xDEADBEEFDEADBEEF
19738 .xword 0xDEADBEEFDEADBEEF
19739 .xword 0xDEADBEEFDEADBEEF
19740 .xword 0xDEADBEEFDEADBEEF
19741 .xword 0xDEADBEEFDEADBEEF
19742 .xword 0xDEADBEEFDEADBEEF
19743 .xword 0xDEADBEEFDEADBEEF
19744 .xword 0xDEADBEEFDEADBEEF
19745 .xword 0xDEADBEEFDEADBEEF
19746 .xword 0xDEADBEEFDEADBEEF
19747 .xword 0xDEADBEEFDEADBEEF
19748 .xword 0xDEADBEEFDEADBEEF
19749 .xword 0xDEADBEEFDEADBEEF
19750 .xword 0xDEADBEEFDEADBEEF
19751 .xword 0xDEADBEEFDEADBEEF
19752 .xword 0xDEADBEEFDEADBEEF
19753 .xword 0xDEADBEEFDEADBEEF
19754 .xword 0xDEADBEEFDEADBEEF
19755 .xword 0xDEADBEEFDEADBEEF
19756 .xword 0xDEADBEEFDEADBEEF
19757 .xword 0xDEADBEEFDEADBEEF
19758 .xword 0xDEADBEEFDEADBEEF
19759 .xword 0xDEADBEEFDEADBEEF
19760 .xword 0xDEADBEEFDEADBEEF
19761 .xword 0xDEADBEEFDEADBEEF
19762 .xword 0xDEADBEEFDEADBEEF
19763 .xword 0xDEADBEEFDEADBEEF
19764 .xword 0xDEADBEEFDEADBEEF
19765 .xword 0xDEADBEEFDEADBEEF
19766 .xword 0xDEADBEEFDEADBEEF
19767 .xword 0xDEADBEEFDEADBEEF
19768 .xword 0xDEADBEEFDEADBEEF
19769 .xword 0xDEADBEEFDEADBEEF
19770 .xword 0xDEADBEEFDEADBEEF
19771 .xword 0xDEADBEEFDEADBEEF
19772 .xword 0xDEADBEEFDEADBEEF
19773 .xword 0xDEADBEEFDEADBEEF
19774 .xword 0xDEADBEEFDEADBEEF
19775 .xword 0xDEADBEEFDEADBEEF
19776 .xword 0xDEADBEEFDEADBEEF
19777 .xword 0xDEADBEEFDEADBEEF
19778 .xword 0xDEADBEEFDEADBEEF
19779 .xword 0xDEADBEEFDEADBEEF
19780 .xword 0xDEADBEEFDEADBEEF
19781 .xword 0xDEADBEEFDEADBEEF
19782 .xword 0xDEADBEEFDEADBEEF
19783 .xword 0xDEADBEEFDEADBEEF
19784 .xword 0xDEADBEEFDEADBEEF
19785 .xword 0xDEADBEEFDEADBEEF
19786 .xword 0xDEADBEEFDEADBEEF
19787 .xword 0xDEADBEEFDEADBEEF
19788 .xword 0xDEADBEEFDEADBEEF
19789 .xword 0xDEADBEEFDEADBEEF
19790 .xword 0xDEADBEEFDEADBEEF
19791 .xword 0xDEADBEEFDEADBEEF
19792 .xword 0xDEADBEEFDEADBEEF
19793 .xword 0xDEADBEEFDEADBEEF
19794 .xword 0xDEADBEEFDEADBEEF
19795 .xword 0xDEADBEEFDEADBEEF
19796 .xword 0xDEADBEEFDEADBEEF
19797 .xword 0xDEADBEEFDEADBEEF
19798 .xword 0xDEADBEEFDEADBEEF
19799 .xword 0xDEADBEEFDEADBEEF
19800 .xword 0xDEADBEEFDEADBEEF
19801 .xword 0xDEADBEEFDEADBEEF
19802 .xword 0xDEADBEEFDEADBEEF
19803 .xword 0xDEADBEEFDEADBEEF
19804 .xword 0xDEADBEEFDEADBEEF
19805 .xword 0xDEADBEEFDEADBEEF
19806 .xword 0xDEADBEEFDEADBEEF
19807 .xword 0xDEADBEEFDEADBEEF
19808 .xword 0xDEADBEEFDEADBEEF
19809 .xword 0xDEADBEEFDEADBEEF
19810 .xword 0xDEADBEEFDEADBEEF
19811 .xword 0xDEADBEEFDEADBEEF
19812 .xword 0xDEADBEEFDEADBEEF
19813 .xword 0xDEADBEEFDEADBEEF
19814 .xword 0xDEADBEEFDEADBEEF
19815 .xword 0xDEADBEEFDEADBEEF
19816 .xword 0xDEADBEEFDEADBEEF
19817 .xword 0xDEADBEEFDEADBEEF
19818 .xword 0xDEADBEEFDEADBEEF
19819 .xword 0xDEADBEEFDEADBEEF
19820 .xword 0xDEADBEEFDEADBEEF
19821 .xword 0xDEADBEEFDEADBEEF
19822 .xword 0xDEADBEEFDEADBEEF
19823 .xword 0xDEADBEEFDEADBEEF
19824.align 16
19825_t1_hash_auth_key:
19826 .xword 0x91f401c930b3cfed
19827 .xword 0x0c751de5df9df4eb
19828 .xword 0xda77996f5161c1a5
19829 .xword 0x6581ed50b55e2088
19830 .xword 0x363cd45cb6082867
19831 .xword 0x4c04b13bd2ebdea8
19832 .xword 0x3594d84bb9dc0818
19833 .xword 0x9d3e0edd3d7c3ccb
19834 .xword 0x383d5106b8302620
19835 .xword 0x839828cece437fac
19836 .xword 0x560384e1af42914a
19837 .xword 0xbf975d6400010559
19838 .xword 0x04a322c19039ee5a
19839 .xword 0x341c00e94ac8a726
19840 .xword 0x0f0f12b8ac436def
19841 .xword 0x340015bda8fbd0de
19842 .xword 0xb5ee08d3d88258f8
19843 .xword 0x59d0f0f1b7f4b4dd
19844 .xword 0xc519f7b850899f1d
19845 .xword 0x79ccb79f2fbbbf00
19846 .xword 0x37dbc530a089ad77
19847 .xword 0xd412d715f63e8632
19848 .xword 0x6f2f6b36de4b5429
19849.align 16
19850_t1_hash_auth_iv:
19851 .xword 0x24c2cff2c4b3bbf3
19852 .xword 0x0f0f34dd49ec3d08
19853 .xword 0x61780248905d9321
19854 .xword 0x5c2f463abfc47ce7
19855 .xword 0x320492ce8b657d81
19856 .xword 0x9e2ba671e34cfa86
19857 .xword 0x17a4779634ab2c48
19858 .xword 0xceccce83f6f7a35b
19859 .xword 0x75213561771e4858
19860 .xword 0x5d291dc702279f91
19861 .xword 0x295120708a5ce414
19862 .xword 0xf0dd55ac4c95ea8c
19863 .xword 0xbf61f1c9550b29e4
19864 .xword 0xbf908080f0f9a8f0
19865 .xword 0x858a7c7f7237a575
19866 .xword 0x6c4b81db98870751
19867 .xword 0x451e8e598f1b9f79
19868 .xword 0x59bfd54318773cd5
19869 .xword 0x221344351228bf5d
19870 .xword 0x1521272da58f4616
19871 .xword 0x8c2e7705cb1dc0b0
19872 .xword 0xf5b94b80590ca2df
19873 .xword 0xb333f8aefc99933c
19874.align 16
19875_t1_hash_fas_result:
19876 .xword 0xDEADBEEFDEADBEEF
19877 .xword 0xDEADBEEFDEADBEEF
19878 .xword 0xDEADBEEFDEADBEEF
19879 .xword 0xDEADBEEFDEADBEEF
19880 .xword 0xDEADBEEFDEADBEEF
19881 .xword 0xDEADBEEFDEADBEEF
19882 .xword 0xDEADBEEFDEADBEEF
19883 .xword 0xDEADBEEFDEADBEEF
19884 .xword 0xDEADBEEFDEADBEEF
19885 .xword 0xDEADBEEFDEADBEEF
19886 .xword 0xDEADBEEFDEADBEEF
19887 .xword 0xDEADBEEFDEADBEEF
19888 .xword 0xDEADBEEFDEADBEEF
19889 .xword 0xDEADBEEFDEADBEEF
19890 .xword 0xDEADBEEFDEADBEEF
19891 .xword 0xDEADBEEFDEADBEEF
19892 .xword 0xDEADBEEFDEADBEEF
19893 .xword 0xDEADBEEFDEADBEEF
19894 .xword 0xDEADBEEFDEADBEEF
19895 .xword 0xDEADBEEFDEADBEEF
19896 .xword 0xDEADBEEFDEADBEEF
19897 .xword 0xDEADBEEFDEADBEEF
19898 .xword 0xDEADBEEFDEADBEEF
19899.align 16
19900_t1_hmac_key_array:
19901 .xword 0xf4c027050bd1b615
19902 .xword 0xe023b54fc45d8288
19903 .xword 0x6c1f2147175077e1
19904 .xword 0x95cce0caf832e5aa
19905 .xword 0x48f1aac88f8c3eb6
19906 .xword 0x1f6cecdba09806cf
19907 .xword 0x7bad260f6bc19520
19908 .xword 0x739b4057f93a0e46
19909 .xword 0x67249f9c90d567db
19910 .xword 0x2884c1ecd275519b
19911 .xword 0xfd49359433e222b6
19912 .xword 0x3f9a15f5edf29890
19913 .xword 0x2fdae1aa4f55f9cb
19914 .xword 0xd04472ee312228e4
19915 .xword 0xe831f54f899410b4
19916 .xword 0x01683e8c6095a685
19917 .xword 0xb0ef048ec6010e9e
19918 .xword 0x8427e3fc9ada2289
19919 .xword 0x3e07cf36a2630d5a
19920 .xword 0x0eac8a5a79af3283
19921 .xword 0x7c283c3da2cf3e9a
19922 .xword 0x7b8d0c0b2eeceed3
19923 .xword 0xd198d2f6f228c8e5
19924 .xword 0xa0c0ccd8497eede2
19925 .xword 0x3db87dcf61cf538c
19926 .xword 0xaede17d351206ca6
19927 .xword 0x098d5f35138419c9
19928 .xword 0xbe20df6e73edb290
19929 .xword 0xad1b59df907bdf40
19930 .xword 0xfa985cf15dc1dbf6
19931 .xword 0x2f2f38bd3db4d0aa
19932 .xword 0x1b44177d454b01d1
19933 .xword 0x89ce4f2e5cc0bcfc
19934 .xword 0xf2276a090aebaefe
19935 .xword 0x0f7d79b0760e79a2
19936 .xword 0xda5999cc06d9ead2
19937 .xword 0x5c069f83e7cf652d
19938 .xword 0x6d25887da739c869
19939 .xword 0x20b45496a9973849
19940 .xword 0xded09c4262ee0460
19941 .xword 0x775ab8a4961589b9
19942 .xword 0x093f394f89d77918
19943 .xword 0x356fe91a1ca24206
19944 .xword 0x26601a9841c221ee
19945 .xword 0x1de0e06fdb4af172
19946 .xword 0x02b0248b2441ca2f
19947 .xword 0x68323d3424011631
19948 .xword 0x217c73dfe6c175b8
19949 .xword 0x043d59f321bc9d0b
19950 .xword 0x5ebe8e76df8e5469
19951 .xword 0xfbd577431541b879
19952.align 16
19953_t1_hmac_iv_array:
19954 .xword 0xe8178e24df9955c0
19955 .xword 0x8ec34b3c1923f571
19956 .xword 0xb6db6c9385c14e26
19957 .xword 0x4e16262ab4b74186
19958 .xword 0x656e49f5a16697b6
19959 .xword 0xa6df13bc2aff03f1
19960 .xword 0xdc57824acdef84ff
19961 .xword 0xa440d4f89a8b0a0e
19962 .xword 0x55f170717e78b042
19963 .xword 0xbac721200b094d35
19964 .xword 0xa763bd6af48aa515
19965 .xword 0x715b251d0a98587b
19966 .xword 0x59cce4821ca8e0ae
19967 .xword 0x4be3972e5d4e803d
19968 .xword 0x4d8e20648ee72590
19969 .xword 0xdfb2ae4a71e6cdd5
19970 .xword 0x56b6f53c99332cf4
19971 .xword 0x88f63f99397dbbae
19972 .xword 0x53c8ae6367f9fdb2
19973 .xword 0xe6284dc71e45bed8
19974 .xword 0x58ba5af68ac37c1f
19975 .xword 0x72edbad5f141010d
19976 .xword 0x2f3106f8a640e688
19977 .xword 0x4d19e46c1a057b55
19978 .xword 0x808c0ed6c5816661
19979 .xword 0x009b1a99497d5c09
19980 .xword 0x1674a884a318d044
19981 .xword 0x1d956c99290f784f
19982 .xword 0x2cb8c3c8fc8d002e
19983 .xword 0xb0ce0b7a0441ad30
19984 .xword 0x2c39dd810ff38519
19985 .xword 0x118a8e7b7ad2df22
19986 .xword 0x971552fab64572eb
19987 .xword 0xe63934f3df0080ed
19988 .xword 0xaab38cac1c9e6999
19989 .xword 0xe30433beb26fd87e
19990 .xword 0xb5f0ae52ea07969f
19991 .xword 0x4db793c818623d81
19992 .xword 0x08a627ba85f2d926
19993 .xword 0x351b4fe73ee312d8
19994 .xword 0xa5bd2113edf113ff
19995 .xword 0x9b8b791163106e58
19996 .xword 0x92ac2a32dfa4c480
19997 .xword 0x26d965e90364f4f2
19998 .xword 0xb55537be2f70c5af
19999.align 16
20000_t1_hmac_alignment_array:
20001 .xword 9
20002 .xword 8
20003 .xword 10
20004 .xword 1
20005 .xword 15
20006 .xword 13
20007 .xword 0
20008 .xword 0
20009 .xword 5
20010 .xword 8
20011 .xword 2
20012 .xword 4
20013 .xword 15
20014 .xword 6
20015 .xword 2
20016 .xword 2
20017 .xword 3
20018 .xword 5
20019 .xword 6
20020 .xword 12
20021 .xword 4
20022 .xword 3
20023 .xword 3
20024 .xword 0
20025 .xword 12
20026 .xword 1
20027 .xword 4
20028 .xword 13
20029 .xword 11
20030 .xword 0
20031 .xword 8
20032 .xword 11
20033 .xword 15
20034 .xword 10
20035 .xword 7
20036 .xword 6
20037 .xword 4
20038 .xword 14
20039 .xword 4
20040 .xword 8
20041 .xword 1
20042 .xword 1
20043 .xword 10
20044 .xword 9
20045 .xword 9
20046 .xword 12
20047 .xword 12
20048 .xword 13
20049 .xword 13
20050 .xword 14
20051 .xword 6
20052 .xword 0
20053 .xword 2
20054 .xword 0
20055 .xword 8
20056 .xword 5
20057 .xword 8
20058 .xword 8
20059 .xword 0
20060 .xword 13
20061 .xword 6
20062 .xword 8
20063 .xword 5
20064 .xword 12
20065 .xword 8
20066 .xword 6
20067 .xword 7
20068 .xword 4
20069 .xword 13
20070 .xword 10
20071 .xword 0
20072 .xword 1
20073 .xword 4
20074 .xword 10
20075 .xword 8
20076 .xword 7
20077 .xword 10
20078 .xword 14
20079 .xword 15
20080 .xword 2
20081 .xword 7
20082 .xword 3
20083 .xword 15
20084 .xword 15
20085 .xword 7
20086 .xword 7
20087 .xword 8
20088 .xword 7
20089 .xword 0
20090 .xword 0
20091 .xword 14
20092 .xword 10
20093 .xword 15
20094 .xword 2
20095 .xword 9
20096 .xword 5
20097 .xword 9
20098 .xword 5
20099 .xword 1
20100 .xword 0
20101 .xword 10
20102 .xword 14
20103 .xword 5
20104 .xword 6
20105 .xword 5
20106.align 16
20107_t1_hmac_src:
20108 .xword 0x858c4ec4a6832776
20109 .xword 0xb551e32729ea216f
20110 .xword 0xa55380da125042a6
20111 .xword 0x197a9d75eb74c219
20112 .xword 0x148abd216b38acd8
20113 .xword 0xed982c36a9b99a18
20114 .xword 0x316755109e198660
20115 .xword 0xd3918d6abe704180
20116 .xword 0x0a8672a8713862d0
20117 .xword 0xd3746407eb5b89e7
20118 .xword 0x0691f7c92514035c
20119 .xword 0xf0b02d313d708ce7
20120 .xword 0xd942d07e0026a6b3
20121 .xword 0x4e69245150aea1b4
20122 .xword 0x3361f410870842c5
20123 .xword 0x3e87275a19e44c36
20124 .xword 0x5aa361a671e54168
20125 .xword 0x3b5befd1155c0a73
20126 .xword 0x8e0dc47e32a74e37
20127 .xword 0xaa535cad48e13bb2
20128 .xword 0xe0804ba53b148198
20129 .xword 0xeeceaf19026aec94
20130 .xword 0x691d8e9b8d54ef48
20131 .xword 0xe436ec217db6e598
20132 .xword 0x4f9787033d7caeaa
20133 .xword 0x5863e8afaaba3a8a
20134 .xword 0x990eda23610938ac
20135 .xword 0x90a87f906913689e
20136 .xword 0x33e3e78e443a97ba
20137 .xword 0x6e297ff143e1c23e
20138 .xword 0x47971364e6441e5d
20139 .xword 0x02a645ecc194c46d
20140 .xword 0xb3f020645b177951
20141 .xword 0xb55874386107e2e3
20142 .xword 0x36baa6db043a678d
20143 .xword 0x99c660a3c0a19c25
20144 .xword 0xc972eb562fa3a764
20145 .xword 0x6ba746820dd94d13
20146 .xword 0x2a27852adfba046b
20147 .xword 0x67e8358e38c832c3
20148 .xword 0x4cc6765c59d9d6f7
20149 .xword 0x476bb38818d09e09
20150 .xword 0xe033254f6eefdb08
20151 .xword 0x4e7a0e03f2f07ade
20152 .xword 0x461a27218c7e7f19
20153 .xword 0x10f0471208155502
20154 .xword 0xf8932ca120424716
20155 .xword 0x828188226b773dc1
20156 .xword 0xc904d930b8a4e7b8
20157 .xword 0x16c87d73881e968d
20158 .xword 0xdd5a6dbe3b56a140
20159 .xword 0x6ef11d38a4ad0925
20160 .xword 0x2e8171db4404e6b2
20161 .xword 0x29b28ef1e3cbff29
20162 .xword 0x03f1a0b2c69e98ef
20163 .xword 0x3d31481c7758cecb
20164 .xword 0x8d96961cc5aba622
20165 .xword 0x13eb269758c3f679
20166 .xword 0xc8e523b35f98da5d
20167 .xword 0x5e353598c7deb91d
20168 .xword 0x2d1a67ecd395bd3a
20169 .xword 0xaacb2828924e147b
20170 .xword 0x38843b063554e1bb
20171 .xword 0xd9606809139fbd89
20172 .xword 0x3229e9af03fb3a1c
20173 .xword 0xdf26e21fdf79f1c2
20174 .xword 0x005ffa7474ac1ec5
20175 .xword 0x45cf6bc0a840a15f
20176 .xword 0xb13c0e7a69da6b05
20177 .xword 0xc2b2da543ed7872a
20178 .xword 0x10fd0799b356261a
20179 .xword 0x39e421c8506e54e6
20180 .xword 0x0d134b8eb1741636
20181 .xword 0x54a73d6d0b74e9cd
20182 .xword 0x423650ee3812fb72
20183 .xword 0x8588f7e87af58cb2
20184 .xword 0x56a37dfc4fc3bf57
20185 .xword 0xd29508c91d33bf05
20186 .xword 0x24a4065d70d0d2d1
20187 .xword 0xaed1c72a8bf411fe
20188 .xword 0x72e2aefd3fe06c27
20189 .xword 0x0060cb8430cec6f3
20190 .xword 0xe4e842a1add06fdb
20191 .xword 0x5e111f39afa9dff7
20192 .xword 0x842d4791f2d047bd
20193 .xword 0x59587d10127e7172
20194 .xword 0x1fe82ba98d7e1cf6
20195 .xword 0x7042990c458c6ac1
20196 .xword 0x51469a1daaf40f1a
20197 .xword 0x3c7b4a0ef4ea7707
20198 .xword 0xbeb7a2b5ab29e384
20199 .xword 0x4c025467bb4e5622
20200 .xword 0xd9bd9c2e5ff98f39
20201 .xword 0x20840843ca4faa82
20202 .xword 0x681f77464b66dc85
20203 .xword 0xf928f5a1d13dc41e
20204 .xword 0x567134b5cdd2136d
20205 .xword 0xc59d7e3d64fec024
20206 .xword 0x048532c1149cd1f3
20207 .xword 0x6954761e453eb946
20208 .xword 0xe054ab7ed691a0f7
20209 .xword 0xe1a2d0731b76c858
20210 .xword 0x89fa0767a2b25803
20211 .xword 0xfb44dfd68197fedb
20212 .xword 0xd2298882fad1d013
20213 .xword 0x692360a1f02b35e2
20214 .xword 0x27703c9aabb2b123
20215 .xword 0x4b8a0d80d13da518
20216 .xword 0x43cd22af3ba13e6d
20217 .xword 0x11eb0f77b5b60bf0
20218 .xword 0x56ecb41ac3cf033b
20219 .xword 0x9cb144383baaaf4f
20220 .xword 0x09f40243dd2dfe31
20221 .xword 0xdb4e36100082f03f
20222 .xword 0xa07fa28964414945
20223 .xword 0x6882a6c7860bb886
20224 .xword 0xa348f2fe1a801f00
20225 .xword 0x246a9f709b9b45d9
20226 .xword 0xf910ff487e38ecec
20227 .xword 0x0f782eab82304dfe
20228 .xword 0xc56210115f1a60c9
20229 .xword 0xd5386999da4ef73b
20230 .xword 0x9707e69827aa4fcb
20231 .xword 0x9e61e35cb9c48069
20232 .xword 0xcbd65d60853b040a
20233 .xword 0xb7e18ac010860a94
20234 .xword 0x84e60aa07ac0349d
20235 .xword 0xaca068bb6962fde5
20236 .xword 0x779152c4929c5e05
20237 .xword 0xee61bcd608f935d1
20238 .xword 0xa32963a9ae8f9c8e
20239 .xword 0xd8668ed8afa73696
20240 .xword 0x30d39d4b3c0a4108
20241 .xword 0xb27719f3f09afe57
20242 .xword 0x90f653445394597c
20243 .xword 0xd2280336e5a48be2
20244 .xword 0xf62943f237b71ee4
20245 .xword 0x862a3e10d672d83f
20246 .xword 0x0eee8e8eeeba2a50
20247 .xword 0xa67b7d97402a290d
20248 .xword 0xd931cb256172b6f5
20249 .xword 0xb1a82d08c7e6f509
20250 .xword 0xa54f81e9e8fd6858
20251 .xword 0xa03aa29762e1e099
20252 .xword 0xf1f5e4522b1e63e8
20253 .xword 0x7dfac410cc26680b
20254 .xword 0xb90c12e33cc01625
20255 .xword 0x56e92cea54820c0b
20256 .xword 0xa0e6b4c55ed66ba6
20257 .xword 0xf954ebe2c4b46470
20258 .xword 0x4d0cc5b90d95ab75
20259 .xword 0xd3d06d1169f09eb7
20260 .xword 0x259cbb49544d4834
20261 .xword 0x71da16946b05b903
20262 .xword 0xe816a8218c3bde5e
20263 .xword 0xc3e2bbfdaed1b297
20264 .xword 0x2cb5963439f855e1
20265 .xword 0xadc43fa1a63f802e
20266 .xword 0x5a3f5d5ba3c34175
20267 .xword 0x640de855c065692b
20268 .xword 0xc6a4b99d33472210
20269 .xword 0x2a5898e45ce08d9f
20270 .xword 0x08d627437d5a9f81
20271 .xword 0xf5b570b44b162f7e
20272 .xword 0x2a8f29c2d33bda65
20273 .xword 0x507507ac3d3d5882
20274 .xword 0x692ebba85458f305
20275 .xword 0x59bdb82e4ce77915
20276 .xword 0x2463055e42b06535
20277 .xword 0xcc7d6009809db269
20278 .xword 0x2a03106111467ad0
20279 .xword 0xd8ef94bf64af0c07
20280 .xword 0x299ba4cf59b093da
20281 .xword 0x284061e05421f510
20282 .xword 0x1b3ff4e8ae44b2cf
20283 .xword 0xa8b9769193790c3c
20284 .xword 0x562ca86719a9a4ce
20285 .xword 0xff19512f1b9fb319
20286 .xword 0xe5fae58ffc9b3b9c
20287 .xword 0x6cec3cbb1225ed86
20288 .xword 0x9da1e67f9d1de947
20289 .xword 0xeb9bfec1b00635ab
20290 .xword 0x5f012fa405cd02d6
20291 .xword 0xc46ceed6961d3557
20292 .xword 0x0975040e1e9413c4
20293 .xword 0xf14a5ceb51229b87
20294 .xword 0x6c2f1217f40fe485
20295 .xword 0x7b044e9df0cf3b73
20296 .xword 0x73e086572e73c0ce
20297 .xword 0x21038941c2865c18
20298 .xword 0xfd1293822706958d
20299 .xword 0x790654f35257f7cc
20300 .xword 0xc98bfd4f6c4ad41c
20301 .xword 0xeff6d51b85dd3bb4
20302 .xword 0xa951fc22609838ab
20303 .xword 0x232040f065205cbf
20304 .xword 0xb5d4ff85b7765628
20305 .xword 0xb04a177f67935dda
20306 .xword 0xfc14b3fcf3084c93
20307 .xword 0x238b661930608159
20308 .xword 0x61e7ec196d08f556
20309 .xword 0xc8a76af50a75c1a3
20310 .xword 0x8a5c5602b5d608d3
20311 .xword 0xf8b6975e24e87668
20312 .xword 0x94ebfd4ccb22f9dd
20313 .xword 0x0e43e8a04a9e4d1b
20314 .xword 0xaa85346d02b6e9c6
20315 .xword 0x5ccde0030742d28a
20316 .xword 0xfda722ab28677937
20317 .xword 0x23676f2b9f67fe5e
20318 .xword 0xe07f8f56250fad03
20319 .xword 0x7c82358061f6c0a2
20320 .xword 0xc8467f936641849c
20321 .xword 0x42cb38aace669206
20322 .xword 0x2b78671a201876f6
20323 .xword 0xd1053f483b484351
20324 .xword 0x1381b086e9610c28
20325 .xword 0x8aff5397ef7b42fe
20326 .xword 0xbd695c71497f8fb9
20327 .xword 0xa78a0997b37268bf
20328 .xword 0x8d4ee0e8611f5dbd
20329 .xword 0x5ebc53a235971ce4
20330 .xword 0xfb9aa2f91a3eaaef
20331 .xword 0x2bacd26e8bb66451
20332 .xword 0x78b57dbfdb6b281e
20333 .xword 0xfe11244b0b86d760
20334 .xword 0x28b4f3bb13529e11
20335 .xword 0xdf65921ed0ec0554
20336 .xword 0xa2f047758e963c60
20337 .xword 0x60cbcc773f0a57db
20338 .xword 0x3721669f49c05647
20339 .xword 0x2c0a2752c3029bfc
20340 .xword 0x7edc9cdbfbfb1948
20341 .xword 0x31eba4c9568de5ab
20342 .xword 0xf8a92b115a81f475
20343 .xword 0xcb973373bfac0b63
20344 .xword 0x39589b843e932afa
20345 .xword 0x63595a929ee4795d
20346 .xword 0xea49d8a389d0b94c
20347 .xword 0xf1e47bc6ee99fef9
20348 .xword 0x90171864c858441e
20349 .xword 0x857ca343fc621845
20350 .xword 0x18b5d3bfcf57da02
20351 .xword 0x2084954bff39fe01
20352 .xword 0x49f290a301972105
20353 .xword 0x71edc50eb023c92d
20354 .xword 0xc632178f81a9fd63
20355 .xword 0xfde5286ddb615b56
20356 .xword 0xd9db8689d1be05a9
20357 .xword 0x34e15937b11e8327
20358 .xword 0x7478bd905a3c2657
20359 .xword 0x5ac6d0abe936be14
20360 .xword 0xdf45baf6acd64bfd
20361 .xword 0x964b8f66b650822f
20362 .xword 0x0d6961e3cc5f8f33
20363 .xword 0xa78cc32b27e29695
20364 .xword 0x1dce3cb21ce4c0c2
20365 .xword 0x5246a2b78c1267dd
20366 .xword 0x32e91d953f316d32
20367 .xword 0x0e0282d79effa0ea
20368 .xword 0x97c20688439b04f4
20369 .xword 0x2784e44afb846ef0
20370 .xword 0x4e751bf6d464cd83
20371 .xword 0xa846219f7aeb9a01
20372 .xword 0x250659b798ec6a70
20373 .xword 0x6b47b60c5c383b2b
20374 .xword 0xd4035618d84abce2
20375 .xword 0xc2fd7a5a081a0838
20376 .xword 0xc050bb7caafe8199
20377 .xword 0x8a421827da6169f7
20378 .xword 0xd7e68fcacfb692b6
20379 .xword 0x319b19efbbce107a
20380 .xword 0x0fe608b4d406e5d9
20381 .xword 0x73856b9804156d1c
20382 .xword 0xcfb0ee836209ed7b
20383.align 16
20384_t1_hmac_dest:
20385 .xword 0xDEADBEEFDEADBEEF
20386 .xword 0xDEADBEEFDEADBEEF
20387 .xword 0xDEADBEEFDEADBEEF
20388 .xword 0xDEADBEEFDEADBEEF
20389 .xword 0xDEADBEEFDEADBEEF
20390 .xword 0xDEADBEEFDEADBEEF
20391 .xword 0xDEADBEEFDEADBEEF
20392 .xword 0xDEADBEEFDEADBEEF
20393 .xword 0xDEADBEEFDEADBEEF
20394 .xword 0xDEADBEEFDEADBEEF
20395 .xword 0xDEADBEEFDEADBEEF
20396 .xword 0xDEADBEEFDEADBEEF
20397 .xword 0xDEADBEEFDEADBEEF
20398 .xword 0xDEADBEEFDEADBEEF
20399 .xword 0xDEADBEEFDEADBEEF
20400 .xword 0xDEADBEEFDEADBEEF
20401 .xword 0xDEADBEEFDEADBEEF
20402 .xword 0xDEADBEEFDEADBEEF
20403 .xword 0xDEADBEEFDEADBEEF
20404 .xword 0xDEADBEEFDEADBEEF
20405 .xword 0xDEADBEEFDEADBEEF
20406 .xword 0xDEADBEEFDEADBEEF
20407 .xword 0xDEADBEEFDEADBEEF
20408 .xword 0xDEADBEEFDEADBEEF
20409 .xword 0xDEADBEEFDEADBEEF
20410 .xword 0xDEADBEEFDEADBEEF
20411 .xword 0xDEADBEEFDEADBEEF
20412 .xword 0xDEADBEEFDEADBEEF
20413 .xword 0xDEADBEEFDEADBEEF
20414 .xword 0xDEADBEEFDEADBEEF
20415 .xword 0xDEADBEEFDEADBEEF
20416 .xword 0xDEADBEEFDEADBEEF
20417 .xword 0xDEADBEEFDEADBEEF
20418 .xword 0xDEADBEEFDEADBEEF
20419 .xword 0xDEADBEEFDEADBEEF
20420 .xword 0xDEADBEEFDEADBEEF
20421 .xword 0xDEADBEEFDEADBEEF
20422 .xword 0xDEADBEEFDEADBEEF
20423 .xword 0xDEADBEEFDEADBEEF
20424 .xword 0xDEADBEEFDEADBEEF
20425 .xword 0xDEADBEEFDEADBEEF
20426 .xword 0xDEADBEEFDEADBEEF
20427 .xword 0xDEADBEEFDEADBEEF
20428 .xword 0xDEADBEEFDEADBEEF
20429 .xword 0xDEADBEEFDEADBEEF
20430 .xword 0xDEADBEEFDEADBEEF
20431 .xword 0xDEADBEEFDEADBEEF
20432 .xword 0xDEADBEEFDEADBEEF
20433 .xword 0xDEADBEEFDEADBEEF
20434 .xword 0xDEADBEEFDEADBEEF
20435 .xword 0xDEADBEEFDEADBEEF
20436 .xword 0xDEADBEEFDEADBEEF
20437 .xword 0xDEADBEEFDEADBEEF
20438 .xword 0xDEADBEEFDEADBEEF
20439 .xword 0xDEADBEEFDEADBEEF
20440 .xword 0xDEADBEEFDEADBEEF
20441 .xword 0xDEADBEEFDEADBEEF
20442 .xword 0xDEADBEEFDEADBEEF
20443 .xword 0xDEADBEEFDEADBEEF
20444 .xword 0xDEADBEEFDEADBEEF
20445 .xword 0xDEADBEEFDEADBEEF
20446 .xword 0xDEADBEEFDEADBEEF
20447 .xword 0xDEADBEEFDEADBEEF
20448 .xword 0xDEADBEEFDEADBEEF
20449 .xword 0xDEADBEEFDEADBEEF
20450 .xword 0xDEADBEEFDEADBEEF
20451 .xword 0xDEADBEEFDEADBEEF
20452 .xword 0xDEADBEEFDEADBEEF
20453 .xword 0xDEADBEEFDEADBEEF
20454 .xword 0xDEADBEEFDEADBEEF
20455 .xword 0xDEADBEEFDEADBEEF
20456 .xword 0xDEADBEEFDEADBEEF
20457 .xword 0xDEADBEEFDEADBEEF
20458 .xword 0xDEADBEEFDEADBEEF
20459 .xword 0xDEADBEEFDEADBEEF
20460 .xword 0xDEADBEEFDEADBEEF
20461 .xword 0xDEADBEEFDEADBEEF
20462 .xword 0xDEADBEEFDEADBEEF
20463 .xword 0xDEADBEEFDEADBEEF
20464 .xword 0xDEADBEEFDEADBEEF
20465 .xword 0xDEADBEEFDEADBEEF
20466 .xword 0xDEADBEEFDEADBEEF
20467 .xword 0xDEADBEEFDEADBEEF
20468 .xword 0xDEADBEEFDEADBEEF
20469 .xword 0xDEADBEEFDEADBEEF
20470 .xword 0xDEADBEEFDEADBEEF
20471 .xword 0xDEADBEEFDEADBEEF
20472 .xword 0xDEADBEEFDEADBEEF
20473 .xword 0xDEADBEEFDEADBEEF
20474 .xword 0xDEADBEEFDEADBEEF
20475 .xword 0xDEADBEEFDEADBEEF
20476 .xword 0xDEADBEEFDEADBEEF
20477 .xword 0xDEADBEEFDEADBEEF
20478 .xword 0xDEADBEEFDEADBEEF
20479 .xword 0xDEADBEEFDEADBEEF
20480 .xword 0xDEADBEEFDEADBEEF
20481 .xword 0xDEADBEEFDEADBEEF
20482 .xword 0xDEADBEEFDEADBEEF
20483 .xword 0xDEADBEEFDEADBEEF
20484 .xword 0xDEADBEEFDEADBEEF
20485 .xword 0xDEADBEEFDEADBEEF
20486 .xword 0xDEADBEEFDEADBEEF
20487 .xword 0xDEADBEEFDEADBEEF
20488 .xword 0xDEADBEEFDEADBEEF
20489 .xword 0xDEADBEEFDEADBEEF
20490 .xword 0xDEADBEEFDEADBEEF
20491 .xword 0xDEADBEEFDEADBEEF
20492 .xword 0xDEADBEEFDEADBEEF
20493 .xword 0xDEADBEEFDEADBEEF
20494 .xword 0xDEADBEEFDEADBEEF
20495 .xword 0xDEADBEEFDEADBEEF
20496 .xword 0xDEADBEEFDEADBEEF
20497 .xword 0xDEADBEEFDEADBEEF
20498 .xword 0xDEADBEEFDEADBEEF
20499 .xword 0xDEADBEEFDEADBEEF
20500 .xword 0xDEADBEEFDEADBEEF
20501 .xword 0xDEADBEEFDEADBEEF
20502 .xword 0xDEADBEEFDEADBEEF
20503 .xword 0xDEADBEEFDEADBEEF
20504 .xword 0xDEADBEEFDEADBEEF
20505 .xword 0xDEADBEEFDEADBEEF
20506 .xword 0xDEADBEEFDEADBEEF
20507 .xword 0xDEADBEEFDEADBEEF
20508 .xword 0xDEADBEEFDEADBEEF
20509 .xword 0xDEADBEEFDEADBEEF
20510 .xword 0xDEADBEEFDEADBEEF
20511 .xword 0xDEADBEEFDEADBEEF
20512 .xword 0xDEADBEEFDEADBEEF
20513 .xword 0xDEADBEEFDEADBEEF
20514 .xword 0xDEADBEEFDEADBEEF
20515 .xword 0xDEADBEEFDEADBEEF
20516 .xword 0xDEADBEEFDEADBEEF
20517 .xword 0xDEADBEEFDEADBEEF
20518 .xword 0xDEADBEEFDEADBEEF
20519 .xword 0xDEADBEEFDEADBEEF
20520 .xword 0xDEADBEEFDEADBEEF
20521 .xword 0xDEADBEEFDEADBEEF
20522 .xword 0xDEADBEEFDEADBEEF
20523 .xword 0xDEADBEEFDEADBEEF
20524 .xword 0xDEADBEEFDEADBEEF
20525 .xword 0xDEADBEEFDEADBEEF
20526 .xword 0xDEADBEEFDEADBEEF
20527 .xword 0xDEADBEEFDEADBEEF
20528 .xword 0xDEADBEEFDEADBEEF
20529 .xword 0xDEADBEEFDEADBEEF
20530 .xword 0xDEADBEEFDEADBEEF
20531 .xword 0xDEADBEEFDEADBEEF
20532 .xword 0xDEADBEEFDEADBEEF
20533 .xword 0xDEADBEEFDEADBEEF
20534 .xword 0xDEADBEEFDEADBEEF
20535 .xword 0xDEADBEEFDEADBEEF
20536 .xword 0xDEADBEEFDEADBEEF
20537 .xword 0xDEADBEEFDEADBEEF
20538 .xword 0xDEADBEEFDEADBEEF
20539 .xword 0xDEADBEEFDEADBEEF
20540 .xword 0xDEADBEEFDEADBEEF
20541 .xword 0xDEADBEEFDEADBEEF
20542 .xword 0xDEADBEEFDEADBEEF
20543 .xword 0xDEADBEEFDEADBEEF
20544 .xword 0xDEADBEEFDEADBEEF
20545 .xword 0xDEADBEEFDEADBEEF
20546 .xword 0xDEADBEEFDEADBEEF
20547 .xword 0xDEADBEEFDEADBEEF
20548 .xword 0xDEADBEEFDEADBEEF
20549 .xword 0xDEADBEEFDEADBEEF
20550 .xword 0xDEADBEEFDEADBEEF
20551 .xword 0xDEADBEEFDEADBEEF
20552 .xword 0xDEADBEEFDEADBEEF
20553 .xword 0xDEADBEEFDEADBEEF
20554 .xword 0xDEADBEEFDEADBEEF
20555 .xword 0xDEADBEEFDEADBEEF
20556 .xword 0xDEADBEEFDEADBEEF
20557 .xword 0xDEADBEEFDEADBEEF
20558 .xword 0xDEADBEEFDEADBEEF
20559 .xword 0xDEADBEEFDEADBEEF
20560 .xword 0xDEADBEEFDEADBEEF
20561 .xword 0xDEADBEEFDEADBEEF
20562 .xword 0xDEADBEEFDEADBEEF
20563 .xword 0xDEADBEEFDEADBEEF
20564 .xword 0xDEADBEEFDEADBEEF
20565 .xword 0xDEADBEEFDEADBEEF
20566 .xword 0xDEADBEEFDEADBEEF
20567 .xword 0xDEADBEEFDEADBEEF
20568 .xword 0xDEADBEEFDEADBEEF
20569 .xword 0xDEADBEEFDEADBEEF
20570 .xword 0xDEADBEEFDEADBEEF
20571 .xword 0xDEADBEEFDEADBEEF
20572 .xword 0xDEADBEEFDEADBEEF
20573 .xword 0xDEADBEEFDEADBEEF
20574 .xword 0xDEADBEEFDEADBEEF
20575 .xword 0xDEADBEEFDEADBEEF
20576 .xword 0xDEADBEEFDEADBEEF
20577 .xword 0xDEADBEEFDEADBEEF
20578 .xword 0xDEADBEEFDEADBEEF
20579 .xword 0xDEADBEEFDEADBEEF
20580 .xword 0xDEADBEEFDEADBEEF
20581 .xword 0xDEADBEEFDEADBEEF
20582 .xword 0xDEADBEEFDEADBEEF
20583 .xword 0xDEADBEEFDEADBEEF
20584 .xword 0xDEADBEEFDEADBEEF
20585 .xword 0xDEADBEEFDEADBEEF
20586 .xword 0xDEADBEEFDEADBEEF
20587 .xword 0xDEADBEEFDEADBEEF
20588 .xword 0xDEADBEEFDEADBEEF
20589 .xword 0xDEADBEEFDEADBEEF
20590 .xword 0xDEADBEEFDEADBEEF
20591 .xword 0xDEADBEEFDEADBEEF
20592 .xword 0xDEADBEEFDEADBEEF
20593 .xword 0xDEADBEEFDEADBEEF
20594 .xword 0xDEADBEEFDEADBEEF
20595 .xword 0xDEADBEEFDEADBEEF
20596 .xword 0xDEADBEEFDEADBEEF
20597 .xword 0xDEADBEEFDEADBEEF
20598 .xword 0xDEADBEEFDEADBEEF
20599 .xword 0xDEADBEEFDEADBEEF
20600 .xword 0xDEADBEEFDEADBEEF
20601 .xword 0xDEADBEEFDEADBEEF
20602 .xword 0xDEADBEEFDEADBEEF
20603 .xword 0xDEADBEEFDEADBEEF
20604 .xword 0xDEADBEEFDEADBEEF
20605 .xword 0xDEADBEEFDEADBEEF
20606 .xword 0xDEADBEEFDEADBEEF
20607 .xword 0xDEADBEEFDEADBEEF
20608 .xword 0xDEADBEEFDEADBEEF
20609 .xword 0xDEADBEEFDEADBEEF
20610 .xword 0xDEADBEEFDEADBEEF
20611 .xword 0xDEADBEEFDEADBEEF
20612 .xword 0xDEADBEEFDEADBEEF
20613 .xword 0xDEADBEEFDEADBEEF
20614 .xword 0xDEADBEEFDEADBEEF
20615 .xword 0xDEADBEEFDEADBEEF
20616 .xword 0xDEADBEEFDEADBEEF
20617 .xword 0xDEADBEEFDEADBEEF
20618 .xword 0xDEADBEEFDEADBEEF
20619 .xword 0xDEADBEEFDEADBEEF
20620 .xword 0xDEADBEEFDEADBEEF
20621 .xword 0xDEADBEEFDEADBEEF
20622 .xword 0xDEADBEEFDEADBEEF
20623 .xword 0xDEADBEEFDEADBEEF
20624 .xword 0xDEADBEEFDEADBEEF
20625 .xword 0xDEADBEEFDEADBEEF
20626 .xword 0xDEADBEEFDEADBEEF
20627 .xword 0xDEADBEEFDEADBEEF
20628 .xword 0xDEADBEEFDEADBEEF
20629 .xword 0xDEADBEEFDEADBEEF
20630 .xword 0xDEADBEEFDEADBEEF
20631 .xword 0xDEADBEEFDEADBEEF
20632 .xword 0xDEADBEEFDEADBEEF
20633 .xword 0xDEADBEEFDEADBEEF
20634 .xword 0xDEADBEEFDEADBEEF
20635 .xword 0xDEADBEEFDEADBEEF
20636 .xword 0xDEADBEEFDEADBEEF
20637 .xword 0xDEADBEEFDEADBEEF
20638 .xword 0xDEADBEEFDEADBEEF
20639 .xword 0xDEADBEEFDEADBEEF
20640 .xword 0xDEADBEEFDEADBEEF
20641 .xword 0xDEADBEEFDEADBEEF
20642 .xword 0xDEADBEEFDEADBEEF
20643 .xword 0xDEADBEEFDEADBEEF
20644 .xword 0xDEADBEEFDEADBEEF
20645 .xword 0xDEADBEEFDEADBEEF
20646 .xword 0xDEADBEEFDEADBEEF
20647 .xword 0xDEADBEEFDEADBEEF
20648 .xword 0xDEADBEEFDEADBEEF
20649 .xword 0xDEADBEEFDEADBEEF
20650 .xword 0xDEADBEEFDEADBEEF
20651 .xword 0xDEADBEEFDEADBEEF
20652 .xword 0xDEADBEEFDEADBEEF
20653 .xword 0xDEADBEEFDEADBEEF
20654 .xword 0xDEADBEEFDEADBEEF
20655 .xword 0xDEADBEEFDEADBEEF
20656 .xword 0xDEADBEEFDEADBEEF
20657 .xword 0xDEADBEEFDEADBEEF
20658 .xword 0xDEADBEEFDEADBEEF
20659 .xword 0xDEADBEEFDEADBEEF
20660.align 16
20661_t1_hmac_auth_key:
20662 .xword 0x66ac75c41583ebdb
20663 .xword 0xfd2995272296dd46
20664 .xword 0x96d92707f7e6a843
20665 .xword 0x659e286676dbfaa7
20666 .xword 0xecfdac1a558311a8
20667 .xword 0x785525bc0aa0d7bb
20668 .xword 0xa112467020a11748
20669 .xword 0xec4af542608533f6
20670 .xword 0x2849318f90e89514
20671 .xword 0x0445adacf3ef17dc
20672 .xword 0x13443132a8fb7e36
20673 .xword 0x0274daef0aa72161
20674 .xword 0x9f54864b8308f610
20675 .xword 0xf45b117c768a34e1
20676 .xword 0xb2140d335b87345d
20677 .xword 0xdb1eb6e93a7601e4
20678 .xword 0x092645cddf438551
20679 .xword 0xc09c8eda825bcdec
20680 .xword 0x6a62d547ace67ffd
20681 .xword 0x2462c36a1efed573
20682 .xword 0xbfde3ec597cdec31
20683 .xword 0x259bc2c663112f74
20684 .xword 0xf7f2f16a73bcfd7d
20685.align 16
20686_t1_hmac_auth_iv:
20687 .xword 0xcf46ffdf2aec001b
20688 .xword 0xc2af562f9e917940
20689 .xword 0xb9dced100452dcae
20690 .xword 0x8688c9222ba67bc7
20691 .xword 0x3d56e7be216d63b3
20692 .xword 0x15c92d3c9aed2e2d
20693 .xword 0x73e337713e5b157d
20694 .xword 0x540c901ecbab3f90
20695 .xword 0xb214e291dd795d1b
20696 .xword 0x2e2fd4f30016a526
20697 .xword 0x46505d02622d859a
20698 .xword 0x0fad95e1311eddc3
20699 .xword 0x8621f2cafc5314ba
20700 .xword 0x3ca8e97df49fd3ce
20701 .xword 0xdca0ece5b07cf4a2
20702 .xword 0x5e4bb582de42c7fe
20703 .xword 0xdc5565432f7408d9
20704 .xword 0x97ed8886e6340ace
20705 .xword 0x8ba9cde0e0d03c5f
20706 .xword 0x3c143e56dc3299ab
20707 .xword 0x8a0022b3f561d646
20708 .xword 0x5a6dcec6597b32ce
20709 .xword 0xaae866ae7dbe3b10
20710.align 16
20711_t1_hmac_fas_result:
20712 .xword 0xDEADBEEFDEADBEEF
20713 .xword 0xDEADBEEFDEADBEEF
20714 .xword 0xDEADBEEFDEADBEEF
20715 .xword 0xDEADBEEFDEADBEEF
20716 .xword 0xDEADBEEFDEADBEEF
20717 .xword 0xDEADBEEFDEADBEEF
20718 .xword 0xDEADBEEFDEADBEEF
20719 .xword 0xDEADBEEFDEADBEEF
20720 .xword 0xDEADBEEFDEADBEEF
20721 .xword 0xDEADBEEFDEADBEEF
20722 .xword 0xDEADBEEFDEADBEEF
20723 .xword 0xDEADBEEFDEADBEEF
20724 .xword 0xDEADBEEFDEADBEEF
20725 .xword 0xDEADBEEFDEADBEEF
20726 .xword 0xDEADBEEFDEADBEEF
20727 .xword 0xDEADBEEFDEADBEEF
20728 .xword 0xDEADBEEFDEADBEEF
20729 .xword 0xDEADBEEFDEADBEEF
20730 .xword 0xDEADBEEFDEADBEEF
20731 .xword 0xDEADBEEFDEADBEEF
20732 .xword 0xDEADBEEFDEADBEEF
20733 .xword 0xDEADBEEFDEADBEEF
20734 .xword 0xDEADBEEFDEADBEEF
20735.align 16
20736_t1_rc4_key_array:
20737 .xword 0x13106967ae9b02c3
20738 .xword 0x3f844f82f420c42f
20739 .xword 0xc750049112264d70
20740 .xword 0x69cc4f657f1d458f
20741 .xword 0xbd898914f0430f5a
20742 .xword 0x9358353f3d8eaaec
20743 .xword 0x27ef32d21ceeb1ba
20744 .xword 0x000defc5bf982fa3
20745 .xword 0xebff9d90b6ffa283
20746 .xword 0xf59d5f5a650df40d
20747 .xword 0xe02ef46a78b1ce76
20748 .xword 0xbcf02b65e56a6842
20749 .xword 0xea69a11072bd1b79
20750 .xword 0xa6d5a254c2b430a0
20751 .xword 0xe94456d3be6c8b4d
20752 .xword 0x80b721ee43a1f196
20753 .xword 0x620e5fec7104d07d
20754 .xword 0x57058ac4b23c3098
20755 .xword 0x06e7767df0b526e0
20756 .xword 0x2ea4b52e73b988a9
20757 .xword 0x004ea4071b15513d
20758 .xword 0x6c21263dd14dda7f
20759 .xword 0x60804b9bb2327bc2
20760 .xword 0x692a8de100106f26
20761 .xword 0x0a8a63dceb60decb
20762 .xword 0xbf812e8d00571dbb
20763 .xword 0xf6087e484756275d
20764 .xword 0xaa35a541093fcf1e
20765 .xword 0xbe6a1dfbf421f2bd
20766 .xword 0x9936434750c1c9eb
20767 .xword 0x574efa718187bb4c
20768 .xword 0x8edaab0277db4dee
20769 .xword 0x99b80b9cffb327fd
20770 .xword 0x2333535e2014e7a1
20771 .xword 0x9b10465943888deb
20772 .xword 0x13921e77fbf4a7b2
20773 .xword 0x8061b5dfa970fa70
20774 .xword 0xd2f61a2b8d06e8bb
20775 .xword 0x93c712333fa1d41a
20776 .xword 0x05153878638b7532
20777 .xword 0xd2b6148daba72f22
20778 .xword 0x859b7d4f8eac05bd
20779 .xword 0xdb8d9129d7ac5f19
20780 .xword 0x9801674ce611d96e
20781 .xword 0xce8d58c65a818fe7
20782 .xword 0xd624cf720e3e3c69
20783 .xword 0x992774d1def71299
20784 .xword 0x5b527c8e84b9d079
20785 .xword 0x43dd00a00f360c2f
20786 .xword 0xd20966ac903850cd
20787 .xword 0xb737635b21a925b7
20788.align 16
20789_t1_rc4_iv_array:
20790 .xword 0x38c1135c83ef44ff
20791 .xword 0x05131a708e5ee185
20792 .xword 0x8ca47e40760780c5
20793 .xword 0xb371ce2ef9469371
20794 .xword 0x483eb139f04328e7
20795 .xword 0xe389916b546e36ef
20796 .xword 0x7374483572496ecf
20797 .xword 0x0e3bc88e978e98f9
20798 .xword 0xd841d6e956a71588
20799 .xword 0xfa87c7d79223951a
20800 .xword 0xb7345ea71de167fc
20801 .xword 0x7247c00ae37f09cd
20802 .xword 0x087fb2154ac22568
20803 .xword 0xb69b8e14ef2ca562
20804 .xword 0x2b7d5ae5ecc10812
20805 .xword 0xc0ee4b95f2b21657
20806 .xword 0x9257ce617becbe24
20807 .xword 0x37bb99d529b8eb54
20808 .xword 0x722c025456be4f84
20809 .xword 0x8c917946cf40f0e3
20810 .xword 0x5815f557cffd2e1b
20811 .xword 0xf6ff507632b686c1
20812 .xword 0xebad5cb6353e38e1
20813 .xword 0xe40b1b7bfccd3d32
20814 .xword 0x4171850a814c3a9d
20815 .xword 0xb8f9e80eabb96bc6
20816 .xword 0x169f76ef0a2c8595
20817 .xword 0x19a1ca7da4c050a1
20818 .xword 0xefc347bf83f93142
20819 .xword 0xfec7915af812cc33
20820 .xword 0xd6eb81334f297603
20821 .xword 0x4603d83af741b63a
20822 .xword 0x529c7458a05410c1
20823 .xword 0xd0e3149ba3302629
20824 .xword 0x741a2969744938a8
20825 .xword 0x27243a3a84685c42
20826 .xword 0x7446eb4a3adff3ca
20827 .xword 0xe96d44db5ad09625
20828 .xword 0x77a666b7b2538d22
20829 .xword 0x0b4ecd2cee94d0ea
20830 .xword 0xd19fd5d312c817f7
20831 .xword 0x31ec8299b301eb96
20832 .xword 0x808530430d9d166c
20833 .xword 0x207ffab675209bdb
20834 .xword 0xf5c48c686246ca5d
20835.align 16
20836_t1_rc4_alignment_array:
20837 .xword 2
20838 .xword 6
20839 .xword 12
20840 .xword 5
20841 .xword 4
20842 .xword 11
20843 .xword 14
20844 .xword 0
20845 .xword 15
20846 .xword 8
20847 .xword 5
20848 .xword 15
20849 .xword 4
20850 .xword 9
20851 .xword 3
20852 .xword 10
20853 .xword 11
20854 .xword 14
20855 .xword 10
20856 .xword 7
20857 .xword 12
20858 .xword 8
20859 .xword 6
20860 .xword 5
20861 .xword 15
20862 .xword 15
20863 .xword 12
20864 .xword 1
20865 .xword 1
20866 .xword 10
20867 .xword 13
20868 .xword 7
20869 .xword 9
20870 .xword 1
20871 .xword 7
20872 .xword 3
20873 .xword 13
20874 .xword 2
20875 .xword 13
20876 .xword 6
20877 .xword 4
20878 .xword 4
20879 .xword 13
20880 .xword 13
20881 .xword 5
20882 .xword 12
20883 .xword 4
20884 .xword 8
20885 .xword 7
20886 .xword 1
20887 .xword 15
20888 .xword 0
20889 .xword 6
20890 .xword 5
20891 .xword 14
20892 .xword 6
20893 .xword 1
20894 .xword 14
20895 .xword 12
20896 .xword 10
20897 .xword 1
20898 .xword 15
20899 .xword 5
20900 .xword 15
20901 .xword 0
20902 .xword 1
20903 .xword 5
20904 .xword 5
20905 .xword 8
20906 .xword 3
20907 .xword 12
20908 .xword 2
20909 .xword 1
20910 .xword 6
20911 .xword 10
20912 .xword 11
20913 .xword 8
20914 .xword 8
20915 .xword 11
20916 .xword 7
20917 .xword 2
20918 .xword 1
20919 .xword 7
20920 .xword 2
20921 .xword 4
20922 .xword 2
20923 .xword 4
20924 .xword 9
20925 .xword 12
20926 .xword 6
20927 .xword 10
20928 .xword 8
20929 .xword 13
20930 .xword 4
20931 .xword 0
20932 .xword 15
20933 .xword 0
20934 .xword 3
20935 .xword 2
20936 .xword 13
20937 .xword 5
20938 .xword 2
20939 .xword 7
20940 .xword 5
20941 .xword 11
20942.align 16
20943_t1_rc4_src:
20944 .xword 0xe27dc26480bf27f9
20945 .xword 0x08496f7dfa67ef5e
20946 .xword 0xc2bfcc36b57acc0d
20947 .xword 0xf8ca8126d6ea9301
20948 .xword 0xf51e814cbd5f9a3a
20949 .xword 0x50917f4fe2c0e49d
20950 .xword 0x185c9fb64a0989bd
20951 .xword 0x27cf9bc4a755bd83
20952 .xword 0xcc0104bc5e065fed
20953 .xword 0x9c42a2a4463f864c
20954 .xword 0x504df0235435997a
20955 .xword 0x676fd09fafcc88c2
20956 .xword 0x775d577ccfee8ffb
20957 .xword 0x600dcf1536a7c7e8
20958 .xword 0xf2dc3f2ae4be80ba
20959 .xword 0xbd825ab9b5afdfdf
20960 .xword 0x28fc9952437c96c2
20961 .xword 0x24ef5a563f61e955
20962 .xword 0xb8d5961f7cb801ee
20963 .xword 0xf8eb27ef411ebd80
20964 .xword 0xb8eff7598b4906ca
20965 .xword 0x8cb65d57ad2a2e4b
20966 .xword 0x53003fef88cb927f
20967 .xword 0x5c95b3620c5ccc2b
20968 .xword 0x2a6d0734a2c00d60
20969 .xword 0xc7f6c0f498fa605a
20970 .xword 0xb091b8c93d5dfb2e
20971 .xword 0x27049d2f77b104ee
20972 .xword 0xfc448ee094e56fc7
20973 .xword 0x3a3492240e0045e1
20974 .xword 0x60519b12242e59e6
20975 .xword 0x78e84db6f2142895
20976 .xword 0xc644feddb5154f71
20977 .xword 0x87f7873140b85b26
20978 .xword 0x659a2f187893ee23
20979 .xword 0x677a95bc5398135e
20980 .xword 0xd96bfe1fe6edee21
20981 .xword 0xf4ccf89c1aba463e
20982 .xword 0x71271c20cef5aa3f
20983 .xword 0x78a25ce50bc03e86
20984 .xword 0x59fdeda9e7e08913
20985 .xword 0x6bc5626a0182266c
20986 .xword 0x3e47d99e57bab1df
20987 .xword 0x2efd711ef990857e
20988 .xword 0x9408e9490a8348dd
20989 .xword 0x17ec4e00a30b7469
20990 .xword 0x419f0438af9373c5
20991 .xword 0x7946cbda23660757
20992 .xword 0x631ed2b51251ac24
20993 .xword 0x84c48163bcbb0cbd
20994 .xword 0x4b747b57471206c5
20995 .xword 0xe3b5a48ea20f82a4
20996 .xword 0x811096522c076f53
20997 .xword 0xf61e2850c028c473
20998 .xword 0x149039e21dd9ecf6
20999 .xword 0xcc19c21e9943c33a
21000 .xword 0xefb0baad66d15922
21001 .xword 0xcb16775d042b21a5
21002 .xword 0x1631b802e4dce6cb
21003 .xword 0xdd16a6f2ae0e5bd4
21004 .xword 0x1de5030521b4a27f
21005 .xword 0xb7a1c43df3d62389
21006 .xword 0xcd4762e60ebb24b2
21007 .xword 0x439ab900eeb91f18
21008 .xword 0x7c883c98572fc788
21009 .xword 0x75fdb16fbbbd5e72
21010 .xword 0x7060f2250f9aa91f
21011 .xword 0xf023c0553a9d901b
21012 .xword 0xa21893d48a15e2e5
21013 .xword 0xa52b020f005c058c
21014 .xword 0xb80fb426c05f23bd
21015 .xword 0x35c7e03b6aa387fe
21016 .xword 0x8b7003e4529103de
21017 .xword 0x80139a644ccefcf6
21018 .xword 0x8547246e731f24f0
21019 .xword 0x3bf019d85061eec5
21020 .xword 0x8e18e78a10e1b745
21021 .xword 0x4cb92f1ba9bb84c7
21022 .xword 0x7609e9826372f368
21023 .xword 0x4cd81878ec5b6c64
21024 .xword 0xa15cf557aeb7aca5
21025 .xword 0x93b6e4acf10a6b22
21026 .xword 0xea0fb2bbb18c7628
21027 .xword 0x5e3d0d90ff59d3e7
21028 .xword 0xf54c911477f424f7
21029 .xword 0x2eef16e62e98728e
21030 .xword 0xab5fd4ce1702cf5f
21031 .xword 0xcee86c264399e3d7
21032 .xword 0xe0583dd366213d99
21033 .xword 0x481bfb65f2ebeba3
21034 .xword 0x7f6a52409414f8ea
21035 .xword 0x2bc49faee21d52f9
21036 .xword 0x57d13ed6bad2ec03
21037 .xword 0xa84b72c82df3aa90
21038 .xword 0xe7f10cf778d64715
21039 .xword 0x5cf0f39408556575
21040 .xword 0x807b2aac9591a0a2
21041 .xword 0x341b0ab2394da3e5
21042 .xword 0xe95e740cd537ed53
21043 .xword 0x6a9cafbc489b3d5a
21044 .xword 0xa305a749782ea14f
21045 .xword 0xa72639640d924c64
21046 .xword 0x221acee19fe5ef28
21047 .xword 0xdaaa14ec78cfd5ff
21048 .xword 0xecd4e323dcaf17d0
21049 .xword 0xeb980354b7cdbf1f
21050 .xword 0xb418c7c62f809638
21051 .xword 0xd36c841d1dab09b7
21052 .xword 0x73fb078fd628a998
21053 .xword 0x3ef7ecfd55f0610a
21054 .xword 0x4692ff716d664dbb
21055 .xword 0x126e2de75ddb0819
21056 .xword 0x5ef32808b7b71bef
21057 .xword 0x35a4f9a66bb2e6d4
21058 .xword 0x65b0999332f6284d
21059 .xword 0xbb5683daba66320b
21060 .xword 0x778b6fe14dbbb1c9
21061 .xword 0xecb1cf056867129c
21062 .xword 0xdda985d088bb6183
21063 .xword 0x5020a6eb63214943
21064 .xword 0x445c46d6426833fb
21065 .xword 0xe538d88642768bf7
21066 .xword 0x9a7f18cdcf580843
21067 .xword 0xc90b33179e841721
21068 .xword 0x5cf7e7afb87de942
21069 .xword 0x45d24d96565fae86
21070 .xword 0xcb7e0f7f9b79b8f9
21071 .xword 0x386bb2dab8256739
21072 .xword 0x9cf62524543859b7
21073 .xword 0x78645aa05b941f29
21074 .xword 0xf2ee9dd076b4a54a
21075 .xword 0x9f42dc2a7ac33a8c
21076 .xword 0x2a8a08c6869b972b
21077 .xword 0x49a15e3d16a55b44
21078 .xword 0xdef5642f6d121c29
21079 .xword 0xb0b0933f3f180cda
21080 .xword 0xb3e0fbf342f90a68
21081 .xword 0x7884685d7f05d041
21082 .xword 0x98629046eeb1472b
21083 .xword 0x5a5d3b6112fd213c
21084 .xword 0xd3f289537d60fe65
21085 .xword 0x61b6faada5dd92ee
21086 .xword 0xbf2d816afe89b46b
21087 .xword 0xedb57925cbf82c07
21088 .xword 0x0d3153cadbd351e0
21089 .xword 0x6bfc23794a2fa660
21090 .xword 0xfcc2294fa0fef117
21091 .xword 0xa967ba5687a47d5d
21092 .xword 0x65cb2cb37f9abaf0
21093 .xword 0x64a17f924c11b4c8
21094 .xword 0x69ee8452e814245c
21095 .xword 0x391f55dacf204804
21096 .xword 0x23ab523981aa3781
21097 .xword 0x09774460f9757cbc
21098 .xword 0x8209cd39afde444f
21099 .xword 0x87050e3b896939f3
21100 .xword 0x8e76414b6894d013
21101 .xword 0x2d79ddacec81cff8
21102 .xword 0xb12894a5ab6c3306
21103 .xword 0xd43cb1021cf19375
21104 .xword 0x5d7ed2764d1303ef
21105 .xword 0x1abcb4fc953b7b84
21106 .xword 0xc6520556539adfd8
21107 .xword 0xe7bba07362a735fe
21108 .xword 0xe47e4bb98f98e1c7
21109 .xword 0xa3106e9cf6f87bc2
21110 .xword 0x61e078ccf4cee096
21111 .xword 0xe034561424e1ae48
21112 .xword 0xcfaf89be4a3ef92e
21113 .xword 0xca0e25444a866c38
21114 .xword 0x60df7e1b6daa72de
21115 .xword 0xe48919cb2bd914e4
21116 .xword 0x3a3bd32e0fac3324
21117 .xword 0x7e70910841d097a9
21118 .xword 0x9df5f3fb3fe66116
21119 .xword 0xa7798babf77decbd
21120 .xword 0x2f18bd5e3039e148
21121 .xword 0x693a2871adddfe0f
21122 .xword 0x056d2c7ffd567d10
21123 .xword 0x4e62117cf8c822da
21124 .xword 0x3888af3ee93a3400
21125 .xword 0xc77767e09786bb0d
21126 .xword 0xcce71a5ebf7679c1
21127 .xword 0x300a1cbac5059727
21128 .xword 0x18fc51ae037aecd5
21129 .xword 0x932caf16066f868b
21130 .xword 0xb1895866bab61b63
21131 .xword 0x23a9a9f9edbf732a
21132 .xword 0xab5a02beb475b585
21133 .xword 0xa2d5cf0a4073ec10
21134 .xword 0xe00cf7e3345fa926
21135 .xword 0xaf9cfde693f985fa
21136 .xword 0xd6228f8b1fdd4a5d
21137 .xword 0x0261f30de6cc3749
21138 .xword 0x0135e6ae7fcca3eb
21139 .xword 0x54bb5a5ad4b3c258
21140 .xword 0x2045e600c47c47a1
21141 .xword 0x19a774c7dbddfe22
21142 .xword 0x09bd67e2655e545d
21143 .xword 0xde93397dd4e30d77
21144 .xword 0x6f4df5e149062d2f
21145 .xword 0xeda38364b255aafa
21146 .xword 0x11976435014d4152
21147 .xword 0xa97c135e277870f0
21148 .xword 0xee3b2b91d7fecc02
21149 .xword 0x12c3792152d510ba
21150 .xword 0x905c8a68357b40f8
21151 .xword 0x9c815fe32527e432
21152 .xword 0x7baf1bbbf552831c
21153 .xword 0x2ed039336416f7cf
21154 .xword 0x974326d4caccfe25
21155 .xword 0x613e91873350681a
21156 .xword 0xeaef839bd8e59e86
21157 .xword 0x34d150abf81b53db
21158 .xword 0xabcaf62a67900d29
21159 .xword 0x62ad37ca06f11b8b
21160 .xword 0x3367059b24bd5766
21161 .xword 0x3f022f7897684a8d
21162 .xword 0xdcec47090bc35056
21163 .xword 0x672a3aeb7b87be2a
21164 .xword 0x248e30378851be3c
21165 .xword 0x49de73fc02e7cabe
21166 .xword 0x16b91045cc1df395
21167 .xword 0x6a61473f828650a3
21168 .xword 0xca19f1de8a34ed0a
21169 .xword 0x742f9d88830c296b
21170 .xword 0x4b7233e55a2d4de1
21171 .xword 0xa7fb80582ba0023d
21172 .xword 0x63954c4b27d21dd6
21173 .xword 0x73e7e991c8598899
21174 .xword 0xbe0aa95cea18e351
21175 .xword 0xa8701b2b9bee9821
21176 .xword 0x5fc0bb2e0eae1a2e
21177 .xword 0xd6f22e1fe9c9114b
21178 .xword 0x35e6d2d802130b90
21179 .xword 0x3afc83be0569e143
21180 .xword 0x2099553b6cf15732
21181 .xword 0x76a48d5a70ccc118
21182 .xword 0x0b34f8c5991a76a8
21183 .xword 0x5ea134f7316b376d
21184 .xword 0x080f7c38e1e99c38
21185 .xword 0x1e29f13ba580727e
21186 .xword 0x68f1cb138eb7531b
21187 .xword 0xc48e2cc6e5cbc8d3
21188 .xword 0x4b0c762fe6e9e209
21189 .xword 0xbde080e0e1a8fcf5
21190 .xword 0x121d143bc73e18a0
21191 .xword 0xfd7e22f60a097576
21192 .xword 0x4783b4d3bb8e6ba2
21193 .xword 0xc898a7fd8c53cc9a
21194 .xword 0x6410dcfaeeb665d1
21195 .xword 0x19441b7794fd60ad
21196 .xword 0xadf75e4535344d69
21197 .xword 0x843d87ab4252b7f8
21198 .xword 0xbf1de9d2a134810e
21199 .xword 0x361fa261f2c6d2dc
21200 .xword 0x939b07b914ba0c4b
21201 .xword 0x353f46aaa049324e
21202 .xword 0x80f8c8abb21680eb
21203 .xword 0x58f94c8b1a557a45
21204 .xword 0x46a55b0bfb64e311
21205 .xword 0x0dc0175577585449
21206 .xword 0x71c769369b1accff
21207 .xword 0x787129258ae2adf5
21208 .xword 0xd578280dc6802a06
21209 .xword 0x5f8f7278583b2deb
21210 .xword 0xc1a953ec06c827ae
21211 .xword 0xedac42cdafa7d228
21212 .xword 0xbff6e69759b69ac7
21213 .xword 0xe4a8656e59135ad6
21214 .xword 0x55147fd39f82bb39
21215 .xword 0x8305bd27337410dd
21216 .xword 0x0c947933b8e73e3a
21217 .xword 0x8c3e56d4216ed790
21218 .xword 0x8e82f2dfd81fc34a
21219.align 16
21220_t1_rc4_dest:
21221 .xword 0xDEADBEEFDEADBEEF
21222 .xword 0xDEADBEEFDEADBEEF
21223 .xword 0xDEADBEEFDEADBEEF
21224 .xword 0xDEADBEEFDEADBEEF
21225 .xword 0xDEADBEEFDEADBEEF
21226 .xword 0xDEADBEEFDEADBEEF
21227 .xword 0xDEADBEEFDEADBEEF
21228 .xword 0xDEADBEEFDEADBEEF
21229 .xword 0xDEADBEEFDEADBEEF
21230 .xword 0xDEADBEEFDEADBEEF
21231 .xword 0xDEADBEEFDEADBEEF
21232 .xword 0xDEADBEEFDEADBEEF
21233 .xword 0xDEADBEEFDEADBEEF
21234 .xword 0xDEADBEEFDEADBEEF
21235 .xword 0xDEADBEEFDEADBEEF
21236 .xword 0xDEADBEEFDEADBEEF
21237 .xword 0xDEADBEEFDEADBEEF
21238 .xword 0xDEADBEEFDEADBEEF
21239 .xword 0xDEADBEEFDEADBEEF
21240 .xword 0xDEADBEEFDEADBEEF
21241 .xword 0xDEADBEEFDEADBEEF
21242 .xword 0xDEADBEEFDEADBEEF
21243 .xword 0xDEADBEEFDEADBEEF
21244 .xword 0xDEADBEEFDEADBEEF
21245 .xword 0xDEADBEEFDEADBEEF
21246 .xword 0xDEADBEEFDEADBEEF
21247 .xword 0xDEADBEEFDEADBEEF
21248 .xword 0xDEADBEEFDEADBEEF
21249 .xword 0xDEADBEEFDEADBEEF
21250 .xword 0xDEADBEEFDEADBEEF
21251 .xword 0xDEADBEEFDEADBEEF
21252 .xword 0xDEADBEEFDEADBEEF
21253 .xword 0xDEADBEEFDEADBEEF
21254 .xword 0xDEADBEEFDEADBEEF
21255 .xword 0xDEADBEEFDEADBEEF
21256 .xword 0xDEADBEEFDEADBEEF
21257 .xword 0xDEADBEEFDEADBEEF
21258 .xword 0xDEADBEEFDEADBEEF
21259 .xword 0xDEADBEEFDEADBEEF
21260 .xword 0xDEADBEEFDEADBEEF
21261 .xword 0xDEADBEEFDEADBEEF
21262 .xword 0xDEADBEEFDEADBEEF
21263 .xword 0xDEADBEEFDEADBEEF
21264 .xword 0xDEADBEEFDEADBEEF
21265 .xword 0xDEADBEEFDEADBEEF
21266 .xword 0xDEADBEEFDEADBEEF
21267 .xword 0xDEADBEEFDEADBEEF
21268 .xword 0xDEADBEEFDEADBEEF
21269 .xword 0xDEADBEEFDEADBEEF
21270 .xword 0xDEADBEEFDEADBEEF
21271 .xword 0xDEADBEEFDEADBEEF
21272 .xword 0xDEADBEEFDEADBEEF
21273 .xword 0xDEADBEEFDEADBEEF
21274 .xword 0xDEADBEEFDEADBEEF
21275 .xword 0xDEADBEEFDEADBEEF
21276 .xword 0xDEADBEEFDEADBEEF
21277 .xword 0xDEADBEEFDEADBEEF
21278 .xword 0xDEADBEEFDEADBEEF
21279 .xword 0xDEADBEEFDEADBEEF
21280 .xword 0xDEADBEEFDEADBEEF
21281 .xword 0xDEADBEEFDEADBEEF
21282 .xword 0xDEADBEEFDEADBEEF
21283 .xword 0xDEADBEEFDEADBEEF
21284 .xword 0xDEADBEEFDEADBEEF
21285 .xword 0xDEADBEEFDEADBEEF
21286 .xword 0xDEADBEEFDEADBEEF
21287 .xword 0xDEADBEEFDEADBEEF
21288 .xword 0xDEADBEEFDEADBEEF
21289 .xword 0xDEADBEEFDEADBEEF
21290 .xword 0xDEADBEEFDEADBEEF
21291 .xword 0xDEADBEEFDEADBEEF
21292 .xword 0xDEADBEEFDEADBEEF
21293 .xword 0xDEADBEEFDEADBEEF
21294 .xword 0xDEADBEEFDEADBEEF
21295 .xword 0xDEADBEEFDEADBEEF
21296 .xword 0xDEADBEEFDEADBEEF
21297 .xword 0xDEADBEEFDEADBEEF
21298 .xword 0xDEADBEEFDEADBEEF
21299 .xword 0xDEADBEEFDEADBEEF
21300 .xword 0xDEADBEEFDEADBEEF
21301 .xword 0xDEADBEEFDEADBEEF
21302 .xword 0xDEADBEEFDEADBEEF
21303 .xword 0xDEADBEEFDEADBEEF
21304 .xword 0xDEADBEEFDEADBEEF
21305 .xword 0xDEADBEEFDEADBEEF
21306 .xword 0xDEADBEEFDEADBEEF
21307 .xword 0xDEADBEEFDEADBEEF
21308 .xword 0xDEADBEEFDEADBEEF
21309 .xword 0xDEADBEEFDEADBEEF
21310 .xword 0xDEADBEEFDEADBEEF
21311 .xword 0xDEADBEEFDEADBEEF
21312 .xword 0xDEADBEEFDEADBEEF
21313 .xword 0xDEADBEEFDEADBEEF
21314 .xword 0xDEADBEEFDEADBEEF
21315 .xword 0xDEADBEEFDEADBEEF
21316 .xword 0xDEADBEEFDEADBEEF
21317 .xword 0xDEADBEEFDEADBEEF
21318 .xword 0xDEADBEEFDEADBEEF
21319 .xword 0xDEADBEEFDEADBEEF
21320 .xword 0xDEADBEEFDEADBEEF
21321 .xword 0xDEADBEEFDEADBEEF
21322 .xword 0xDEADBEEFDEADBEEF
21323 .xword 0xDEADBEEFDEADBEEF
21324 .xword 0xDEADBEEFDEADBEEF
21325 .xword 0xDEADBEEFDEADBEEF
21326 .xword 0xDEADBEEFDEADBEEF
21327 .xword 0xDEADBEEFDEADBEEF
21328 .xword 0xDEADBEEFDEADBEEF
21329 .xword 0xDEADBEEFDEADBEEF
21330 .xword 0xDEADBEEFDEADBEEF
21331 .xword 0xDEADBEEFDEADBEEF
21332 .xword 0xDEADBEEFDEADBEEF
21333 .xword 0xDEADBEEFDEADBEEF
21334 .xword 0xDEADBEEFDEADBEEF
21335 .xword 0xDEADBEEFDEADBEEF
21336 .xword 0xDEADBEEFDEADBEEF
21337 .xword 0xDEADBEEFDEADBEEF
21338 .xword 0xDEADBEEFDEADBEEF
21339 .xword 0xDEADBEEFDEADBEEF
21340 .xword 0xDEADBEEFDEADBEEF
21341 .xword 0xDEADBEEFDEADBEEF
21342 .xword 0xDEADBEEFDEADBEEF
21343 .xword 0xDEADBEEFDEADBEEF
21344 .xword 0xDEADBEEFDEADBEEF
21345 .xword 0xDEADBEEFDEADBEEF
21346 .xword 0xDEADBEEFDEADBEEF
21347 .xword 0xDEADBEEFDEADBEEF
21348 .xword 0xDEADBEEFDEADBEEF
21349 .xword 0xDEADBEEFDEADBEEF
21350 .xword 0xDEADBEEFDEADBEEF
21351 .xword 0xDEADBEEFDEADBEEF
21352 .xword 0xDEADBEEFDEADBEEF
21353 .xword 0xDEADBEEFDEADBEEF
21354 .xword 0xDEADBEEFDEADBEEF
21355 .xword 0xDEADBEEFDEADBEEF
21356 .xword 0xDEADBEEFDEADBEEF
21357 .xword 0xDEADBEEFDEADBEEF
21358 .xword 0xDEADBEEFDEADBEEF
21359 .xword 0xDEADBEEFDEADBEEF
21360 .xword 0xDEADBEEFDEADBEEF
21361 .xword 0xDEADBEEFDEADBEEF
21362 .xword 0xDEADBEEFDEADBEEF
21363 .xword 0xDEADBEEFDEADBEEF
21364 .xword 0xDEADBEEFDEADBEEF
21365 .xword 0xDEADBEEFDEADBEEF
21366 .xword 0xDEADBEEFDEADBEEF
21367 .xword 0xDEADBEEFDEADBEEF
21368 .xword 0xDEADBEEFDEADBEEF
21369 .xword 0xDEADBEEFDEADBEEF
21370 .xword 0xDEADBEEFDEADBEEF
21371 .xword 0xDEADBEEFDEADBEEF
21372 .xword 0xDEADBEEFDEADBEEF
21373 .xword 0xDEADBEEFDEADBEEF
21374 .xword 0xDEADBEEFDEADBEEF
21375 .xword 0xDEADBEEFDEADBEEF
21376 .xword 0xDEADBEEFDEADBEEF
21377 .xword 0xDEADBEEFDEADBEEF
21378 .xword 0xDEADBEEFDEADBEEF
21379 .xword 0xDEADBEEFDEADBEEF
21380 .xword 0xDEADBEEFDEADBEEF
21381 .xword 0xDEADBEEFDEADBEEF
21382 .xword 0xDEADBEEFDEADBEEF
21383 .xword 0xDEADBEEFDEADBEEF
21384 .xword 0xDEADBEEFDEADBEEF
21385 .xword 0xDEADBEEFDEADBEEF
21386 .xword 0xDEADBEEFDEADBEEF
21387 .xword 0xDEADBEEFDEADBEEF
21388 .xword 0xDEADBEEFDEADBEEF
21389 .xword 0xDEADBEEFDEADBEEF
21390 .xword 0xDEADBEEFDEADBEEF
21391 .xword 0xDEADBEEFDEADBEEF
21392 .xword 0xDEADBEEFDEADBEEF
21393 .xword 0xDEADBEEFDEADBEEF
21394 .xword 0xDEADBEEFDEADBEEF
21395 .xword 0xDEADBEEFDEADBEEF
21396 .xword 0xDEADBEEFDEADBEEF
21397 .xword 0xDEADBEEFDEADBEEF
21398 .xword 0xDEADBEEFDEADBEEF
21399 .xword 0xDEADBEEFDEADBEEF
21400 .xword 0xDEADBEEFDEADBEEF
21401 .xword 0xDEADBEEFDEADBEEF
21402 .xword 0xDEADBEEFDEADBEEF
21403 .xword 0xDEADBEEFDEADBEEF
21404 .xword 0xDEADBEEFDEADBEEF
21405 .xword 0xDEADBEEFDEADBEEF
21406 .xword 0xDEADBEEFDEADBEEF
21407 .xword 0xDEADBEEFDEADBEEF
21408 .xword 0xDEADBEEFDEADBEEF
21409 .xword 0xDEADBEEFDEADBEEF
21410 .xword 0xDEADBEEFDEADBEEF
21411 .xword 0xDEADBEEFDEADBEEF
21412 .xword 0xDEADBEEFDEADBEEF
21413 .xword 0xDEADBEEFDEADBEEF
21414 .xword 0xDEADBEEFDEADBEEF
21415 .xword 0xDEADBEEFDEADBEEF
21416 .xword 0xDEADBEEFDEADBEEF
21417 .xword 0xDEADBEEFDEADBEEF
21418 .xword 0xDEADBEEFDEADBEEF
21419 .xword 0xDEADBEEFDEADBEEF
21420 .xword 0xDEADBEEFDEADBEEF
21421 .xword 0xDEADBEEFDEADBEEF
21422 .xword 0xDEADBEEFDEADBEEF
21423 .xword 0xDEADBEEFDEADBEEF
21424 .xword 0xDEADBEEFDEADBEEF
21425 .xword 0xDEADBEEFDEADBEEF
21426 .xword 0xDEADBEEFDEADBEEF
21427 .xword 0xDEADBEEFDEADBEEF
21428 .xword 0xDEADBEEFDEADBEEF
21429 .xword 0xDEADBEEFDEADBEEF
21430 .xword 0xDEADBEEFDEADBEEF
21431 .xword 0xDEADBEEFDEADBEEF
21432 .xword 0xDEADBEEFDEADBEEF
21433 .xword 0xDEADBEEFDEADBEEF
21434 .xword 0xDEADBEEFDEADBEEF
21435 .xword 0xDEADBEEFDEADBEEF
21436 .xword 0xDEADBEEFDEADBEEF
21437 .xword 0xDEADBEEFDEADBEEF
21438 .xword 0xDEADBEEFDEADBEEF
21439 .xword 0xDEADBEEFDEADBEEF
21440 .xword 0xDEADBEEFDEADBEEF
21441 .xword 0xDEADBEEFDEADBEEF
21442 .xword 0xDEADBEEFDEADBEEF
21443 .xword 0xDEADBEEFDEADBEEF
21444 .xword 0xDEADBEEFDEADBEEF
21445 .xword 0xDEADBEEFDEADBEEF
21446 .xword 0xDEADBEEFDEADBEEF
21447 .xword 0xDEADBEEFDEADBEEF
21448 .xword 0xDEADBEEFDEADBEEF
21449 .xword 0xDEADBEEFDEADBEEF
21450 .xword 0xDEADBEEFDEADBEEF
21451 .xword 0xDEADBEEFDEADBEEF
21452 .xword 0xDEADBEEFDEADBEEF
21453 .xword 0xDEADBEEFDEADBEEF
21454 .xword 0xDEADBEEFDEADBEEF
21455 .xword 0xDEADBEEFDEADBEEF
21456 .xword 0xDEADBEEFDEADBEEF
21457 .xword 0xDEADBEEFDEADBEEF
21458 .xword 0xDEADBEEFDEADBEEF
21459 .xword 0xDEADBEEFDEADBEEF
21460 .xword 0xDEADBEEFDEADBEEF
21461 .xword 0xDEADBEEFDEADBEEF
21462 .xword 0xDEADBEEFDEADBEEF
21463 .xword 0xDEADBEEFDEADBEEF
21464 .xword 0xDEADBEEFDEADBEEF
21465 .xword 0xDEADBEEFDEADBEEF
21466 .xword 0xDEADBEEFDEADBEEF
21467 .xword 0xDEADBEEFDEADBEEF
21468 .xword 0xDEADBEEFDEADBEEF
21469 .xword 0xDEADBEEFDEADBEEF
21470 .xword 0xDEADBEEFDEADBEEF
21471 .xword 0xDEADBEEFDEADBEEF
21472 .xword 0xDEADBEEFDEADBEEF
21473 .xword 0xDEADBEEFDEADBEEF
21474 .xword 0xDEADBEEFDEADBEEF
21475 .xword 0xDEADBEEFDEADBEEF
21476 .xword 0xDEADBEEFDEADBEEF
21477 .xword 0xDEADBEEFDEADBEEF
21478 .xword 0xDEADBEEFDEADBEEF
21479 .xword 0xDEADBEEFDEADBEEF
21480 .xword 0xDEADBEEFDEADBEEF
21481 .xword 0xDEADBEEFDEADBEEF
21482 .xword 0xDEADBEEFDEADBEEF
21483 .xword 0xDEADBEEFDEADBEEF
21484 .xword 0xDEADBEEFDEADBEEF
21485 .xword 0xDEADBEEFDEADBEEF
21486 .xword 0xDEADBEEFDEADBEEF
21487 .xword 0xDEADBEEFDEADBEEF
21488 .xword 0xDEADBEEFDEADBEEF
21489 .xword 0xDEADBEEFDEADBEEF
21490 .xword 0xDEADBEEFDEADBEEF
21491 .xword 0xDEADBEEFDEADBEEF
21492 .xword 0xDEADBEEFDEADBEEF
21493 .xword 0xDEADBEEFDEADBEEF
21494 .xword 0xDEADBEEFDEADBEEF
21495 .xword 0xDEADBEEFDEADBEEF
21496.align 16
21497_t1_rc4_auth_key:
21498 .xword 0x18b2dc3d52cac917
21499 .xword 0x933ce3911052bddf
21500 .xword 0xec882e09087fa687
21501 .xword 0x839a80b245bf9f34
21502 .xword 0xc559061a0f73a1e3
21503 .xword 0x91f20abc01a2d041
21504 .xword 0xc22112250a12ee6e
21505 .xword 0x8423dd7555d2c15d
21506 .xword 0x9d08221743e816d2
21507 .xword 0x0e17193356b9d857
21508 .xword 0xd6ded120c4fde871
21509 .xword 0xfc653e1cdf0645a3
21510 .xword 0x973e63711b8b4901
21511 .xword 0x2f1e20fc4c115bac
21512 .xword 0xba0630ec2bee231b
21513 .xword 0x261400ad0016edb4
21514 .xword 0xc8e4271fbfa069b6
21515 .xword 0xe40d3b4f45ca9b49
21516 .xword 0x84a1efa22ed33117
21517 .xword 0x380ae962fb6a1ae3
21518 .xword 0x18f0e666c719b63f
21519 .xword 0x0bc2fdd9741b9986
21520 .xword 0xd83673c699f3c792
21521.align 16
21522_t1_rc4_auth_iv:
21523 .xword 0x15d32798f6c02c7b
21524 .xword 0x1a400b6415292592
21525 .xword 0xb9175810ad62c7fd
21526 .xword 0xb6648dc7bc6856d2
21527 .xword 0x82d41f4f6227cbac
21528 .xword 0x36053bad05b26eda
21529 .xword 0xabdc58ff6f1a7953
21530 .xword 0x403fc492b2d5992a
21531 .xword 0x6bebffebe805977c
21532 .xword 0xa7bb88c398c1a02c
21533 .xword 0x688dd84dce72be31
21534 .xword 0x240d90f3dbe09588
21535 .xword 0x97f7dec12a7790cf
21536 .xword 0xbc1a8eb0c3b4c27e
21537 .xword 0x9042e4a31d6e79b0
21538 .xword 0x21332511d2f6983d
21539 .xword 0xb2c446b313f4fc6c
21540 .xword 0x598e6a333fa552cf
21541 .xword 0x330437438a4b6db6
21542 .xword 0x33baa50208e22321
21543 .xword 0xe5e45a3e4d2ba654
21544 .xword 0xcf6ae145d1f9d04b
21545 .xword 0x32f24c8ecba31a9a
21546.align 16
21547_t1_rc4_fas_result:
21548 .xword 0xDEADBEEFDEADBEEF
21549 .xword 0xDEADBEEFDEADBEEF
21550 .xword 0xDEADBEEFDEADBEEF
21551 .xword 0xDEADBEEFDEADBEEF
21552 .xword 0xDEADBEEFDEADBEEF
21553 .xword 0xDEADBEEFDEADBEEF
21554 .xword 0xDEADBEEFDEADBEEF
21555 .xword 0xDEADBEEFDEADBEEF
21556 .xword 0xDEADBEEFDEADBEEF
21557 .xword 0xDEADBEEFDEADBEEF
21558 .xword 0xDEADBEEFDEADBEEF
21559 .xword 0xDEADBEEFDEADBEEF
21560 .xword 0xDEADBEEFDEADBEEF
21561 .xword 0xDEADBEEFDEADBEEF
21562 .xword 0xDEADBEEFDEADBEEF
21563 .xword 0xDEADBEEFDEADBEEF
21564 .xword 0xDEADBEEFDEADBEEF
21565 .xword 0xDEADBEEFDEADBEEF
21566 .xword 0xDEADBEEFDEADBEEF
21567 .xword 0xDEADBEEFDEADBEEF
21568 .xword 0xDEADBEEFDEADBEEF
21569 .xword 0xDEADBEEFDEADBEEF
21570 .xword 0xDEADBEEFDEADBEEF
21571.align 16
21572_t1_sslkey_key_array:
21573 .xword 0xb4584edcdc011724
21574 .xword 0x5ff110eb6a9962b6
21575 .xword 0x695b9222122f810d
21576 .xword 0xc592acb6789718b9
21577 .xword 0xfccb55beb0e4fbdc
21578 .xword 0x8b52943ea8e43a5a
21579 .xword 0x0215ad126346ea53
21580 .xword 0xe01811d2a445149e
21581 .xword 0x5e8ba5fb289dc079
21582 .xword 0xadb7daa4403d1fa9
21583 .xword 0xd82b356e3f09a201
21584 .xword 0x60a187a3f27339dd
21585 .xword 0x0b47740c221b2123
21586 .xword 0xd64eaa67e863ae4c
21587 .xword 0x8cc66230fe0cee49
21588 .xword 0xedd119e9848474d5
21589 .xword 0x63a1249176087ffc
21590 .xword 0x4e9469669841bdbf
21591 .xword 0x1091c13e84eef6d7
21592 .xword 0xdc44f6b1b9d3ed24
21593 .xword 0x20cd50a99a4cfd49
21594 .xword 0x254f80f04bb8eccb
21595 .xword 0x95269806506c4fe2
21596 .xword 0x8d2ac24248204724
21597 .xword 0x029bcbf4fe5584ac
21598 .xword 0x993ed05cb9da9504
21599 .xword 0xabfd31b743cd5c4d
21600 .xword 0x2b7a0703f425aae6
21601 .xword 0x1c8641660d2aab2d
21602 .xword 0xe7c68c51cff3b82a
21603 .xword 0x7f18d15fd9063a2a
21604 .xword 0xedb9a16678d20fbb
21605 .xword 0xfba058c55bbcbd85
21606 .xword 0xf2729653430570f5
21607 .xword 0xca8693c47f383505
21608 .xword 0x5682d2820da0a4ab
21609 .xword 0xa79cb2a2fabfd083
21610 .xword 0xacc6c474018169f9
21611 .xword 0x025b4a58615420b4
21612 .xword 0x698c84af50b25a8a
21613 .xword 0x1de8b24d8ab46932
21614 .xword 0x89d0de83f8a2d38c
21615 .xword 0x791d9430ac5e16e0
21616 .xword 0x12bbf1d49105060d
21617 .xword 0x663d2b4a81fb5f09
21618 .xword 0x7e87abbe00620b5e
21619 .xword 0x6ee0a782c28e37b7
21620 .xword 0xb2ab26043eab7dfe
21621 .xword 0x2cacae6de2809e4d
21622 .xword 0x9ac7ffde73d57108
21623 .xword 0xd49551b7445ad6b4
21624.align 16
21625_t1_sslkey_iv_array:
21626 .xword 0x1d681fc3725df858
21627 .xword 0x930d2e5a31804bf1
21628 .xword 0x7e3c29d6d3998cd7
21629 .xword 0x0de27951a08d4bf2
21630 .xword 0x5a7012e07dac66b4
21631 .xword 0xee02ac0dfb775bfc
21632 .xword 0x7f55952a2269531b
21633 .xword 0x4710f5fbd9de98f6
21634 .xword 0x78a5751b02591d29
21635 .xword 0x43a766911390c839
21636 .xword 0xe00dbbc9a001484b
21637 .xword 0xf298dfc254591b05
21638 .xword 0x5b82e9276fecc4c0
21639 .xword 0xdba5f66c89b477d4
21640 .xword 0x5d5d9d6739099641
21641 .xword 0xcf752273d71895f7
21642 .xword 0x1da7ba6cc2197bd5
21643 .xword 0xc0aeeadd9daca85f
21644 .xword 0xf6453e4769af5a43
21645 .xword 0x1a4e910629abb97e
21646 .xword 0xbb83e24897ffaae7
21647 .xword 0x00ee73e3e930603d
21648 .xword 0x98162d35269c5c21
21649 .xword 0xb9ad5f3cd449e2ca
21650 .xword 0x2a101ff3c694e196
21651 .xword 0x328bd380d2190d61
21652 .xword 0x2f0a8d8a02727c19
21653 .xword 0xdb65f7943eac057f
21654 .xword 0xb88433a179a1d216
21655 .xword 0x366b34cb72a1c8e1
21656 .xword 0xcc2c7964f973853e
21657 .xword 0xbbaa7ad214f03a25
21658 .xword 0x9e1e0cd74a99b93b
21659 .xword 0x196c3d2fdda9c921
21660 .xword 0x15486cf1b5c13c91
21661 .xword 0xb934fb207e3f324e
21662 .xword 0xc9a4a456158cabd9
21663 .xword 0xa148fb0073ecaa19
21664 .xword 0xcfcd67672781516f
21665 .xword 0xc3eb9427c44057ad
21666 .xword 0x1ae472f32aa974b0
21667 .xword 0x8f33e2756254f90d
21668 .xword 0xcd80b2d53da7ea38
21669 .xword 0xe79b6477e5f1d31e
21670 .xword 0xd5d8b90240bc752b
21671.align 16
21672_t1_sslkey_alignment_array:
21673 .xword 0
21674 .xword 0
21675 .xword 0
21676 .xword 0
21677 .xword 0
21678 .xword 0
21679 .xword 0
21680 .xword 0
21681 .xword 0
21682 .xword 0
21683 .xword 0
21684 .xword 0
21685 .xword 0
21686 .xword 0
21687 .xword 0
21688 .xword 0
21689 .xword 0
21690 .xword 0
21691 .xword 0
21692 .xword 0
21693 .xword 0
21694 .xword 0
21695 .xword 0
21696 .xword 0
21697 .xword 0
21698 .xword 0
21699 .xword 0
21700 .xword 0
21701 .xword 0
21702 .xword 0
21703 .xword 0
21704 .xword 0
21705 .xword 0
21706 .xword 0
21707 .xword 0
21708 .xword 0
21709 .xword 0
21710 .xword 0
21711 .xword 0
21712 .xword 0
21713 .xword 0
21714 .xword 0
21715 .xword 0
21716 .xword 0
21717 .xword 0
21718 .xword 0
21719 .xword 0
21720 .xword 0
21721 .xword 0
21722 .xword 0
21723 .xword 0
21724 .xword 0
21725 .xword 0
21726 .xword 0
21727 .xword 0
21728 .xword 0
21729 .xword 0
21730 .xword 0
21731 .xword 0
21732 .xword 0
21733 .xword 0
21734 .xword 0
21735 .xword 0
21736 .xword 0
21737 .xword 0
21738 .xword 0
21739 .xword 0
21740 .xword 0
21741 .xword 0
21742 .xword 0
21743 .xword 0
21744 .xword 0
21745 .xword 0
21746 .xword 0
21747 .xword 0
21748 .xword 0
21749 .xword 0
21750 .xword 0
21751 .xword 0
21752 .xword 0
21753 .xword 0
21754 .xword 0
21755 .xword 0
21756 .xword 0
21757 .xword 0
21758 .xword 0
21759 .xword 0
21760 .xword 0
21761 .xword 0
21762 .xword 0
21763 .xword 0
21764 .xword 0
21765 .xword 0
21766 .xword 0
21767 .xword 0
21768 .xword 0
21769 .xword 0
21770 .xword 0
21771 .xword 0
21772 .xword 0
21773 .xword 0
21774 .xword 0
21775 .xword 0
21776 .xword 0
21777 .xword 0
21778.align 16
21779_t1_sslkey_src:
21780 .xword 0xa98db65c8182f04d
21781 .xword 0x000e68a454249423
21782 .xword 0xd8ad6e3554777255
21783 .xword 0xe91db6f4f60e7a3d
21784 .xword 0xdc70bac2cbadab7c
21785 .xword 0x553bb51a47d8eabd
21786 .xword 0xed7d0273c7a81ff7
21787 .xword 0x7b711657ce122532
21788 .xword 0x59462914825a1001
21789 .xword 0x5ef31cb977ff20f6
21790 .xword 0xf40df1cd2c5ddfc3
21791 .xword 0xa8d8fdadaf501c73
21792 .xword 0x64bba3ce63ae74d5
21793 .xword 0x9ed05600f95f4204
21794 .xword 0x65a30b22612c9370
21795 .xword 0x167e5116a7975eb3
21796 .xword 0x4e0b917aa4b6ba5a
21797 .xword 0x2d5242b15cb0c365
21798 .xword 0xd53cc9cdc2f2091b
21799 .xword 0x6d04823f794d69f7
21800 .xword 0x22db9fae26d85611
21801 .xword 0x186e07ddd90f9661
21802 .xword 0xdce0b46befc847cb
21803 .xword 0xd8668c9e4f8765a7
21804 .xword 0x719e4f851e567fef
21805 .xword 0x58730899bc1b44ff
21806 .xword 0x8916f11d7bc1a804
21807 .xword 0x4880c7f3afcc8cde
21808 .xword 0x83135535752e5547
21809 .xword 0x8e2e8ac87ca6bfd9
21810 .xword 0x9fa7c559682882b9
21811 .xword 0x2e56f8b2a1edd04a
21812 .xword 0x6d80e1b7ff3a13bd
21813 .xword 0xe5b8c1cdd9d9989a
21814 .xword 0xd66f3393281801cf
21815 .xword 0xbf86f72700d81f8e
21816 .xword 0x8af62013bf9d4bc3
21817 .xword 0xa42d1ffd2a23f87e
21818 .xword 0x86609caa318acd32
21819 .xword 0x1a899cb455e549ab
21820 .xword 0x53e59b2a38d59f87
21821 .xword 0x32528ba880aa4ac6
21822 .xword 0xaf43e38a67903cf1
21823 .xword 0xe267ceb0c44af93c
21824 .xword 0x65ab932c31de6b98
21825 .xword 0x4de1af1aa35ba7c6
21826 .xword 0x17473edf20bc77ad
21827 .xword 0xe5d70fd412744c79
21828 .xword 0x138713fbcdd50db8
21829 .xword 0x8dd0f9a2c3462bb9
21830 .xword 0xca38debbe404ad06
21831 .xword 0x6fd85f43cb9a0916
21832 .xword 0xe2eb03c40408783d
21833 .xword 0x35bf01b59fe58a20
21834 .xword 0x171c31b0c0421fff
21835 .xword 0xd4af814b58857d69
21836 .xword 0x333024d02e3477b0
21837 .xword 0x2284b7633124e270
21838 .xword 0xf17a4f63a47e797f
21839 .xword 0x06a0a303770c80b7
21840 .xword 0x19c650f1b665c293
21841 .xword 0xd0a3002f48c8d5dc
21842 .xword 0x1b4037c989f70fea
21843 .xword 0x81322310564c1b87
21844 .xword 0xf0c4fd5f0d88d0e0
21845 .xword 0xd3f87bb02f10e1de
21846 .xword 0x60c912618ee14a03
21847 .xword 0xbb678c46ac93c241
21848 .xword 0x7b475e7fac64d244
21849 .xword 0x4ca8b848e7ec57e1
21850 .xword 0x5e048de3abd44c8b
21851 .xword 0x414ffb540ab0dfe0
21852 .xword 0xe59f960aa8d387d1
21853 .xword 0x12eea3be6b7915a0
21854 .xword 0x6b9a2ed9690b2be1
21855 .xword 0xff69352bfe1b3f78
21856 .xword 0x0a8f6fa936702c76
21857 .xword 0x2a77aa06b267c74c
21858 .xword 0x0edf4e874b56c49d
21859 .xword 0xd624fe6341d9f1f0
21860 .xword 0x0477213313ba8917
21861 .xword 0x91d230ee1b9ed808
21862 .xword 0x432d08794f836fe8
21863 .xword 0x809e8a057f5ec703
21864 .xword 0x98d9833073128f26
21865 .xword 0xd1de3722a8bdeab5
21866 .xword 0x70d5575da230e931
21867 .xword 0x7d521ffa71725540
21868 .xword 0x1c96e26c232a7dce
21869 .xword 0xd7c8c4cd39964977
21870 .xword 0x801bda180979cfb4
21871 .xword 0x2ebd03eb530a151c
21872 .xword 0x703716d71bb9a5c9
21873 .xword 0x66959877d7fc471b
21874 .xword 0x29cc698f159354a9
21875 .xword 0x82756333948e9c54
21876 .xword 0x69680fbbddaa81cf
21877 .xword 0xc83239d04b6bf966
21878 .xword 0x0a126dfaec7d39ce
21879 .xword 0x0e3d7a5fe7654e17
21880 .xword 0x391daecc5b77dcd6
21881 .xword 0xf5ce8f23cc4a87b9
21882 .xword 0x9347efac9c4c0cf1
21883 .xword 0xb289ac3a03e67c9a
21884 .xword 0x2a58e193cdd890a9
21885 .xword 0xe94ae2aa14188da5
21886 .xword 0x7936b4a4b1adffa3
21887 .xword 0xc55327f7fd84c285
21888 .xword 0x00d7588a65608c70
21889 .xword 0x14a134cb34d93e40
21890 .xword 0x0ac1d62391a32254
21891 .xword 0xda5874de1527bb9e
21892 .xword 0x5b9cebd1737ba077
21893 .xword 0x3eee900c3c19cef7
21894 .xword 0xb1d39b2e70c27146
21895 .xword 0x01842fb4bfc9bf44
21896 .xword 0xa3215e8101a8e3a3
21897 .xword 0x8d80797d04175bb0
21898 .xword 0x49f36ea823dbed4c
21899 .xword 0xb90b396bc9b5517e
21900 .xword 0x42705295f0ab3b8e
21901 .xword 0xf33e5d8ce9deb348
21902 .xword 0xf6ed1151337d9ab7
21903 .xword 0xd7d58ff11cff055c
21904 .xword 0x9fe411ef53080298
21905 .xword 0xd145255ac5ccd332
21906 .xword 0x89dd7b5cb9eef43c
21907 .xword 0x5c62ae5b5e81ec92
21908 .xword 0x28515f94f84a9c58
21909 .xword 0x338e252de4fbfa3a
21910 .xword 0x38bc333630b019c2
21911 .xword 0x104f0fba8f13b89a
21912 .xword 0x22cbb8abbba3be44
21913 .xword 0xcbd38a9f4068bcb3
21914 .xword 0xb5760c81c8d35b56
21915 .xword 0x260df761e7124b43
21916 .xword 0xc8e6b9a68cc90867
21917 .xword 0xe1cbfea59b8b6143
21918 .xword 0x85e9527bc2c5a0e3
21919 .xword 0x541789264abe4b8f
21920 .xword 0x4ee6adc8a35ff018
21921 .xword 0x59a19950ee6b2a2c
21922 .xword 0xa9b87d20dbe67b4a
21923 .xword 0x4d8c664806b85330
21924 .xword 0xda4717b08d727177
21925 .xword 0x9f7bf4de151d84b9
21926 .xword 0xbcbd99bd622f3b75
21927 .xword 0xbc28f1678e416263
21928 .xword 0x32a88a10fed8c24d
21929 .xword 0xff10157fa9346db6
21930 .xword 0x598323f365a49b6d
21931 .xword 0x11a10a459aea3d63
21932 .xword 0xeac2ae1c08f38831
21933 .xword 0x61bdec687e639a31
21934 .xword 0xfd9eaa1433054f8e
21935 .xword 0x8d5a42f61a8f6988
21936 .xword 0x4c1789cee446ecba
21937 .xword 0x626c9257d1cba2d0
21938 .xword 0x4f41295286cd9952
21939 .xword 0xf69e49597d02d455
21940 .xword 0x955bfc824d39957b
21941 .xword 0x6060333c5398678b
21942 .xword 0xb4dde7db8d483d29
21943 .xword 0x209a77d4effcd389
21944 .xword 0x99de34fb53fcbf66
21945 .xword 0x9d6490131e204d64
21946 .xword 0x3803264220b03010
21947 .xword 0x743ca0b77e254de9
21948 .xword 0xc98a4b9514b65bee
21949 .xword 0x37fa929a94a190b0
21950 .xword 0x33f60a307748a68d
21951 .xword 0x71c56619d1604d48
21952 .xword 0xa0e238fa67fe7851
21953 .xword 0xb0bc923fb0c19097
21954 .xword 0xad985f370dd24db1
21955 .xword 0x0b62a302c9bcf4c6
21956 .xword 0xf99992f1056f9497
21957 .xword 0xd5b46d07640b1faa
21958 .xword 0xc76bb32913453a45
21959 .xword 0xdfa49ae37d613c06
21960 .xword 0x1bbe7ae30e64ef0e
21961 .xword 0xf0571ca2c5061b72
21962 .xword 0x8fd56cb72eb235ab
21963 .xword 0x03d57e9d615ad9b2
21964 .xword 0x4af696ed9db842cc
21965 .xword 0x3861a3648a64d411
21966 .xword 0x448b88f2a505afd4
21967 .xword 0x1f9e28a04ae6a575
21968 .xword 0x938b88317015590b
21969 .xword 0x6293e657b0f1ab03
21970 .xword 0x77a340f47b426a66
21971 .xword 0x61f9a63eed5505ee
21972 .xword 0x9947cab54825acad
21973 .xword 0x8d44fcd59c832443
21974 .xword 0x2f2a40f548259229
21975 .xword 0x2a612c4c60038040
21976 .xword 0x4a1ddac6e5531d75
21977 .xword 0x218984a49af207c0
21978 .xword 0x44579494e32137e5
21979 .xword 0x89675be7b3395b7a
21980 .xword 0x29ae7fc0e44cec98
21981 .xword 0x6ee081370538acb6
21982 .xword 0x38643f090c8b0f1d
21983 .xword 0xef1cae31b9911d17
21984 .xword 0x736caa5d711cf78f
21985 .xword 0x37139d61ede8cbf9
21986 .xword 0x4532e9138b0655f5
21987 .xword 0xd454ddc9af3115b5
21988 .xword 0x505410a61abf6348
21989 .xword 0x4c1ab7ba995a2350
21990 .xword 0x3ce750b6405a5cf5
21991 .xword 0x406ff98ad722f70f
21992 .xword 0x25cf7e6424f1c6a0
21993 .xword 0xe69aa62b3d77e0f5
21994 .xword 0x690eb85f55aad466
21995 .xword 0x85d58bc7973af56a
21996 .xword 0xd200248d02bd1e40
21997 .xword 0xd6910f9ff619b090
21998 .xword 0xd2c5a668328e789d
21999 .xword 0x43eec53f6e265881
22000 .xword 0x1dedbb86790c2432
22001 .xword 0x95ebfb2470c5111a
22002 .xword 0x75cf17d0cad53f2d
22003 .xword 0xa85f85cfba7bb4ba
22004 .xword 0x79e902b33bdf229c
22005 .xword 0xa6d3e162eb036b3b
22006 .xword 0xed3eec588209f1f5
22007 .xword 0xd965ff5211cfda8e
22008 .xword 0xe8e4d6277d8507a9
22009 .xword 0x9f0c7afb1fad84b6
22010 .xword 0xf678b9c4df7e5baf
22011 .xword 0x6a9ddf2f692129e7
22012 .xword 0xacb3de3accded065
22013 .xword 0xd7ef9fac0ccb774d
22014 .xword 0x7d3041d795ebce76
22015 .xword 0xd6ddc4748c66a003
22016 .xword 0x9ea251d2c07ad62d
22017 .xword 0x02c8caeee35922ad
22018 .xword 0x16858e0a00284943
22019 .xword 0x0db3ee0b6222c24d
22020 .xword 0xabbfab8fe9a6ab74
22021 .xword 0x8c4852c1067df375
22022 .xword 0xf40185d1f54277cb
22023 .xword 0x20e0eccd118529f0
22024 .xword 0xd661d049f29d75f7
22025 .xword 0xb9ea8a50defc0740
22026 .xword 0x9331ab3bbda9c805
22027 .xword 0x996b10eae0fb0b89
22028 .xword 0x5cc0d2c7fb245d8e
22029 .xword 0xf0378cdc902a8c3d
22030 .xword 0x6a90f6e2d5f7dd4e
22031 .xword 0xeb6291e6c38be324
22032 .xword 0x13e62e4b361b03de
22033 .xword 0x8008a5224e44665c
22034 .xword 0xeb715b091403548b
22035 .xword 0xd4efde5e467ce7ee
22036 .xword 0x6fad795ad0b0c198
22037 .xword 0xd92749ce06e0aa95
22038 .xword 0xe156b8d8d656e4d7
22039 .xword 0x7129bc5605744982
22040 .xword 0x63f32c0140de3ca5
22041 .xword 0xa6985d8231c10344
22042 .xword 0x23cfc051d1cce9ab
22043 .xword 0xad21ac69bb37dcf4
22044 .xword 0xfc086952473fcc7d
22045 .xword 0x7145c032dc568b6f
22046 .xword 0x5c7286d3109398ab
22047 .xword 0xc06bc852753cf3ba
22048 .xword 0x7a6d173a6d090cb3
22049 .xword 0x85a6387dc56feaf6
22050 .xword 0xe6316aa78c8c326b
22051 .xword 0x9f2abd8040191910
22052 .xword 0x3d40f77900de8cef
22053 .xword 0xe993bfdc1380dcae
22054 .xword 0x182ff13b10f90ced
22055.align 16
22056_t1_sslkey_dest:
22057 .xword 0xDEADBEEFDEADBEEF
22058 .xword 0xDEADBEEFDEADBEEF
22059 .xword 0xDEADBEEFDEADBEEF
22060 .xword 0xDEADBEEFDEADBEEF
22061 .xword 0xDEADBEEFDEADBEEF
22062 .xword 0xDEADBEEFDEADBEEF
22063 .xword 0xDEADBEEFDEADBEEF
22064 .xword 0xDEADBEEFDEADBEEF
22065 .xword 0xDEADBEEFDEADBEEF
22066 .xword 0xDEADBEEFDEADBEEF
22067 .xword 0xDEADBEEFDEADBEEF
22068 .xword 0xDEADBEEFDEADBEEF
22069 .xword 0xDEADBEEFDEADBEEF
22070 .xword 0xDEADBEEFDEADBEEF
22071 .xword 0xDEADBEEFDEADBEEF
22072 .xword 0xDEADBEEFDEADBEEF
22073 .xword 0xDEADBEEFDEADBEEF
22074 .xword 0xDEADBEEFDEADBEEF
22075 .xword 0xDEADBEEFDEADBEEF
22076 .xword 0xDEADBEEFDEADBEEF
22077 .xword 0xDEADBEEFDEADBEEF
22078 .xword 0xDEADBEEFDEADBEEF
22079 .xword 0xDEADBEEFDEADBEEF
22080 .xword 0xDEADBEEFDEADBEEF
22081 .xword 0xDEADBEEFDEADBEEF
22082 .xword 0xDEADBEEFDEADBEEF
22083 .xword 0xDEADBEEFDEADBEEF
22084 .xword 0xDEADBEEFDEADBEEF
22085 .xword 0xDEADBEEFDEADBEEF
22086 .xword 0xDEADBEEFDEADBEEF
22087 .xword 0xDEADBEEFDEADBEEF
22088 .xword 0xDEADBEEFDEADBEEF
22089 .xword 0xDEADBEEFDEADBEEF
22090 .xword 0xDEADBEEFDEADBEEF
22091 .xword 0xDEADBEEFDEADBEEF
22092 .xword 0xDEADBEEFDEADBEEF
22093 .xword 0xDEADBEEFDEADBEEF
22094 .xword 0xDEADBEEFDEADBEEF
22095 .xword 0xDEADBEEFDEADBEEF
22096 .xword 0xDEADBEEFDEADBEEF
22097 .xword 0xDEADBEEFDEADBEEF
22098 .xword 0xDEADBEEFDEADBEEF
22099 .xword 0xDEADBEEFDEADBEEF
22100 .xword 0xDEADBEEFDEADBEEF
22101 .xword 0xDEADBEEFDEADBEEF
22102 .xword 0xDEADBEEFDEADBEEF
22103 .xword 0xDEADBEEFDEADBEEF
22104 .xword 0xDEADBEEFDEADBEEF
22105 .xword 0xDEADBEEFDEADBEEF
22106 .xword 0xDEADBEEFDEADBEEF
22107 .xword 0xDEADBEEFDEADBEEF
22108 .xword 0xDEADBEEFDEADBEEF
22109 .xword 0xDEADBEEFDEADBEEF
22110 .xword 0xDEADBEEFDEADBEEF
22111 .xword 0xDEADBEEFDEADBEEF
22112 .xword 0xDEADBEEFDEADBEEF
22113 .xword 0xDEADBEEFDEADBEEF
22114 .xword 0xDEADBEEFDEADBEEF
22115 .xword 0xDEADBEEFDEADBEEF
22116 .xword 0xDEADBEEFDEADBEEF
22117 .xword 0xDEADBEEFDEADBEEF
22118 .xword 0xDEADBEEFDEADBEEF
22119 .xword 0xDEADBEEFDEADBEEF
22120 .xword 0xDEADBEEFDEADBEEF
22121 .xword 0xDEADBEEFDEADBEEF
22122 .xword 0xDEADBEEFDEADBEEF
22123 .xword 0xDEADBEEFDEADBEEF
22124 .xword 0xDEADBEEFDEADBEEF
22125 .xword 0xDEADBEEFDEADBEEF
22126 .xword 0xDEADBEEFDEADBEEF
22127 .xword 0xDEADBEEFDEADBEEF
22128 .xword 0xDEADBEEFDEADBEEF
22129 .xword 0xDEADBEEFDEADBEEF
22130 .xword 0xDEADBEEFDEADBEEF
22131 .xword 0xDEADBEEFDEADBEEF
22132 .xword 0xDEADBEEFDEADBEEF
22133 .xword 0xDEADBEEFDEADBEEF
22134 .xword 0xDEADBEEFDEADBEEF
22135 .xword 0xDEADBEEFDEADBEEF
22136 .xword 0xDEADBEEFDEADBEEF
22137 .xword 0xDEADBEEFDEADBEEF
22138 .xword 0xDEADBEEFDEADBEEF
22139 .xword 0xDEADBEEFDEADBEEF
22140 .xword 0xDEADBEEFDEADBEEF
22141 .xword 0xDEADBEEFDEADBEEF
22142 .xword 0xDEADBEEFDEADBEEF
22143 .xword 0xDEADBEEFDEADBEEF
22144 .xword 0xDEADBEEFDEADBEEF
22145 .xword 0xDEADBEEFDEADBEEF
22146 .xword 0xDEADBEEFDEADBEEF
22147 .xword 0xDEADBEEFDEADBEEF
22148 .xword 0xDEADBEEFDEADBEEF
22149 .xword 0xDEADBEEFDEADBEEF
22150 .xword 0xDEADBEEFDEADBEEF
22151 .xword 0xDEADBEEFDEADBEEF
22152 .xword 0xDEADBEEFDEADBEEF
22153 .xword 0xDEADBEEFDEADBEEF
22154 .xword 0xDEADBEEFDEADBEEF
22155 .xword 0xDEADBEEFDEADBEEF
22156 .xword 0xDEADBEEFDEADBEEF
22157 .xword 0xDEADBEEFDEADBEEF
22158 .xword 0xDEADBEEFDEADBEEF
22159 .xword 0xDEADBEEFDEADBEEF
22160 .xword 0xDEADBEEFDEADBEEF
22161 .xword 0xDEADBEEFDEADBEEF
22162 .xword 0xDEADBEEFDEADBEEF
22163 .xword 0xDEADBEEFDEADBEEF
22164 .xword 0xDEADBEEFDEADBEEF
22165 .xword 0xDEADBEEFDEADBEEF
22166 .xword 0xDEADBEEFDEADBEEF
22167 .xword 0xDEADBEEFDEADBEEF
22168 .xword 0xDEADBEEFDEADBEEF
22169 .xword 0xDEADBEEFDEADBEEF
22170 .xword 0xDEADBEEFDEADBEEF
22171 .xword 0xDEADBEEFDEADBEEF
22172 .xword 0xDEADBEEFDEADBEEF
22173 .xword 0xDEADBEEFDEADBEEF
22174 .xword 0xDEADBEEFDEADBEEF
22175 .xword 0xDEADBEEFDEADBEEF
22176 .xword 0xDEADBEEFDEADBEEF
22177 .xword 0xDEADBEEFDEADBEEF
22178 .xword 0xDEADBEEFDEADBEEF
22179 .xword 0xDEADBEEFDEADBEEF
22180 .xword 0xDEADBEEFDEADBEEF
22181 .xword 0xDEADBEEFDEADBEEF
22182 .xword 0xDEADBEEFDEADBEEF
22183 .xword 0xDEADBEEFDEADBEEF
22184 .xword 0xDEADBEEFDEADBEEF
22185 .xword 0xDEADBEEFDEADBEEF
22186 .xword 0xDEADBEEFDEADBEEF
22187 .xword 0xDEADBEEFDEADBEEF
22188 .xword 0xDEADBEEFDEADBEEF
22189 .xword 0xDEADBEEFDEADBEEF
22190 .xword 0xDEADBEEFDEADBEEF
22191 .xword 0xDEADBEEFDEADBEEF
22192 .xword 0xDEADBEEFDEADBEEF
22193 .xword 0xDEADBEEFDEADBEEF
22194 .xword 0xDEADBEEFDEADBEEF
22195 .xword 0xDEADBEEFDEADBEEF
22196 .xword 0xDEADBEEFDEADBEEF
22197 .xword 0xDEADBEEFDEADBEEF
22198 .xword 0xDEADBEEFDEADBEEF
22199 .xword 0xDEADBEEFDEADBEEF
22200 .xword 0xDEADBEEFDEADBEEF
22201 .xword 0xDEADBEEFDEADBEEF
22202 .xword 0xDEADBEEFDEADBEEF
22203 .xword 0xDEADBEEFDEADBEEF
22204 .xword 0xDEADBEEFDEADBEEF
22205 .xword 0xDEADBEEFDEADBEEF
22206 .xword 0xDEADBEEFDEADBEEF
22207 .xword 0xDEADBEEFDEADBEEF
22208 .xword 0xDEADBEEFDEADBEEF
22209 .xword 0xDEADBEEFDEADBEEF
22210 .xword 0xDEADBEEFDEADBEEF
22211 .xword 0xDEADBEEFDEADBEEF
22212 .xword 0xDEADBEEFDEADBEEF
22213 .xword 0xDEADBEEFDEADBEEF
22214 .xword 0xDEADBEEFDEADBEEF
22215 .xword 0xDEADBEEFDEADBEEF
22216 .xword 0xDEADBEEFDEADBEEF
22217 .xword 0xDEADBEEFDEADBEEF
22218 .xword 0xDEADBEEFDEADBEEF
22219 .xword 0xDEADBEEFDEADBEEF
22220 .xword 0xDEADBEEFDEADBEEF
22221 .xword 0xDEADBEEFDEADBEEF
22222 .xword 0xDEADBEEFDEADBEEF
22223 .xword 0xDEADBEEFDEADBEEF
22224 .xword 0xDEADBEEFDEADBEEF
22225 .xword 0xDEADBEEFDEADBEEF
22226 .xword 0xDEADBEEFDEADBEEF
22227 .xword 0xDEADBEEFDEADBEEF
22228 .xword 0xDEADBEEFDEADBEEF
22229 .xword 0xDEADBEEFDEADBEEF
22230 .xword 0xDEADBEEFDEADBEEF
22231 .xword 0xDEADBEEFDEADBEEF
22232 .xword 0xDEADBEEFDEADBEEF
22233 .xword 0xDEADBEEFDEADBEEF
22234 .xword 0xDEADBEEFDEADBEEF
22235 .xword 0xDEADBEEFDEADBEEF
22236 .xword 0xDEADBEEFDEADBEEF
22237 .xword 0xDEADBEEFDEADBEEF
22238 .xword 0xDEADBEEFDEADBEEF
22239 .xword 0xDEADBEEFDEADBEEF
22240 .xword 0xDEADBEEFDEADBEEF
22241 .xword 0xDEADBEEFDEADBEEF
22242 .xword 0xDEADBEEFDEADBEEF
22243 .xword 0xDEADBEEFDEADBEEF
22244 .xword 0xDEADBEEFDEADBEEF
22245 .xword 0xDEADBEEFDEADBEEF
22246 .xword 0xDEADBEEFDEADBEEF
22247 .xword 0xDEADBEEFDEADBEEF
22248 .xword 0xDEADBEEFDEADBEEF
22249 .xword 0xDEADBEEFDEADBEEF
22250 .xword 0xDEADBEEFDEADBEEF
22251 .xword 0xDEADBEEFDEADBEEF
22252 .xword 0xDEADBEEFDEADBEEF
22253 .xword 0xDEADBEEFDEADBEEF
22254 .xword 0xDEADBEEFDEADBEEF
22255 .xword 0xDEADBEEFDEADBEEF
22256 .xword 0xDEADBEEFDEADBEEF
22257 .xword 0xDEADBEEFDEADBEEF
22258 .xword 0xDEADBEEFDEADBEEF
22259 .xword 0xDEADBEEFDEADBEEF
22260 .xword 0xDEADBEEFDEADBEEF
22261 .xword 0xDEADBEEFDEADBEEF
22262 .xword 0xDEADBEEFDEADBEEF
22263 .xword 0xDEADBEEFDEADBEEF
22264 .xword 0xDEADBEEFDEADBEEF
22265 .xword 0xDEADBEEFDEADBEEF
22266 .xword 0xDEADBEEFDEADBEEF
22267 .xword 0xDEADBEEFDEADBEEF
22268 .xword 0xDEADBEEFDEADBEEF
22269 .xword 0xDEADBEEFDEADBEEF
22270 .xword 0xDEADBEEFDEADBEEF
22271 .xword 0xDEADBEEFDEADBEEF
22272 .xword 0xDEADBEEFDEADBEEF
22273 .xword 0xDEADBEEFDEADBEEF
22274 .xword 0xDEADBEEFDEADBEEF
22275 .xword 0xDEADBEEFDEADBEEF
22276 .xword 0xDEADBEEFDEADBEEF
22277 .xword 0xDEADBEEFDEADBEEF
22278 .xword 0xDEADBEEFDEADBEEF
22279 .xword 0xDEADBEEFDEADBEEF
22280 .xword 0xDEADBEEFDEADBEEF
22281 .xword 0xDEADBEEFDEADBEEF
22282 .xword 0xDEADBEEFDEADBEEF
22283 .xword 0xDEADBEEFDEADBEEF
22284 .xword 0xDEADBEEFDEADBEEF
22285 .xword 0xDEADBEEFDEADBEEF
22286 .xword 0xDEADBEEFDEADBEEF
22287 .xword 0xDEADBEEFDEADBEEF
22288 .xword 0xDEADBEEFDEADBEEF
22289 .xword 0xDEADBEEFDEADBEEF
22290 .xword 0xDEADBEEFDEADBEEF
22291 .xword 0xDEADBEEFDEADBEEF
22292 .xword 0xDEADBEEFDEADBEEF
22293 .xword 0xDEADBEEFDEADBEEF
22294 .xword 0xDEADBEEFDEADBEEF
22295 .xword 0xDEADBEEFDEADBEEF
22296 .xword 0xDEADBEEFDEADBEEF
22297 .xword 0xDEADBEEFDEADBEEF
22298 .xword 0xDEADBEEFDEADBEEF
22299 .xword 0xDEADBEEFDEADBEEF
22300 .xword 0xDEADBEEFDEADBEEF
22301 .xword 0xDEADBEEFDEADBEEF
22302 .xword 0xDEADBEEFDEADBEEF
22303 .xword 0xDEADBEEFDEADBEEF
22304 .xword 0xDEADBEEFDEADBEEF
22305 .xword 0xDEADBEEFDEADBEEF
22306 .xword 0xDEADBEEFDEADBEEF
22307 .xword 0xDEADBEEFDEADBEEF
22308 .xword 0xDEADBEEFDEADBEEF
22309 .xword 0xDEADBEEFDEADBEEF
22310 .xword 0xDEADBEEFDEADBEEF
22311 .xword 0xDEADBEEFDEADBEEF
22312 .xword 0xDEADBEEFDEADBEEF
22313 .xword 0xDEADBEEFDEADBEEF
22314 .xword 0xDEADBEEFDEADBEEF
22315 .xword 0xDEADBEEFDEADBEEF
22316 .xword 0xDEADBEEFDEADBEEF
22317 .xword 0xDEADBEEFDEADBEEF
22318 .xword 0xDEADBEEFDEADBEEF
22319 .xword 0xDEADBEEFDEADBEEF
22320 .xword 0xDEADBEEFDEADBEEF
22321 .xword 0xDEADBEEFDEADBEEF
22322 .xword 0xDEADBEEFDEADBEEF
22323 .xword 0xDEADBEEFDEADBEEF
22324 .xword 0xDEADBEEFDEADBEEF
22325 .xword 0xDEADBEEFDEADBEEF
22326 .xword 0xDEADBEEFDEADBEEF
22327 .xword 0xDEADBEEFDEADBEEF
22328 .xword 0xDEADBEEFDEADBEEF
22329 .xword 0xDEADBEEFDEADBEEF
22330 .xword 0xDEADBEEFDEADBEEF
22331 .xword 0xDEADBEEFDEADBEEF
22332.align 16
22333_t1_sslkey_auth_key:
22334 .xword 0xd2dbcef0e58091f8
22335 .xword 0xadc6225da836845a
22336 .xword 0x7f908191d93cec1a
22337 .xword 0x27a3fe21cfacdbfc
22338 .xword 0xb86df787ceb17aee
22339 .xword 0x82fe44c507201439
22340 .xword 0x621b323aaf7f4f57
22341 .xword 0x016ba77fe66ea3d6
22342 .xword 0xb15e7a98af1aaf77
22343 .xword 0xa6ff242d7219f1c5
22344 .xword 0x76feb81340546ccb
22345 .xword 0x15dee7f904bde36a
22346 .xword 0x098f51e7e6e7b49d
22347 .xword 0x29350a248194aa55
22348 .xword 0x85f46d2bbe570339
22349 .xword 0x526ebeda2df556cd
22350 .xword 0x8c857fa62376840e
22351 .xword 0xb973d48b77c96b12
22352 .xword 0x189c9ab55b7f352a
22353 .xword 0x06835800e8e0fe54
22354 .xword 0x34082f8496bb94dd
22355 .xword 0x100045f368b08097
22356 .xword 0x1fda6dd474282548
22357.align 16
22358_t1_sslkey_auth_iv:
22359 .xword 0x88b4648974ae0617
22360 .xword 0xd0940e276719ee8f
22361 .xword 0xe0e5794819f4fadc
22362 .xword 0x93dc757ed4ebcc22
22363 .xword 0xa779010f1aa11aaa
22364 .xword 0x3b7ff1591fef7019
22365 .xword 0x8dd969ace20937e1
22366 .xword 0x3e08c1484718373a
22367 .xword 0x1ef32bad090d4731
22368 .xword 0xe8fac3f63294275b
22369 .xword 0x5e10e45a9c1bd005
22370 .xword 0xdbb1c54f6a98d0ad
22371 .xword 0x4120437c58a69f76
22372 .xword 0xf7c86d50b00e28e9
22373 .xword 0xb94c190694dc5493
22374 .xword 0x468892012c831375
22375 .xword 0xca61377caaf3cee7
22376 .xword 0x38ebd30db11d80f9
22377 .xword 0xd0a172e816eaff48
22378 .xword 0x56cbf62a61344825
22379 .xword 0x7c1edb824227a5d9
22380 .xword 0x31463e22522d348e
22381 .xword 0x01a29f2c35d384bc
22382.align 16
22383_t1_sslkey_fas_result:
22384 .xword 0xDEADBEEFDEADBEEF
22385 .xword 0xDEADBEEFDEADBEEF
22386 .xword 0xDEADBEEFDEADBEEF
22387 .xword 0xDEADBEEFDEADBEEF
22388 .xword 0xDEADBEEFDEADBEEF
22389 .xword 0xDEADBEEFDEADBEEF
22390 .xword 0xDEADBEEFDEADBEEF
22391 .xword 0xDEADBEEFDEADBEEF
22392 .xword 0xDEADBEEFDEADBEEF
22393 .xword 0xDEADBEEFDEADBEEF
22394 .xword 0xDEADBEEFDEADBEEF
22395 .xword 0xDEADBEEFDEADBEEF
22396 .xword 0xDEADBEEFDEADBEEF
22397 .xword 0xDEADBEEFDEADBEEF
22398 .xword 0xDEADBEEFDEADBEEF
22399 .xword 0xDEADBEEFDEADBEEF
22400 .xword 0xDEADBEEFDEADBEEF
22401 .xword 0xDEADBEEFDEADBEEF
22402 .xword 0xDEADBEEFDEADBEEF
22403 .xword 0xDEADBEEFDEADBEEF
22404 .xword 0xDEADBEEFDEADBEEF
22405 .xword 0xDEADBEEFDEADBEEF
22406 .xword 0xDEADBEEFDEADBEEF
22407_t1_aes_toc:
22408 .xword _t1_aes_cwd_array
22409 .xword _t1_aes_src
22410 .xword _t1_aes_auth_key
22411 .xword _t1_aes_auth_iv
22412 .xword _t1_aes_fas_result
22413 .xword _t1_aes_key_array
22414 .xword _t1_aes_iv_array
22415 .xword _t1_aes_dest
22416 .xword _t1_aes_alignment_array
22417_t1_des_toc:
22418 .xword _t1_des_cwd_array
22419 .xword _t1_des_src
22420 .xword _t1_des_auth_key
22421 .xword _t1_des_auth_iv
22422 .xword _t1_des_fas_result
22423 .xword _t1_des_key_array
22424 .xword _t1_des_iv_array
22425 .xword _t1_des_dest
22426 .xword _t1_des_alignment_array
22427_t1_copy_toc:
22428 .xword _t1_copy_cwd_array
22429 .xword _t1_copy_src
22430 .xword _t1_copy_auth_key
22431 .xword _t1_copy_auth_iv
22432 .xword _t1_copy_fas_result
22433 .xword _t1_copy_key_array
22434 .xword _t1_copy_iv_array
22435 .xword _t1_copy_dest
22436 .xword _t1_copy_alignment_array
22437_t1_crc_toc:
22438 .xword _t1_crc_cwd_array
22439 .xword _t1_crc_src
22440 .xword _t1_crc_auth_key
22441 .xword _t1_crc_auth_iv
22442 .xword _t1_crc_fas_result
22443 .xword _t1_crc_key_array
22444 .xword _t1_crc_iv_array
22445 .xword _t1_crc_dest
22446 .xword _t1_crc_alignment_array
22447_t1_hash_toc:
22448 .xword _t1_hash_cwd_array
22449 .xword _t1_hash_src
22450 .xword _t1_hash_auth_key
22451 .xword _t1_hash_auth_iv
22452 .xword _t1_hash_fas_result
22453 .xword _t1_hash_key_array
22454 .xword _t1_hash_iv_array
22455 .xword _t1_hash_dest
22456 .xword _t1_hash_alignment_array
22457_t1_hmac_toc:
22458 .xword _t1_hmac_cwd_array
22459 .xword _t1_hmac_src
22460 .xword _t1_hmac_auth_key
22461 .xword _t1_hmac_auth_iv
22462 .xword _t1_hmac_fas_result
22463 .xword _t1_hmac_key_array
22464 .xword _t1_hmac_iv_array
22465 .xword _t1_hmac_dest
22466 .xword _t1_hmac_alignment_array
22467_t1_rc4_toc:
22468 .xword _t1_rc4_cwd_array
22469 .xword _t1_rc4_src
22470 .xword _t1_rc4_auth_key
22471 .xword _t1_rc4_auth_iv
22472 .xword _t1_rc4_fas_result
22473 .xword _t1_rc4_key_array
22474 .xword _t1_rc4_iv_array
22475 .xword _t1_rc4_dest
22476 .xword _t1_rc4_alignment_array
22477_t1_sslkey_toc:
22478 .xword _t1_sslkey_cwd_array
22479 .xword _t1_sslkey_src
22480 .xword _t1_sslkey_auth_key
22481 .xword _t1_sslkey_auth_iv
22482 .xword _t1_sslkey_fas_result
22483 .xword _t1_sslkey_key_array
22484 .xword _t1_sslkey_iv_array
22485 .xword _t1_sslkey_dest
22486 .xword _t1_sslkey_alignment_array
22487.global _t1_table_of_context
22488_t1_table_of_context:
22489 .xword _t1_aes_toc
22490 .xword _t1_des_toc
22491 .xword _t1_copy_toc
22492 .xword _t1_crc_toc
22493 .xword _t1_hash_toc
22494 .xword _t1_hmac_toc
22495 .xword _t1_rc4_toc
22496 .xword _t1_sslkey_toc
22497
22498!# CWQ data area, set aside 512 CW's worth
22499!# 512*8*8 = 32KB
22500.align 32*1024
22501.global _t1_cwq_base
22502_t1_cwq_base:
22503 .xword 0xAAAAAAAAAAAAAAA
22504 .xword 0xAAAAAAAAAAAAAAA
22505 .xword 0xAAAAAAAAAAAAAAA
22506 .xword 0xAAAAAAAAAAAAAAA
22507 .xword 0xAAAAAAAAAAAAAAA
22508 .xword 0xAAAAAAAAAAAAAAA
22509 .xword 0xAAAAAAAAAAAAAAA
22510 .xword 0xAAAAAAAAAAAAAAA
22511.align 32*1024
22512.global _t1_cwq_last
22513_t1_cwq_last:
22514SECTION ._t1_T_CWQ_DATA1 DATA_VA=286261248
22515attr_data {
22516 Name = ._t1_T_CWQ_DATA1
22517 hypervisor
22518}
22519 .data
22520_t1_user_data_start1:
22521_t1_scratch_area1:
22522
22523.align 16
22524_t1_spu_op_array1:
22525 .xword 3
22526 .xword 7
22527 .xword 5
22528 .xword 1
22529 .xword 1
22530 .xword 4
22531 .xword 1
22532 .xword 1
22533 .xword 4
22534 .xword 1
22535 .xword 0
22536 .xword 3
22537 .xword 6
22538 .xword 6
22539 .xword 0
22540_t1_aes_cwd_array1:
22541 .xword 0x40e000c01100001f
22542 .xword 0xc06100401b00001f
22543 .xword 0x40e000401400001f
22544 .xword 0xc0e100a01800000f
22545 .xword 0x406100001100000f
22546 .xword 0x40e000601b00003f
22547 .xword 0xc06000401400003f
22548 .xword 0xc06100201300003f
22549 .xword 0xc0e100a01000003f
22550 .xword 0xc06000601100002f
22551 .xword 0xc06000401b00003f
22552 .xword 0xc06100e01b00000f
22553 .xword 0xc0e000a01000001f
22554 .xword 0xc06000e01700001f
22555 .xword 0xc0e100001900003f
22556_t1_des_cwd_array1:
22557 .xword 0x40e100000a000017
22558 .xword 0x40e000200900001f
22559 .xword 0xc06000200900000f
22560 .xword 0xc0e000200800001f
22561 .xword 0xc06000200a000007
22562 .xword 0x40e000200a00000f
22563 .xword 0xc06100800d00001f
22564 .xword 0xc0e000e00c000017
22565 .xword 0x40e100600c00000f
22566 .xword 0xc0e000e00800000f
22567 .xword 0xc060002009000017
22568 .xword 0x40e100c00c00000f
22569 .xword 0xc0e100200d000017
22570 .xword 0xc06100800e00001f
22571 .xword 0x406000c00a00001f
22572_t1_copy_cwd_array1:
22573 .xword 0x206000200000000c
22574 .xword 0xa06100a000000006
22575 .xword 0xa060002000000006
22576 .xword 0x206000e000000002
22577 .xword 0xa060000000000008
22578 .xword 0x206100a000000002
22579 .xword 0x206100e000000001
22580 .xword 0x2060006000000006
22581 .xword 0x206100e00000000e
22582 .xword 0x2061008000000002
22583 .xword 0x206000200000000b
22584 .xword 0x206000400000000b
22585 .xword 0x206000e00000000f
22586 .xword 0x206000e000000005
22587 .xword 0xa060004000000002
22588_t1_crc_cwd_array1:
22589 .xword 0xc16003e400000002
22590 .xword 0x416201280000000e
22591 .xword 0xc160038400000008
22592 .xword 0xc16201a800000001
22593 .xword 0xc16003040000000d
22594 .xword 0x416101e800000005
22595 .xword 0x416103440000000a
22596 .xword 0xc16001c800000009
22597 .xword 0xc16203e40000000d
22598 .xword 0x4163010800000005
22599 .xword 0x416103040000000b
22600 .xword 0x416201a80000000d
22601 .xword 0x4162036400000004
22602 .xword 0xc16201a800000002
22603_t1_hash_cwd_array1:
22604 .xword 0xc160016100000038
22605 .xword 0x41610dc100000001
22606 .xword 0xc16208e20000002e
22607 .xword 0x4163112200000038
22608 .xword 0x416106a20000003c
22609 .xword 0xc16210a30000001c
22610 .xword 0x416201a10000001e
22611 .xword 0x41630aa100000027
22612 .xword 0x41610d0100000009
22613 .xword 0xc16009c10000002d
22614 .xword 0xc162102200000008
22615 .xword 0x416212e300000025
22616 .xword 0xc163078200000034
22617 .xword 0xc1600de200000014
22618 .xword 0x416104410000000f
22619_t1_hmac_cwd_array1:
22620 .xword 0x41630f07001f0020
22621 .xword 0x41600c09000f0007
22622 .xword 0xc1600ee600130033
22623 .xword 0x41620909000f001f
22624 .xword 0xc161014600130009
22625 .xword 0x416019ab001f0019
22626 .xword 0xc1620485000f0016
22627 .xword 0xc1610ea9000f0035
22628 .xword 0xc1600a09000f001e
22629 .xword 0x41600f25000f0031
22630 .xword 0x41620c29000f002e
22631 .xword 0x41601b8b001f001b
22632 .xword 0xc16001c5000f0015
22633 .xword 0xc16303e5000f003a
22634 .xword 0x41600d4a0013000f
22635_t1_rc4_cwd_array1:
22636 .xword 0xc0e000000400000c
22637 .xword 0xc0e1000004000001
22638 .xword 0xc0e0000004000006
22639 .xword 0x40e100400000000e
22640 .xword 0xc0e0004000000003
22641 .xword 0xc0e000200400000f
22642 .xword 0x40e1000000000005
22643 .xword 0xc0e000e004000007
22644 .xword 0x40e0000004000003
22645 .xword 0x40e100e000000006
22646 .xword 0xc0e000c000000007
22647 .xword 0x40e000e004000002
22648 .xword 0xc0e0002000000007
22649 .xword 0xc0e000400000000d
22650 .xword 0x40e0006000000009
22651_t1_sslkey_cwd_array1:
22652 .xword 0x10603b0000000000, 0
22653 .xword 0x106039c000000000, 0
22654 .xword 0x10601f2000000000, 0
22655 .xword 0x1060216000000000, 0
22656 .xword 0x90602ea000000000, 0
22657 .xword 0x90600a6000000000, 0
22658 .xword 0x106002a000000000, 0
22659 .xword 0x1060384000000000, 0
22660 .xword 0x9060138000000000, 0
22661 .xword 0x106016a000000000, 0
22662 .xword 0x9060124000000000, 0
22663 .xword 0x1060334000000000, 0
22664 .xword 0x9060030000000000, 0
22665 .xword 0x1060262000000000, 0
22666 .xword 0x1060130000000000, 0
22667_t1_aes_key_array:
22668 .xword 0xeee4b7fe881ad19f
22669 .xword 0x9552b70212a9fce6
22670 .xword 0xb6054d701ef91d1a
22671 .xword 0xe64a3a26042c9e09
22672 .xword 0x41715fb7aac89e8e
22673 .xword 0x2ba7f26b56d36db8
22674 .xword 0x70c715198570d1d9
22675 .xword 0x0f7b51f126083b93
22676 .xword 0x9d87538bd36cbfa5
22677 .xword 0x7cf206ae1d65d8af
22678 .xword 0x10c909c7991d94ed
22679 .xword 0x3133102c10ff4548
22680 .xword 0xd8baed6ea2668153
22681 .xword 0x446e02d08176933c
22682 .xword 0x95379e492850b02f
22683 .xword 0x9885c240a48dfb82
22684 .xword 0x6d1b6d517b02169b
22685 .xword 0x6b2750e69fe1edfb
22686 .xword 0x36edf957258e4fe2
22687 .xword 0x289ffa7f5ec3df24
22688 .xword 0x304f0ab4a17bed78
22689 .xword 0xc62b22480e46b635
22690 .xword 0xed8c1adf4522dbf0
22691 .xword 0x3f6b42d11ba0aa9c
22692 .xword 0x5a92d431b99a72a3
22693 .xword 0x5c1b793679128309
22694 .xword 0x5c7b5fd92f3fbd78
22695 .xword 0x57964e42f0aaa78c
22696 .xword 0xdbc9351b37d0c346
22697 .xword 0x2be4e5038c38f239
22698 .xword 0x66b388673ae109b7
22699 .xword 0x3e714c848f491992
22700 .xword 0x5ff7accb5445f10c
22701 .xword 0x3d05dbe3d325e77b
22702 .xword 0x125eedbdb7fdb903
22703 .xword 0x6255fc040163a106
22704 .xword 0x5c29ca681ba8bb63
22705 .xword 0x9f23f82624f61231
22706 .xword 0x27627ab83f63f86d
22707 .xword 0xa23c6c18e5007eaf
22708 .xword 0x38e0201e56130220
22709 .xword 0xbacc4196e3d6a702
22710 .xword 0xf2baadc1e4553f30
22711 .xword 0x7af99765dde791dd
22712 .xword 0xb490407cb8553d19
22713 .xword 0x1fe6ca1971e41c81
22714 .xword 0x20aa845d1f7e35cc
22715 .xword 0xc12b2b2404d46489
22716 .xword 0xfa8a1e4cf1ec2fac
22717 .xword 0xd0f56ab03ef50fb4
22718 .xword 0xd533199d7b0f0c46
22719_t1_aes_iv_array:
22720 .xword 0x9de5a2364974839a
22721 .xword 0xc803deb77b25c920
22722 .xword 0x9f5d77d07d24da56
22723 .xword 0xe5baf14fe8685037
22724 .xword 0x0adcbf8d24f4c805
22725 .xword 0xeb62c5a83017ea6d
22726 .xword 0x28c2484bc0209acd
22727 .xword 0x654e9422687d19df
22728 .xword 0xa10f9ca1060d3fb2
22729 .xword 0x4940a16dc055ca8c
22730 .xword 0x9f72869dfddde0aa
22731 .xword 0xc73fd67fc6978ee1
22732 .xword 0x4594507b27ce22e3
22733 .xword 0x273db91dfad700dc
22734 .xword 0xfcc68dee97e749a6
22735 .xword 0xf94c95874345b0ff
22736 .xword 0xce631a9e47b77116
22737 .xword 0x3ad6962fdf7a798e
22738 .xword 0xd5ef999219c75d13
22739 .xword 0x44a48f642171371b
22740 .xword 0x7efee0092c12e34e
22741 .xword 0x94be2f8e5c530172
22742 .xword 0x02fc57de78088ea6
22743 .xword 0xe2ca8b69533e30c0
22744 .xword 0xec2406e4774f341d
22745 .xword 0xc99528ba7d616443
22746 .xword 0xc27d2db52f3b1092
22747 .xword 0xb9c16bfbe741acbc
22748 .xword 0x01053ed0e06c9391
22749 .xword 0x2ee81873559371ec
22750 .xword 0x140b4516f5aa9b30
22751 .xword 0xdf2ecaa2a3768d81
22752 .xword 0x6f02ce7f4c3643cc
22753 .xword 0x141ab2ac5665e19b
22754 .xword 0x45f6be873c87f3e4
22755 .xword 0x07b6b845da7c12d0
22756 .xword 0x8302eacb1ba3fcd4
22757 .xword 0xf877e2d2e94723a2
22758 .xword 0x98b1e3dc70b8171c
22759 .xword 0x95ce9075b14aea8b
22760 .xword 0xe05b7ae7ab00acbd
22761 .xword 0x48f12dec687afe1d
22762 .xword 0xc7a59dcd274626e5
22763 .xword 0xafc7ebf2aff0a0b8
22764 .xword 0x977d56bb0b769442
22765_t1_aes_alignment_array:
22766 .xword 11
22767 .xword 9
22768 .xword 14
22769 .xword 7
22770 .xword 2
22771 .xword 5
22772 .xword 1
22773 .xword 2
22774 .xword 9
22775 .xword 8
22776 .xword 0
22777 .xword 2
22778 .xword 11
22779 .xword 2
22780 .xword 0
22781 .xword 11
22782 .xword 6
22783 .xword 4
22784 .xword 12
22785 .xword 15
22786 .xword 4
22787 .xword 6
22788 .xword 14
22789 .xword 3
22790 .xword 1
22791 .xword 3
22792 .xword 10
22793 .xword 8
22794 .xword 6
22795 .xword 13
22796 .xword 4
22797 .xword 8
22798 .xword 4
22799 .xword 12
22800 .xword 0
22801 .xword 8
22802 .xword 13
22803 .xword 13
22804 .xword 9
22805 .xword 2
22806 .xword 10
22807 .xword 10
22808 .xword 0
22809 .xword 0
22810 .xword 2
22811 .xword 8
22812 .xword 11
22813 .xword 3
22814 .xword 4
22815 .xword 15
22816 .xword 5
22817 .xword 3
22818 .xword 4
22819 .xword 12
22820 .xword 5
22821 .xword 3
22822 .xword 9
22823 .xword 4
22824 .xword 10
22825 .xword 15
22826 .xword 6
22827 .xword 4
22828 .xword 2
22829 .xword 2
22830 .xword 9
22831 .xword 0
22832 .xword 10
22833 .xword 3
22834 .xword 1
22835 .xword 1
22836 .xword 0
22837 .xword 10
22838 .xword 3
22839 .xword 6
22840 .xword 11
22841 .xword 8
22842 .xword 11
22843 .xword 6
22844 .xword 5
22845 .xword 9
22846 .xword 1
22847 .xword 10
22848 .xword 11
22849 .xword 7
22850 .xword 13
22851 .xword 7
22852 .xword 14
22853 .xword 0
22854 .xword 14
22855 .xword 8
22856 .xword 2
22857 .xword 12
22858 .xword 0
22859 .xword 15
22860 .xword 6
22861 .xword 1
22862 .xword 2
22863 .xword 1
22864 .xword 15
22865 .xword 3
22866 .xword 11
22867 .xword 7
22868 .xword 3
22869 .xword 11
22870 .xword 5
22871_t1_aes_src:
22872 .xword 0xd8a17b8b42d1a606
22873 .xword 0x23911b3ef6e288d3
22874 .xword 0x3eed416991963826
22875 .xword 0x41cc378429863bfe
22876 .xword 0xcf76f167638c750f
22877 .xword 0x25aa20dd9427b941
22878 .xword 0xb580f60a3b4f29b1
22879 .xword 0xc7f5ce103cffb549
22880 .xword 0xfd85bddc697132f0
22881 .xword 0x849540f5a30f60bc
22882 .xword 0x73399a33cb9f7717
22883 .xword 0x64140945e4e0b0d5
22884 .xword 0xe5fbe69d4055fb0e
22885 .xword 0x499d5c71eee87c86
22886 .xword 0xd7ce0d05c47df49c
22887 .xword 0x65bf5e281e73722f
22888 .xword 0x88863e173618288a
22889 .xword 0x0101462a407216f3
22890 .xword 0x8efd4e68bf7f83c8
22891 .xword 0x569bfb5bd275a547
22892 .xword 0xe6c21acb6ea95b49
22893 .xword 0xc57ec63602161905
22894 .xword 0xe2ea1309f1b3bb1c
22895 .xword 0x84d031b26ef194af
22896 .xword 0xa680ed4887d559a4
22897 .xword 0xee43469162393f23
22898 .xword 0xe5f2daffff31af6a
22899 .xword 0xa3e75e60ea0251c7
22900 .xword 0xa8ab3b621f943128
22901 .xword 0x870d7aff9f7e0e8e
22902 .xword 0x0738221907e5f47d
22903 .xword 0x9006583bcd42f10d
22904 .xword 0xfe7737578843e0b9
22905 .xword 0x59674c7d3edd47f6
22906 .xword 0x52008dfd1210e127
22907 .xword 0xb2e274d27958cb59
22908 .xword 0x26e349e967765248
22909 .xword 0xd32bf8072756c63a
22910 .xword 0x6dcd3f8fb13a8d2e
22911 .xword 0x70952a066aadb278
22912 .xword 0xca60f9d83e4f1ed8
22913 .xword 0xb0e59a473172cd56
22914 .xword 0xd7c75be5f09e432e
22915 .xword 0x78d1ac31f2ebfbdf
22916 .xword 0xc7f868959d2051dd
22917 .xword 0x81b5cf6ed90179e6
22918 .xword 0xbf60ceec3e539ff5
22919 .xword 0x7e66f6031d82e0b9
22920 .xword 0x76dc7bfc9b6381b6
22921 .xword 0xda233d54148b607d
22922 .xword 0x00f773d2010c26a9
22923 .xword 0x3993589b05889be2
22924 .xword 0xd47f6c43cce7fef1
22925 .xword 0x4fc31e9ac53ab780
22926 .xword 0x20fae11c862d7599
22927 .xword 0x78c41395aeb9e6e2
22928 .xword 0x04356dfce9f3c171
22929 .xword 0x8eaecbe50efaa585
22930 .xword 0x194f11066b1ba352
22931 .xword 0xd30f7bca6ad577f4
22932 .xword 0xa0b721343d218139
22933 .xword 0x03c4c796f10a191b
22934 .xword 0x254d34c64a7c9b1c
22935 .xword 0xd2249eccd67142ab
22936 .xword 0x0e001ed2564abc4f
22937 .xword 0x17c9500d4f2cf865
22938 .xword 0xaec7d94e8c33239d
22939 .xword 0x1c00a73cffd8f596
22940 .xword 0x0b24f97a855bf0e2
22941 .xword 0x0b1508b1fd3d4190
22942 .xword 0xd8fe2be5e67d1801
22943 .xword 0xd875dd5f8ab1a2ca
22944 .xword 0xe6978849cf186bc3
22945 .xword 0x0e8de45933de9750
22946 .xword 0xe78fba2157d4803d
22947 .xword 0x46417f577a26fe0d
22948 .xword 0x87da7b13bb58d350
22949 .xword 0xe085ceaf054c516b
22950 .xword 0x726638b569014f03
22951 .xword 0x9b5666515ae42f1c
22952 .xword 0x3b67b97d86267eb4
22953 .xword 0xa6f137a3972a098e
22954 .xword 0x9abdbccb85aa2362
22955 .xword 0x5ec1018d273fa224
22956 .xword 0x4efed312c84af8c5
22957 .xword 0xb5de7d376933897a
22958 .xword 0x01f502bfcf4d56d4
22959 .xword 0x48a1bf598dd46a5c
22960 .xword 0x67ef2f9bac9e0f4d
22961 .xword 0x649eaf37456b40d2
22962 .xword 0x717ef82cbbf24ba9
22963 .xword 0xeebaea89f31c90cb
22964 .xword 0x6746ddcd6dc1f065
22965 .xword 0x332d3ac95567cbd4
22966 .xword 0xcb728e4356dea47a
22967 .xword 0xc70a8318c4965807
22968 .xword 0x68d04ed4fe6c5328
22969 .xword 0x0cc564ebca4c0a3e
22970 .xword 0xdb098260f8a94759
22971 .xword 0x88e6e476d9722e7a
22972 .xword 0xb5b5cb4fd98ccef3
22973 .xword 0x4dd8deb066e5a4c9
22974 .xword 0x290ba502e67a7e7e
22975 .xword 0xe05085c89603db94
22976 .xword 0x1012169a516ea3dd
22977 .xword 0x6f47ac10d29a809f
22978 .xword 0x4b0b37542513786c
22979 .xword 0x256b29408390dfd9
22980 .xword 0x5eed58322f953dbc
22981 .xword 0x1ada99b91867dfac
22982 .xword 0x2251bd27aa4bc707
22983 .xword 0xeabb18dfbf115da4
22984 .xword 0xaeb5305e7ad61051
22985 .xword 0xac1f2f2558c40e56
22986 .xword 0x94cac8d4512a6464
22987 .xword 0xb516e645820d0aa7
22988 .xword 0x23be639332cae61e
22989 .xword 0x905dd286fb0ce1d6
22990 .xword 0xafb246de10488eca
22991 .xword 0xd3d859c1e7a3cda8
22992 .xword 0x384282d7f7e6a367
22993 .xword 0x8db57104d766fe8a
22994 .xword 0x0e9dafba78c09f5d
22995 .xword 0x0de9d96a3f0293ed
22996 .xword 0xa12cd0fb1304326a
22997 .xword 0x3d87e35c735b0fe0
22998 .xword 0xca6eb43558945081
22999 .xword 0xeda629eb17366a7d
23000 .xword 0xbd8b2ec0dd979de6
23001 .xword 0x90b01156494fdfba
23002 .xword 0x744d9b07e4af512f
23003 .xword 0xa8112e571c5c153d
23004 .xword 0x212a0a92b31dab75
23005 .xword 0x44415c1f36700346
23006 .xword 0x209f20d8158d4ef1
23007 .xword 0x35db91d7837bf33b
23008 .xword 0x723921a724672e64
23009 .xword 0xc83eee5a0aae8280
23010 .xword 0x4f8520cc32849a7c
23011 .xword 0x2906269d14967ea1
23012 .xword 0x792be61f8cc239ab
23013 .xword 0x594a8fc16dcc9eda
23014 .xword 0x3e905993ccbb90ae
23015 .xword 0x7a8cd81dc019d28c
23016 .xword 0xe6744494c19f69dd
23017 .xword 0x1f1e4a30c024f170
23018 .xword 0x064cd53c9a2f7de3
23019 .xword 0x17c5bebddc0e0d14
23020 .xword 0xf77cd43668f63a46
23021 .xword 0x7ba9c2b7ad98e84b
23022 .xword 0x4356310674025160
23023 .xword 0xe7f73ff7d0c23393
23024 .xword 0x9d93eacc4a9b0260
23025 .xword 0xed2567755dd2e0fb
23026 .xword 0x6e844305471a9c88
23027 .xword 0x2efa74e4a16d3c48
23028 .xword 0x27d5b6c444814f48
23029 .xword 0x4adc79fe4ef44239
23030 .xword 0xfa9b7b0328d1e673
23031 .xword 0xbeb656a1b07e7207
23032 .xword 0x25e1fb8f22e207b1
23033 .xword 0xf3e6800762884861
23034 .xword 0xad02742cedc751a7
23035 .xword 0x32b366626535d169
23036 .xword 0x856f20664d02d80e
23037 .xword 0x9f2ea67ae5c8c8f7
23038 .xword 0x5e77ee98e60b53aa
23039 .xword 0x0cba0d47025237fe
23040 .xword 0x0be342da23776397
23041 .xword 0x6fba97a2118dc537
23042 .xword 0x79f2f52bee15be22
23043 .xword 0xea4d4713ace14f06
23044 .xword 0x418de7169f1b54ca
23045 .xword 0xa578e62aa2508aed
23046 .xword 0x35b54aff04bf55bc
23047 .xword 0x7fbdab52ba7c2117
23048 .xword 0x24db6d198a98b8fa
23049 .xword 0x736fb5532e7c13b4
23050 .xword 0xc10e230859ec2b61
23051 .xword 0x9fc468ecd6955d45
23052 .xword 0x920008c7a97caae3
23053 .xword 0xc12479b978f39dec
23054 .xword 0x4dc1b1d5cfdb5e00
23055 .xword 0x4bf65db1ad38a1d5
23056 .xword 0x3d3bbef890e24f23
23057 .xword 0x6078b2d7de1a50c7
23058 .xword 0x4607c70995594005
23059 .xword 0x812553e7865886e0
23060 .xword 0x31afc9cdd7e5404f
23061 .xword 0xb55d53a11421bec1
23062 .xword 0xd35abaf3a5cdc293
23063 .xword 0x7d016bca8970a3a7
23064 .xword 0xf08384a877325d96
23065 .xword 0x03bde9b41ca5010c
23066 .xword 0xa2dd02d87b03dde6
23067 .xword 0x6b7d96e553f2b886
23068 .xword 0xfddd22038fde2833
23069 .xword 0x7778d7da85a1f30a
23070 .xword 0xca029a028e94f668
23071 .xword 0x31ddbc335d72b90d
23072 .xword 0x5f3009d4b82f9e26
23073 .xword 0x2154bc57058f82d3
23074 .xword 0xe0df014c21558308
23075 .xword 0x4c3d8bb128464070
23076 .xword 0xe851efd9914580cd
23077 .xword 0xbe48266699b700ad
23078 .xword 0xc4b0ebeb814161cf
23079 .xword 0xc96e1fd7bebd74bd
23080 .xword 0xd81e14c1494210d5
23081 .xword 0xfcf113932f9af04c
23082 .xword 0x139c7194cdf4b11b
23083 .xword 0xef55b53f831bb85b
23084 .xword 0xb08a44a90926b9c7
23085 .xword 0xf588b62475305abc
23086 .xword 0x607490b8d2a05339
23087 .xword 0x1a0ebdbcee7faa8f
23088 .xword 0xc8d640b1ac6f28de
23089 .xword 0xa78435b725017edc
23090 .xword 0xf8479620ee45b0ad
23091 .xword 0x5c6ae826dcf738d6
23092 .xword 0x304411aff241cecf
23093 .xword 0xaada50186c4a2d70
23094 .xword 0xb5d60155767d3cd1
23095 .xword 0x97543c927db14809
23096 .xword 0x98f355c1ce2ea2a0
23097 .xword 0xbcd723e6f891959f
23098 .xword 0x8dd54997df6be1f5
23099 .xword 0x11acbfa5c51cbb7b
23100 .xword 0xf1bf941813f15699
23101 .xword 0x6a6381d73a19f457
23102 .xword 0x5367062f2eecda5b
23103 .xword 0x1bf464ee7c4015c2
23104 .xword 0x39f20d35e1f5a867
23105 .xword 0xc2c1bba2d8c014ed
23106 .xword 0xbd8ff7be81eb027d
23107 .xword 0x70bc065406055f27
23108 .xword 0xff013aef15bac0ea
23109 .xword 0xe33eddad7ff0d465
23110 .xword 0x553ce45bb9f13c1d
23111 .xword 0x4c398f443f014452
23112 .xword 0x242cfe18da3b4d59
23113 .xword 0x8e33932026c8f9cf
23114 .xword 0x8553716de8c55753
23115 .xword 0x1f9f3bcab2189113
23116 .xword 0xa27b235e9e39532c
23117 .xword 0x79fde11cab6ce7e5
23118 .xword 0x1220899f5169d7e5
23119 .xword 0xb3665d0794dfdb9c
23120 .xword 0xf8ecb55205bedc30
23121 .xword 0xe58e6e560c3f7cdc
23122 .xword 0x01720b0b032143f3
23123 .xword 0xafa8be9043453db6
23124 .xword 0x8c35875b304744a7
23125 .xword 0x6197ce1d72c471a4
23126 .xword 0x5e7ddaf51dbf1c6e
23127 .xword 0xbbc861be41f05d2d
23128 .xword 0x69bbc5acebb5638a
23129 .xword 0x3dec9e8dc049e692
23130 .xword 0xa5b2ba26a10f842b
23131 .xword 0xfae6d96231cd1f99
23132 .xword 0x358c25d5a6c30c88
23133 .xword 0xd9c1f69f0ff8be0f
23134 .xword 0x8113eb9e60dc3072
23135 .xword 0xd5a0f0f1b5206ef8
23136 .xword 0x332830f3ce6732ba
23137 .xword 0xc8a8f63ef6291435
23138 .xword 0x2fd759df94c4dc42
23139 .xword 0x62878af33bdadee9
23140 .xword 0xe4948207205e740c
23141 .xword 0xbb2b9b4aa571c53c
23142 .xword 0xb877e93a70d643e5
23143 .xword 0xd28f4e823c6f162b
23144 .xword 0x04740b779062347f
23145 .xword 0xcf7cfdea98a29b38
23146 .xword 0x1a29574f9a35be4e
23147_t1_aes_dest:
23148 .xword 0xDEADBEEFDEADBEEF
23149 .xword 0xDEADBEEFDEADBEEF
23150 .xword 0xDEADBEEFDEADBEEF
23151 .xword 0xDEADBEEFDEADBEEF
23152 .xword 0xDEADBEEFDEADBEEF
23153 .xword 0xDEADBEEFDEADBEEF
23154 .xword 0xDEADBEEFDEADBEEF
23155 .xword 0xDEADBEEFDEADBEEF
23156 .xword 0xDEADBEEFDEADBEEF
23157 .xword 0xDEADBEEFDEADBEEF
23158 .xword 0xDEADBEEFDEADBEEF
23159 .xword 0xDEADBEEFDEADBEEF
23160 .xword 0xDEADBEEFDEADBEEF
23161 .xword 0xDEADBEEFDEADBEEF
23162 .xword 0xDEADBEEFDEADBEEF
23163 .xword 0xDEADBEEFDEADBEEF
23164 .xword 0xDEADBEEFDEADBEEF
23165 .xword 0xDEADBEEFDEADBEEF
23166 .xword 0xDEADBEEFDEADBEEF
23167 .xword 0xDEADBEEFDEADBEEF
23168 .xword 0xDEADBEEFDEADBEEF
23169 .xword 0xDEADBEEFDEADBEEF
23170 .xword 0xDEADBEEFDEADBEEF
23171 .xword 0xDEADBEEFDEADBEEF
23172 .xword 0xDEADBEEFDEADBEEF
23173 .xword 0xDEADBEEFDEADBEEF
23174 .xword 0xDEADBEEFDEADBEEF
23175 .xword 0xDEADBEEFDEADBEEF
23176 .xword 0xDEADBEEFDEADBEEF
23177 .xword 0xDEADBEEFDEADBEEF
23178 .xword 0xDEADBEEFDEADBEEF
23179 .xword 0xDEADBEEFDEADBEEF
23180 .xword 0xDEADBEEFDEADBEEF
23181 .xword 0xDEADBEEFDEADBEEF
23182 .xword 0xDEADBEEFDEADBEEF
23183 .xword 0xDEADBEEFDEADBEEF
23184 .xword 0xDEADBEEFDEADBEEF
23185 .xword 0xDEADBEEFDEADBEEF
23186 .xword 0xDEADBEEFDEADBEEF
23187 .xword 0xDEADBEEFDEADBEEF
23188 .xword 0xDEADBEEFDEADBEEF
23189 .xword 0xDEADBEEFDEADBEEF
23190 .xword 0xDEADBEEFDEADBEEF
23191 .xword 0xDEADBEEFDEADBEEF
23192 .xword 0xDEADBEEFDEADBEEF
23193 .xword 0xDEADBEEFDEADBEEF
23194 .xword 0xDEADBEEFDEADBEEF
23195 .xword 0xDEADBEEFDEADBEEF
23196 .xword 0xDEADBEEFDEADBEEF
23197 .xword 0xDEADBEEFDEADBEEF
23198 .xword 0xDEADBEEFDEADBEEF
23199 .xword 0xDEADBEEFDEADBEEF
23200 .xword 0xDEADBEEFDEADBEEF
23201 .xword 0xDEADBEEFDEADBEEF
23202 .xword 0xDEADBEEFDEADBEEF
23203 .xword 0xDEADBEEFDEADBEEF
23204 .xword 0xDEADBEEFDEADBEEF
23205 .xword 0xDEADBEEFDEADBEEF
23206 .xword 0xDEADBEEFDEADBEEF
23207 .xword 0xDEADBEEFDEADBEEF
23208 .xword 0xDEADBEEFDEADBEEF
23209 .xword 0xDEADBEEFDEADBEEF
23210 .xword 0xDEADBEEFDEADBEEF
23211 .xword 0xDEADBEEFDEADBEEF
23212 .xword 0xDEADBEEFDEADBEEF
23213 .xword 0xDEADBEEFDEADBEEF
23214 .xword 0xDEADBEEFDEADBEEF
23215 .xword 0xDEADBEEFDEADBEEF
23216 .xword 0xDEADBEEFDEADBEEF
23217 .xword 0xDEADBEEFDEADBEEF
23218 .xword 0xDEADBEEFDEADBEEF
23219 .xword 0xDEADBEEFDEADBEEF
23220 .xword 0xDEADBEEFDEADBEEF
23221 .xword 0xDEADBEEFDEADBEEF
23222 .xword 0xDEADBEEFDEADBEEF
23223 .xword 0xDEADBEEFDEADBEEF
23224 .xword 0xDEADBEEFDEADBEEF
23225 .xword 0xDEADBEEFDEADBEEF
23226 .xword 0xDEADBEEFDEADBEEF
23227 .xword 0xDEADBEEFDEADBEEF
23228 .xword 0xDEADBEEFDEADBEEF
23229 .xword 0xDEADBEEFDEADBEEF
23230 .xword 0xDEADBEEFDEADBEEF
23231 .xword 0xDEADBEEFDEADBEEF
23232 .xword 0xDEADBEEFDEADBEEF
23233 .xword 0xDEADBEEFDEADBEEF
23234 .xword 0xDEADBEEFDEADBEEF
23235 .xword 0xDEADBEEFDEADBEEF
23236 .xword 0xDEADBEEFDEADBEEF
23237 .xword 0xDEADBEEFDEADBEEF
23238 .xword 0xDEADBEEFDEADBEEF
23239 .xword 0xDEADBEEFDEADBEEF
23240 .xword 0xDEADBEEFDEADBEEF
23241 .xword 0xDEADBEEFDEADBEEF
23242 .xword 0xDEADBEEFDEADBEEF
23243 .xword 0xDEADBEEFDEADBEEF
23244 .xword 0xDEADBEEFDEADBEEF
23245 .xword 0xDEADBEEFDEADBEEF
23246 .xword 0xDEADBEEFDEADBEEF
23247 .xword 0xDEADBEEFDEADBEEF
23248 .xword 0xDEADBEEFDEADBEEF
23249 .xword 0xDEADBEEFDEADBEEF
23250 .xword 0xDEADBEEFDEADBEEF
23251 .xword 0xDEADBEEFDEADBEEF
23252 .xword 0xDEADBEEFDEADBEEF
23253 .xword 0xDEADBEEFDEADBEEF
23254 .xword 0xDEADBEEFDEADBEEF
23255 .xword 0xDEADBEEFDEADBEEF
23256 .xword 0xDEADBEEFDEADBEEF
23257 .xword 0xDEADBEEFDEADBEEF
23258 .xword 0xDEADBEEFDEADBEEF
23259 .xword 0xDEADBEEFDEADBEEF
23260 .xword 0xDEADBEEFDEADBEEF
23261 .xword 0xDEADBEEFDEADBEEF
23262 .xword 0xDEADBEEFDEADBEEF
23263 .xword 0xDEADBEEFDEADBEEF
23264 .xword 0xDEADBEEFDEADBEEF
23265 .xword 0xDEADBEEFDEADBEEF
23266 .xword 0xDEADBEEFDEADBEEF
23267 .xword 0xDEADBEEFDEADBEEF
23268 .xword 0xDEADBEEFDEADBEEF
23269 .xword 0xDEADBEEFDEADBEEF
23270 .xword 0xDEADBEEFDEADBEEF
23271 .xword 0xDEADBEEFDEADBEEF
23272 .xword 0xDEADBEEFDEADBEEF
23273 .xword 0xDEADBEEFDEADBEEF
23274 .xword 0xDEADBEEFDEADBEEF
23275 .xword 0xDEADBEEFDEADBEEF
23276 .xword 0xDEADBEEFDEADBEEF
23277 .xword 0xDEADBEEFDEADBEEF
23278 .xword 0xDEADBEEFDEADBEEF
23279 .xword 0xDEADBEEFDEADBEEF
23280 .xword 0xDEADBEEFDEADBEEF
23281 .xword 0xDEADBEEFDEADBEEF
23282 .xword 0xDEADBEEFDEADBEEF
23283 .xword 0xDEADBEEFDEADBEEF
23284 .xword 0xDEADBEEFDEADBEEF
23285 .xword 0xDEADBEEFDEADBEEF
23286 .xword 0xDEADBEEFDEADBEEF
23287 .xword 0xDEADBEEFDEADBEEF
23288 .xword 0xDEADBEEFDEADBEEF
23289 .xword 0xDEADBEEFDEADBEEF
23290 .xword 0xDEADBEEFDEADBEEF
23291 .xword 0xDEADBEEFDEADBEEF
23292 .xword 0xDEADBEEFDEADBEEF
23293 .xword 0xDEADBEEFDEADBEEF
23294 .xword 0xDEADBEEFDEADBEEF
23295 .xword 0xDEADBEEFDEADBEEF
23296 .xword 0xDEADBEEFDEADBEEF
23297 .xword 0xDEADBEEFDEADBEEF
23298 .xword 0xDEADBEEFDEADBEEF
23299 .xword 0xDEADBEEFDEADBEEF
23300 .xword 0xDEADBEEFDEADBEEF
23301 .xword 0xDEADBEEFDEADBEEF
23302 .xword 0xDEADBEEFDEADBEEF
23303 .xword 0xDEADBEEFDEADBEEF
23304 .xword 0xDEADBEEFDEADBEEF
23305 .xword 0xDEADBEEFDEADBEEF
23306 .xword 0xDEADBEEFDEADBEEF
23307 .xword 0xDEADBEEFDEADBEEF
23308 .xword 0xDEADBEEFDEADBEEF
23309 .xword 0xDEADBEEFDEADBEEF
23310 .xword 0xDEADBEEFDEADBEEF
23311 .xword 0xDEADBEEFDEADBEEF
23312 .xword 0xDEADBEEFDEADBEEF
23313 .xword 0xDEADBEEFDEADBEEF
23314 .xword 0xDEADBEEFDEADBEEF
23315 .xword 0xDEADBEEFDEADBEEF
23316 .xword 0xDEADBEEFDEADBEEF
23317 .xword 0xDEADBEEFDEADBEEF
23318 .xword 0xDEADBEEFDEADBEEF
23319 .xword 0xDEADBEEFDEADBEEF
23320 .xword 0xDEADBEEFDEADBEEF
23321 .xword 0xDEADBEEFDEADBEEF
23322 .xword 0xDEADBEEFDEADBEEF
23323 .xword 0xDEADBEEFDEADBEEF
23324 .xword 0xDEADBEEFDEADBEEF
23325 .xword 0xDEADBEEFDEADBEEF
23326 .xword 0xDEADBEEFDEADBEEF
23327 .xword 0xDEADBEEFDEADBEEF
23328 .xword 0xDEADBEEFDEADBEEF
23329 .xword 0xDEADBEEFDEADBEEF
23330 .xword 0xDEADBEEFDEADBEEF
23331 .xword 0xDEADBEEFDEADBEEF
23332 .xword 0xDEADBEEFDEADBEEF
23333 .xword 0xDEADBEEFDEADBEEF
23334 .xword 0xDEADBEEFDEADBEEF
23335 .xword 0xDEADBEEFDEADBEEF
23336 .xword 0xDEADBEEFDEADBEEF
23337 .xword 0xDEADBEEFDEADBEEF
23338 .xword 0xDEADBEEFDEADBEEF
23339 .xword 0xDEADBEEFDEADBEEF
23340 .xword 0xDEADBEEFDEADBEEF
23341 .xword 0xDEADBEEFDEADBEEF
23342 .xword 0xDEADBEEFDEADBEEF
23343 .xword 0xDEADBEEFDEADBEEF
23344 .xword 0xDEADBEEFDEADBEEF
23345 .xword 0xDEADBEEFDEADBEEF
23346 .xword 0xDEADBEEFDEADBEEF
23347 .xword 0xDEADBEEFDEADBEEF
23348 .xword 0xDEADBEEFDEADBEEF
23349 .xword 0xDEADBEEFDEADBEEF
23350 .xword 0xDEADBEEFDEADBEEF
23351 .xword 0xDEADBEEFDEADBEEF
23352 .xword 0xDEADBEEFDEADBEEF
23353 .xword 0xDEADBEEFDEADBEEF
23354 .xword 0xDEADBEEFDEADBEEF
23355 .xword 0xDEADBEEFDEADBEEF
23356 .xword 0xDEADBEEFDEADBEEF
23357 .xword 0xDEADBEEFDEADBEEF
23358 .xword 0xDEADBEEFDEADBEEF
23359 .xword 0xDEADBEEFDEADBEEF
23360 .xword 0xDEADBEEFDEADBEEF
23361 .xword 0xDEADBEEFDEADBEEF
23362 .xword 0xDEADBEEFDEADBEEF
23363 .xword 0xDEADBEEFDEADBEEF
23364 .xword 0xDEADBEEFDEADBEEF
23365 .xword 0xDEADBEEFDEADBEEF
23366 .xword 0xDEADBEEFDEADBEEF
23367 .xword 0xDEADBEEFDEADBEEF
23368 .xword 0xDEADBEEFDEADBEEF
23369 .xword 0xDEADBEEFDEADBEEF
23370 .xword 0xDEADBEEFDEADBEEF
23371 .xword 0xDEADBEEFDEADBEEF
23372 .xword 0xDEADBEEFDEADBEEF
23373 .xword 0xDEADBEEFDEADBEEF
23374 .xword 0xDEADBEEFDEADBEEF
23375 .xword 0xDEADBEEFDEADBEEF
23376 .xword 0xDEADBEEFDEADBEEF
23377 .xword 0xDEADBEEFDEADBEEF
23378 .xword 0xDEADBEEFDEADBEEF
23379 .xword 0xDEADBEEFDEADBEEF
23380 .xword 0xDEADBEEFDEADBEEF
23381 .xword 0xDEADBEEFDEADBEEF
23382 .xword 0xDEADBEEFDEADBEEF
23383 .xword 0xDEADBEEFDEADBEEF
23384 .xword 0xDEADBEEFDEADBEEF
23385 .xword 0xDEADBEEFDEADBEEF
23386 .xword 0xDEADBEEFDEADBEEF
23387 .xword 0xDEADBEEFDEADBEEF
23388 .xword 0xDEADBEEFDEADBEEF
23389 .xword 0xDEADBEEFDEADBEEF
23390 .xword 0xDEADBEEFDEADBEEF
23391 .xword 0xDEADBEEFDEADBEEF
23392 .xword 0xDEADBEEFDEADBEEF
23393 .xword 0xDEADBEEFDEADBEEF
23394 .xword 0xDEADBEEFDEADBEEF
23395 .xword 0xDEADBEEFDEADBEEF
23396 .xword 0xDEADBEEFDEADBEEF
23397 .xword 0xDEADBEEFDEADBEEF
23398 .xword 0xDEADBEEFDEADBEEF
23399 .xword 0xDEADBEEFDEADBEEF
23400 .xword 0xDEADBEEFDEADBEEF
23401 .xword 0xDEADBEEFDEADBEEF
23402 .xword 0xDEADBEEFDEADBEEF
23403 .xword 0xDEADBEEFDEADBEEF
23404 .xword 0xDEADBEEFDEADBEEF
23405 .xword 0xDEADBEEFDEADBEEF
23406 .xword 0xDEADBEEFDEADBEEF
23407 .xword 0xDEADBEEFDEADBEEF
23408 .xword 0xDEADBEEFDEADBEEF
23409 .xword 0xDEADBEEFDEADBEEF
23410 .xword 0xDEADBEEFDEADBEEF
23411 .xword 0xDEADBEEFDEADBEEF
23412 .xword 0xDEADBEEFDEADBEEF
23413 .xword 0xDEADBEEFDEADBEEF
23414 .xword 0xDEADBEEFDEADBEEF
23415 .xword 0xDEADBEEFDEADBEEF
23416 .xword 0xDEADBEEFDEADBEEF
23417 .xword 0xDEADBEEFDEADBEEF
23418 .xword 0xDEADBEEFDEADBEEF
23419 .xword 0xDEADBEEFDEADBEEF
23420 .xword 0xDEADBEEFDEADBEEF
23421 .xword 0xDEADBEEFDEADBEEF
23422 .xword 0xDEADBEEFDEADBEEF
23423_t1_aes_auth_key:
23424 .xword 0xafacf88a7ee600c9
23425 .xword 0xca0f077486c457d7
23426 .xword 0x82f1dcea845661d9
23427 .xword 0x7e1e61957faadb9a
23428 .xword 0x237f9b0dfaa5b6dc
23429 .xword 0xa3c2812e6c1df562
23430 .xword 0x269b2b757fcedde5
23431 .xword 0xc0bb4b55b1d4e182
23432 .xword 0xb0b8f337b0d78012
23433 .xword 0x5332dc4393c4b9f0
23434 .xword 0xd3b73e1265b758aa
23435 .xword 0xfda3b2262ff51809
23436 .xword 0x864aec9dbe971061
23437 .xword 0x79bae73e506b0fdc
23438 .xword 0x83b9b575f78c25a7
23439 .xword 0x53f57268476a2b05
23440 .xword 0x32b5c5c16acb6205
23441 .xword 0x919d5709f9a2346c
23442 .xword 0x377dfe5819a59b97
23443 .xword 0x66a241d7a570bbf6
23444 .xword 0x47808796b72dd877
23445 .xword 0xe0c6c0dbbab40f6e
23446 .xword 0xbb57d07306438a09
23447_t1_aes_auth_iv:
23448 .xword 0x490974e6eff7a1dd
23449 .xword 0x7183c94aea0be5fe
23450 .xword 0xa97efef7f8bbf27b
23451 .xword 0x41b155d1bd355d35
23452 .xword 0xaa1a204614226a70
23453 .xword 0xa71a0aa2945d17a9
23454 .xword 0x36b165507e744d85
23455 .xword 0x1014ebc222b74e1d
23456 .xword 0x23fe19665d8739b0
23457 .xword 0x453a1e0fabb70813
23458 .xword 0xc73df99da9f9a6fb
23459 .xword 0x99948600cb1f740a
23460 .xword 0x0a7e7b68750ea317
23461 .xword 0xae245a6cdbd6482f
23462 .xword 0xd0dccbf0e0681fda
23463 .xword 0x259661e90d3b6b71
23464 .xword 0x52e8f64fb5c0a2ec
23465 .xword 0xc4ee6877349f8538
23466 .xword 0x77721c2e69472264
23467 .xword 0x1c6c56fccced25f2
23468 .xword 0xc077eef5b85c4e7d
23469 .xword 0x798802c7ff3c4b44
23470 .xword 0x13769b4467c5ad48
23471_t1_aes_fas_result:
23472 .xword 0xDEADBEEFDEADBEEF
23473 .xword 0xDEADBEEFDEADBEEF
23474 .xword 0xDEADBEEFDEADBEEF
23475 .xword 0xDEADBEEFDEADBEEF
23476 .xword 0xDEADBEEFDEADBEEF
23477 .xword 0xDEADBEEFDEADBEEF
23478 .xword 0xDEADBEEFDEADBEEF
23479 .xword 0xDEADBEEFDEADBEEF
23480 .xword 0xDEADBEEFDEADBEEF
23481 .xword 0xDEADBEEFDEADBEEF
23482 .xword 0xDEADBEEFDEADBEEF
23483 .xword 0xDEADBEEFDEADBEEF
23484 .xword 0xDEADBEEFDEADBEEF
23485 .xword 0xDEADBEEFDEADBEEF
23486 .xword 0xDEADBEEFDEADBEEF
23487 .xword 0xDEADBEEFDEADBEEF
23488 .xword 0xDEADBEEFDEADBEEF
23489 .xword 0xDEADBEEFDEADBEEF
23490 .xword 0xDEADBEEFDEADBEEF
23491 .xword 0xDEADBEEFDEADBEEF
23492 .xword 0xDEADBEEFDEADBEEF
23493 .xword 0xDEADBEEFDEADBEEF
23494 .xword 0xDEADBEEFDEADBEEF
23495_t1_des_key_array:
23496 .xword 0xa5ca04f656ae8807
23497 .xword 0x2625fba19a1a1d3a
23498 .xword 0x2745be21fcc3ce09
23499 .xword 0x7acf4af38994b3d1
23500 .xword 0xc79644fc1686433e
23501 .xword 0xbd84c87f90516f36
23502 .xword 0xe624fece9368f4ea
23503 .xword 0xf0fccb0f0295fed6
23504 .xword 0x8d068a74b36f160c
23505 .xword 0x9ae6044e487ce976
23506 .xword 0x1ac22cb78dba075f
23507 .xword 0xfe5f756582f6ba92
23508 .xword 0xf7befa3dab0e5ee5
23509 .xword 0x74f36e4f8eb9ea5b
23510 .xword 0x92f06c8e7818da8a
23511 .xword 0xaa5d0f679435f964
23512 .xword 0x16cd06f64fba5147
23513 .xword 0xb28f64ad3e04ce07
23514 .xword 0x57d1829816253928
23515 .xword 0x1a227dbb3c71597f
23516 .xword 0x1df8c8657e3c975d
23517 .xword 0xbe6417a312439ecb
23518 .xword 0x7e69aa7e015bcf02
23519 .xword 0x82f8b25b66958a58
23520 .xword 0x1a41576c95f6722b
23521 .xword 0xf1913d9a2a9672de
23522 .xword 0x5c8f52d1f1cc2709
23523 .xword 0x12ed1d511afbed23
23524 .xword 0xf0db5efb554d08a2
23525 .xword 0xb867d253cf16b190
23526 .xword 0xef3d2033d0ad2072
23527 .xword 0x07e5b7c269de91b7
23528 .xword 0x26f780c43152df35
23529 .xword 0xb7b0c96179da207b
23530 .xword 0xde7d8721813e528b
23531 .xword 0xc8b2f0b4ba48aa43
23532 .xword 0x66bc06268a23adb7
23533 .xword 0x6ed83d385f519a23
23534 .xword 0x00c1324ffe0449f8
23535 .xword 0x94e7d492b08f5fff
23536 .xword 0x0e10ad0ddd8b045b
23537 .xword 0x980cf79980536458
23538 .xword 0x797d8f523811f1ef
23539 .xword 0x14a67c927b6a4aaa
23540 .xword 0xa3d7797344548bb5
23541 .xword 0xecfe134ad3bae4e2
23542 .xword 0x31625fe3faa53a62
23543 .xword 0xa6d6913c370fb2d2
23544 .xword 0xa0918d7bc5b63e0f
23545 .xword 0x7be3d9f0a373788a
23546 .xword 0xa91be7a17adb25e3
23547_t1_des_iv_array:
23548 .xword 0x1e59c73b42795c23
23549 .xword 0x32296bd8c90df7fb
23550 .xword 0x3af7fcc0b17117f7
23551 .xword 0xa07d611f22767318
23552 .xword 0xccd21a44e81da1c4
23553 .xword 0x0cc6c4e3472f9f8d
23554 .xword 0xd061d07316314e62
23555 .xword 0x35ef5513ebc3d4ba
23556 .xword 0x886088868f24a463
23557 .xword 0xa6a302648d5b9d88
23558 .xword 0x582496514f4f6e91
23559 .xword 0x2f249bba029f0fd1
23560 .xword 0x180507e31b563563
23561 .xword 0xf1ec8b2a17e16ebd
23562 .xword 0xe6beddf6607535f1
23563 .xword 0x36b6ff07767f2bd8
23564 .xword 0x76cb572c749a11bc
23565 .xword 0xdfdeea206bd82275
23566 .xword 0x1f5d96795fde4037
23567 .xword 0xc8da2eed061253b1
23568 .xword 0x3167b068c8183045
23569 .xword 0xefffbb9f77686aa9
23570 .xword 0x12bca2ac0e2a9e72
23571 .xword 0xf4ea92c33e85743f
23572 .xword 0xb35a4f34b2141d83
23573 .xword 0x942be04bd3f6bae3
23574 .xword 0x4669729f16aab1c9
23575 .xword 0x2ba832a7303c0a73
23576 .xword 0xedd19e6dfde443fc
23577 .xword 0xa55a10d234324c87
23578 .xword 0x5f29f4fbac6b14f8
23579 .xword 0x2e7f9112a30ebca6
23580 .xword 0x55564a8dc9cf9195
23581 .xword 0xa9c7e1176ac7d181
23582 .xword 0xe527f9862d57a69f
23583 .xword 0x0e2a919ae45113a5
23584 .xword 0x2ecfb583cece733b
23585 .xword 0xe4c23587aa93fd4e
23586 .xword 0xdfbb9bbc02963861
23587 .xword 0x1c2e0bbee098efa7
23588 .xword 0x92820f87062c0dbf
23589 .xword 0xe8460e91ab9d93f2
23590 .xword 0x774eec1328a583e0
23591 .xword 0x01b2008d38215af9
23592 .xword 0xe6e6ba9190a1a867
23593_t1_des_alignment_array:
23594 .xword 8
23595 .xword 0
23596 .xword 14
23597 .xword 9
23598 .xword 0
23599 .xword 9
23600 .xword 15
23601 .xword 13
23602 .xword 3
23603 .xword 10
23604 .xword 0
23605 .xword 14
23606 .xword 6
23607 .xword 15
23608 .xword 2
23609 .xword 0
23610 .xword 11
23611 .xword 15
23612 .xword 3
23613 .xword 10
23614 .xword 1
23615 .xword 13
23616 .xword 9
23617 .xword 2
23618 .xword 2
23619 .xword 13
23620 .xword 6
23621 .xword 13
23622 .xword 3
23623 .xword 11
23624 .xword 4
23625 .xword 9
23626 .xword 12
23627 .xword 14
23628 .xword 3
23629 .xword 1
23630 .xword 11
23631 .xword 15
23632 .xword 9
23633 .xword 0
23634 .xword 1
23635 .xword 8
23636 .xword 7
23637 .xword 1
23638 .xword 5
23639 .xword 12
23640 .xword 7
23641 .xword 7
23642 .xword 5
23643 .xword 1
23644 .xword 12
23645 .xword 9
23646 .xword 2
23647 .xword 9
23648 .xword 3
23649 .xword 1
23650 .xword 8
23651 .xword 6
23652 .xword 11
23653 .xword 8
23654 .xword 3
23655 .xword 7
23656 .xword 10
23657 .xword 6
23658 .xword 13
23659 .xword 11
23660 .xword 14
23661 .xword 12
23662 .xword 1
23663 .xword 9
23664 .xword 4
23665 .xword 5
23666 .xword 12
23667 .xword 8
23668 .xword 6
23669 .xword 14
23670 .xword 9
23671 .xword 15
23672 .xword 3
23673 .xword 9
23674 .xword 8
23675 .xword 3
23676 .xword 10
23677 .xword 13
23678 .xword 0
23679 .xword 0
23680 .xword 6
23681 .xword 7
23682 .xword 3
23683 .xword 12
23684 .xword 2
23685 .xword 12
23686 .xword 7
23687 .xword 0
23688 .xword 5
23689 .xword 13
23690 .xword 5
23691 .xword 8
23692 .xword 15
23693 .xword 12
23694 .xword 14
23695 .xword 8
23696 .xword 9
23697 .xword 4
23698 .xword 12
23699_t1_des_src:
23700 .xword 0x073b9c498e2b2fb9
23701 .xword 0xb5ee8822449891d9
23702 .xword 0x734f2e6b126b65fb
23703 .xword 0xbe763642b697e2c0
23704 .xword 0x321490406ebe555a
23705 .xword 0x572d9575b1b7b138
23706 .xword 0x41047517d160d7b8
23707 .xword 0x2ff93eb2f9887bae
23708 .xword 0xe9055565f16b7296
23709 .xword 0x7e13f24233bac0f9
23710 .xword 0xca30f2a06b3f9de1
23711 .xword 0x071eb02197e6828c
23712 .xword 0x3d03d6a9741fe135
23713 .xword 0x9ff4d43ed1ab11a0
23714 .xword 0xf46471a47139139d
23715 .xword 0x3084af61f9ed2ade
23716 .xword 0xdc6a3e8ca356bb68
23717 .xword 0x519261af962e412b
23718 .xword 0x12c86961e62d7ca3
23719 .xword 0xfaaedda47cad434a
23720 .xword 0xa2f7f1eaf99d275d
23721 .xword 0x6c12994cfd7e8a82
23722 .xword 0xc039515d79d9ee2d
23723 .xword 0xee34703e3120996e
23724 .xword 0x1c9ab427bf01eb20
23725 .xword 0xe5b896d5858a4b39
23726 .xword 0x1639b4cd62e4754f
23727 .xword 0x49bf469203ba686e
23728 .xword 0x9d2fb55e88c487bf
23729 .xword 0xb1af289a4f9c0122
23730 .xword 0x0498a504369419e4
23731 .xword 0x21ac71624ed56a26
23732 .xword 0xb26a9be877f98055
23733 .xword 0xf019fa8a7a44a639
23734 .xword 0xa3f76e016ee0695a
23735 .xword 0x95f50b86121d43a1
23736 .xword 0x6001da46f3834af6
23737 .xword 0xaf10231392df56ad
23738 .xword 0x0810b0f618af9f9f
23739 .xword 0x00267e62d7568d36
23740 .xword 0x11ee73bd6cc5b26d
23741 .xword 0xd501c850ef99d133
23742 .xword 0x943787d5faa8db6f
23743 .xword 0xed649d37d6a21fed
23744 .xword 0xfb5da59d09fa0dc1
23745 .xword 0x8d0ec13174bc60ac
23746 .xword 0xb4f8a16ba8477c05
23747 .xword 0xfa7a66e0f8bd1963
23748 .xword 0x39f204dbb89a9756
23749 .xword 0x7408c86e4e22ef72
23750 .xword 0xf9d85d9bf28f9b6a
23751 .xword 0x32b3195d4795b0a2
23752 .xword 0x30b32e733c9869db
23753 .xword 0xa421d50610a35b99
23754 .xword 0x5aaa8b7d361053b7
23755 .xword 0xbcbdeba65790ead6
23756 .xword 0xc382d1c3aa5d389e
23757 .xword 0xf64eafc86b94506c
23758 .xword 0x11ce52ad53a2868c
23759 .xword 0x0538b6e04254214f
23760 .xword 0x7323c7c1122d65bd
23761 .xword 0x8e083c11f3191a90
23762 .xword 0xed4db15553c1b54e
23763 .xword 0xd848c963617e8d25
23764 .xword 0xc8e9f06e233730de
23765 .xword 0x3224ba1e87163884
23766 .xword 0xf27abbba51f2e41d
23767 .xword 0xa379950f9633d50f
23768 .xword 0x3497d269046a4638
23769 .xword 0x244442648f4ba012
23770 .xword 0x45c87669c849ae17
23771 .xword 0xa3f8680d4d7ac131
23772 .xword 0xf588f15ef541334c
23773 .xword 0x123d1c702a01d81c
23774 .xword 0x4716ae0996cfa9a2
23775 .xword 0xb0d1c5646983ad28
23776 .xword 0x95ce1e2565a31fb2
23777 .xword 0x585cb13e7e14cf3a
23778 .xword 0xa3d93949ff240f85
23779 .xword 0x275a526f02c42914
23780 .xword 0x27feb799f467b3b5
23781 .xword 0xf80185da0bdd544e
23782 .xword 0xba1220756bc31c43
23783 .xword 0xce97c738d893819e
23784 .xword 0xa64fa4a6c5cb097d
23785 .xword 0xe8e27e8418609f2f
23786 .xword 0x92b4733e9cede115
23787 .xword 0x21304428279b7f54
23788 .xword 0x1e004a2cd51fd0bf
23789 .xword 0xdbf520a45959a753
23790 .xword 0xa7e54ad9ae0a691d
23791 .xword 0x78779cb7ad2f18bf
23792 .xword 0x746e58d2d6eb6ecd
23793 .xword 0xf44370a4e9b93045
23794 .xword 0x22f1999e78236821
23795 .xword 0xd10a2b7acdb7dc94
23796 .xword 0x2be58032c28b282d
23797 .xword 0x5a36d3b9f415cdfb
23798 .xword 0x9699f959fe303580
23799 .xword 0xdc321080394c3069
23800 .xword 0xb24e7ccd9ecbbe4a
23801 .xword 0xdc3bf637f3715dab
23802 .xword 0x646974114da4c1d3
23803 .xword 0x02123939a0ae9e8f
23804 .xword 0x392e1b4b0073ba77
23805 .xword 0xcd14f33842b90861
23806 .xword 0x243dbc6d98bf9c74
23807 .xword 0x16081734528cfb5c
23808 .xword 0x571368c67ddbd878
23809 .xword 0xca459bc92b12c879
23810 .xword 0x700985efbb8a18cd
23811 .xword 0xadbcb1626de30b05
23812 .xword 0x5834e37a174c8564
23813 .xword 0xb339f48beb950edc
23814 .xword 0xde48351db9d6e1bb
23815 .xword 0x44c77be7e5c39d7b
23816 .xword 0xa8b89ad586ec679e
23817 .xword 0x9257eed5c82826cb
23818 .xword 0x898fa1c96c9014e1
23819 .xword 0x946f7d22705bd1ed
23820 .xword 0x0244ec5f1ab2cf14
23821 .xword 0xec13f69ec3ddfb04
23822 .xword 0x54232a1a8720a6d4
23823 .xword 0xd98783880a845de7
23824 .xword 0x49a310d09260117c
23825 .xword 0xf8a448ab57109330
23826 .xword 0xd17cfb7447208117
23827 .xword 0x33f8901acd417710
23828 .xword 0xcb93ff07a1938fb1
23829 .xword 0x28be0e0b0cdc5898
23830 .xword 0x42c29b960890b5e1
23831 .xword 0xf818bdb67e4124b1
23832 .xword 0x1c8e5d420c3b3892
23833 .xword 0xf87f777c93b1c9dd
23834 .xword 0x32d142a734caf6d1
23835 .xword 0xf74febaccb2f6135
23836 .xword 0x9d78b8745b39a84b
23837 .xword 0x8df43a8dabb5f751
23838 .xword 0x90b46bb21d5f675a
23839 .xword 0xb469b712086d82cd
23840 .xword 0xc5697d124c622959
23841 .xword 0x57721d366cdd24ee
23842 .xword 0xf864f4c78ca37e7a
23843 .xword 0xfcd56555bf965807
23844 .xword 0x2ad96f5941242edb
23845 .xword 0x37c592b0abed6cf9
23846 .xword 0x1e06c0649a68ce55
23847 .xword 0x22690be62d201b57
23848 .xword 0x6182f2d4b1cac726
23849 .xword 0x35f9989b8fd0d828
23850 .xword 0x6d4ca5be1d0e2043
23851 .xword 0x5fae78ecdcf395a3
23852 .xword 0x57e04a21da25d489
23853 .xword 0x04089332f871b5e9
23854 .xword 0xcc1eb4f332f506ed
23855 .xword 0x14df32ac26ca7a55
23856 .xword 0x90ae95857adf3236
23857 .xword 0xb43c1bb3f6b209a5
23858 .xword 0xa0931caf6a8e4fe1
23859 .xword 0x38321f8d793df436
23860 .xword 0xc0fda0435d844826
23861 .xword 0x4dbd23c05a76a9d8
23862 .xword 0x550ba040be777dc7
23863 .xword 0x5c95f88f0ca404a2
23864 .xword 0xa4d0cc8896d3b4da
23865 .xword 0x1b4ff1eac2762bba
23866 .xword 0x3dfa6083a6eefa9d
23867 .xword 0x3d731728dfd1c59c
23868 .xword 0xa965af7dd409cf19
23869 .xword 0x96489673885063b2
23870 .xword 0xefec0c3f9da214e0
23871 .xword 0x1b9b5f273f0f44c1
23872 .xword 0xc2a8dfc27b4bc3d8
23873 .xword 0x30de5610021be44d
23874 .xword 0x908fd8f79c2b01ae
23875 .xword 0x98251d75531c7369
23876 .xword 0xfbe5e6312d509cda
23877 .xword 0x10de8145e6ecbfb8
23878 .xword 0xe03e4101ea9e43b5
23879 .xword 0x9ac9bda4990ca8f7
23880 .xword 0xb0eb02a7ece46039
23881 .xword 0xa6a073e7a60fff69
23882 .xword 0x6eafacec458781ee
23883 .xword 0x148e18efd0f9088e
23884 .xword 0xe2ca5303d34ba258
23885 .xword 0x2737953170c5d1c5
23886 .xword 0xb88ca5af8ba05086
23887 .xword 0x6b4a06909ad2f480
23888 .xword 0xb164858af356f516
23889 .xword 0x66a44fe35cb52583
23890 .xword 0x8044664358bfc7b7
23891 .xword 0xdae66f4a743397be
23892 .xword 0x7c2c198826f809b9
23893 .xword 0x6e744d1dff4f0eff
23894 .xword 0x07a8d9dc1097f8ef
23895 .xword 0x1775cfcc1fc45d49
23896 .xword 0x5af0882f7a2e0974
23897 .xword 0xdbf0f3172d5ffdb8
23898 .xword 0xbd8ec0d555d708b5
23899 .xword 0x5cfc848337161ded
23900 .xword 0xbbbaec5c303ab573
23901 .xword 0x33481ebaefffa657
23902 .xword 0xeb7612050c6b3f54
23903 .xword 0x92dba821dd7bf001
23904 .xword 0x9bf17cfea66cb713
23905 .xword 0x7ec64bd7101d4efe
23906 .xword 0x2764c64d536d0b30
23907 .xword 0x944fa298f8aac71d
23908 .xword 0x6dbe9c3237d73ab2
23909 .xword 0x6eb8ab203029bbb1
23910 .xword 0x5977254e62c72521
23911 .xword 0xeec7f6bd945d0700
23912 .xword 0xdc4666e74d31549f
23913 .xword 0x1bd960a0e942ff0e
23914 .xword 0x07ef68069c962b43
23915 .xword 0xa11f2e3e6211a48c
23916 .xword 0x14eb7c54b735f88d
23917 .xword 0x24e7752b91f4044a
23918 .xword 0xd9d5e130c56f1e59
23919 .xword 0xc1d8696e74aa7596
23920 .xword 0x9d4a3e1060d66074
23921 .xword 0x4a5f63b9da4bb45b
23922 .xword 0xa10dc6ec09512295
23923 .xword 0xec687d5e3f25de91
23924 .xword 0xb873149fa55d1a57
23925 .xword 0xf7f8093be8883418
23926 .xword 0x5a08db16f802e516
23927 .xword 0x38af3ac4f88e095b
23928 .xword 0x7932e91855a3940d
23929 .xword 0x9ad477a729b1c1f4
23930 .xword 0x4d75d5e080080866
23931 .xword 0x1a3b767ae91d700d
23932 .xword 0xd1c017c8a5e9306d
23933 .xword 0x20b13dc82492a375
23934 .xword 0x5104df3170c2a34c
23935 .xword 0x734ae2ebadd4e5da
23936 .xword 0x71bf706f619b7793
23937 .xword 0x6091bf43a860e9da
23938 .xword 0x50baa95d858b5653
23939 .xword 0x93b0db6e31954e94
23940 .xword 0x8f6534a2aeb755a8
23941 .xword 0x8cd9990ce053c91d
23942 .xword 0x01fed2a84d6fe24c
23943 .xword 0x2786119a08cbb468
23944 .xword 0xf11d78d78293e784
23945 .xword 0x717c353dcf82ea75
23946 .xword 0xa562bb0a90139a93
23947 .xword 0x14660aaa445e723b
23948 .xword 0xbe067325348c136c
23949 .xword 0xca5fe33f1c9e636f
23950 .xword 0x036605df0e7819dc
23951 .xword 0xf9d67db93aa67847
23952 .xword 0x8f4afe43034ca7ac
23953 .xword 0x8a5f0fdc46013dea
23954 .xword 0xc5b7333c79960e67
23955 .xword 0x83536cc641170dd7
23956 .xword 0xab90a415677ebf87
23957 .xword 0x47d085e3681ffa5a
23958 .xword 0x8e4830b9a2a69d82
23959 .xword 0x68d552af708e91b6
23960 .xword 0xca3a8a3af74a71c7
23961 .xword 0xa1b5d348efdee157
23962 .xword 0x83e6514efb8285ee
23963 .xword 0x70f3285880ba6173
23964 .xword 0x76687d1d361792c6
23965 .xword 0x52d2d6577a93de9b
23966 .xword 0xfdcfbed99f2f45c4
23967 .xword 0xb16dca841ee82e7e
23968 .xword 0x50b3e930675327e8
23969 .xword 0x0286b72603629ed0
23970 .xword 0x142a449f09d34cf4
23971 .xword 0xed08a28e0543076e
23972 .xword 0x2763a6eeb34446df
23973 .xword 0x004e8a1c25876a2e
23974 .xword 0xf98663d114e1b9c1
23975_t1_des_dest:
23976 .xword 0xDEADBEEFDEADBEEF
23977 .xword 0xDEADBEEFDEADBEEF
23978 .xword 0xDEADBEEFDEADBEEF
23979 .xword 0xDEADBEEFDEADBEEF
23980 .xword 0xDEADBEEFDEADBEEF
23981 .xword 0xDEADBEEFDEADBEEF
23982 .xword 0xDEADBEEFDEADBEEF
23983 .xword 0xDEADBEEFDEADBEEF
23984 .xword 0xDEADBEEFDEADBEEF
23985 .xword 0xDEADBEEFDEADBEEF
23986 .xword 0xDEADBEEFDEADBEEF
23987 .xword 0xDEADBEEFDEADBEEF
23988 .xword 0xDEADBEEFDEADBEEF
23989 .xword 0xDEADBEEFDEADBEEF
23990 .xword 0xDEADBEEFDEADBEEF
23991 .xword 0xDEADBEEFDEADBEEF
23992 .xword 0xDEADBEEFDEADBEEF
23993 .xword 0xDEADBEEFDEADBEEF
23994 .xword 0xDEADBEEFDEADBEEF
23995 .xword 0xDEADBEEFDEADBEEF
23996 .xword 0xDEADBEEFDEADBEEF
23997 .xword 0xDEADBEEFDEADBEEF
23998 .xword 0xDEADBEEFDEADBEEF
23999 .xword 0xDEADBEEFDEADBEEF
24000 .xword 0xDEADBEEFDEADBEEF
24001 .xword 0xDEADBEEFDEADBEEF
24002 .xword 0xDEADBEEFDEADBEEF
24003 .xword 0xDEADBEEFDEADBEEF
24004 .xword 0xDEADBEEFDEADBEEF
24005 .xword 0xDEADBEEFDEADBEEF
24006 .xword 0xDEADBEEFDEADBEEF
24007 .xword 0xDEADBEEFDEADBEEF
24008 .xword 0xDEADBEEFDEADBEEF
24009 .xword 0xDEADBEEFDEADBEEF
24010 .xword 0xDEADBEEFDEADBEEF
24011 .xword 0xDEADBEEFDEADBEEF
24012 .xword 0xDEADBEEFDEADBEEF
24013 .xword 0xDEADBEEFDEADBEEF
24014 .xword 0xDEADBEEFDEADBEEF
24015 .xword 0xDEADBEEFDEADBEEF
24016 .xword 0xDEADBEEFDEADBEEF
24017 .xword 0xDEADBEEFDEADBEEF
24018 .xword 0xDEADBEEFDEADBEEF
24019 .xword 0xDEADBEEFDEADBEEF
24020 .xword 0xDEADBEEFDEADBEEF
24021 .xword 0xDEADBEEFDEADBEEF
24022 .xword 0xDEADBEEFDEADBEEF
24023 .xword 0xDEADBEEFDEADBEEF
24024 .xword 0xDEADBEEFDEADBEEF
24025 .xword 0xDEADBEEFDEADBEEF
24026 .xword 0xDEADBEEFDEADBEEF
24027 .xword 0xDEADBEEFDEADBEEF
24028 .xword 0xDEADBEEFDEADBEEF
24029 .xword 0xDEADBEEFDEADBEEF
24030 .xword 0xDEADBEEFDEADBEEF
24031 .xword 0xDEADBEEFDEADBEEF
24032 .xword 0xDEADBEEFDEADBEEF
24033 .xword 0xDEADBEEFDEADBEEF
24034 .xword 0xDEADBEEFDEADBEEF
24035 .xword 0xDEADBEEFDEADBEEF
24036 .xword 0xDEADBEEFDEADBEEF
24037 .xword 0xDEADBEEFDEADBEEF
24038 .xword 0xDEADBEEFDEADBEEF
24039 .xword 0xDEADBEEFDEADBEEF
24040 .xword 0xDEADBEEFDEADBEEF
24041 .xword 0xDEADBEEFDEADBEEF
24042 .xword 0xDEADBEEFDEADBEEF
24043 .xword 0xDEADBEEFDEADBEEF
24044 .xword 0xDEADBEEFDEADBEEF
24045 .xword 0xDEADBEEFDEADBEEF
24046 .xword 0xDEADBEEFDEADBEEF
24047 .xword 0xDEADBEEFDEADBEEF
24048 .xword 0xDEADBEEFDEADBEEF
24049 .xword 0xDEADBEEFDEADBEEF
24050 .xword 0xDEADBEEFDEADBEEF
24051 .xword 0xDEADBEEFDEADBEEF
24052 .xword 0xDEADBEEFDEADBEEF
24053 .xword 0xDEADBEEFDEADBEEF
24054 .xword 0xDEADBEEFDEADBEEF
24055 .xword 0xDEADBEEFDEADBEEF
24056 .xword 0xDEADBEEFDEADBEEF
24057 .xword 0xDEADBEEFDEADBEEF
24058 .xword 0xDEADBEEFDEADBEEF
24059 .xword 0xDEADBEEFDEADBEEF
24060 .xword 0xDEADBEEFDEADBEEF
24061 .xword 0xDEADBEEFDEADBEEF
24062 .xword 0xDEADBEEFDEADBEEF
24063 .xword 0xDEADBEEFDEADBEEF
24064 .xword 0xDEADBEEFDEADBEEF
24065 .xword 0xDEADBEEFDEADBEEF
24066 .xword 0xDEADBEEFDEADBEEF
24067 .xword 0xDEADBEEFDEADBEEF
24068 .xword 0xDEADBEEFDEADBEEF
24069 .xword 0xDEADBEEFDEADBEEF
24070 .xword 0xDEADBEEFDEADBEEF
24071 .xword 0xDEADBEEFDEADBEEF
24072 .xword 0xDEADBEEFDEADBEEF
24073 .xword 0xDEADBEEFDEADBEEF
24074 .xword 0xDEADBEEFDEADBEEF
24075 .xword 0xDEADBEEFDEADBEEF
24076 .xword 0xDEADBEEFDEADBEEF
24077 .xword 0xDEADBEEFDEADBEEF
24078 .xword 0xDEADBEEFDEADBEEF
24079 .xword 0xDEADBEEFDEADBEEF
24080 .xword 0xDEADBEEFDEADBEEF
24081 .xword 0xDEADBEEFDEADBEEF
24082 .xword 0xDEADBEEFDEADBEEF
24083 .xword 0xDEADBEEFDEADBEEF
24084 .xword 0xDEADBEEFDEADBEEF
24085 .xword 0xDEADBEEFDEADBEEF
24086 .xword 0xDEADBEEFDEADBEEF
24087 .xword 0xDEADBEEFDEADBEEF
24088 .xword 0xDEADBEEFDEADBEEF
24089 .xword 0xDEADBEEFDEADBEEF
24090 .xword 0xDEADBEEFDEADBEEF
24091 .xword 0xDEADBEEFDEADBEEF
24092 .xword 0xDEADBEEFDEADBEEF
24093 .xword 0xDEADBEEFDEADBEEF
24094 .xword 0xDEADBEEFDEADBEEF
24095 .xword 0xDEADBEEFDEADBEEF
24096 .xword 0xDEADBEEFDEADBEEF
24097 .xword 0xDEADBEEFDEADBEEF
24098 .xword 0xDEADBEEFDEADBEEF
24099 .xword 0xDEADBEEFDEADBEEF
24100 .xword 0xDEADBEEFDEADBEEF
24101 .xword 0xDEADBEEFDEADBEEF
24102 .xword 0xDEADBEEFDEADBEEF
24103 .xword 0xDEADBEEFDEADBEEF
24104 .xword 0xDEADBEEFDEADBEEF
24105 .xword 0xDEADBEEFDEADBEEF
24106 .xword 0xDEADBEEFDEADBEEF
24107 .xword 0xDEADBEEFDEADBEEF
24108 .xword 0xDEADBEEFDEADBEEF
24109 .xword 0xDEADBEEFDEADBEEF
24110 .xword 0xDEADBEEFDEADBEEF
24111 .xword 0xDEADBEEFDEADBEEF
24112 .xword 0xDEADBEEFDEADBEEF
24113 .xword 0xDEADBEEFDEADBEEF
24114 .xword 0xDEADBEEFDEADBEEF
24115 .xword 0xDEADBEEFDEADBEEF
24116 .xword 0xDEADBEEFDEADBEEF
24117 .xword 0xDEADBEEFDEADBEEF
24118 .xword 0xDEADBEEFDEADBEEF
24119 .xword 0xDEADBEEFDEADBEEF
24120 .xword 0xDEADBEEFDEADBEEF
24121 .xword 0xDEADBEEFDEADBEEF
24122 .xword 0xDEADBEEFDEADBEEF
24123 .xword 0xDEADBEEFDEADBEEF
24124 .xword 0xDEADBEEFDEADBEEF
24125 .xword 0xDEADBEEFDEADBEEF
24126 .xword 0xDEADBEEFDEADBEEF
24127 .xword 0xDEADBEEFDEADBEEF
24128 .xword 0xDEADBEEFDEADBEEF
24129 .xword 0xDEADBEEFDEADBEEF
24130 .xword 0xDEADBEEFDEADBEEF
24131 .xword 0xDEADBEEFDEADBEEF
24132 .xword 0xDEADBEEFDEADBEEF
24133 .xword 0xDEADBEEFDEADBEEF
24134 .xword 0xDEADBEEFDEADBEEF
24135 .xword 0xDEADBEEFDEADBEEF
24136 .xword 0xDEADBEEFDEADBEEF
24137 .xword 0xDEADBEEFDEADBEEF
24138 .xword 0xDEADBEEFDEADBEEF
24139 .xword 0xDEADBEEFDEADBEEF
24140 .xword 0xDEADBEEFDEADBEEF
24141 .xword 0xDEADBEEFDEADBEEF
24142 .xword 0xDEADBEEFDEADBEEF
24143 .xword 0xDEADBEEFDEADBEEF
24144 .xword 0xDEADBEEFDEADBEEF
24145 .xword 0xDEADBEEFDEADBEEF
24146 .xword 0xDEADBEEFDEADBEEF
24147 .xword 0xDEADBEEFDEADBEEF
24148 .xword 0xDEADBEEFDEADBEEF
24149 .xword 0xDEADBEEFDEADBEEF
24150 .xword 0xDEADBEEFDEADBEEF
24151 .xword 0xDEADBEEFDEADBEEF
24152 .xword 0xDEADBEEFDEADBEEF
24153 .xword 0xDEADBEEFDEADBEEF
24154 .xword 0xDEADBEEFDEADBEEF
24155 .xword 0xDEADBEEFDEADBEEF
24156 .xword 0xDEADBEEFDEADBEEF
24157 .xword 0xDEADBEEFDEADBEEF
24158 .xword 0xDEADBEEFDEADBEEF
24159 .xword 0xDEADBEEFDEADBEEF
24160 .xword 0xDEADBEEFDEADBEEF
24161 .xword 0xDEADBEEFDEADBEEF
24162 .xword 0xDEADBEEFDEADBEEF
24163 .xword 0xDEADBEEFDEADBEEF
24164 .xword 0xDEADBEEFDEADBEEF
24165 .xword 0xDEADBEEFDEADBEEF
24166 .xword 0xDEADBEEFDEADBEEF
24167 .xword 0xDEADBEEFDEADBEEF
24168 .xword 0xDEADBEEFDEADBEEF
24169 .xword 0xDEADBEEFDEADBEEF
24170 .xword 0xDEADBEEFDEADBEEF
24171 .xword 0xDEADBEEFDEADBEEF
24172 .xword 0xDEADBEEFDEADBEEF
24173 .xword 0xDEADBEEFDEADBEEF
24174 .xword 0xDEADBEEFDEADBEEF
24175 .xword 0xDEADBEEFDEADBEEF
24176 .xword 0xDEADBEEFDEADBEEF
24177 .xword 0xDEADBEEFDEADBEEF
24178 .xword 0xDEADBEEFDEADBEEF
24179 .xword 0xDEADBEEFDEADBEEF
24180 .xword 0xDEADBEEFDEADBEEF
24181 .xword 0xDEADBEEFDEADBEEF
24182 .xword 0xDEADBEEFDEADBEEF
24183 .xword 0xDEADBEEFDEADBEEF
24184 .xword 0xDEADBEEFDEADBEEF
24185 .xword 0xDEADBEEFDEADBEEF
24186 .xword 0xDEADBEEFDEADBEEF
24187 .xword 0xDEADBEEFDEADBEEF
24188 .xword 0xDEADBEEFDEADBEEF
24189 .xword 0xDEADBEEFDEADBEEF
24190 .xword 0xDEADBEEFDEADBEEF
24191 .xword 0xDEADBEEFDEADBEEF
24192 .xword 0xDEADBEEFDEADBEEF
24193 .xword 0xDEADBEEFDEADBEEF
24194 .xword 0xDEADBEEFDEADBEEF
24195 .xword 0xDEADBEEFDEADBEEF
24196 .xword 0xDEADBEEFDEADBEEF
24197 .xword 0xDEADBEEFDEADBEEF
24198 .xword 0xDEADBEEFDEADBEEF
24199 .xword 0xDEADBEEFDEADBEEF
24200 .xword 0xDEADBEEFDEADBEEF
24201 .xword 0xDEADBEEFDEADBEEF
24202 .xword 0xDEADBEEFDEADBEEF
24203 .xword 0xDEADBEEFDEADBEEF
24204 .xword 0xDEADBEEFDEADBEEF
24205 .xword 0xDEADBEEFDEADBEEF
24206 .xword 0xDEADBEEFDEADBEEF
24207 .xword 0xDEADBEEFDEADBEEF
24208 .xword 0xDEADBEEFDEADBEEF
24209 .xword 0xDEADBEEFDEADBEEF
24210 .xword 0xDEADBEEFDEADBEEF
24211 .xword 0xDEADBEEFDEADBEEF
24212 .xword 0xDEADBEEFDEADBEEF
24213 .xword 0xDEADBEEFDEADBEEF
24214 .xword 0xDEADBEEFDEADBEEF
24215 .xword 0xDEADBEEFDEADBEEF
24216 .xword 0xDEADBEEFDEADBEEF
24217 .xword 0xDEADBEEFDEADBEEF
24218 .xword 0xDEADBEEFDEADBEEF
24219 .xword 0xDEADBEEFDEADBEEF
24220 .xword 0xDEADBEEFDEADBEEF
24221 .xword 0xDEADBEEFDEADBEEF
24222 .xword 0xDEADBEEFDEADBEEF
24223 .xword 0xDEADBEEFDEADBEEF
24224 .xword 0xDEADBEEFDEADBEEF
24225 .xword 0xDEADBEEFDEADBEEF
24226 .xword 0xDEADBEEFDEADBEEF
24227 .xword 0xDEADBEEFDEADBEEF
24228 .xword 0xDEADBEEFDEADBEEF
24229 .xword 0xDEADBEEFDEADBEEF
24230 .xword 0xDEADBEEFDEADBEEF
24231 .xword 0xDEADBEEFDEADBEEF
24232 .xword 0xDEADBEEFDEADBEEF
24233 .xword 0xDEADBEEFDEADBEEF
24234 .xword 0xDEADBEEFDEADBEEF
24235 .xword 0xDEADBEEFDEADBEEF
24236 .xword 0xDEADBEEFDEADBEEF
24237 .xword 0xDEADBEEFDEADBEEF
24238 .xword 0xDEADBEEFDEADBEEF
24239 .xword 0xDEADBEEFDEADBEEF
24240 .xword 0xDEADBEEFDEADBEEF
24241 .xword 0xDEADBEEFDEADBEEF
24242 .xword 0xDEADBEEFDEADBEEF
24243 .xword 0xDEADBEEFDEADBEEF
24244 .xword 0xDEADBEEFDEADBEEF
24245 .xword 0xDEADBEEFDEADBEEF
24246 .xword 0xDEADBEEFDEADBEEF
24247 .xword 0xDEADBEEFDEADBEEF
24248 .xword 0xDEADBEEFDEADBEEF
24249 .xword 0xDEADBEEFDEADBEEF
24250 .xword 0xDEADBEEFDEADBEEF
24251_t1_des_auth_key:
24252 .xword 0x36d7e51cabe39791
24253 .xword 0xe8483d37f5cec59b
24254 .xword 0x86c20df8dbee0ce0
24255 .xword 0x83e326bd30fa12c0
24256 .xword 0xf427aaa11fa09d3e
24257 .xword 0x8ecb3f34c0a5aff9
24258 .xword 0xbe877c5f5b5364e5
24259 .xword 0x72233462bbc0f0ad
24260 .xword 0xafc359639fab994d
24261 .xword 0xe46d6cf88e406e3f
24262 .xword 0x4976c079ebf14965
24263 .xword 0x5b542263251b258c
24264 .xword 0xfb14414020d10da3
24265 .xword 0x4a0e6e4f5e1b0af4
24266 .xword 0x060fb68894a10539
24267 .xword 0xd70c6375b6fc49eb
24268 .xword 0xcf13edd8bd0c536a
24269 .xword 0x3794b02bd11654c2
24270 .xword 0x9424f12c4e22492f
24271 .xword 0x71ff0ca3d9102928
24272 .xword 0x551c3f6b494fa8ad
24273 .xword 0x964a066610bbf27f
24274 .xword 0x0ad639be32eb80ea
24275_t1_des_auth_iv:
24276 .xword 0x9fa123a6074bc7eb
24277 .xword 0xe690d94fb8098fca
24278 .xword 0xdd78016cd80e6317
24279 .xword 0x980685ee8a33c0cf
24280 .xword 0x270c94c82fefcf8a
24281 .xword 0x415459d860039b35
24282 .xword 0x1e9ade0d1d3b7f7c
24283 .xword 0x2f69d0f4d49a6a95
24284 .xword 0x0184f94ad58e7ac8
24285 .xword 0x68f543ea93a3ab3b
24286 .xword 0x788d62819dec53f1
24287 .xword 0x3d6f68718c5edb4e
24288 .xword 0xeacb155a7931931c
24289 .xword 0xdc8d5e97c1397dac
24290 .xword 0x4fc74cb8a6d9da6e
24291 .xword 0x6bc091dcc3fc7bef
24292 .xword 0x0e229d548617de99
24293 .xword 0x411badc14c82d832
24294 .xword 0xcd3c3a04a8d5a696
24295 .xword 0x704f8104c45c73a0
24296 .xword 0xa5ec3ff2c78453f3
24297 .xword 0x61af1b4fd575d93b
24298 .xword 0x34ef52c9c4b65eea
24299_t1_des_fas_result:
24300 .xword 0xDEADBEEFDEADBEEF
24301 .xword 0xDEADBEEFDEADBEEF
24302 .xword 0xDEADBEEFDEADBEEF
24303 .xword 0xDEADBEEFDEADBEEF
24304 .xword 0xDEADBEEFDEADBEEF
24305 .xword 0xDEADBEEFDEADBEEF
24306 .xword 0xDEADBEEFDEADBEEF
24307 .xword 0xDEADBEEFDEADBEEF
24308 .xword 0xDEADBEEFDEADBEEF
24309 .xword 0xDEADBEEFDEADBEEF
24310 .xword 0xDEADBEEFDEADBEEF
24311 .xword 0xDEADBEEFDEADBEEF
24312 .xword 0xDEADBEEFDEADBEEF
24313 .xword 0xDEADBEEFDEADBEEF
24314 .xword 0xDEADBEEFDEADBEEF
24315 .xword 0xDEADBEEFDEADBEEF
24316 .xword 0xDEADBEEFDEADBEEF
24317 .xword 0xDEADBEEFDEADBEEF
24318 .xword 0xDEADBEEFDEADBEEF
24319 .xword 0xDEADBEEFDEADBEEF
24320 .xword 0xDEADBEEFDEADBEEF
24321 .xword 0xDEADBEEFDEADBEEF
24322 .xword 0xDEADBEEFDEADBEEF
24323_t1_copy_key_array:
24324 .xword 0x4ecba9324683aec7
24325 .xword 0x8bac5f528240b6ee
24326 .xword 0x2f479a38d2a3bc6e
24327 .xword 0xf2fb28a312ba7ba6
24328 .xword 0xa4a257407ede4ee1
24329 .xword 0xbb8cb74aa8b1b433
24330 .xword 0x3855c2c6d2ddebd5
24331 .xword 0x6a93b5075e35869e
24332 .xword 0xddb1a23ffb704042
24333 .xword 0x9d2b31c63c1a0c73
24334 .xword 0x0091b6dcbb80af7f
24335 .xword 0xca77f73798a04cf4
24336 .xword 0x251d4229b7e665d1
24337 .xword 0xdc7bdf9af53c893e
24338 .xword 0x64a1f3d432404cce
24339 .xword 0x150ecffcfab3b984
24340 .xword 0x5ec3a907470130ba
24341 .xword 0x89cb93de87c7baba
24342 .xword 0xbc174ec113a6f02f
24343 .xword 0x4ab57520ea704a6b
24344 .xword 0x4e65497ea8ff1457
24345 .xword 0x32904b9a15e25ed8
24346 .xword 0x290d22b10e093642
24347 .xword 0x295b3f210f441ea2
24348 .xword 0xf1bf21b9642ee22b
24349 .xword 0x09fdddb9a8515cdd
24350 .xword 0x8c28d0df8504654e
24351 .xword 0xbc70bd3bc0344f5b
24352 .xword 0xf0c794d20201cfe4
24353 .xword 0xd5f1a6e5e4f81885
24354 .xword 0xab5f01864cc2cf88
24355 .xword 0x4d22d069378a6adf
24356 .xword 0x37d741268e74ae2e
24357 .xword 0x6b9d61be8daefea3
24358 .xword 0x32fa6eee31b7f4ee
24359 .xword 0xfdf780075427eeda
24360 .xword 0xd62b9a1c5eb3fe35
24361 .xword 0xdecfba6e2212b298
24362 .xword 0x0080bf41ad85a18d
24363 .xword 0x7e62a337ae19f09c
24364 .xword 0x7ec6f492ea3e0434
24365 .xword 0xe667847b54e31707
24366 .xword 0x3bdabe1bbcb914be
24367 .xword 0xedd6b007bbc84968
24368 .xword 0x9effe8a38a513e28
24369 .xword 0x7a6b00ef82a99419
24370 .xword 0x96bc90fa0936e078
24371 .xword 0x85971f8116910b6f
24372 .xword 0xef359ac1a6be1ab0
24373 .xword 0x34607a90cd8559b4
24374 .xword 0xfb75c726c10551c6
24375_t1_copy_iv_array:
24376 .xword 0x18a4b406bcedca51
24377 .xword 0x59942b2feef073aa
24378 .xword 0x4d5a20356799844b
24379 .xword 0xac4ebea65cfc2755
24380 .xword 0xac6ca7c213206864
24381 .xword 0x387aa50e67a1680c
24382 .xword 0x641171f886999551
24383 .xword 0xb7c99e8ff98fb219
24384 .xword 0xc464e72ed0ead88d
24385 .xword 0x5247a9875893cfb5
24386 .xword 0xfdbd1fdf8d64a549
24387 .xword 0xecdb3bfbef577f2e
24388 .xword 0xe81564c833005b34
24389 .xword 0xe850a18c290900ee
24390 .xword 0xcc3f131fc5c40622
24391 .xword 0xdba81152e35db803
24392 .xword 0xf6b1a3f44b4768cf
24393 .xword 0xa4a46485c9eb967f
24394 .xword 0xf6150b1e1f61042c
24395 .xword 0x5757b9d6c3fc38c2
24396 .xword 0x00a0674ed0335939
24397 .xword 0x7eae13afc5312923
24398 .xword 0x93056664463bd78a
24399 .xword 0xa03e753a8fa6ba2a
24400 .xword 0xc1c76b97c5cfa646
24401 .xword 0x0a37d87427d0c7c3
24402 .xword 0xb20ce378eb6b9446
24403 .xword 0x39646f466a7daa99
24404 .xword 0x53974a2e211583df
24405 .xword 0x016755d04eeacf3e
24406 .xword 0xe3306836d1f67fee
24407 .xword 0xf0863756cc7bb04f
24408 .xword 0x1fc7c0ddb2e289c6
24409 .xword 0xc1997906e8456153
24410 .xword 0xc2d41869904e9163
24411 .xword 0xa48ba8a956b618de
24412 .xword 0xa40262229d667658
24413 .xword 0x991c1df2c4a01a4a
24414 .xword 0x63c223264f5d3c2e
24415 .xword 0xf518eb7721d3bade
24416 .xword 0x160038a55da9d937
24417 .xword 0xfeae72a4c432e394
24418 .xword 0x81136c3a28111c9c
24419 .xword 0x1614e01849b05c39
24420 .xword 0x864abb06224e6006
24421_t1_copy_alignment_array:
24422 .xword 9
24423 .xword 11
24424 .xword 6
24425 .xword 3
24426 .xword 5
24427 .xword 11
24428 .xword 9
24429 .xword 0
24430 .xword 5
24431 .xword 0
24432 .xword 13
24433 .xword 0
24434 .xword 7
24435 .xword 2
24436 .xword 15
24437 .xword 11
24438 .xword 14
24439 .xword 7
24440 .xword 5
24441 .xword 1
24442 .xword 11
24443 .xword 0
24444 .xword 4
24445 .xword 4
24446 .xword 5
24447 .xword 11
24448 .xword 11
24449 .xword 4
24450 .xword 8
24451 .xword 7
24452 .xword 1
24453 .xword 11
24454 .xword 9
24455 .xword 2
24456 .xword 13
24457 .xword 12
24458 .xword 2
24459 .xword 13
24460 .xword 0
24461 .xword 9
24462 .xword 9
24463 .xword 11
24464 .xword 0
24465 .xword 15
24466 .xword 10
24467 .xword 7
24468 .xword 6
24469 .xword 8
24470 .xword 4
24471 .xword 0
24472 .xword 14
24473 .xword 9
24474 .xword 3
24475 .xword 2
24476 .xword 6
24477 .xword 10
24478 .xword 11
24479 .xword 8
24480 .xword 15
24481 .xword 7
24482 .xword 0
24483 .xword 10
24484 .xword 12
24485 .xword 3
24486 .xword 2
24487 .xword 3
24488 .xword 14
24489 .xword 5
24490 .xword 1
24491 .xword 5
24492 .xword 13
24493 .xword 11
24494 .xword 6
24495 .xword 6
24496 .xword 15
24497 .xword 8
24498 .xword 3
24499 .xword 11
24500 .xword 14
24501 .xword 5
24502 .xword 6
24503 .xword 2
24504 .xword 15
24505 .xword 15
24506 .xword 1
24507 .xword 2
24508 .xword 4
24509 .xword 1
24510 .xword 1
24511 .xword 4
24512 .xword 0
24513 .xword 5
24514 .xword 3
24515 .xword 2
24516 .xword 14
24517 .xword 10
24518 .xword 8
24519 .xword 6
24520 .xword 14
24521 .xword 14
24522 .xword 4
24523 .xword 9
24524 .xword 14
24525 .xword 8
24526 .xword 3
24527_t1_copy_src:
24528 .xword 0x09afab1e24ef073f
24529 .xword 0x5b689bfd9ff54eae
24530 .xword 0xadc090459f0265be
24531 .xword 0x0da622ccdadff2ed
24532 .xword 0x87f7f3ad4450f8d3
24533 .xword 0x0444faa480063d31
24534 .xword 0x531a8f777417a3e4
24535 .xword 0x487a815bd647bba8
24536 .xword 0xc4db48bd4b6c8f6d
24537 .xword 0xf2d46de54d55476c
24538 .xword 0x3ec73063730af520
24539 .xword 0xb728475749379ac4
24540 .xword 0x56c3fdcb2cbca111
24541 .xword 0x57d6a1d773488131
24542 .xword 0x5c5df3ff62e96261
24543 .xword 0xf1a3e29649c31f21
24544 .xword 0x508eaf15b2d5400c
24545 .xword 0x5ec39fc6b5031131
24546 .xword 0x2b8e05a9feae99c4
24547 .xword 0x08e91b5ecf1d9bf0
24548 .xword 0x74363559aa9d7791
24549 .xword 0x35e3dbd904d5bf72
24550 .xword 0xc0a2db3abb845c4e
24551 .xword 0xf8a53e848ef58042
24552 .xword 0x0c331a88fff69eb5
24553 .xword 0x9df9b4fec2219ee9
24554 .xword 0x7a2a07106283336b
24555 .xword 0xa588f1e51420c2da
24556 .xword 0xe613a87dac6811cc
24557 .xword 0x34d8435ab4e755d1
24558 .xword 0x1c3070402f5ed07b
24559 .xword 0x496a6c94503f3d92
24560 .xword 0x8e9ce39bca1da6b9
24561 .xword 0x0c1ec4e1da3151a7
24562 .xword 0x2148c01dbea84dae
24563 .xword 0x335cc69632d479a8
24564 .xword 0x81e555cc1451a458
24565 .xword 0xe31f7f07f2b4a3f2
24566 .xword 0x4341896feb28235a
24567 .xword 0xa331654306ef6ae3
24568 .xword 0x931d234532dd3eba
24569 .xword 0x16bc236eb8527481
24570 .xword 0x53a0c4cc49b23a19
24571 .xword 0x2f10996faea53147
24572 .xword 0x899c7e1258b0f345
24573 .xword 0x6fd2e03569c39ece
24574 .xword 0x2d2676519038e9dc
24575 .xword 0xd79918e08392dc03
24576 .xword 0xd4a5b5d4fb6ac416
24577 .xword 0x3f2787e1da68f2c7
24578 .xword 0x1c444f9380b74281
24579 .xword 0xd5dc6a5ab2503dde
24580 .xword 0xec1f3e13fe8375c5
24581 .xword 0xe16ee9706b8de396
24582 .xword 0xa8231a2c9a18e57c
24583 .xword 0x62157e6615f6b0b1
24584 .xword 0x5f8ab7c4e55ac800
24585 .xword 0xc25bc0c9499c6116
24586 .xword 0x5c7918f284c3c07b
24587 .xword 0x62864f0930279334
24588 .xword 0x6d634d3e6b6b82b4
24589 .xword 0x72048bbe17474381
24590 .xword 0x6bed150af3801e0a
24591 .xword 0x7225502193b8b726
24592 .xword 0xed33b1e1f5404e8f
24593 .xword 0xee71069be085339b
24594 .xword 0xd57d6ff48c6541e7
24595 .xword 0x29ee95853fb2b448
24596 .xword 0xa557b3806e56d342
24597 .xword 0xe9a0b77d9b6b3c0a
24598 .xword 0x076d8e1b124ee121
24599 .xword 0x03e6773cdeccc038
24600 .xword 0xe57173c8fc18be53
24601 .xword 0x0f21aceb9c6729c5
24602 .xword 0xf254342021eb3a6c
24603 .xword 0x4346ec8862732495
24604 .xword 0x81496a26dc48ff7a
24605 .xword 0x9d47e87116b97635
24606 .xword 0xfbd8ff7eacbb8f4b
24607 .xword 0x6e552a2ce1d9c15f
24608 .xword 0x0b0041ca8cb32caa
24609 .xword 0xae239edafc75f1ea
24610 .xword 0x20385c20fb5ea499
24611 .xword 0xd15b0d5e7db32176
24612 .xword 0x3b95ea5398824dde
24613 .xword 0x521d8f386d912c54
24614 .xword 0xb90d6e12f510f6a6
24615 .xword 0x63ba9568825872cd
24616 .xword 0xe2c392e047789b36
24617 .xword 0x6b21ab3f290fd4d6
24618 .xword 0x23dcc11d581bc7d8
24619 .xword 0xe521d4ffb36bf749
24620 .xword 0x682cfd26a28b65af
24621 .xword 0x73cfecbf1b966689
24622 .xword 0x3828c049ee704be8
24623 .xword 0x3f98397be832621b
24624 .xword 0x3347a1154b848084
24625 .xword 0x032839b99aefb749
24626 .xword 0xfe48dda4c383f209
24627 .xword 0x03569c83de6d0f9a
24628 .xword 0x3c9d095f7a5100cc
24629 .xword 0xf41373bc4d0c499a
24630 .xword 0x5f0c42657fa1e654
24631 .xword 0xb8743f41c235a5b3
24632 .xword 0x02ba851a6def9e8c
24633 .xword 0xf4432e0328f409b2
24634 .xword 0xc8d160cc1b00449a
24635 .xword 0xde4f891b77178111
24636 .xword 0x54ca0a9b8901a4e1
24637 .xword 0xb94d4361ec713113
24638 .xword 0xd1fd489f3b37548e
24639 .xword 0x1a4a5a4783712554
24640 .xword 0x600bc52ebef08847
24641 .xword 0x0decf9e1aa98c843
24642 .xword 0x8811b6d33f4524e4
24643 .xword 0x8f3eb4e1a899312d
24644 .xword 0x2d38450739afc192
24645 .xword 0xea3ca6ce15ef1d0a
24646 .xword 0x721e982d00ec405b
24647 .xword 0x8bc7c1d7fad52360
24648 .xword 0x7830ac283c49d756
24649 .xword 0x144bf7bb5a06b3f9
24650 .xword 0xcd202803d0c3ff32
24651 .xword 0x64336cf8daa5cea4
24652 .xword 0x56d7ad95fe304038
24653 .xword 0x9d7d4a04b71ae375
24654 .xword 0xdadd2178718fe36f
24655 .xword 0x3fa3efa15fa286da
24656 .xword 0xfa1a0b9f23c1ea89
24657 .xword 0x1baade14a5ec6c4c
24658 .xword 0xe140a975166245aa
24659 .xword 0x975f45e7d8712fe2
24660 .xword 0x26e9e7bab66fecea
24661 .xword 0xe4fa5ef4506d0bfd
24662 .xword 0x57ca33021c1486e1
24663 .xword 0xf999aeaf71054bde
24664 .xword 0x9cc1c4c5d5a6d31a
24665 .xword 0x60072390e265dfe8
24666 .xword 0xd881868b9fda2bb1
24667 .xword 0x8670d9a061cf7b74
24668 .xword 0xb87ade72db81a7c5
24669 .xword 0x2032afe0832d5361
24670 .xword 0x5fdb1a6157787db9
24671 .xword 0x03014e0efc6393ee
24672 .xword 0xc22a40d2ad374bc9
24673 .xword 0x612ff1d91d824d78
24674 .xword 0x35c31438a7f9667b
24675 .xword 0x56b21e3076656b34
24676 .xword 0x298e50eca302dc2d
24677 .xword 0x72aca780b81c8d02
24678 .xword 0x144fd507a37b8540
24679 .xword 0xe1f5cd1c4db3fc04
24680 .xword 0x0b1a6c74e8dd36cb
24681 .xword 0x3ea1e3a1c5304309
24682 .xword 0x2f0688a340f3708c
24683 .xword 0x7319e925aa736c05
24684 .xword 0xeb679412635c638b
24685 .xword 0x701c48fb0cc32321
24686 .xword 0x6153e31369f4f631
24687 .xword 0xa33aa33c8fe5eabf
24688 .xword 0x0a89b32ac9eb1cdd
24689 .xword 0x73e1d6e9489d1418
24690 .xword 0x360c1590d3e4f98b
24691 .xword 0x45d539579696a596
24692 .xword 0xaa4be8ba6cf1a529
24693 .xword 0xd282724d5a2a34f9
24694 .xword 0x1c931d46122afb71
24695 .xword 0x2a5220d232c6744e
24696 .xword 0x9070bb7f020a9240
24697 .xword 0x069cf9cec6cf15f8
24698 .xword 0x91d32e05525be29d
24699 .xword 0x97ed6f86638a5dec
24700 .xword 0xc21c93e8e571983a
24701 .xword 0x5a1b01763324bc76
24702 .xword 0xe74385503f9c3d09
24703 .xword 0x922844bf2a10457d
24704 .xword 0x792d99987b19197e
24705 .xword 0x5896b19c64daccba
24706 .xword 0x9d2130c02fcdcb2e
24707 .xword 0xf7e6b4a7d5326ea7
24708 .xword 0x9f7ef25e7a1b58a7
24709 .xword 0x9428b3b5ae907c50
24710 .xword 0xba9ca14a6823a4c9
24711 .xword 0x8667d989cb32a1e9
24712 .xword 0x66b9a89cbf78bade
24713 .xword 0x6560275f0e857ec8
24714 .xword 0xc50f9df53b7a1aa3
24715 .xword 0x727b41312d82f055
24716 .xword 0x9ef78e9053cbd18e
24717 .xword 0x995b2b08262fb418
24718 .xword 0xc54ac2e411ab45fe
24719 .xword 0x0195a0b36e7923c9
24720 .xword 0xb89ec0cc045d7e3f
24721 .xword 0x8fb187a3d2ef8cf4
24722 .xword 0xf9d938c9ddd4f44e
24723 .xword 0x1cb1af9d02c6c263
24724 .xword 0xefbb339e16da3ca3
24725 .xword 0x35c2a5d027364ba4
24726 .xword 0x51a3814fedf5a578
24727 .xword 0x69dc837d3b40cfc9
24728 .xword 0x00a44d08ce351df1
24729 .xword 0xbf299277459621d2
24730 .xword 0xdbc1983f2b0b2a0f
24731 .xword 0xb0df68ce124d0779
24732 .xword 0xee543aae09f2fed9
24733 .xword 0x84390648eaafa6fe
24734 .xword 0x6b80094cfe3adc53
24735 .xword 0x29d937b4578fa94c
24736 .xword 0x832bd8b4dab819e0
24737 .xword 0x47461b173311aa11
24738 .xword 0x8a489fc948795133
24739 .xword 0x2a097ef90efb02f1
24740 .xword 0x06d5c2e57cd5a829
24741 .xword 0x3a777e3d88682307
24742 .xword 0x4fcc0dcd4ee14ee3
24743 .xword 0x25c554630f903c0d
24744 .xword 0xe0ff5f08495eaa8f
24745 .xword 0x278bfc14129d465c
24746 .xword 0x0f3f67d9f8c6a582
24747 .xword 0xf3e581425fdaa312
24748 .xword 0xca91dff6098fbd1a
24749 .xword 0x0f6c5ff2d856fc3f
24750 .xword 0x00fbe61615116c0a
24751 .xword 0xd38d65ef0ce02581
24752 .xword 0xa35e66269505748b
24753 .xword 0xa10b293fd274f881
24754 .xword 0x224a1ab2c4b55a89
24755 .xword 0x24421fa35d6c1fca
24756 .xword 0xf35b06788a4287cc
24757 .xword 0x6fbe5200147c25fd
24758 .xword 0x1540557732125d4e
24759 .xword 0x73db0319e94bdffb
24760 .xword 0xd2989a17b2b0b43f
24761 .xword 0x6ee1d4d9e297eb87
24762 .xword 0x96c8d992e6e7e7c2
24763 .xword 0x91262f2fe1df6045
24764 .xword 0xe237eb884f613120
24765 .xword 0x7ddb48742a17dfb8
24766 .xword 0x8d5f3fba08fd74b3
24767 .xword 0x3db98b9ac4bf1a96
24768 .xword 0x89925beee8c6d49d
24769 .xword 0xb6ed01d4c5976c77
24770 .xword 0x136fcb22d11ae7ff
24771 .xword 0x87a906db5e426d78
24772 .xword 0x079cc7ca70122153
24773 .xword 0x16e21b9c2c5e0e6e
24774 .xword 0x90f1baffe4f30bc1
24775 .xword 0x056ba5f8e1348f7c
24776 .xword 0xa00e3123d0097655
24777 .xword 0x7ab28619d15ccc05
24778 .xword 0x1ad21d203898421c
24779 .xword 0x6031e302dc1bba04
24780 .xword 0x5af6ceb8f0b04492
24781 .xword 0xd1e60e2a627e4070
24782 .xword 0x8a9ff013bdffd040
24783 .xword 0x2fb7e2fc35a166af
24784 .xword 0x69b2c562be7a8609
24785 .xword 0x4e891338e71b6c09
24786 .xword 0xdc4ec4cdec2994ed
24787 .xword 0x93c53ab8e46c2c4f
24788 .xword 0x5bb21f9e0e66eee4
24789 .xword 0x0f6cff48c2fccc4c
24790 .xword 0x67a5c5a017e2b57e
24791 .xword 0x81e8f0a9b9b42629
24792 .xword 0xf904035f79c9187a
24793 .xword 0xc97a1f7cffe35804
24794 .xword 0xb222ed79130c95e7
24795 .xword 0x91ddbefe318d6f84
24796 .xword 0xab03805f6d2e70c1
24797 .xword 0xec4fe13db848409b
24798 .xword 0xcdfb0cf996a352db
24799 .xword 0x0c9d2d581e2eea87
24800 .xword 0x639098972634f90a
24801 .xword 0x2202a3b4f2a737fc
24802 .xword 0x3faa1d1084da19e6
24803_t1_copy_dest:
24804 .xword 0xDEADBEEFDEADBEEF
24805 .xword 0xDEADBEEFDEADBEEF
24806 .xword 0xDEADBEEFDEADBEEF
24807 .xword 0xDEADBEEFDEADBEEF
24808 .xword 0xDEADBEEFDEADBEEF
24809 .xword 0xDEADBEEFDEADBEEF
24810 .xword 0xDEADBEEFDEADBEEF
24811 .xword 0xDEADBEEFDEADBEEF
24812 .xword 0xDEADBEEFDEADBEEF
24813 .xword 0xDEADBEEFDEADBEEF
24814 .xword 0xDEADBEEFDEADBEEF
24815 .xword 0xDEADBEEFDEADBEEF
24816 .xword 0xDEADBEEFDEADBEEF
24817 .xword 0xDEADBEEFDEADBEEF
24818 .xword 0xDEADBEEFDEADBEEF
24819 .xword 0xDEADBEEFDEADBEEF
24820 .xword 0xDEADBEEFDEADBEEF
24821 .xword 0xDEADBEEFDEADBEEF
24822 .xword 0xDEADBEEFDEADBEEF
24823 .xword 0xDEADBEEFDEADBEEF
24824 .xword 0xDEADBEEFDEADBEEF
24825 .xword 0xDEADBEEFDEADBEEF
24826 .xword 0xDEADBEEFDEADBEEF
24827 .xword 0xDEADBEEFDEADBEEF
24828 .xword 0xDEADBEEFDEADBEEF
24829 .xword 0xDEADBEEFDEADBEEF
24830 .xword 0xDEADBEEFDEADBEEF
24831 .xword 0xDEADBEEFDEADBEEF
24832 .xword 0xDEADBEEFDEADBEEF
24833 .xword 0xDEADBEEFDEADBEEF
24834 .xword 0xDEADBEEFDEADBEEF
24835 .xword 0xDEADBEEFDEADBEEF
24836 .xword 0xDEADBEEFDEADBEEF
24837 .xword 0xDEADBEEFDEADBEEF
24838 .xword 0xDEADBEEFDEADBEEF
24839 .xword 0xDEADBEEFDEADBEEF
24840 .xword 0xDEADBEEFDEADBEEF
24841 .xword 0xDEADBEEFDEADBEEF
24842 .xword 0xDEADBEEFDEADBEEF
24843 .xword 0xDEADBEEFDEADBEEF
24844 .xword 0xDEADBEEFDEADBEEF
24845 .xword 0xDEADBEEFDEADBEEF
24846 .xword 0xDEADBEEFDEADBEEF
24847 .xword 0xDEADBEEFDEADBEEF
24848 .xword 0xDEADBEEFDEADBEEF
24849 .xword 0xDEADBEEFDEADBEEF
24850 .xword 0xDEADBEEFDEADBEEF
24851 .xword 0xDEADBEEFDEADBEEF
24852 .xword 0xDEADBEEFDEADBEEF
24853 .xword 0xDEADBEEFDEADBEEF
24854 .xword 0xDEADBEEFDEADBEEF
24855 .xword 0xDEADBEEFDEADBEEF
24856 .xword 0xDEADBEEFDEADBEEF
24857 .xword 0xDEADBEEFDEADBEEF
24858 .xword 0xDEADBEEFDEADBEEF
24859 .xword 0xDEADBEEFDEADBEEF
24860 .xword 0xDEADBEEFDEADBEEF
24861 .xword 0xDEADBEEFDEADBEEF
24862 .xword 0xDEADBEEFDEADBEEF
24863 .xword 0xDEADBEEFDEADBEEF
24864 .xword 0xDEADBEEFDEADBEEF
24865 .xword 0xDEADBEEFDEADBEEF
24866 .xword 0xDEADBEEFDEADBEEF
24867 .xword 0xDEADBEEFDEADBEEF
24868 .xword 0xDEADBEEFDEADBEEF
24869 .xword 0xDEADBEEFDEADBEEF
24870 .xword 0xDEADBEEFDEADBEEF
24871 .xword 0xDEADBEEFDEADBEEF
24872 .xword 0xDEADBEEFDEADBEEF
24873 .xword 0xDEADBEEFDEADBEEF
24874 .xword 0xDEADBEEFDEADBEEF
24875 .xword 0xDEADBEEFDEADBEEF
24876 .xword 0xDEADBEEFDEADBEEF
24877 .xword 0xDEADBEEFDEADBEEF
24878 .xword 0xDEADBEEFDEADBEEF
24879 .xword 0xDEADBEEFDEADBEEF
24880 .xword 0xDEADBEEFDEADBEEF
24881 .xword 0xDEADBEEFDEADBEEF
24882 .xword 0xDEADBEEFDEADBEEF
24883 .xword 0xDEADBEEFDEADBEEF
24884 .xword 0xDEADBEEFDEADBEEF
24885 .xword 0xDEADBEEFDEADBEEF
24886 .xword 0xDEADBEEFDEADBEEF
24887 .xword 0xDEADBEEFDEADBEEF
24888 .xword 0xDEADBEEFDEADBEEF
24889 .xword 0xDEADBEEFDEADBEEF
24890 .xword 0xDEADBEEFDEADBEEF
24891 .xword 0xDEADBEEFDEADBEEF
24892 .xword 0xDEADBEEFDEADBEEF
24893 .xword 0xDEADBEEFDEADBEEF
24894 .xword 0xDEADBEEFDEADBEEF
24895 .xword 0xDEADBEEFDEADBEEF
24896 .xword 0xDEADBEEFDEADBEEF
24897 .xword 0xDEADBEEFDEADBEEF
24898 .xword 0xDEADBEEFDEADBEEF
24899 .xword 0xDEADBEEFDEADBEEF
24900 .xword 0xDEADBEEFDEADBEEF
24901 .xword 0xDEADBEEFDEADBEEF
24902 .xword 0xDEADBEEFDEADBEEF
24903 .xword 0xDEADBEEFDEADBEEF
24904 .xword 0xDEADBEEFDEADBEEF
24905 .xword 0xDEADBEEFDEADBEEF
24906 .xword 0xDEADBEEFDEADBEEF
24907 .xword 0xDEADBEEFDEADBEEF
24908 .xword 0xDEADBEEFDEADBEEF
24909 .xword 0xDEADBEEFDEADBEEF
24910 .xword 0xDEADBEEFDEADBEEF
24911 .xword 0xDEADBEEFDEADBEEF
24912 .xword 0xDEADBEEFDEADBEEF
24913 .xword 0xDEADBEEFDEADBEEF
24914 .xword 0xDEADBEEFDEADBEEF
24915 .xword 0xDEADBEEFDEADBEEF
24916 .xword 0xDEADBEEFDEADBEEF
24917 .xword 0xDEADBEEFDEADBEEF
24918 .xword 0xDEADBEEFDEADBEEF
24919 .xword 0xDEADBEEFDEADBEEF
24920 .xword 0xDEADBEEFDEADBEEF
24921 .xword 0xDEADBEEFDEADBEEF
24922 .xword 0xDEADBEEFDEADBEEF
24923 .xword 0xDEADBEEFDEADBEEF
24924 .xword 0xDEADBEEFDEADBEEF
24925 .xword 0xDEADBEEFDEADBEEF
24926 .xword 0xDEADBEEFDEADBEEF
24927 .xword 0xDEADBEEFDEADBEEF
24928 .xword 0xDEADBEEFDEADBEEF
24929 .xword 0xDEADBEEFDEADBEEF
24930 .xword 0xDEADBEEFDEADBEEF
24931 .xword 0xDEADBEEFDEADBEEF
24932 .xword 0xDEADBEEFDEADBEEF
24933 .xword 0xDEADBEEFDEADBEEF
24934 .xword 0xDEADBEEFDEADBEEF
24935 .xword 0xDEADBEEFDEADBEEF
24936 .xword 0xDEADBEEFDEADBEEF
24937 .xword 0xDEADBEEFDEADBEEF
24938 .xword 0xDEADBEEFDEADBEEF
24939 .xword 0xDEADBEEFDEADBEEF
24940 .xword 0xDEADBEEFDEADBEEF
24941 .xword 0xDEADBEEFDEADBEEF
24942 .xword 0xDEADBEEFDEADBEEF
24943 .xword 0xDEADBEEFDEADBEEF
24944 .xword 0xDEADBEEFDEADBEEF
24945 .xword 0xDEADBEEFDEADBEEF
24946 .xword 0xDEADBEEFDEADBEEF
24947 .xword 0xDEADBEEFDEADBEEF
24948 .xword 0xDEADBEEFDEADBEEF
24949 .xword 0xDEADBEEFDEADBEEF
24950 .xword 0xDEADBEEFDEADBEEF
24951 .xword 0xDEADBEEFDEADBEEF
24952 .xword 0xDEADBEEFDEADBEEF
24953 .xword 0xDEADBEEFDEADBEEF
24954 .xword 0xDEADBEEFDEADBEEF
24955 .xword 0xDEADBEEFDEADBEEF
24956 .xword 0xDEADBEEFDEADBEEF
24957 .xword 0xDEADBEEFDEADBEEF
24958 .xword 0xDEADBEEFDEADBEEF
24959 .xword 0xDEADBEEFDEADBEEF
24960 .xword 0xDEADBEEFDEADBEEF
24961 .xword 0xDEADBEEFDEADBEEF
24962 .xword 0xDEADBEEFDEADBEEF
24963 .xword 0xDEADBEEFDEADBEEF
24964 .xword 0xDEADBEEFDEADBEEF
24965 .xword 0xDEADBEEFDEADBEEF
24966 .xword 0xDEADBEEFDEADBEEF
24967 .xword 0xDEADBEEFDEADBEEF
24968 .xword 0xDEADBEEFDEADBEEF
24969 .xword 0xDEADBEEFDEADBEEF
24970 .xword 0xDEADBEEFDEADBEEF
24971 .xword 0xDEADBEEFDEADBEEF
24972 .xword 0xDEADBEEFDEADBEEF
24973 .xword 0xDEADBEEFDEADBEEF
24974 .xword 0xDEADBEEFDEADBEEF
24975 .xword 0xDEADBEEFDEADBEEF
24976 .xword 0xDEADBEEFDEADBEEF
24977 .xword 0xDEADBEEFDEADBEEF
24978 .xword 0xDEADBEEFDEADBEEF
24979 .xword 0xDEADBEEFDEADBEEF
24980 .xword 0xDEADBEEFDEADBEEF
24981 .xword 0xDEADBEEFDEADBEEF
24982 .xword 0xDEADBEEFDEADBEEF
24983 .xword 0xDEADBEEFDEADBEEF
24984 .xword 0xDEADBEEFDEADBEEF
24985 .xword 0xDEADBEEFDEADBEEF
24986 .xword 0xDEADBEEFDEADBEEF
24987 .xword 0xDEADBEEFDEADBEEF
24988 .xword 0xDEADBEEFDEADBEEF
24989 .xword 0xDEADBEEFDEADBEEF
24990 .xword 0xDEADBEEFDEADBEEF
24991 .xword 0xDEADBEEFDEADBEEF
24992 .xword 0xDEADBEEFDEADBEEF
24993 .xword 0xDEADBEEFDEADBEEF
24994 .xword 0xDEADBEEFDEADBEEF
24995 .xword 0xDEADBEEFDEADBEEF
24996 .xword 0xDEADBEEFDEADBEEF
24997 .xword 0xDEADBEEFDEADBEEF
24998 .xword 0xDEADBEEFDEADBEEF
24999 .xword 0xDEADBEEFDEADBEEF
25000 .xword 0xDEADBEEFDEADBEEF
25001 .xword 0xDEADBEEFDEADBEEF
25002 .xword 0xDEADBEEFDEADBEEF
25003 .xword 0xDEADBEEFDEADBEEF
25004 .xword 0xDEADBEEFDEADBEEF
25005 .xword 0xDEADBEEFDEADBEEF
25006 .xword 0xDEADBEEFDEADBEEF
25007 .xword 0xDEADBEEFDEADBEEF
25008 .xword 0xDEADBEEFDEADBEEF
25009 .xword 0xDEADBEEFDEADBEEF
25010 .xword 0xDEADBEEFDEADBEEF
25011 .xword 0xDEADBEEFDEADBEEF
25012 .xword 0xDEADBEEFDEADBEEF
25013 .xword 0xDEADBEEFDEADBEEF
25014 .xword 0xDEADBEEFDEADBEEF
25015 .xword 0xDEADBEEFDEADBEEF
25016 .xword 0xDEADBEEFDEADBEEF
25017 .xword 0xDEADBEEFDEADBEEF
25018 .xword 0xDEADBEEFDEADBEEF
25019 .xword 0xDEADBEEFDEADBEEF
25020 .xword 0xDEADBEEFDEADBEEF
25021 .xword 0xDEADBEEFDEADBEEF
25022 .xword 0xDEADBEEFDEADBEEF
25023 .xword 0xDEADBEEFDEADBEEF
25024 .xword 0xDEADBEEFDEADBEEF
25025 .xword 0xDEADBEEFDEADBEEF
25026 .xword 0xDEADBEEFDEADBEEF
25027 .xword 0xDEADBEEFDEADBEEF
25028 .xword 0xDEADBEEFDEADBEEF
25029 .xword 0xDEADBEEFDEADBEEF
25030 .xword 0xDEADBEEFDEADBEEF
25031 .xword 0xDEADBEEFDEADBEEF
25032 .xword 0xDEADBEEFDEADBEEF
25033 .xword 0xDEADBEEFDEADBEEF
25034 .xword 0xDEADBEEFDEADBEEF
25035 .xword 0xDEADBEEFDEADBEEF
25036 .xword 0xDEADBEEFDEADBEEF
25037 .xword 0xDEADBEEFDEADBEEF
25038 .xword 0xDEADBEEFDEADBEEF
25039 .xword 0xDEADBEEFDEADBEEF
25040 .xword 0xDEADBEEFDEADBEEF
25041 .xword 0xDEADBEEFDEADBEEF
25042 .xword 0xDEADBEEFDEADBEEF
25043 .xword 0xDEADBEEFDEADBEEF
25044 .xword 0xDEADBEEFDEADBEEF
25045 .xword 0xDEADBEEFDEADBEEF
25046 .xword 0xDEADBEEFDEADBEEF
25047 .xword 0xDEADBEEFDEADBEEF
25048 .xword 0xDEADBEEFDEADBEEF
25049 .xword 0xDEADBEEFDEADBEEF
25050 .xword 0xDEADBEEFDEADBEEF
25051 .xword 0xDEADBEEFDEADBEEF
25052 .xword 0xDEADBEEFDEADBEEF
25053 .xword 0xDEADBEEFDEADBEEF
25054 .xword 0xDEADBEEFDEADBEEF
25055 .xword 0xDEADBEEFDEADBEEF
25056 .xword 0xDEADBEEFDEADBEEF
25057 .xword 0xDEADBEEFDEADBEEF
25058 .xword 0xDEADBEEFDEADBEEF
25059 .xword 0xDEADBEEFDEADBEEF
25060 .xword 0xDEADBEEFDEADBEEF
25061 .xword 0xDEADBEEFDEADBEEF
25062 .xword 0xDEADBEEFDEADBEEF
25063 .xword 0xDEADBEEFDEADBEEF
25064 .xword 0xDEADBEEFDEADBEEF
25065 .xword 0xDEADBEEFDEADBEEF
25066 .xword 0xDEADBEEFDEADBEEF
25067 .xword 0xDEADBEEFDEADBEEF
25068 .xword 0xDEADBEEFDEADBEEF
25069 .xword 0xDEADBEEFDEADBEEF
25070 .xword 0xDEADBEEFDEADBEEF
25071 .xword 0xDEADBEEFDEADBEEF
25072 .xword 0xDEADBEEFDEADBEEF
25073 .xword 0xDEADBEEFDEADBEEF
25074 .xword 0xDEADBEEFDEADBEEF
25075 .xword 0xDEADBEEFDEADBEEF
25076 .xword 0xDEADBEEFDEADBEEF
25077 .xword 0xDEADBEEFDEADBEEF
25078 .xword 0xDEADBEEFDEADBEEF
25079_t1_copy_auth_key:
25080 .xword 0x9902d38ba80b9e34
25081 .xword 0x63c9472565f002a5
25082 .xword 0xbd0273d69e52c1a5
25083 .xword 0xdde009ece0116de8
25084 .xword 0xfbe4d35fdbf10097
25085 .xword 0x255415532b536dab
25086 .xword 0x91bcdf1d03d49aff
25087 .xword 0x4e9db9b8d1a1c1d8
25088 .xword 0x42bbc70c2b62ebc0
25089 .xword 0xb8ae7d5168439cd7
25090 .xword 0x97397e1683eb55e9
25091 .xword 0x640a6414b776c2aa
25092 .xword 0xeeaa7b783bdaeb24
25093 .xword 0x8f777282fc0c40ec
25094 .xword 0xae0dd02571a2c424
25095 .xword 0xaaad2b5781cd7c12
25096 .xword 0x871050e943fd04f6
25097 .xword 0x25f8f9d95f2298fd
25098 .xword 0x8a59b7f5f5c9eea2
25099 .xword 0xad0cad814d75e9c9
25100 .xword 0x91ba8d67ccf36647
25101 .xword 0x58b601697b49be7f
25102 .xword 0xb0d1d95d2b986622
25103_t1_copy_auth_iv:
25104 .xword 0xec4e1a7be666cbba
25105 .xword 0x628b9712ce251724
25106 .xword 0xf6c3abbce02beeac
25107 .xword 0x4d2ef66146a0ecd7
25108 .xword 0x4f0f881431077bb5
25109 .xword 0x38b20f765762a3a9
25110 .xword 0x582e166cd7d2da2d
25111 .xword 0x34df99ac044b10bf
25112 .xword 0x1c43afeef46f80c4
25113 .xword 0xa4f8a59e1a3fa739
25114 .xword 0xd79e3658a36e7952
25115 .xword 0x31b0332b8e0f6098
25116 .xword 0xb3306369094c3774
25117 .xword 0x22a8d7870146c039
25118 .xword 0x68c472bbf530c80c
25119 .xword 0x609b58557102b971
25120 .xword 0xda2175a995aa1f58
25121 .xword 0xa7a3f93ba21d55ea
25122 .xword 0x3a462b2d8c04b4f8
25123 .xword 0x99630ac4ff63ed05
25124 .xword 0xd24b7aca384d6425
25125 .xword 0x3ac2969cea54dc61
25126 .xword 0xf0b9db0d3e059e0d
25127_t1_copy_fas_result:
25128 .xword 0xDEADBEEFDEADBEEF
25129 .xword 0xDEADBEEFDEADBEEF
25130 .xword 0xDEADBEEFDEADBEEF
25131 .xword 0xDEADBEEFDEADBEEF
25132 .xword 0xDEADBEEFDEADBEEF
25133 .xword 0xDEADBEEFDEADBEEF
25134 .xword 0xDEADBEEFDEADBEEF
25135 .xword 0xDEADBEEFDEADBEEF
25136 .xword 0xDEADBEEFDEADBEEF
25137 .xword 0xDEADBEEFDEADBEEF
25138 .xword 0xDEADBEEFDEADBEEF
25139 .xword 0xDEADBEEFDEADBEEF
25140 .xword 0xDEADBEEFDEADBEEF
25141 .xword 0xDEADBEEFDEADBEEF
25142 .xword 0xDEADBEEFDEADBEEF
25143 .xword 0xDEADBEEFDEADBEEF
25144 .xword 0xDEADBEEFDEADBEEF
25145 .xword 0xDEADBEEFDEADBEEF
25146 .xword 0xDEADBEEFDEADBEEF
25147 .xword 0xDEADBEEFDEADBEEF
25148 .xword 0xDEADBEEFDEADBEEF
25149 .xword 0xDEADBEEFDEADBEEF
25150 .xword 0xDEADBEEFDEADBEEF
25151_t1_crc_key_array:
25152 .xword 0x3903630b97f20fda
25153 .xword 0x3dd1101a83ec057e
25154 .xword 0xd52a6f3e17831dcd
25155 .xword 0x5ddf3bd5c2b59218
25156 .xword 0xb9aab309c1f72c28
25157 .xword 0x8f61829b5bac8121
25158 .xword 0x0d5e55ffb9394941
25159 .xword 0x27a78c7564afce55
25160 .xword 0xabd17ca715e818e2
25161 .xword 0x3064562700c6fcfe
25162 .xword 0x03d8b6777eb950df
25163 .xword 0x2a45f1dcf3bfd65a
25164 .xword 0xc1dfdb68473fc2c0
25165 .xword 0xd7caf1dadb20b386
25166 .xword 0xa9513b195f2d44ff
25167 .xword 0xdea217a9cad34d4c
25168 .xword 0xb74f9e7bec355b43
25169 .xword 0xa69060f239bdae69
25170 .xword 0x81640c2b847aa825
25171 .xword 0x095298a4a6b1197f
25172 .xword 0x0731450a89259d89
25173 .xword 0x1c807abfd1a47b40
25174 .xword 0x2d7286b44a5a9e99
25175 .xword 0xac86da1b0cba5f6d
25176 .xword 0xac56ca64c6f23282
25177 .xword 0x7c0a8adb74d12e72
25178 .xword 0x41082d0d9aa762ae
25179 .xword 0xd55ab14a1316862e
25180 .xword 0xa7c626b8c1c26f53
25181 .xword 0x54baf544336445d9
25182 .xword 0xad72a1d8a1d80e6c
25183 .xword 0xc05d6fc5446dab84
25184 .xword 0xbc923d508dfd8336
25185 .xword 0x40dea3591a88339f
25186 .xword 0x826d71af6e168367
25187 .xword 0x3f4b0b9b8e7cdd3c
25188 .xword 0x0a747d1c9da83106
25189 .xword 0x16549623cc668eff
25190 .xword 0xc19eab8296a011cb
25191 .xword 0xad8dc3930149f0a7
25192 .xword 0x89e58a410a9055c5
25193 .xword 0x0fab70c579b3b170
25194 .xword 0x03c097674d29dc6c
25195 .xword 0xaebd3ceed589de2d
25196 .xword 0xe95756513d709cb8
25197 .xword 0xf00407467cc942dd
25198 .xword 0x2c7caa353e6a8282
25199 .xword 0xf2342d2bd40d0849
25200 .xword 0x2945a217edb70ca8
25201 .xword 0x48f1d291b88da793
25202 .xword 0x3cdbe3dd7211b9fa
25203_t1_crc_iv_array:
25204 .xword 0xee91b40ab7bf67ef
25205 .xword 0xfec6abc5824e85e3
25206 .xword 0xf132381be2b951a3
25207 .xword 0x04b1043eac2c7fda
25208 .xword 0x07697bd4049f8770
25209 .xword 0xb317735f1eda4a24
25210 .xword 0x91c2884110a73d94
25211 .xword 0x1576d02be633bd63
25212 .xword 0xa99c99c40643b54b
25213 .xword 0x479c55d50801d5eb
25214 .xword 0x074749b0ae609515
25215 .xword 0xd99e3924c884aaab
25216 .xword 0x57417ecc63d551c5
25217 .xword 0x0de34ba59ef9ec56
25218 .xword 0xbc203a4842e892e8
25219 .xword 0x79ba7e7a1a58e943
25220 .xword 0xa22a83d390ab4ca7
25221 .xword 0x76f83b3c20bd65c1
25222 .xword 0x4ca63372a822c561
25223 .xword 0x9da2af9e6828ce05
25224 .xword 0x19074e7178b01c99
25225 .xword 0xcd2b2763dec8d5ee
25226 .xword 0x786543515ebcef18
25227 .xword 0x8732d7e22eafe609
25228 .xword 0x86c2dacbecb66657
25229 .xword 0xc4b4544b555096ed
25230 .xword 0x510321460fccc34c
25231 .xword 0xc97f56d06e31a0c9
25232 .xword 0x6b894fc3510abbee
25233 .xword 0xc7ec150011b4bc21
25234 .xword 0x11229edf71e9f2ab
25235 .xword 0xe7960b0364900e23
25236 .xword 0x2aca714f40a1a247
25237 .xword 0xe26ce93e6ab071f2
25238 .xword 0x9e90e0600a72ddec
25239 .xword 0x803d6bb2082f48af
25240 .xword 0xef3d8e0de556a8b4
25241 .xword 0x7e11d910b531c483
25242 .xword 0x5904122925492314
25243 .xword 0xfe7395dd55949939
25244 .xword 0x13b10c06450c9be4
25245 .xword 0x93d5bfd103b009d5
25246 .xword 0xe335bc65d27aa043
25247 .xword 0x0ee1885d0f1e56f2
25248 .xword 0x97318671322c5824
25249_t1_crc_alignment_array:
25250 .xword 2
25251 .xword 9
25252 .xword 15
25253 .xword 7
25254 .xword 5
25255 .xword 12
25256 .xword 10
25257 .xword 12
25258 .xword 7
25259 .xword 2
25260 .xword 4
25261 .xword 4
25262 .xword 12
25263 .xword 14
25264 .xword 5
25265 .xword 9
25266 .xword 14
25267 .xword 14
25268 .xword 13
25269 .xword 0
25270 .xword 9
25271 .xword 13
25272 .xword 0
25273 .xword 12
25274 .xword 13
25275 .xword 11
25276 .xword 10
25277 .xword 10
25278 .xword 3
25279 .xword 3
25280 .xword 15
25281 .xword 6
25282 .xword 1
25283 .xword 7
25284 .xword 5
25285 .xword 3
25286 .xword 0
25287 .xword 0
25288 .xword 7
25289 .xword 14
25290 .xword 2
25291 .xword 3
25292 .xword 7
25293 .xword 15
25294 .xword 10
25295 .xword 10
25296 .xword 9
25297 .xword 7
25298 .xword 6
25299 .xword 1
25300 .xword 10
25301 .xword 4
25302 .xword 5
25303 .xword 5
25304 .xword 13
25305 .xword 4
25306 .xword 3
25307 .xword 3
25308 .xword 7
25309 .xword 12
25310 .xword 14
25311 .xword 1
25312 .xword 4
25313 .xword 1
25314 .xword 9
25315 .xword 6
25316 .xword 8
25317 .xword 13
25318 .xword 1
25319 .xword 11
25320 .xword 7
25321 .xword 2
25322 .xword 1
25323 .xword 11
25324 .xword 9
25325 .xword 14
25326 .xword 7
25327 .xword 15
25328 .xword 11
25329 .xword 6
25330 .xword 0
25331 .xword 7
25332 .xword 3
25333 .xword 10
25334 .xword 6
25335 .xword 0
25336 .xword 6
25337 .xword 9
25338 .xword 4
25339 .xword 13
25340 .xword 5
25341 .xword 13
25342 .xword 5
25343 .xword 5
25344 .xword 3
25345 .xword 0
25346 .xword 15
25347 .xword 9
25348 .xword 5
25349 .xword 8
25350 .xword 0
25351 .xword 11
25352 .xword 5
25353 .xword 12
25354 .xword 9
25355_t1_crc_src:
25356 .xword 0x42a069423f492d63
25357 .xword 0x76612ef6fa010018
25358 .xword 0xdbe45e33d5dae9c2
25359 .xword 0xc70257be59895848
25360 .xword 0x0895f75c15fb0d3c
25361 .xword 0x22d1b7f69678ac20
25362 .xword 0x9ee566e02f3637ae
25363 .xword 0x3bfcc53d78291dc1
25364 .xword 0xd5e26d97a2a03c76
25365 .xword 0x3035f252b6e5b1f7
25366 .xword 0x0b67c79dd4e4fbb1
25367 .xword 0x5b6cc8645010df91
25368 .xword 0x225171129a5b1f0b
25369 .xword 0x3ac1987978ced5d6
25370 .xword 0x15eab169834c2946
25371 .xword 0x9f8c790f6cd0e884
25372 .xword 0xa4bbf52c69dd8381
25373 .xword 0xabe8aa1f986b644b
25374 .xword 0xdb9cb7930c7182a8
25375 .xword 0x40e93df39dce7d10
25376 .xword 0xbdc52446963477f1
25377 .xword 0x5b045b8667698be2
25378 .xword 0x216bc977fe06feb2
25379 .xword 0x938e77954b48f10d
25380 .xword 0xc87fc8f6bfb45bcc
25381 .xword 0xd3a2bba08e105652
25382 .xword 0x72e3e05947f2c037
25383 .xword 0xeaa3cf6003b67c1e
25384 .xword 0x18e2cdd42fed482d
25385 .xword 0xf974a5e9f91ca6ba
25386 .xword 0x313e2e1e1dfe0fe5
25387 .xword 0x94cfb80c2abe403e
25388 .xword 0xff88f7afaad020ce
25389 .xword 0x32bcba38d3ef49c7
25390 .xword 0x82ff0c464c798566
25391 .xword 0x693c35e65ff5a8f4
25392 .xword 0x316048806e13568d
25393 .xword 0xd53071f063cf44e1
25394 .xword 0xaa31fdc0ad9621c5
25395 .xword 0x8b8c9613fafaa19a
25396 .xword 0x74534e51e827cd07
25397 .xword 0xd470c9e430c340cd
25398 .xword 0x61d2c0cf40934866
25399 .xword 0xd3cb613d7aebbe42
25400 .xword 0x955c25831c0ddc6f
25401 .xword 0x2b50e314e852ae8f
25402 .xword 0x20de4180cf94c1e8
25403 .xword 0x659aec131120a19d
25404 .xword 0xde523aca67e7bcd2
25405 .xword 0x8ade6ffc00a3fddb
25406 .xword 0x02442e73953219e0
25407 .xword 0x53c7fe816b1d38c8
25408 .xword 0x53f1a6908f009fe9
25409 .xword 0x3434998821e7c7c0
25410 .xword 0x74e9d5f217977778
25411 .xword 0x5c88261b5ed7e4ba
25412 .xword 0x7df106c005500c6b
25413 .xword 0xf44ecc4532c039ff
25414 .xword 0xc97d7017b1ad3909
25415 .xword 0x24d13a8ea0a2714d
25416 .xword 0x46a946b21c08a346
25417 .xword 0x1bb10d059605a38e
25418 .xword 0x95846f971814f487
25419 .xword 0xbe3510a1123b1151
25420 .xword 0x3e6ab858c13019af
25421 .xword 0x5e18565164cfb8b0
25422 .xword 0x9c39499582f8096e
25423 .xword 0xf9b851a36c41f33f
25424 .xword 0x11012918d78ace09
25425 .xword 0xd245c51117e507a3
25426 .xword 0x258235a75a51ce39
25427 .xword 0x3ed52c087f5b56b2
25428 .xword 0xc00179c8d70b2db3
25429 .xword 0x018461b9129bc52d
25430 .xword 0xf9503462b9a9ab24
25431 .xword 0xa8b322bade08e123
25432 .xword 0x19d294c5b01794dd
25433 .xword 0x2e33298768b61109
25434 .xword 0x859a7b195010e5b9
25435 .xword 0xd2d3dfc80052e105
25436 .xword 0x2da4df31758bab29
25437 .xword 0xd083d3bd1a6ba17c
25438 .xword 0x802ff244f81d0620
25439 .xword 0xa16b2c9f3f09c857
25440 .xword 0x6c02b9e1c3748a27
25441 .xword 0xe4b81ae5c15db7ac
25442 .xword 0x475cb0f85a4ff31e
25443 .xword 0x104a147f436580c1
25444 .xword 0xd784212f5155250c
25445 .xword 0x512f82a043bebbca
25446 .xword 0x47bd0b5d7340f7f0
25447 .xword 0x36531abe8b1cab3c
25448 .xword 0x448d9e60a65b4880
25449 .xword 0x3e28ec7cf6c251d8
25450 .xword 0xdfce67c40c62b5de
25451 .xword 0xd79292935dce02c1
25452 .xword 0x1108da9781e448a4
25453 .xword 0xd5e908a291a1dad2
25454 .xword 0x21d6869239a60ff4
25455 .xword 0x820171d1f80e0b1b
25456 .xword 0xa42343c8bdc8c8e0
25457 .xword 0xe63bd50eefbbcc73
25458 .xword 0x3144c0f099c8e99b
25459 .xword 0xa1b3021541295901
25460 .xword 0x3cae1548dba3801b
25461 .xword 0x02a80a4f74e20ef0
25462 .xword 0xe45e550df29670e2
25463 .xword 0x1d898f37a0e9d7a7
25464 .xword 0x03a9d21f6f052531
25465 .xword 0x8321bd9026291ff9
25466 .xword 0x52b7204284658abf
25467 .xword 0x342cc6f3a4afaca6
25468 .xword 0x337f3647c54d10d4
25469 .xword 0x261247a07ae936f3
25470 .xword 0xd5e1cde2a898dcbb
25471 .xword 0x4b657a45faa244b9
25472 .xword 0x8209e7ffd337e24c
25473 .xword 0xb71ce78365a9ab04
25474 .xword 0x28721599202c083b
25475 .xword 0x9393ff4620d86069
25476 .xword 0x84a27e23ff7bc0bc
25477 .xword 0x1afba2d7f2c4e4c6
25478 .xword 0xcb8b37d8cafed1f0
25479 .xword 0xbabb89f73562c510
25480 .xword 0x523caac896cc6d6d
25481 .xword 0x81d91727e543756d
25482 .xword 0xff62abacfd61e571
25483 .xword 0xb77880ee168637ac
25484 .xword 0x3cd2c3546a13c7c3
25485 .xword 0x2df31f34b4fde159
25486 .xword 0x58c8b169c5d3bc1b
25487 .xword 0x83f5edda054cda89
25488 .xword 0x307d22828a1dbd96
25489 .xword 0x32dcaef8a47e873a
25490 .xword 0x78c60f841b5bacaf
25491 .xword 0x8872a0ce3ed51eb8
25492 .xword 0x647aa6be29e568f1
25493 .xword 0x3b5d3bed59894196
25494 .xword 0xc52f9dcf3ea6ef9b
25495 .xword 0x21237152d459604d
25496 .xword 0x14ccc4486e8816d0
25497 .xword 0x6d2ef275fe602f8c
25498 .xword 0x857f886fb09bb5b9
25499 .xword 0x947aef9b2c5861cd
25500 .xword 0x2ffb905a56c80326
25501 .xword 0x26d8c49b75643690
25502 .xword 0x38ead963731c9c21
25503 .xword 0xa0b1ffc676f767a7
25504 .xword 0x3b7e1ab444ab4b16
25505 .xword 0xc65d417b63d67d49
25506 .xword 0x243f28930332926b
25507 .xword 0x619d5097219954d5
25508 .xword 0x03051f6d4bb521d4
25509 .xword 0x998df5928b8874c5
25510 .xword 0xbf3466a6536d973d
25511 .xword 0x147148efcd8ba665
25512 .xword 0xe195b0f858ac4ef7
25513 .xword 0x6f0d244a92853d93
25514 .xword 0x15ffde706899f645
25515 .xword 0x958f5dfc2219dfd8
25516 .xword 0x98caa97666660243
25517 .xword 0x38cd3caeaae45070
25518 .xword 0xb4c8fb077bb9e713
25519 .xword 0x03d11cbefccc8094
25520 .xword 0x70e9e89a7d32bf2e
25521 .xword 0x03e2dbb3a3e27678
25522 .xword 0xf6a7522abb32ed93
25523 .xword 0x54661a853e1405a0
25524 .xword 0xea01c24cfca6761d
25525 .xword 0x130c007752f55f8f
25526 .xword 0x904d18b273f831ea
25527 .xword 0xd153b375494ebe9f
25528 .xword 0x5e835cea8b27f7db
25529 .xword 0xbab698462bb27d53
25530 .xword 0x988ae43b194491fd
25531 .xword 0x7592682fcdb8b4fe
25532 .xword 0x66dc1a391b4b55b2
25533 .xword 0xb21c320d18d67e5b
25534 .xword 0x66e572974ad3b238
25535 .xword 0x7386c33bcbd5a2f4
25536 .xword 0xdca8f31b686f2436
25537 .xword 0x562d66d22f4ce7ce
25538 .xword 0x4e96c31b273b2df6
25539 .xword 0x2fd01e663bcc250e
25540 .xword 0x828938c2429bffb6
25541 .xword 0x65164a4c175ede09
25542 .xword 0x85c6a21be38f5740
25543 .xword 0xd88d4f7940795013
25544 .xword 0xe2c8c2ef178b0d8c
25545 .xword 0x0375f9c0319b500f
25546 .xword 0x2c195cef822dc913
25547 .xword 0x0b246239cbdeb4fd
25548 .xword 0xe175f9865a59f698
25549 .xword 0x78a43b157251c54f
25550 .xword 0xe095d9f0133ac293
25551 .xword 0x002adb03b19b0a93
25552 .xword 0x07ca0f1ec908729e
25553 .xword 0xf88d4f34f7fa9e08
25554 .xword 0xcc387bec9017494d
25555 .xword 0x886f7242fba908f8
25556 .xword 0x99bf440404929602
25557 .xword 0x9535157103a32811
25558 .xword 0xe98db981cca135c8
25559 .xword 0xfb824e085b6f3bd5
25560 .xword 0x7610a7b0048e8579
25561 .xword 0x670aa97275ee656d
25562 .xword 0xcb426fa95d89b753
25563 .xword 0xf1b42888a1a5ced3
25564 .xword 0xa2cdcd3db7640e4f
25565 .xword 0x81403539d5ed85ea
25566 .xword 0x5aa62ac3d1fdeeed
25567 .xword 0x78d475e4df2c7c7f
25568 .xword 0xb8dad81fcfedc6fb
25569 .xword 0x8a75897fd1e6e08e
25570 .xword 0xade7edba01185a4d
25571 .xword 0x932da0b559ac9825
25572 .xword 0x183ae1dab2cf3b34
25573 .xword 0x7e71d02f544b97c0
25574 .xword 0xf57c36cda512beed
25575 .xword 0x1dd8986e42b7449b
25576 .xword 0xb14ae3484724ecc2
25577 .xword 0x71980b1d0dbbd517
25578 .xword 0x5695d4c9d9fa5cdc
25579 .xword 0x7a9b71644ef09585
25580 .xword 0x228536af7342400c
25581 .xword 0xe36fc80fe01f4403
25582 .xword 0xa5171638483eb669
25583 .xword 0x1b239ac33390c8f6
25584 .xword 0x1eba00a9bc99532c
25585 .xword 0x97876393046ee938
25586 .xword 0xa71d7331d416f9fb
25587 .xword 0x67e57d981f3920f5
25588 .xword 0xa4e9b6ff2da34e37
25589 .xword 0xcb77b1cd154298de
25590 .xword 0x8e2722868b3b3bfd
25591 .xword 0x1fa3274652090ebb
25592 .xword 0x4cfddc8e32654832
25593 .xword 0xf1f2c2c28e110ab8
25594 .xword 0x4e2f1ff2a46e506d
25595 .xword 0x17b24e0aea2ffb90
25596 .xword 0xb01495da3376cf13
25597 .xword 0xe38295fe3ae4d603
25598 .xword 0xfab5ff8727a1aa06
25599 .xword 0x904b44bae39370a6
25600 .xword 0x7f259039888b27ec
25601 .xword 0xe1c4d9ca8b8f9b73
25602 .xword 0xf3813ca4b883a65b
25603 .xword 0x4390baba2053d456
25604 .xword 0x41c57f648fbf0bc1
25605 .xword 0xaf64e758281e4341
25606 .xword 0x1c48f956bb85a229
25607 .xword 0xf359573a06e4a947
25608 .xword 0x54e927a545e10311
25609 .xword 0x97a4c643d7d1e0ce
25610 .xword 0x89a70fea1771682a
25611 .xword 0xb540bafb6cf4b2fa
25612 .xword 0xe564bd218a3e4d12
25613 .xword 0xb654b6f8f2e6abf7
25614 .xword 0xf5112e2a2b0b29f5
25615 .xword 0xba8c60d0e9353820
25616 .xword 0x49218b0c5598f444
25617 .xword 0x36337dceaa33d894
25618 .xword 0x60f9cb93ab4c958e
25619 .xword 0x85fbf934dd1026f2
25620 .xword 0x9beb90820f2d20ec
25621 .xword 0xdba201e62ed40d1e
25622 .xword 0x71b327c53c31318f
25623 .xword 0xe204eb796f7cd336
25624 .xword 0x527cb7b3fc3589ab
25625 .xword 0x03bdeebae1ae417c
25626 .xword 0xeee7716c07ceb6d4
25627 .xword 0xc76bc8005efc4b7b
25628 .xword 0x206dd1bfd0f41fa5
25629 .xword 0x94cac38c664cc0cd
25630 .xword 0x8ec2fb59e2f5290f
25631_t1_crc_dest:
25632 .xword 0xDEADBEEFDEADBEEF
25633 .xword 0xDEADBEEFDEADBEEF
25634 .xword 0xDEADBEEFDEADBEEF
25635 .xword 0xDEADBEEFDEADBEEF
25636 .xword 0xDEADBEEFDEADBEEF
25637 .xword 0xDEADBEEFDEADBEEF
25638 .xword 0xDEADBEEFDEADBEEF
25639 .xword 0xDEADBEEFDEADBEEF
25640 .xword 0xDEADBEEFDEADBEEF
25641 .xword 0xDEADBEEFDEADBEEF
25642 .xword 0xDEADBEEFDEADBEEF
25643 .xword 0xDEADBEEFDEADBEEF
25644 .xword 0xDEADBEEFDEADBEEF
25645 .xword 0xDEADBEEFDEADBEEF
25646 .xword 0xDEADBEEFDEADBEEF
25647 .xword 0xDEADBEEFDEADBEEF
25648 .xword 0xDEADBEEFDEADBEEF
25649 .xword 0xDEADBEEFDEADBEEF
25650 .xword 0xDEADBEEFDEADBEEF
25651 .xword 0xDEADBEEFDEADBEEF
25652 .xword 0xDEADBEEFDEADBEEF
25653 .xword 0xDEADBEEFDEADBEEF
25654 .xword 0xDEADBEEFDEADBEEF
25655 .xword 0xDEADBEEFDEADBEEF
25656 .xword 0xDEADBEEFDEADBEEF
25657 .xword 0xDEADBEEFDEADBEEF
25658 .xword 0xDEADBEEFDEADBEEF
25659 .xword 0xDEADBEEFDEADBEEF
25660 .xword 0xDEADBEEFDEADBEEF
25661 .xword 0xDEADBEEFDEADBEEF
25662 .xword 0xDEADBEEFDEADBEEF
25663 .xword 0xDEADBEEFDEADBEEF
25664 .xword 0xDEADBEEFDEADBEEF
25665 .xword 0xDEADBEEFDEADBEEF
25666 .xword 0xDEADBEEFDEADBEEF
25667 .xword 0xDEADBEEFDEADBEEF
25668 .xword 0xDEADBEEFDEADBEEF
25669 .xword 0xDEADBEEFDEADBEEF
25670 .xword 0xDEADBEEFDEADBEEF
25671 .xword 0xDEADBEEFDEADBEEF
25672 .xword 0xDEADBEEFDEADBEEF
25673 .xword 0xDEADBEEFDEADBEEF
25674 .xword 0xDEADBEEFDEADBEEF
25675 .xword 0xDEADBEEFDEADBEEF
25676 .xword 0xDEADBEEFDEADBEEF
25677 .xword 0xDEADBEEFDEADBEEF
25678 .xword 0xDEADBEEFDEADBEEF
25679 .xword 0xDEADBEEFDEADBEEF
25680 .xword 0xDEADBEEFDEADBEEF
25681 .xword 0xDEADBEEFDEADBEEF
25682 .xword 0xDEADBEEFDEADBEEF
25683 .xword 0xDEADBEEFDEADBEEF
25684 .xword 0xDEADBEEFDEADBEEF
25685 .xword 0xDEADBEEFDEADBEEF
25686 .xword 0xDEADBEEFDEADBEEF
25687 .xword 0xDEADBEEFDEADBEEF
25688 .xword 0xDEADBEEFDEADBEEF
25689 .xword 0xDEADBEEFDEADBEEF
25690 .xword 0xDEADBEEFDEADBEEF
25691 .xword 0xDEADBEEFDEADBEEF
25692 .xword 0xDEADBEEFDEADBEEF
25693 .xword 0xDEADBEEFDEADBEEF
25694 .xword 0xDEADBEEFDEADBEEF
25695 .xword 0xDEADBEEFDEADBEEF
25696 .xword 0xDEADBEEFDEADBEEF
25697 .xword 0xDEADBEEFDEADBEEF
25698 .xword 0xDEADBEEFDEADBEEF
25699 .xword 0xDEADBEEFDEADBEEF
25700 .xword 0xDEADBEEFDEADBEEF
25701 .xword 0xDEADBEEFDEADBEEF
25702 .xword 0xDEADBEEFDEADBEEF
25703 .xword 0xDEADBEEFDEADBEEF
25704 .xword 0xDEADBEEFDEADBEEF
25705 .xword 0xDEADBEEFDEADBEEF
25706 .xword 0xDEADBEEFDEADBEEF
25707 .xword 0xDEADBEEFDEADBEEF
25708 .xword 0xDEADBEEFDEADBEEF
25709 .xword 0xDEADBEEFDEADBEEF
25710 .xword 0xDEADBEEFDEADBEEF
25711 .xword 0xDEADBEEFDEADBEEF
25712 .xword 0xDEADBEEFDEADBEEF
25713 .xword 0xDEADBEEFDEADBEEF
25714 .xword 0xDEADBEEFDEADBEEF
25715 .xword 0xDEADBEEFDEADBEEF
25716 .xword 0xDEADBEEFDEADBEEF
25717 .xword 0xDEADBEEFDEADBEEF
25718 .xword 0xDEADBEEFDEADBEEF
25719 .xword 0xDEADBEEFDEADBEEF
25720 .xword 0xDEADBEEFDEADBEEF
25721 .xword 0xDEADBEEFDEADBEEF
25722 .xword 0xDEADBEEFDEADBEEF
25723 .xword 0xDEADBEEFDEADBEEF
25724 .xword 0xDEADBEEFDEADBEEF
25725 .xword 0xDEADBEEFDEADBEEF
25726 .xword 0xDEADBEEFDEADBEEF
25727 .xword 0xDEADBEEFDEADBEEF
25728 .xword 0xDEADBEEFDEADBEEF
25729 .xword 0xDEADBEEFDEADBEEF
25730 .xword 0xDEADBEEFDEADBEEF
25731 .xword 0xDEADBEEFDEADBEEF
25732 .xword 0xDEADBEEFDEADBEEF
25733 .xword 0xDEADBEEFDEADBEEF
25734 .xword 0xDEADBEEFDEADBEEF
25735 .xword 0xDEADBEEFDEADBEEF
25736 .xword 0xDEADBEEFDEADBEEF
25737 .xword 0xDEADBEEFDEADBEEF
25738 .xword 0xDEADBEEFDEADBEEF
25739 .xword 0xDEADBEEFDEADBEEF
25740 .xword 0xDEADBEEFDEADBEEF
25741 .xword 0xDEADBEEFDEADBEEF
25742 .xword 0xDEADBEEFDEADBEEF
25743 .xword 0xDEADBEEFDEADBEEF
25744 .xword 0xDEADBEEFDEADBEEF
25745 .xword 0xDEADBEEFDEADBEEF
25746 .xword 0xDEADBEEFDEADBEEF
25747 .xword 0xDEADBEEFDEADBEEF
25748 .xword 0xDEADBEEFDEADBEEF
25749 .xword 0xDEADBEEFDEADBEEF
25750 .xword 0xDEADBEEFDEADBEEF
25751 .xword 0xDEADBEEFDEADBEEF
25752 .xword 0xDEADBEEFDEADBEEF
25753 .xword 0xDEADBEEFDEADBEEF
25754 .xword 0xDEADBEEFDEADBEEF
25755 .xword 0xDEADBEEFDEADBEEF
25756 .xword 0xDEADBEEFDEADBEEF
25757 .xword 0xDEADBEEFDEADBEEF
25758 .xword 0xDEADBEEFDEADBEEF
25759 .xword 0xDEADBEEFDEADBEEF
25760 .xword 0xDEADBEEFDEADBEEF
25761 .xword 0xDEADBEEFDEADBEEF
25762 .xword 0xDEADBEEFDEADBEEF
25763 .xword 0xDEADBEEFDEADBEEF
25764 .xword 0xDEADBEEFDEADBEEF
25765 .xword 0xDEADBEEFDEADBEEF
25766 .xword 0xDEADBEEFDEADBEEF
25767 .xword 0xDEADBEEFDEADBEEF
25768 .xword 0xDEADBEEFDEADBEEF
25769 .xword 0xDEADBEEFDEADBEEF
25770 .xword 0xDEADBEEFDEADBEEF
25771 .xword 0xDEADBEEFDEADBEEF
25772 .xword 0xDEADBEEFDEADBEEF
25773 .xword 0xDEADBEEFDEADBEEF
25774 .xword 0xDEADBEEFDEADBEEF
25775 .xword 0xDEADBEEFDEADBEEF
25776 .xword 0xDEADBEEFDEADBEEF
25777 .xword 0xDEADBEEFDEADBEEF
25778 .xword 0xDEADBEEFDEADBEEF
25779 .xword 0xDEADBEEFDEADBEEF
25780 .xword 0xDEADBEEFDEADBEEF
25781 .xword 0xDEADBEEFDEADBEEF
25782 .xword 0xDEADBEEFDEADBEEF
25783 .xword 0xDEADBEEFDEADBEEF
25784 .xword 0xDEADBEEFDEADBEEF
25785 .xword 0xDEADBEEFDEADBEEF
25786 .xword 0xDEADBEEFDEADBEEF
25787 .xword 0xDEADBEEFDEADBEEF
25788 .xword 0xDEADBEEFDEADBEEF
25789 .xword 0xDEADBEEFDEADBEEF
25790 .xword 0xDEADBEEFDEADBEEF
25791 .xword 0xDEADBEEFDEADBEEF
25792 .xword 0xDEADBEEFDEADBEEF
25793 .xword 0xDEADBEEFDEADBEEF
25794 .xword 0xDEADBEEFDEADBEEF
25795 .xword 0xDEADBEEFDEADBEEF
25796 .xword 0xDEADBEEFDEADBEEF
25797 .xword 0xDEADBEEFDEADBEEF
25798 .xword 0xDEADBEEFDEADBEEF
25799 .xword 0xDEADBEEFDEADBEEF
25800 .xword 0xDEADBEEFDEADBEEF
25801 .xword 0xDEADBEEFDEADBEEF
25802 .xword 0xDEADBEEFDEADBEEF
25803 .xword 0xDEADBEEFDEADBEEF
25804 .xword 0xDEADBEEFDEADBEEF
25805 .xword 0xDEADBEEFDEADBEEF
25806 .xword 0xDEADBEEFDEADBEEF
25807 .xword 0xDEADBEEFDEADBEEF
25808 .xword 0xDEADBEEFDEADBEEF
25809 .xword 0xDEADBEEFDEADBEEF
25810 .xword 0xDEADBEEFDEADBEEF
25811 .xword 0xDEADBEEFDEADBEEF
25812 .xword 0xDEADBEEFDEADBEEF
25813 .xword 0xDEADBEEFDEADBEEF
25814 .xword 0xDEADBEEFDEADBEEF
25815 .xword 0xDEADBEEFDEADBEEF
25816 .xword 0xDEADBEEFDEADBEEF
25817 .xword 0xDEADBEEFDEADBEEF
25818 .xword 0xDEADBEEFDEADBEEF
25819 .xword 0xDEADBEEFDEADBEEF
25820 .xword 0xDEADBEEFDEADBEEF
25821 .xword 0xDEADBEEFDEADBEEF
25822 .xword 0xDEADBEEFDEADBEEF
25823 .xword 0xDEADBEEFDEADBEEF
25824 .xword 0xDEADBEEFDEADBEEF
25825 .xword 0xDEADBEEFDEADBEEF
25826 .xword 0xDEADBEEFDEADBEEF
25827 .xword 0xDEADBEEFDEADBEEF
25828 .xword 0xDEADBEEFDEADBEEF
25829 .xword 0xDEADBEEFDEADBEEF
25830 .xword 0xDEADBEEFDEADBEEF
25831 .xword 0xDEADBEEFDEADBEEF
25832 .xword 0xDEADBEEFDEADBEEF
25833 .xword 0xDEADBEEFDEADBEEF
25834 .xword 0xDEADBEEFDEADBEEF
25835 .xword 0xDEADBEEFDEADBEEF
25836 .xword 0xDEADBEEFDEADBEEF
25837 .xword 0xDEADBEEFDEADBEEF
25838 .xword 0xDEADBEEFDEADBEEF
25839 .xword 0xDEADBEEFDEADBEEF
25840 .xword 0xDEADBEEFDEADBEEF
25841 .xword 0xDEADBEEFDEADBEEF
25842 .xword 0xDEADBEEFDEADBEEF
25843 .xword 0xDEADBEEFDEADBEEF
25844 .xword 0xDEADBEEFDEADBEEF
25845 .xword 0xDEADBEEFDEADBEEF
25846 .xword 0xDEADBEEFDEADBEEF
25847 .xword 0xDEADBEEFDEADBEEF
25848 .xword 0xDEADBEEFDEADBEEF
25849 .xword 0xDEADBEEFDEADBEEF
25850 .xword 0xDEADBEEFDEADBEEF
25851 .xword 0xDEADBEEFDEADBEEF
25852 .xword 0xDEADBEEFDEADBEEF
25853 .xword 0xDEADBEEFDEADBEEF
25854 .xword 0xDEADBEEFDEADBEEF
25855 .xword 0xDEADBEEFDEADBEEF
25856 .xword 0xDEADBEEFDEADBEEF
25857 .xword 0xDEADBEEFDEADBEEF
25858 .xword 0xDEADBEEFDEADBEEF
25859 .xword 0xDEADBEEFDEADBEEF
25860 .xword 0xDEADBEEFDEADBEEF
25861 .xword 0xDEADBEEFDEADBEEF
25862 .xword 0xDEADBEEFDEADBEEF
25863 .xword 0xDEADBEEFDEADBEEF
25864 .xword 0xDEADBEEFDEADBEEF
25865 .xword 0xDEADBEEFDEADBEEF
25866 .xword 0xDEADBEEFDEADBEEF
25867 .xword 0xDEADBEEFDEADBEEF
25868 .xword 0xDEADBEEFDEADBEEF
25869 .xword 0xDEADBEEFDEADBEEF
25870 .xword 0xDEADBEEFDEADBEEF
25871 .xword 0xDEADBEEFDEADBEEF
25872 .xword 0xDEADBEEFDEADBEEF
25873 .xword 0xDEADBEEFDEADBEEF
25874 .xword 0xDEADBEEFDEADBEEF
25875 .xword 0xDEADBEEFDEADBEEF
25876 .xword 0xDEADBEEFDEADBEEF
25877 .xword 0xDEADBEEFDEADBEEF
25878 .xword 0xDEADBEEFDEADBEEF
25879 .xword 0xDEADBEEFDEADBEEF
25880 .xword 0xDEADBEEFDEADBEEF
25881 .xword 0xDEADBEEFDEADBEEF
25882 .xword 0xDEADBEEFDEADBEEF
25883 .xword 0xDEADBEEFDEADBEEF
25884 .xword 0xDEADBEEFDEADBEEF
25885 .xword 0xDEADBEEFDEADBEEF
25886 .xword 0xDEADBEEFDEADBEEF
25887 .xword 0xDEADBEEFDEADBEEF
25888 .xword 0xDEADBEEFDEADBEEF
25889 .xword 0xDEADBEEFDEADBEEF
25890 .xword 0xDEADBEEFDEADBEEF
25891 .xword 0xDEADBEEFDEADBEEF
25892 .xword 0xDEADBEEFDEADBEEF
25893 .xword 0xDEADBEEFDEADBEEF
25894 .xword 0xDEADBEEFDEADBEEF
25895 .xword 0xDEADBEEFDEADBEEF
25896 .xword 0xDEADBEEFDEADBEEF
25897 .xword 0xDEADBEEFDEADBEEF
25898 .xword 0xDEADBEEFDEADBEEF
25899 .xword 0xDEADBEEFDEADBEEF
25900 .xword 0xDEADBEEFDEADBEEF
25901 .xword 0xDEADBEEFDEADBEEF
25902 .xword 0xDEADBEEFDEADBEEF
25903 .xword 0xDEADBEEFDEADBEEF
25904 .xword 0xDEADBEEFDEADBEEF
25905 .xword 0xDEADBEEFDEADBEEF
25906 .xword 0xDEADBEEFDEADBEEF
25907_t1_crc_auth_key:
25908 .xword 0xfd7501d127e5e331
25909 .xword 0xf71a5a9c2b787557
25910 .xword 0x288a623f2290c395
25911 .xword 0xf192df926d7f9532
25912 .xword 0xcd59e3eaa7f6aff2
25913 .xword 0x629d2c85b1697f98
25914 .xword 0x5b7bcecd25bc3ea2
25915 .xword 0x2a3c3999db5649b0
25916 .xword 0x88b1a78641294892
25917 .xword 0x3ef1c446c85c4a2a
25918 .xword 0x79a23722c3e532de
25919 .xword 0x16e8eab6834bf930
25920 .xword 0x35a952168091ce48
25921 .xword 0x0e4fc83f1bc141fb
25922 .xword 0xfc0241846af7de49
25923 .xword 0xb34dc30b40a5bd99
25924 .xword 0xe2351049ffca9343
25925 .xword 0xeeb4989e22692359
25926 .xword 0x6436d1ab1e33ee7a
25927 .xword 0x0833f36a8deecbd3
25928 .xword 0x4db65e1ef6dc164d
25929 .xword 0x5b9a070148ca3c1c
25930 .xword 0x80dfca9c3ea32756
25931_t1_crc_auth_iv:
25932 .xword 0x0b6855e8942a7793
25933 .xword 0x4ea758e4197500f2
25934 .xword 0x7625611751c81c3d
25935 .xword 0x5de445494b1379e9
25936 .xword 0xc8defcb208c943de
25937 .xword 0x7c8bbd696d72afe1
25938 .xword 0x6f5446f1f7dae5d2
25939 .xword 0x86f81a406a90c025
25940 .xword 0xfe68b6eae2af988f
25941 .xword 0x09b27bdba5cccbe9
25942 .xword 0x8f0371d6ef70be29
25943 .xword 0x0d0a204243086d9b
25944 .xword 0x7bcd3874afb8484b
25945 .xword 0x4cd7dd69096ba0b4
25946 .xword 0xcd70b04ee6a88668
25947 .xword 0x1fd0572c39b2b40a
25948 .xword 0x81ee4eeec1e0413e
25949 .xword 0xc44c4a8676006630
25950 .xword 0x56c27506615710ca
25951 .xword 0xdf3b8dc4b9d6f6c9
25952 .xword 0x7157d6a1a8502921
25953 .xword 0x474dda172d3233b2
25954 .xword 0xbfd32e7007a65a9d
25955_t1_crc_fas_result:
25956 .xword 0xDEADBEEFDEADBEEF
25957 .xword 0xDEADBEEFDEADBEEF
25958 .xword 0xDEADBEEFDEADBEEF
25959 .xword 0xDEADBEEFDEADBEEF
25960 .xword 0xDEADBEEFDEADBEEF
25961 .xword 0xDEADBEEFDEADBEEF
25962 .xword 0xDEADBEEFDEADBEEF
25963 .xword 0xDEADBEEFDEADBEEF
25964 .xword 0xDEADBEEFDEADBEEF
25965 .xword 0xDEADBEEFDEADBEEF
25966 .xword 0xDEADBEEFDEADBEEF
25967 .xword 0xDEADBEEFDEADBEEF
25968 .xword 0xDEADBEEFDEADBEEF
25969 .xword 0xDEADBEEFDEADBEEF
25970 .xword 0xDEADBEEFDEADBEEF
25971 .xword 0xDEADBEEFDEADBEEF
25972 .xword 0xDEADBEEFDEADBEEF
25973 .xword 0xDEADBEEFDEADBEEF
25974 .xword 0xDEADBEEFDEADBEEF
25975 .xword 0xDEADBEEFDEADBEEF
25976 .xword 0xDEADBEEFDEADBEEF
25977 .xword 0xDEADBEEFDEADBEEF
25978 .xword 0xDEADBEEFDEADBEEF
25979_t1_hash_key_array:
25980 .xword 0xda76fe20d6aeafe7
25981 .xword 0xe7d2cf81807dce4a
25982 .xword 0x448cd42958d12542
25983 .xword 0x61bcdb415163cbbd
25984 .xword 0xdda3be99fe063a97
25985 .xword 0xe89fbaf7df6aaaf9
25986 .xword 0x2850764cca9aae3c
25987 .xword 0xdda6823142641512
25988 .xword 0x1e43561894181590
25989 .xword 0x90e749a817dd6983
25990 .xword 0xfef7e25e612957bf
25991 .xword 0x66a8b2915e8e1ba2
25992 .xword 0xfe5ff6ce45253f51
25993 .xword 0xaf0e18e9dc8f0de2
25994 .xword 0x9270cbfe63fbe275
25995 .xword 0x5b72689d92468a61
25996 .xword 0xbc3e22a45b6f4c60
25997 .xword 0xc9c1e713e03cd758
25998 .xword 0x1a67db0b8ff40623
25999 .xword 0x281582ea6efba30c
26000 .xword 0x5ec7ba01da5887fe
26001 .xword 0x99b524db5e274f89
26002 .xword 0x460f30ac4fbd6bb5
26003 .xword 0xb4e70adbe0d8db4f
26004 .xword 0x5fd86d528ccc8a3a
26005 .xword 0x4561dae6ceb3fe1c
26006 .xword 0xdb9cb44eb14f2e31
26007 .xword 0x3661a97070dca97e
26008 .xword 0xf3e63de4f3127176
26009 .xword 0x76e8504e9b9cf9f2
26010 .xword 0x25bfc20a2a445445
26011 .xword 0xc621604bbe9b563a
26012 .xword 0x7d0091d5541b527f
26013 .xword 0xb7370f1a6f60de44
26014 .xword 0x95531ad694dbd5ea
26015 .xword 0x393c28daabd111c3
26016 .xword 0x68fa722d6ddd105c
26017 .xword 0x0a063d77186afb3d
26018 .xword 0x6a0435895b709448
26019 .xword 0xf90566e9560bd9b2
26020 .xword 0xaef7fe002477ed7f
26021 .xword 0xc7ac507a2dd9e240
26022 .xword 0xf832ae6a7940f2bc
26023 .xword 0xb8b8704b8bf419e5
26024 .xword 0x3f6cde7f0fcaae19
26025 .xword 0xe1835b21efa0fc82
26026 .xword 0x55b307f2373af7c5
26027 .xword 0xc63bb669a37189c2
26028 .xword 0xdc73f3ce37dcf839
26029 .xword 0x6aba86f11d7f0625
26030 .xword 0xe2f90557657021d2
26031_t1_hash_iv_array:
26032 .xword 0xb4b34bb3ce1298f7
26033 .xword 0x066ff36c5acc028c
26034 .xword 0xc8c9a18de01ab2d9
26035 .xword 0x44643be4e24890ef
26036 .xword 0xe59b8dfa3ddf196a
26037 .xword 0x863fa3bbe68efc10
26038 .xword 0x883a3068f253970f
26039 .xword 0x74d91ba4b0abc0e2
26040 .xword 0x47b567c0b100a001
26041 .xword 0x14bca9b10ce2bb11
26042 .xword 0x8a1ca075d0b4ce16
26043 .xword 0xc99b227d5ccf8d17
26044 .xword 0x72d2bdb800f31890
26045 .xword 0x4ab84a40dfe9eca4
26046 .xword 0x034892316984cc71
26047 .xword 0xbfb1654245ad5029
26048 .xword 0xb79bf13b539751fc
26049 .xword 0x59ac2a0a5914d1fe
26050 .xword 0x19f9e924dba9b81f
26051 .xword 0x0cc9303cef788479
26052 .xword 0x433b7e908966aa79
26053 .xword 0xb32139529ab331bb
26054 .xword 0x8ff20a91fbb8c766
26055 .xword 0xce0f8a3b0c6da461
26056 .xword 0x7a3f0d0563b07146
26057 .xword 0xd0e13800808c3a2a
26058 .xword 0x686564804ff02f7c
26059 .xword 0x5541b0eafc360078
26060 .xword 0x8da9d841dd89bce3
26061 .xword 0x7f78a2e51269bfff
26062 .xword 0x75cd03774f9d465c
26063 .xword 0x04fa139e11fcaa63
26064 .xword 0x155ac0fafd030893
26065 .xword 0x49380ea395abd2b2
26066 .xword 0xd0db6baf5a1c9f25
26067 .xword 0x52b9c4d219a6241c
26068 .xword 0xb826201b5488c052
26069 .xword 0xeac549dc458ed1b9
26070 .xword 0xde706364c39e4097
26071 .xword 0x718f31ef47e7ae93
26072 .xword 0x9ceddf66bf1cee40
26073 .xword 0x6f4f78e367ed41b6
26074 .xword 0x3a5bc8d02483abb5
26075 .xword 0xe9f51537a477272a
26076 .xword 0x1a3cca76e1878d19
26077_t1_hash_alignment_array:
26078 .xword 1
26079 .xword 0
26080 .xword 4
26081 .xword 1
26082 .xword 1
26083 .xword 5
26084 .xword 14
26085 .xword 0
26086 .xword 6
26087 .xword 5
26088 .xword 6
26089 .xword 6
26090 .xword 3
26091 .xword 8
26092 .xword 14
26093 .xword 13
26094 .xword 5
26095 .xword 12
26096 .xword 0
26097 .xword 0
26098 .xword 1
26099 .xword 4
26100 .xword 1
26101 .xword 2
26102 .xword 9
26103 .xword 6
26104 .xword 1
26105 .xword 4
26106 .xword 15
26107 .xword 3
26108 .xword 2
26109 .xword 3
26110 .xword 15
26111 .xword 2
26112 .xword 1
26113 .xword 4
26114 .xword 2
26115 .xword 12
26116 .xword 3
26117 .xword 14
26118 .xword 5
26119 .xword 11
26120 .xword 3
26121 .xword 0
26122 .xword 12
26123 .xword 11
26124 .xword 5
26125 .xword 8
26126 .xword 14
26127 .xword 3
26128 .xword 14
26129 .xword 6
26130 .xword 14
26131 .xword 5
26132 .xword 5
26133 .xword 12
26134 .xword 9
26135 .xword 14
26136 .xword 5
26137 .xword 10
26138 .xword 9
26139 .xword 12
26140 .xword 4
26141 .xword 6
26142 .xword 15
26143 .xword 13
26144 .xword 4
26145 .xword 3
26146 .xword 4
26147 .xword 14
26148 .xword 14
26149 .xword 15
26150 .xword 8
26151 .xword 9
26152 .xword 8
26153 .xword 3
26154 .xword 13
26155 .xword 11
26156 .xword 9
26157 .xword 9
26158 .xword 1
26159 .xword 2
26160 .xword 10
26161 .xword 13
26162 .xword 13
26163 .xword 6
26164 .xword 0
26165 .xword 8
26166 .xword 13
26167 .xword 4
26168 .xword 3
26169 .xword 14
26170 .xword 8
26171 .xword 6
26172 .xword 0
26173 .xword 6
26174 .xword 2
26175 .xword 0
26176 .xword 0
26177 .xword 1
26178 .xword 6
26179 .xword 2
26180 .xword 3
26181 .xword 14
26182 .xword 13
26183_t1_hash_src:
26184 .xword 0x413da8666cae741d
26185 .xword 0x99d75b0ec49d0b71
26186 .xword 0x26ec5addb2993b35
26187 .xword 0xaf7839b72c4e02c6
26188 .xword 0x818be4fdbb0666ac
26189 .xword 0xdca92f6925ca350c
26190 .xword 0x906c2844c6af13e2
26191 .xword 0xe4751f8f2d0a9b61
26192 .xword 0x3f13a61cc00cb397
26193 .xword 0x2de2a372f0cb4af8
26194 .xword 0x6dccd3515de3e9f4
26195 .xword 0x939c6086854ee216
26196 .xword 0x81a0c2b616645dd7
26197 .xword 0xe01930d3de145d05
26198 .xword 0x9e66807ebdd8ec7f
26199 .xword 0xef6ce94309301585
26200 .xword 0xdfd287c5f6f3b7fe
26201 .xword 0xd616df9e6936396f
26202 .xword 0xe7be3fc0eab8b1a9
26203 .xword 0x6e0f0ceb9801ed46
26204 .xword 0xb3e068486fae37e7
26205 .xword 0x2f11cf114058b85c
26206 .xword 0x74156b4d94b83a83
26207 .xword 0x529257e5af042b60
26208 .xword 0x405dbc0c543605c2
26209 .xword 0x1871e432ea38ffaa
26210 .xword 0x378b6a0a54f63d4a
26211 .xword 0x5c3e7338c8ddbfd6
26212 .xword 0x00d0936216bf8672
26213 .xword 0x89f2ec8bd9b8d99d
26214 .xword 0x8c124effb11d24da
26215 .xword 0x65c92b2a72816529
26216 .xword 0x790eb4b7ced8868f
26217 .xword 0xe5e8f0783f92e93b
26218 .xword 0x96057ece5fffa9b6
26219 .xword 0x40e5cd940d75fb27
26220 .xword 0x9bc03e16ca906bd4
26221 .xword 0x051a13ad78dc4bd9
26222 .xword 0xd73c04e10b78df69
26223 .xword 0xcec5a5eae8dcceb0
26224 .xword 0xb6315ce3b6459367
26225 .xword 0x1e05c0640b5115dd
26226 .xword 0x92bdacf0d7a0b851
26227 .xword 0xf04e9593fa032f74
26228 .xword 0xfda63da9b2632cfb
26229 .xword 0x6823dcd14ab9e30e
26230 .xword 0xc2069b97288ec636
26231 .xword 0x91e6f0decba8abd3
26232 .xword 0xbd7df077dee6aa3f
26233 .xword 0x2b26f0ee774b6c04
26234 .xword 0xc105e6164d209d16
26235 .xword 0xceb5ec464af01da8
26236 .xword 0xa55b34e32b25f58f
26237 .xword 0x28993a4259e73002
26238 .xword 0x855c9f15fd72cbb9
26239 .xword 0xfc7b39060281d08c
26240 .xword 0x068f96aa4be75af3
26241 .xword 0xce22eb5ca4f340e7
26242 .xword 0x455e075977b456b5
26243 .xword 0xa41eefea65f16ea5
26244 .xword 0x675486fc7ffa1cd1
26245 .xword 0x9ef8419057558294
26246 .xword 0x1205417b32ce850c
26247 .xword 0xe40618fa39cdcaa6
26248 .xword 0x465c089f1c9b2da2
26249 .xword 0x229187c1035685d5
26250 .xword 0x5e4c21532a3f91af
26251 .xword 0x7cb9fdf539554f0a
26252 .xword 0x1efdde719bfe2f19
26253 .xword 0xffe7da7ce2f8e9ae
26254 .xword 0xacde64a57a6f560e
26255 .xword 0xea095cc7a3b86da5
26256 .xword 0xaaf33b545c5fce1b
26257 .xword 0x89d8294dc2d1af10
26258 .xword 0x951707b584d37a37
26259 .xword 0xff8470b64f57b00b
26260 .xword 0x6541a6582857014c
26261 .xword 0xdcf68404f911cbe0
26262 .xword 0x481712b53c82df38
26263 .xword 0x0cf41b9a9986ed1e
26264 .xword 0x2e24f556904275b2
26265 .xword 0x3d047434323389bf
26266 .xword 0xe07fe94e69b969d4
26267 .xword 0x9a91dc4a931c5329
26268 .xword 0x7bc10a28c6e9816e
26269 .xword 0x9e2db9c3b4677db4
26270 .xword 0xd01261b29da4889c
26271 .xword 0x6072f4aa315b7f3a
26272 .xword 0xfbb140e2a849ccce
26273 .xword 0x891f0e828053e7fe
26274 .xword 0xbda2b408ca3e28cd
26275 .xword 0x6017f2494244cdd7
26276 .xword 0xc5d905057dce8156
26277 .xword 0x117786e21efc916d
26278 .xword 0xaac9a3deabc16f8c
26279 .xword 0x398ea24af5dee67a
26280 .xword 0xeb9a337a3297a92e
26281 .xword 0x20c37587c812457d
26282 .xword 0x53a6720dbe90bde3
26283 .xword 0xe11b4503772d93ec
26284 .xword 0x43760deed15c888f
26285 .xword 0x69f33ed5d8b30309
26286 .xword 0x92218627b8917b26
26287 .xword 0xb32dedd2cdc4c5a3
26288 .xword 0xdae19f815238ebc8
26289 .xword 0x41dfb5dddac98167
26290 .xword 0x4afc10e845b6f390
26291 .xword 0x451ba7ecbebd08f9
26292 .xword 0x3b3a623958720dfe
26293 .xword 0xd48cd949d73c4288
26294 .xword 0xdb4a8f5502d58327
26295 .xword 0x109d3133866488b7
26296 .xword 0x1eb07bce382df000
26297 .xword 0x85f74c8fed246c8c
26298 .xword 0x12391b230eaf7cdd
26299 .xword 0x8771b111d8b6e976
26300 .xword 0xf069bdbd3a448064
26301 .xword 0xa00500f019f084cf
26302 .xword 0x2a3b3e2cca9e8632
26303 .xword 0x6a379146c6fd86ec
26304 .xword 0x4e974a4a395044c0
26305 .xword 0xe996c5f01af8fe85
26306 .xword 0x602eef2b35550b3a
26307 .xword 0x88dfe6cb28de83e5
26308 .xword 0x0eacde6b71592427
26309 .xword 0x98e45c882676b7f8
26310 .xword 0x47c496dbf80f5417
26311 .xword 0x0ca4e4b3a0fae786
26312 .xword 0x69d2cc4bd293c9b7
26313 .xword 0xe4dc3893d4f3391c
26314 .xword 0x2b2f7bc8a3db2d75
26315 .xword 0xdb0d5ad8c8adad41
26316 .xword 0xc79dd7a357aa2d43
26317 .xword 0x74685ad8b9bad7f2
26318 .xword 0x2453d341eede7e42
26319 .xword 0x3b26bf74d80998c8
26320 .xword 0xee484edd54419470
26321 .xword 0x73092a9e6c13c08f
26322 .xword 0x05fad91c43e005df
26323 .xword 0x410910853da662fd
26324 .xword 0xa2ce72281f3e1688
26325 .xword 0x06d53c9a9e98db5e
26326 .xword 0x8fc9d7da1f39a86f
26327 .xword 0x24dbb848bff28dbf
26328 .xword 0xfe2625ea193eaf0d
26329 .xword 0x2e2ef58688dd7af4
26330 .xword 0x362b4a6cb6d0435a
26331 .xword 0x7f474c891e0c606b
26332 .xword 0x8e4eb68f5abd0d4b
26333 .xword 0x11caa0d458ea4fac
26334 .xword 0x110543867817c56b
26335 .xword 0x0592f8ce92f2e695
26336 .xword 0xf7e56af549ba9ddf
26337 .xword 0xf845e6f12de4998c
26338 .xword 0xeadf01a6254e9be2
26339 .xword 0x0102a9c5e139c02a
26340 .xword 0x33130dafbdfe283a
26341 .xword 0xf2abc8221313b2ce
26342 .xword 0x2a039f276091c954
26343 .xword 0x86c504513107e88f
26344 .xword 0xc8844ddce9f143be
26345 .xword 0x27a103e090ddfe2d
26346 .xword 0x04f8829a06f547a3
26347 .xword 0xc682bbff10cbe5b2
26348 .xword 0x7a31cee866bf9e75
26349 .xword 0xd4c7737f2367c06b
26350 .xword 0xbe6d11e1a8b2ff6a
26351 .xword 0x945d7f644e6d408a
26352 .xword 0x0111bf628df628e7
26353 .xword 0x9e96f958ed6f33ee
26354 .xword 0xe30da9b512a90392
26355 .xword 0xc9ad96f4b11105de
26356 .xword 0x64f83a092d7f1eb9
26357 .xword 0xba05f6aa2908bdbd
26358 .xword 0x614ac22fcb902971
26359 .xword 0x42b5ca35fe3dba77
26360 .xword 0xbc42b24a99107476
26361 .xword 0xbab3df9863f68128
26362 .xword 0x5a493af74d18d56e
26363 .xword 0x54d2fc30480f2044
26364 .xword 0x73334ece0fe0298a
26365 .xword 0xe1b7365f3d0a6df0
26366 .xword 0x1e131a5ce380bb37
26367 .xword 0x8b5961af58b64c1f
26368 .xword 0xfb57396f1bca13ea
26369 .xword 0x69f2307f6bf825a3
26370 .xword 0x4259de6a4e8180b4
26371 .xword 0xcc94476886646d18
26372 .xword 0x728310b8326ef50c
26373 .xword 0x63f84dbab7688542
26374 .xword 0x7e24fb03b8eb5e43
26375 .xword 0x319b88a91654efff
26376 .xword 0x4f27cce134138c34
26377 .xword 0x8a8176e08e9eaa0c
26378 .xword 0xade2bcd03199fcde
26379 .xword 0x08fe82f7be501fcc
26380 .xword 0xeca491c9705be2ef
26381 .xword 0x01e55ac64e7ef647
26382 .xword 0x6040789f8f5664bd
26383 .xword 0xa53b2c8a63c4235c
26384 .xword 0x386211b7cbb0bbc9
26385 .xword 0xc9968bff89f5e15d
26386 .xword 0x837b4f36e16af548
26387 .xword 0x834c31e5e34552d7
26388 .xword 0x0f2ada636438dbc9
26389 .xword 0xd0d26bcb6d7ed457
26390 .xword 0x330bf39de20932f9
26391 .xword 0xd36d61acb0539ae1
26392 .xword 0x8496dd78189aaa11
26393 .xword 0xa72c8db5f7497e84
26394 .xword 0x81feaa834c4c33c4
26395 .xword 0xa281d7c0c11a3927
26396 .xword 0x9249b884c1386560
26397 .xword 0xee31e3b853cb3bd0
26398 .xword 0xdcb856241176b1f0
26399 .xword 0x174cdddfe77475b6
26400 .xword 0x30baecea04bfb80d
26401 .xword 0xf7154ad851977301
26402 .xword 0x55d4b1db2a24c335
26403 .xword 0x92fc961ebbce4fc7
26404 .xword 0x1d5793b09d7dffc5
26405 .xword 0x6bf5577ee35fb29e
26406 .xword 0x8f144cef8b3cc07f
26407 .xword 0xe5bc05232384f0f5
26408 .xword 0x7c2ed14ec236e7a6
26409 .xword 0x83b92069a480b1b6
26410 .xword 0x2e38f4a34a8b7fae
26411 .xword 0xdd1e9404efbfb09d
26412 .xword 0x8f796e208ab59d57
26413 .xword 0x5baac0bac1d3f0a3
26414 .xword 0xe3ae3985dc25c2b4
26415 .xword 0x619be8126b280273
26416 .xword 0xc90c2ca1a41b7e8f
26417 .xword 0xd636a815506a4097
26418 .xword 0xa0a205ea0f789ea2
26419 .xword 0xf96b4424f26c04ce
26420 .xword 0x1a2bebe6041570ab
26421 .xword 0xa5c80d186de12c9d
26422 .xword 0x31cb1e2b9c369ffc
26423 .xword 0x7d26d7045598b1be
26424 .xword 0x78e7af3f482cb2a0
26425 .xword 0x3f73a1c1658af752
26426 .xword 0xa860c573e4be644c
26427 .xword 0x0fa9b046eb5a43ad
26428 .xword 0xa93f842463bc21d9
26429 .xword 0xaf60701aedb9e98e
26430 .xword 0xe64a1db11e45847f
26431 .xword 0x26b98efe48c665fe
26432 .xword 0x4a9d30f31ba86aeb
26433 .xword 0x1fb05bd7eef4e79a
26434 .xword 0x6ed9dff656cff384
26435 .xword 0xc31e958cff031eb5
26436 .xword 0xdaf9dc7de07fd65e
26437 .xword 0x1635d9c1da87361c
26438 .xword 0xc9f8b71c01dd4b5f
26439 .xword 0xc9ed20e0691c963f
26440 .xword 0xf8dfee4fa6cdfe30
26441 .xword 0xf44292c96ef025b2
26442 .xword 0x71e0184995130292
26443 .xword 0x8ac13a08597febb8
26444 .xword 0x46bc7fdd6cde999e
26445 .xword 0x13d794555fc2b616
26446 .xword 0x5e3e32e0e7bc5f08
26447 .xword 0xd21599126ec9fbf7
26448 .xword 0x164f58816a6bbb00
26449 .xword 0x17ef4d4efc88c647
26450 .xword 0xc6b0e53027ce7f21
26451 .xword 0x2f0fdb4c2b468976
26452 .xword 0xbe3fc6e8a7ae1601
26453 .xword 0x9e0415cad88c22dd
26454 .xword 0xcb31cb6be28375c2
26455 .xword 0x013c12746c771477
26456 .xword 0x25ad43d0042fe317
26457 .xword 0x44ea232b35dd7c7b
26458 .xword 0x427c072e8ad74397
26459_t1_hash_dest:
26460 .xword 0xDEADBEEFDEADBEEF
26461 .xword 0xDEADBEEFDEADBEEF
26462 .xword 0xDEADBEEFDEADBEEF
26463 .xword 0xDEADBEEFDEADBEEF
26464 .xword 0xDEADBEEFDEADBEEF
26465 .xword 0xDEADBEEFDEADBEEF
26466 .xword 0xDEADBEEFDEADBEEF
26467 .xword 0xDEADBEEFDEADBEEF
26468 .xword 0xDEADBEEFDEADBEEF
26469 .xword 0xDEADBEEFDEADBEEF
26470 .xword 0xDEADBEEFDEADBEEF
26471 .xword 0xDEADBEEFDEADBEEF
26472 .xword 0xDEADBEEFDEADBEEF
26473 .xword 0xDEADBEEFDEADBEEF
26474 .xword 0xDEADBEEFDEADBEEF
26475 .xword 0xDEADBEEFDEADBEEF
26476 .xword 0xDEADBEEFDEADBEEF
26477 .xword 0xDEADBEEFDEADBEEF
26478 .xword 0xDEADBEEFDEADBEEF
26479 .xword 0xDEADBEEFDEADBEEF
26480 .xword 0xDEADBEEFDEADBEEF
26481 .xword 0xDEADBEEFDEADBEEF
26482 .xword 0xDEADBEEFDEADBEEF
26483 .xword 0xDEADBEEFDEADBEEF
26484 .xword 0xDEADBEEFDEADBEEF
26485 .xword 0xDEADBEEFDEADBEEF
26486 .xword 0xDEADBEEFDEADBEEF
26487 .xword 0xDEADBEEFDEADBEEF
26488 .xword 0xDEADBEEFDEADBEEF
26489 .xword 0xDEADBEEFDEADBEEF
26490 .xword 0xDEADBEEFDEADBEEF
26491 .xword 0xDEADBEEFDEADBEEF
26492 .xword 0xDEADBEEFDEADBEEF
26493 .xword 0xDEADBEEFDEADBEEF
26494 .xword 0xDEADBEEFDEADBEEF
26495 .xword 0xDEADBEEFDEADBEEF
26496 .xword 0xDEADBEEFDEADBEEF
26497 .xword 0xDEADBEEFDEADBEEF
26498 .xword 0xDEADBEEFDEADBEEF
26499 .xword 0xDEADBEEFDEADBEEF
26500 .xword 0xDEADBEEFDEADBEEF
26501 .xword 0xDEADBEEFDEADBEEF
26502 .xword 0xDEADBEEFDEADBEEF
26503 .xword 0xDEADBEEFDEADBEEF
26504 .xword 0xDEADBEEFDEADBEEF
26505 .xword 0xDEADBEEFDEADBEEF
26506 .xword 0xDEADBEEFDEADBEEF
26507 .xword 0xDEADBEEFDEADBEEF
26508 .xword 0xDEADBEEFDEADBEEF
26509 .xword 0xDEADBEEFDEADBEEF
26510 .xword 0xDEADBEEFDEADBEEF
26511 .xword 0xDEADBEEFDEADBEEF
26512 .xword 0xDEADBEEFDEADBEEF
26513 .xword 0xDEADBEEFDEADBEEF
26514 .xword 0xDEADBEEFDEADBEEF
26515 .xword 0xDEADBEEFDEADBEEF
26516 .xword 0xDEADBEEFDEADBEEF
26517 .xword 0xDEADBEEFDEADBEEF
26518 .xword 0xDEADBEEFDEADBEEF
26519 .xword 0xDEADBEEFDEADBEEF
26520 .xword 0xDEADBEEFDEADBEEF
26521 .xword 0xDEADBEEFDEADBEEF
26522 .xword 0xDEADBEEFDEADBEEF
26523 .xword 0xDEADBEEFDEADBEEF
26524 .xword 0xDEADBEEFDEADBEEF
26525 .xword 0xDEADBEEFDEADBEEF
26526 .xword 0xDEADBEEFDEADBEEF
26527 .xword 0xDEADBEEFDEADBEEF
26528 .xword 0xDEADBEEFDEADBEEF
26529 .xword 0xDEADBEEFDEADBEEF
26530 .xword 0xDEADBEEFDEADBEEF
26531 .xword 0xDEADBEEFDEADBEEF
26532 .xword 0xDEADBEEFDEADBEEF
26533 .xword 0xDEADBEEFDEADBEEF
26534 .xword 0xDEADBEEFDEADBEEF
26535 .xword 0xDEADBEEFDEADBEEF
26536 .xword 0xDEADBEEFDEADBEEF
26537 .xword 0xDEADBEEFDEADBEEF
26538 .xword 0xDEADBEEFDEADBEEF
26539 .xword 0xDEADBEEFDEADBEEF
26540 .xword 0xDEADBEEFDEADBEEF
26541 .xword 0xDEADBEEFDEADBEEF
26542 .xword 0xDEADBEEFDEADBEEF
26543 .xword 0xDEADBEEFDEADBEEF
26544 .xword 0xDEADBEEFDEADBEEF
26545 .xword 0xDEADBEEFDEADBEEF
26546 .xword 0xDEADBEEFDEADBEEF
26547 .xword 0xDEADBEEFDEADBEEF
26548 .xword 0xDEADBEEFDEADBEEF
26549 .xword 0xDEADBEEFDEADBEEF
26550 .xword 0xDEADBEEFDEADBEEF
26551 .xword 0xDEADBEEFDEADBEEF
26552 .xword 0xDEADBEEFDEADBEEF
26553 .xword 0xDEADBEEFDEADBEEF
26554 .xword 0xDEADBEEFDEADBEEF
26555 .xword 0xDEADBEEFDEADBEEF
26556 .xword 0xDEADBEEFDEADBEEF
26557 .xword 0xDEADBEEFDEADBEEF
26558 .xword 0xDEADBEEFDEADBEEF
26559 .xword 0xDEADBEEFDEADBEEF
26560 .xword 0xDEADBEEFDEADBEEF
26561 .xword 0xDEADBEEFDEADBEEF
26562 .xword 0xDEADBEEFDEADBEEF
26563 .xword 0xDEADBEEFDEADBEEF
26564 .xword 0xDEADBEEFDEADBEEF
26565 .xword 0xDEADBEEFDEADBEEF
26566 .xword 0xDEADBEEFDEADBEEF
26567 .xword 0xDEADBEEFDEADBEEF
26568 .xword 0xDEADBEEFDEADBEEF
26569 .xword 0xDEADBEEFDEADBEEF
26570 .xword 0xDEADBEEFDEADBEEF
26571 .xword 0xDEADBEEFDEADBEEF
26572 .xword 0xDEADBEEFDEADBEEF
26573 .xword 0xDEADBEEFDEADBEEF
26574 .xword 0xDEADBEEFDEADBEEF
26575 .xword 0xDEADBEEFDEADBEEF
26576 .xword 0xDEADBEEFDEADBEEF
26577 .xword 0xDEADBEEFDEADBEEF
26578 .xword 0xDEADBEEFDEADBEEF
26579 .xword 0xDEADBEEFDEADBEEF
26580 .xword 0xDEADBEEFDEADBEEF
26581 .xword 0xDEADBEEFDEADBEEF
26582 .xword 0xDEADBEEFDEADBEEF
26583 .xword 0xDEADBEEFDEADBEEF
26584 .xword 0xDEADBEEFDEADBEEF
26585 .xword 0xDEADBEEFDEADBEEF
26586 .xword 0xDEADBEEFDEADBEEF
26587 .xword 0xDEADBEEFDEADBEEF
26588 .xword 0xDEADBEEFDEADBEEF
26589 .xword 0xDEADBEEFDEADBEEF
26590 .xword 0xDEADBEEFDEADBEEF
26591 .xword 0xDEADBEEFDEADBEEF
26592 .xword 0xDEADBEEFDEADBEEF
26593 .xword 0xDEADBEEFDEADBEEF
26594 .xword 0xDEADBEEFDEADBEEF
26595 .xword 0xDEADBEEFDEADBEEF
26596 .xword 0xDEADBEEFDEADBEEF
26597 .xword 0xDEADBEEFDEADBEEF
26598 .xword 0xDEADBEEFDEADBEEF
26599 .xword 0xDEADBEEFDEADBEEF
26600 .xword 0xDEADBEEFDEADBEEF
26601 .xword 0xDEADBEEFDEADBEEF
26602 .xword 0xDEADBEEFDEADBEEF
26603 .xword 0xDEADBEEFDEADBEEF
26604 .xword 0xDEADBEEFDEADBEEF
26605 .xword 0xDEADBEEFDEADBEEF
26606 .xword 0xDEADBEEFDEADBEEF
26607 .xword 0xDEADBEEFDEADBEEF
26608 .xword 0xDEADBEEFDEADBEEF
26609 .xword 0xDEADBEEFDEADBEEF
26610 .xword 0xDEADBEEFDEADBEEF
26611 .xword 0xDEADBEEFDEADBEEF
26612 .xword 0xDEADBEEFDEADBEEF
26613 .xword 0xDEADBEEFDEADBEEF
26614 .xword 0xDEADBEEFDEADBEEF
26615 .xword 0xDEADBEEFDEADBEEF
26616 .xword 0xDEADBEEFDEADBEEF
26617 .xword 0xDEADBEEFDEADBEEF
26618 .xword 0xDEADBEEFDEADBEEF
26619 .xword 0xDEADBEEFDEADBEEF
26620 .xword 0xDEADBEEFDEADBEEF
26621 .xword 0xDEADBEEFDEADBEEF
26622 .xword 0xDEADBEEFDEADBEEF
26623 .xword 0xDEADBEEFDEADBEEF
26624 .xword 0xDEADBEEFDEADBEEF
26625 .xword 0xDEADBEEFDEADBEEF
26626 .xword 0xDEADBEEFDEADBEEF
26627 .xword 0xDEADBEEFDEADBEEF
26628 .xword 0xDEADBEEFDEADBEEF
26629 .xword 0xDEADBEEFDEADBEEF
26630 .xword 0xDEADBEEFDEADBEEF
26631 .xword 0xDEADBEEFDEADBEEF
26632 .xword 0xDEADBEEFDEADBEEF
26633 .xword 0xDEADBEEFDEADBEEF
26634 .xword 0xDEADBEEFDEADBEEF
26635 .xword 0xDEADBEEFDEADBEEF
26636 .xword 0xDEADBEEFDEADBEEF
26637 .xword 0xDEADBEEFDEADBEEF
26638 .xword 0xDEADBEEFDEADBEEF
26639 .xword 0xDEADBEEFDEADBEEF
26640 .xword 0xDEADBEEFDEADBEEF
26641 .xword 0xDEADBEEFDEADBEEF
26642 .xword 0xDEADBEEFDEADBEEF
26643 .xword 0xDEADBEEFDEADBEEF
26644 .xword 0xDEADBEEFDEADBEEF
26645 .xword 0xDEADBEEFDEADBEEF
26646 .xword 0xDEADBEEFDEADBEEF
26647 .xword 0xDEADBEEFDEADBEEF
26648 .xword 0xDEADBEEFDEADBEEF
26649 .xword 0xDEADBEEFDEADBEEF
26650 .xword 0xDEADBEEFDEADBEEF
26651 .xword 0xDEADBEEFDEADBEEF
26652 .xword 0xDEADBEEFDEADBEEF
26653 .xword 0xDEADBEEFDEADBEEF
26654 .xword 0xDEADBEEFDEADBEEF
26655 .xword 0xDEADBEEFDEADBEEF
26656 .xword 0xDEADBEEFDEADBEEF
26657 .xword 0xDEADBEEFDEADBEEF
26658 .xword 0xDEADBEEFDEADBEEF
26659 .xword 0xDEADBEEFDEADBEEF
26660 .xword 0xDEADBEEFDEADBEEF
26661 .xword 0xDEADBEEFDEADBEEF
26662 .xword 0xDEADBEEFDEADBEEF
26663 .xword 0xDEADBEEFDEADBEEF
26664 .xword 0xDEADBEEFDEADBEEF
26665 .xword 0xDEADBEEFDEADBEEF
26666 .xword 0xDEADBEEFDEADBEEF
26667 .xword 0xDEADBEEFDEADBEEF
26668 .xword 0xDEADBEEFDEADBEEF
26669 .xword 0xDEADBEEFDEADBEEF
26670 .xword 0xDEADBEEFDEADBEEF
26671 .xword 0xDEADBEEFDEADBEEF
26672 .xword 0xDEADBEEFDEADBEEF
26673 .xword 0xDEADBEEFDEADBEEF
26674 .xword 0xDEADBEEFDEADBEEF
26675 .xword 0xDEADBEEFDEADBEEF
26676 .xword 0xDEADBEEFDEADBEEF
26677 .xword 0xDEADBEEFDEADBEEF
26678 .xword 0xDEADBEEFDEADBEEF
26679 .xword 0xDEADBEEFDEADBEEF
26680 .xword 0xDEADBEEFDEADBEEF
26681 .xword 0xDEADBEEFDEADBEEF
26682 .xword 0xDEADBEEFDEADBEEF
26683 .xword 0xDEADBEEFDEADBEEF
26684 .xword 0xDEADBEEFDEADBEEF
26685 .xword 0xDEADBEEFDEADBEEF
26686 .xword 0xDEADBEEFDEADBEEF
26687 .xword 0xDEADBEEFDEADBEEF
26688 .xword 0xDEADBEEFDEADBEEF
26689 .xword 0xDEADBEEFDEADBEEF
26690 .xword 0xDEADBEEFDEADBEEF
26691 .xword 0xDEADBEEFDEADBEEF
26692 .xword 0xDEADBEEFDEADBEEF
26693 .xword 0xDEADBEEFDEADBEEF
26694 .xword 0xDEADBEEFDEADBEEF
26695 .xword 0xDEADBEEFDEADBEEF
26696 .xword 0xDEADBEEFDEADBEEF
26697 .xword 0xDEADBEEFDEADBEEF
26698 .xword 0xDEADBEEFDEADBEEF
26699 .xword 0xDEADBEEFDEADBEEF
26700 .xword 0xDEADBEEFDEADBEEF
26701 .xword 0xDEADBEEFDEADBEEF
26702 .xword 0xDEADBEEFDEADBEEF
26703 .xword 0xDEADBEEFDEADBEEF
26704 .xword 0xDEADBEEFDEADBEEF
26705 .xword 0xDEADBEEFDEADBEEF
26706 .xword 0xDEADBEEFDEADBEEF
26707 .xword 0xDEADBEEFDEADBEEF
26708 .xword 0xDEADBEEFDEADBEEF
26709 .xword 0xDEADBEEFDEADBEEF
26710 .xword 0xDEADBEEFDEADBEEF
26711 .xword 0xDEADBEEFDEADBEEF
26712 .xword 0xDEADBEEFDEADBEEF
26713 .xword 0xDEADBEEFDEADBEEF
26714 .xword 0xDEADBEEFDEADBEEF
26715 .xword 0xDEADBEEFDEADBEEF
26716 .xword 0xDEADBEEFDEADBEEF
26717 .xword 0xDEADBEEFDEADBEEF
26718 .xword 0xDEADBEEFDEADBEEF
26719 .xword 0xDEADBEEFDEADBEEF
26720 .xword 0xDEADBEEFDEADBEEF
26721 .xword 0xDEADBEEFDEADBEEF
26722 .xword 0xDEADBEEFDEADBEEF
26723 .xword 0xDEADBEEFDEADBEEF
26724 .xword 0xDEADBEEFDEADBEEF
26725 .xword 0xDEADBEEFDEADBEEF
26726 .xword 0xDEADBEEFDEADBEEF
26727 .xword 0xDEADBEEFDEADBEEF
26728 .xword 0xDEADBEEFDEADBEEF
26729 .xword 0xDEADBEEFDEADBEEF
26730 .xword 0xDEADBEEFDEADBEEF
26731 .xword 0xDEADBEEFDEADBEEF
26732 .xword 0xDEADBEEFDEADBEEF
26733 .xword 0xDEADBEEFDEADBEEF
26734 .xword 0xDEADBEEFDEADBEEF
26735_t1_hash_auth_key:
26736 .xword 0x9123ca8a89a2d2c1
26737 .xword 0x942b04150c856ea6
26738 .xword 0xa42a13ebe83371ea
26739 .xword 0x4cea7f220cce786c
26740 .xword 0x374ee0f9b7e574a2
26741 .xword 0x6ba475a8ba80cf2b
26742 .xword 0x139ab875c5a8aa30
26743 .xword 0xb93d3c3ccb6836d4
26744 .xword 0x712d0ed14a7df4ba
26745 .xword 0x49b09afe4bfef17b
26746 .xword 0x1d5702986c322bad
26747 .xword 0xc7b17d37c43365ba
26748 .xword 0xb03e3d1184c0e5b4
26749 .xword 0x7df90a01b8db62d2
26750 .xword 0x14b9511cb5488de0
26751 .xword 0xf651882d9a0aef1a
26752 .xword 0xb604f6d47add3f33
26753 .xword 0x61de4c3f959acd2b
26754 .xword 0xa58a3a592aab27c6
26755 .xword 0xaa663cd6b7a0be87
26756 .xword 0x3e655b5296205605
26757 .xword 0x9c5c39e3bb98bb79
26758 .xword 0xd8be27130d01896c
26759_t1_hash_auth_iv:
26760 .xword 0x5c7e3d472b6ff712
26761 .xword 0xacddec00d33ee487
26762 .xword 0xd0fd500f61dca47f
26763 .xword 0xe0aadf55e5e6de8f
26764 .xword 0x08e145c3c7b5a7c7
26765 .xword 0x0e6c51667c6ea4a1
26766 .xword 0x6b363732fb4295b8
26767 .xword 0x6cc1495276257ed1
26768 .xword 0x338354f745e52b73
26769 .xword 0xd0c5c25b9e74af0e
26770 .xword 0xf13cd4e316e7f57c
26771 .xword 0x2a35789b3b68f076
26772 .xword 0xe1604f25debd73c5
26773 .xword 0x05c276cccb34f043
26774 .xword 0x62e5927d32ff45d0
26775 .xword 0x18176a0339d0c649
26776 .xword 0xba70fa5367753432
26777 .xword 0xab8b4811c9306331
26778 .xword 0x73781c4d0da0ab0e
26779 .xword 0x45c2606a4205b127
26780 .xword 0x0680f98a26bd46d8
26781 .xword 0xd70351ac24003da3
26782 .xword 0xa6c0cf4300930950
26783_t1_hash_fas_result:
26784 .xword 0xDEADBEEFDEADBEEF
26785 .xword 0xDEADBEEFDEADBEEF
26786 .xword 0xDEADBEEFDEADBEEF
26787 .xword 0xDEADBEEFDEADBEEF
26788 .xword 0xDEADBEEFDEADBEEF
26789 .xword 0xDEADBEEFDEADBEEF
26790 .xword 0xDEADBEEFDEADBEEF
26791 .xword 0xDEADBEEFDEADBEEF
26792 .xword 0xDEADBEEFDEADBEEF
26793 .xword 0xDEADBEEFDEADBEEF
26794 .xword 0xDEADBEEFDEADBEEF
26795 .xword 0xDEADBEEFDEADBEEF
26796 .xword 0xDEADBEEFDEADBEEF
26797 .xword 0xDEADBEEFDEADBEEF
26798 .xword 0xDEADBEEFDEADBEEF
26799 .xword 0xDEADBEEFDEADBEEF
26800 .xword 0xDEADBEEFDEADBEEF
26801 .xword 0xDEADBEEFDEADBEEF
26802 .xword 0xDEADBEEFDEADBEEF
26803 .xword 0xDEADBEEFDEADBEEF
26804 .xword 0xDEADBEEFDEADBEEF
26805 .xword 0xDEADBEEFDEADBEEF
26806 .xword 0xDEADBEEFDEADBEEF
26807_t1_hmac_key_array:
26808 .xword 0xf01a94445cbf8ba4
26809 .xword 0xd752814862676c20
26810 .xword 0x59737afe5209609f
26811 .xword 0xce85059a5953b79d
26812 .xword 0x18df42c0b99fee5e
26813 .xword 0x6c05a23c902e4fc3
26814 .xword 0xef01b5dcfab6e107
26815 .xword 0xd1670f1bcf87e3f5
26816 .xword 0xe10abd6b1919fd7e
26817 .xword 0x4526e0963be83abd
26818 .xword 0x2f3a62967230fa1e
26819 .xword 0x35e8afc89f460f9e
26820 .xword 0x93efa9ce3da6a3cf
26821 .xword 0x0b144050f17f317c
26822 .xword 0xc1131336adc4764c
26823 .xword 0xb87136fc78b4d057
26824 .xword 0x2631267a845392c3
26825 .xword 0xae2e5a2446ce3928
26826 .xword 0x1b6aa4e31f286a58
26827 .xword 0xe58b59a5cbccd073
26828 .xword 0x8ed2c31f00b93574
26829 .xword 0xd58be9435b93918f
26830 .xword 0x9518410449cc8b14
26831 .xword 0x0931705f84709d7b
26832 .xword 0xf6c851002b05b078
26833 .xword 0x772233f9289ad72c
26834 .xword 0x980b7aa235c9817c
26835 .xword 0x1cf6490b499f9fe3
26836 .xword 0x3a973dd5556d8ba8
26837 .xword 0x46d63a4eaeb2288a
26838 .xword 0xc2aba1e201446444
26839 .xword 0x186fbaf471677fb2
26840 .xword 0xf027cb4e20188a71
26841 .xword 0x7b52be35f3cdafab
26842 .xword 0x6654de73d9a12db2
26843 .xword 0xa9ed3f02246c8a66
26844 .xword 0x60a51f715fb6392d
26845 .xword 0x7407787173ab71ba
26846 .xword 0x40acbf5a4525f212
26847 .xword 0xe63204d9ceb8d7de
26848 .xword 0x0f5ab20484c86201
26849 .xword 0xa98fee84ccd37373
26850 .xword 0xd9f8c281bf3c597a
26851 .xword 0x5db5296b413dabb8
26852 .xword 0x332177ffdda491e2
26853 .xword 0x9476583d9ab1ef41
26854 .xword 0x5c00202a5357847a
26855 .xword 0x6c9fea42d4f7cb96
26856 .xword 0x21b3cc8d5ca1f209
26857 .xword 0x8c10c3ad5f2ec73c
26858 .xword 0x64cdfa7e33fac37b
26859_t1_hmac_iv_array:
26860 .xword 0x8a8cd51879898e1a
26861 .xword 0xf6e3aa04ff1746d1
26862 .xword 0xc5c7f79b554f237c
26863 .xword 0x5304094189811ebf
26864 .xword 0x3a46069dda5ae370
26865 .xword 0x91fe4a935b6d0283
26866 .xword 0xa9e573cf37707104
26867 .xword 0x1a904d535381f3e4
26868 .xword 0x020c5898fd45862b
26869 .xword 0x53e4719e534e4b30
26870 .xword 0xaf9d8c2ec8e35488
26871 .xword 0x21e9eb5c201577cb
26872 .xword 0x0833d750ff6076d7
26873 .xword 0xa61cce8123cc4245
26874 .xword 0x6d01343efc303158
26875 .xword 0x507dab3ba4cda152
26876 .xword 0xa04168c648b94196
26877 .xword 0x172e0566baab045e
26878 .xword 0x6cf022f80cc8fba1
26879 .xword 0x8d2a86e7e4498826
26880 .xword 0x095e31f412b3754f
26881 .xword 0x7b7100dd8c350f27
26882 .xword 0x21d8cb8b12ccc5b6
26883 .xword 0x33081e61967ffb1d
26884 .xword 0xa37b18a18f107aba
26885 .xword 0x318235ee7c01db2b
26886 .xword 0xbf96df2055d2bd4a
26887 .xword 0xda512f3c9c6bb555
26888 .xword 0xa7fe04ae5bbcc7e3
26889 .xword 0x7585040458702a87
26890 .xword 0x3b79640361cf9562
26891 .xword 0xca05344ea76ace56
26892 .xword 0xa73c1ae7f6fd6163
26893 .xword 0x8aa2df7c07460f5f
26894 .xword 0x284c90a9349d5e00
26895 .xword 0x2ef9b9ba4ff8617f
26896 .xword 0xddad32f69a0dfb91
26897 .xword 0xe4f3e943098c4486
26898 .xword 0xa03c072502f60367
26899 .xword 0x943dccb19007e6b6
26900 .xword 0xc0f98ee75d74fc53
26901 .xword 0x9556b1065614987b
26902 .xword 0xf99caf01eb596704
26903 .xword 0x3b137025f37c6fe1
26904 .xword 0x0c769a46fbb330c3
26905_t1_hmac_alignment_array:
26906 .xword 7
26907 .xword 10
26908 .xword 2
26909 .xword 6
26910 .xword 3
26911 .xword 11
26912 .xword 6
26913 .xword 15
26914 .xword 5
26915 .xword 15
26916 .xword 0
26917 .xword 6
26918 .xword 13
26919 .xword 10
26920 .xword 6
26921 .xword 3
26922 .xword 11
26923 .xword 12
26924 .xword 2
26925 .xword 3
26926 .xword 4
26927 .xword 4
26928 .xword 14
26929 .xword 5
26930 .xword 7
26931 .xword 3
26932 .xword 8
26933 .xword 3
26934 .xword 12
26935 .xword 15
26936 .xword 0
26937 .xword 15
26938 .xword 2
26939 .xword 13
26940 .xword 12
26941 .xword 12
26942 .xword 8
26943 .xword 11
26944 .xword 1
26945 .xword 14
26946 .xword 9
26947 .xword 4
26948 .xword 13
26949 .xword 1
26950 .xword 13
26951 .xword 15
26952 .xword 3
26953 .xword 1
26954 .xword 8
26955 .xword 5
26956 .xword 4
26957 .xword 10
26958 .xword 11
26959 .xword 11
26960 .xword 14
26961 .xword 0
26962 .xword 1
26963 .xword 6
26964 .xword 9
26965 .xword 2
26966 .xword 6
26967 .xword 12
26968 .xword 1
26969 .xword 7
26970 .xword 4
26971 .xword 5
26972 .xword 5
26973 .xword 1
26974 .xword 8
26975 .xword 0
26976 .xword 9
26977 .xword 5
26978 .xword 6
26979 .xword 9
26980 .xword 11
26981 .xword 13
26982 .xword 6
26983 .xword 15
26984 .xword 1
26985 .xword 7
26986 .xword 3
26987 .xword 13
26988 .xword 1
26989 .xword 11
26990 .xword 15
26991 .xword 13
26992 .xword 1
26993 .xword 4
26994 .xword 15
26995 .xword 5
26996 .xword 3
26997 .xword 10
26998 .xword 11
26999 .xword 7
27000 .xword 11
27001 .xword 10
27002 .xword 6
27003 .xword 10
27004 .xword 0
27005 .xword 10
27006 .xword 4
27007 .xword 13
27008 .xword 1
27009 .xword 1
27010 .xword 7
27011_t1_hmac_src:
27012 .xword 0xeddf2f4f8284fa00
27013 .xword 0x992e362a6bcb9042
27014 .xword 0x520bd02d8ee382ba
27015 .xword 0x3f84578b2f0ff4ea
27016 .xword 0xab9b85dca3168b7e
27017 .xword 0x5ac8dbcb90c6dd11
27018 .xword 0xe86182736944dcbf
27019 .xword 0x459e561a13eeb603
27020 .xword 0x376a56fcadc2ebf0
27021 .xword 0xda2af1224bb202f9
27022 .xword 0x9dc4c4b19edb6aae
27023 .xword 0xe5fa3e6a99a94f2d
27024 .xword 0x941808f61c4480f7
27025 .xword 0x53902097a02944dd
27026 .xword 0x4e23d839a75de71a
27027 .xword 0x3e2d198c97aaf57d
27028 .xword 0x1ac3eb0e6713318e
27029 .xword 0x450d141a7ddc88cd
27030 .xword 0xa46bc2ac3c8aa665
27031 .xword 0xa73a362ef24fe466
27032 .xword 0xd3f9d9cd401c1939
27033 .xword 0xf56efc8273f2e34e
27034 .xword 0xd1d193a3707c956f
27035 .xword 0xd09e7b329c13ed31
27036 .xword 0xff91899d80456689
27037 .xword 0x96d4fb9830f27d9b
27038 .xword 0x55c3b319116ed450
27039 .xword 0xea9b021f5a522a94
27040 .xword 0xe85f92c5817693c4
27041 .xword 0x0f2e218acc385a28
27042 .xword 0xe0b94651dbaf6425
27043 .xword 0x86b123399bf97c92
27044 .xword 0x0aa3fdf0c37ecb06
27045 .xword 0x12769e0b02b30939
27046 .xword 0x99c9b88e2085bd4f
27047 .xword 0x982ce9983410d09e
27048 .xword 0xed64e05290970590
27049 .xword 0xa4396894a5fc4888
27050 .xword 0xa733a2d0bb7fc85a
27051 .xword 0x5b6b9fb670595213
27052 .xword 0x21cd169e660816c7
27053 .xword 0x2c3dc1be6d199faf
27054 .xword 0xb43feaa880788255
27055 .xword 0xc187a843401c912e
27056 .xword 0x9ccfcc3a727d3999
27057 .xword 0xb7fbf253e075e058
27058 .xword 0xec03f71a1453a60f
27059 .xword 0xcd7fb41957bb1dee
27060 .xword 0x5f38cbbb6c599410
27061 .xword 0x40d6fa3a76902e9f
27062 .xword 0xb488784b52d2b37c
27063 .xword 0x42c1bd33f58ac5ea
27064 .xword 0xa6a6d3ff872d9230
27065 .xword 0x549ad35cff23365c
27066 .xword 0xa3b6c98c138df73b
27067 .xword 0x78a6722987bd7baa
27068 .xword 0xc68affdae83d1175
27069 .xword 0x5080926778e40d5b
27070 .xword 0xc74eb14f0a0d07d8
27071 .xword 0xfd2a40e0b36a50e8
27072 .xword 0x82e69bd5fcea46ec
27073 .xword 0x8f86434dbe04bd72
27074 .xword 0xa43a3ac8b3b1c762
27075 .xword 0xbadf8983cd77c79a
27076 .xword 0x154fdb2c24d6f5a5
27077 .xword 0x0fa337508801b6ab
27078 .xword 0xea754ffd74a7c381
27079 .xword 0x5eb23f128644237e
27080 .xword 0x905bdbc76717354e
27081 .xword 0xd129bca8a1e14a64
27082 .xword 0xf51fe6804a9dc7a4
27083 .xword 0xa09f4b90d02bd501
27084 .xword 0x585e78d8c2a907e8
27085 .xword 0x336e48234dbd6e8d
27086 .xword 0x0521aa4f4ef45431
27087 .xword 0x3744f2e4f093649d
27088 .xword 0x42ffebe00caefb16
27089 .xword 0xeac1abcc365c080e
27090 .xword 0x9ffbe5a9085c7617
27091 .xword 0x6799acc8fbab5c5c
27092 .xword 0xd0a0c27ef33f8b50
27093 .xword 0xe032d839aa84daa4
27094 .xword 0xc6f1b2b64ec41ce8
27095 .xword 0xcb77877050e54cda
27096 .xword 0x1bb42b6706a7418e
27097 .xword 0x859755a5cff93401
27098 .xword 0x577e732435f38067
27099 .xword 0x5062ffdf0146a2be
27100 .xword 0x7cab68621e9b8ece
27101 .xword 0x60210b0c5ad60016
27102 .xword 0x51afe1be965f6038
27103 .xword 0x18d84036b8a92b26
27104 .xword 0x3ca08996dea33c89
27105 .xword 0xa1afe6b31eb282d5
27106 .xword 0x5603ac4a7efe8073
27107 .xword 0x94b5af91aa6b363d
27108 .xword 0xbef277c278a07656
27109 .xword 0x94ea14a54c400d84
27110 .xword 0x4cd2f47807b8a240
27111 .xword 0xf811140b5a1032ef
27112 .xword 0x2d99ff4416390735
27113 .xword 0x44e964c87042c0d5
27114 .xword 0x8b460996cca060bf
27115 .xword 0x52d5ef3244c022d7
27116 .xword 0x13221093a430c057
27117 .xword 0x6ac8a02d46f86dea
27118 .xword 0x6a3700503da308d7
27119 .xword 0xd45e78317cf60951
27120 .xword 0xb7aaea4fa56912cf
27121 .xword 0x2655a9b2f447d926
27122 .xword 0x1dd0faffcd5d0292
27123 .xword 0x60b63cda55bb825d
27124 .xword 0x789c036c0fad2bd8
27125 .xword 0x1e954132418550d6
27126 .xword 0x89abf30ede182344
27127 .xword 0x9e3039adb8abf956
27128 .xword 0x176864a75c8b3a8f
27129 .xword 0x4939dbfe565e541e
27130 .xword 0xaad8191e0d4d1661
27131 .xword 0x96b7929e6c599ff8
27132 .xword 0x583b667a3007da26
27133 .xword 0x52e79f7910ab3ab6
27134 .xword 0x8d87e885e89ae1a5
27135 .xword 0x004e1ccd3b1e1169
27136 .xword 0x5ff06f6f1290e983
27137 .xword 0x187f7abd97745a85
27138 .xword 0xb090fe575d8bfa13
27139 .xword 0x9becf8f1dee0fdce
27140 .xword 0xf6cd5a744676acf9
27141 .xword 0x86a004ff7f6add44
27142 .xword 0xa7f368f3611c2186
27143 .xword 0x698d1ec01279f19b
27144 .xword 0xffd907ff9171cd2c
27145 .xword 0xb5c975758f6fca19
27146 .xword 0xfb04e4bf7d171a69
27147 .xword 0x6293d6144eeede5b
27148 .xword 0xb12ed5a0a0d5e987
27149 .xword 0x2d59ee949670a857
27150 .xword 0xcb6c460d7f0c8985
27151 .xword 0xba1e14270ae723d5
27152 .xword 0x85b24df2a31d4e4e
27153 .xword 0x3117457cfe30f402
27154 .xword 0xbb2737020dfc15b2
27155 .xword 0x728f37757ea1719d
27156 .xword 0x9cf01b227a00f732
27157 .xword 0x2b0bc0a4a174a9ad
27158 .xword 0x13afa9618fea4ca4
27159 .xword 0xe4bd98f7724483db
27160 .xword 0xeb00edb337b25fa4
27161 .xword 0x3e7239a27b5714d0
27162 .xword 0x24b34f627ce3254d
27163 .xword 0xd5f9774f71f1172a
27164 .xword 0x8be67eb24e5d4c43
27165 .xword 0x61ab026f10f46254
27166 .xword 0x3a52230b3953762d
27167 .xword 0xa867b048fd7dbc4b
27168 .xword 0xaad8b4afe84aa27b
27169 .xword 0x4adaf83e93ec787a
27170 .xword 0xf40a45da3feb3cfc
27171 .xword 0x7cd15511e45c0889
27172 .xword 0x5869181a8ba130cf
27173 .xword 0x7736e9bca0e6881e
27174 .xword 0x666b819b734b8053
27175 .xword 0x041d4fa14eb83895
27176 .xword 0x9f7cd1f7fa09a797
27177 .xword 0x5729395bc3532e32
27178 .xword 0xbdd01fb61ce498de
27179 .xword 0xb57fc4c8101ec417
27180 .xword 0x1962397e0c1cc7c8
27181 .xword 0xc8ef8c3dd3ba76e6
27182 .xword 0x8ea3b344caa3ba35
27183 .xword 0x4917bfb4d558a452
27184 .xword 0x47a2d7410960299e
27185 .xword 0x3787a32c8946eb94
27186 .xword 0xc3abb49ed34b0bb2
27187 .xword 0x7f550e0ea2663be5
27188 .xword 0x0e121d2625472cdc
27189 .xword 0xe16268271adf6cd5
27190 .xword 0xe32f38b78d1139b7
27191 .xword 0x1feefb17ef8a71e5
27192 .xword 0x0ff617a61e56b49e
27193 .xword 0x9e204aed4d8c507e
27194 .xword 0xfe9680b865fded86
27195 .xword 0x8da33ec664be8cc3
27196 .xword 0x494d72631005189e
27197 .xword 0x97b7c70809455c83
27198 .xword 0x3bb01cc248f55c10
27199 .xword 0x777a09ff426ef821
27200 .xword 0x1a3caecb1aabc731
27201 .xword 0x5766c939b4cecd8d
27202 .xword 0xee626e9ed1341a7c
27203 .xword 0xed491938d428217c
27204 .xword 0xfe6dfd5a1f9b3ac3
27205 .xword 0x133247c58c73a5e0
27206 .xword 0x2a7a684da0545b63
27207 .xword 0x7a1524f51c027ef8
27208 .xword 0x932386e5e98641aa
27209 .xword 0x7727b14656c98791
27210 .xword 0x59b87f47641c1697
27211 .xword 0x454f1c65e2409113
27212 .xword 0x34661740b4c055c1
27213 .xword 0x9c7a1a35411fc298
27214 .xword 0x40dccb3e8900fcf0
27215 .xword 0xe11a43b0dfe1ae42
27216 .xword 0x7b66f5e77b94ff95
27217 .xword 0x6549f73d20e30947
27218 .xword 0xa411757bdce5f97f
27219 .xword 0x42e0fa6fba274a4b
27220 .xword 0xefb01503aa8c60f0
27221 .xword 0x4783c433129e0d13
27222 .xword 0xbc196f62a38e749d
27223 .xword 0xe2cb62867db8bbde
27224 .xword 0xc99aeec99be85278
27225 .xword 0x32a9b5f11043fc22
27226 .xword 0x51e7d8c873895c09
27227 .xword 0x038444f1a5c4cd9e
27228 .xword 0x21742e94992f3e04
27229 .xword 0xe5eb0af7fd5d24c2
27230 .xword 0xa207dcc0f8978bf9
27231 .xword 0xc5369a1be064aa32
27232 .xword 0x1f7bd41f7758a8ab
27233 .xword 0x4e88e4b0146bddf1
27234 .xword 0x075798adf27e80e2
27235 .xword 0xbf4cdd12db1b9b71
27236 .xword 0xfa663d3d0b787747
27237 .xword 0xe1ed152301e279e0
27238 .xword 0x05551e43f3e22525
27239 .xword 0x9441df7ca4b6d27f
27240 .xword 0x7783de62fe0fbef2
27241 .xword 0x2854aa6c7af66560
27242 .xword 0x7b437e11b0e1ac15
27243 .xword 0xa2db63f843b7098b
27244 .xword 0x3e4410b4dc44d53b
27245 .xword 0x0df3fba7851c53a9
27246 .xword 0xb7bc562ccd505bb3
27247 .xword 0x12ff2b214a8cb443
27248 .xword 0x9909fca02de1b11c
27249 .xword 0x813e283295349e9e
27250 .xword 0x68eacb1592c0a18e
27251 .xword 0x095f9d5a975a1654
27252 .xword 0xac209bb17e028db1
27253 .xword 0x8f3a29eb25c8b1e8
27254 .xword 0x2cc717e1277e20dc
27255 .xword 0xaa50e0c9c664ecd7
27256 .xword 0x3df216294ff3eac4
27257 .xword 0x8ca6d9539a890330
27258 .xword 0x7212b352fa37fc3a
27259 .xword 0x54e8d735878d59b9
27260 .xword 0x91777979e0c9931a
27261 .xword 0x62ca0b431df4ff8a
27262 .xword 0x84077f845d20af49
27263 .xword 0x58cff8d243bbb7fa
27264 .xword 0x19a725ae52e13646
27265 .xword 0x1a5964df50a6cbc4
27266 .xword 0x3cc4a08f9e3168fe
27267 .xword 0x7d4a041c2aeac55e
27268 .xword 0x5f1122cc07a6e67c
27269 .xword 0x670ffaca8c213d66
27270 .xword 0xa904fd5ce4006f99
27271 .xword 0x96f1ec1428960790
27272 .xword 0xe7dfa055f7d5da9d
27273 .xword 0xc16b8f4265092d5f
27274 .xword 0x1da811e210c5d32b
27275 .xword 0x59b362b4ccf33ad6
27276 .xword 0xf76ce034c86601dc
27277 .xword 0x4f63771ef17ab049
27278 .xword 0x46f91caba700efd3
27279 .xword 0x444b37fd37fabe38
27280 .xword 0xe89e9b209155b6d0
27281 .xword 0x0c35d048ad82d9a4
27282 .xword 0xd5cfd242b157e851
27283 .xword 0x57f752ef803d7e19
27284 .xword 0x5df4e3b75b064384
27285 .xword 0xc3816056b6abadf8
27286 .xword 0x1fc5cf3524890b13
27287_t1_hmac_dest:
27288 .xword 0xDEADBEEFDEADBEEF
27289 .xword 0xDEADBEEFDEADBEEF
27290 .xword 0xDEADBEEFDEADBEEF
27291 .xword 0xDEADBEEFDEADBEEF
27292 .xword 0xDEADBEEFDEADBEEF
27293 .xword 0xDEADBEEFDEADBEEF
27294 .xword 0xDEADBEEFDEADBEEF
27295 .xword 0xDEADBEEFDEADBEEF
27296 .xword 0xDEADBEEFDEADBEEF
27297 .xword 0xDEADBEEFDEADBEEF
27298 .xword 0xDEADBEEFDEADBEEF
27299 .xword 0xDEADBEEFDEADBEEF
27300 .xword 0xDEADBEEFDEADBEEF
27301 .xword 0xDEADBEEFDEADBEEF
27302 .xword 0xDEADBEEFDEADBEEF
27303 .xword 0xDEADBEEFDEADBEEF
27304 .xword 0xDEADBEEFDEADBEEF
27305 .xword 0xDEADBEEFDEADBEEF
27306 .xword 0xDEADBEEFDEADBEEF
27307 .xword 0xDEADBEEFDEADBEEF
27308 .xword 0xDEADBEEFDEADBEEF
27309 .xword 0xDEADBEEFDEADBEEF
27310 .xword 0xDEADBEEFDEADBEEF
27311 .xword 0xDEADBEEFDEADBEEF
27312 .xword 0xDEADBEEFDEADBEEF
27313 .xword 0xDEADBEEFDEADBEEF
27314 .xword 0xDEADBEEFDEADBEEF
27315 .xword 0xDEADBEEFDEADBEEF
27316 .xword 0xDEADBEEFDEADBEEF
27317 .xword 0xDEADBEEFDEADBEEF
27318 .xword 0xDEADBEEFDEADBEEF
27319 .xword 0xDEADBEEFDEADBEEF
27320 .xword 0xDEADBEEFDEADBEEF
27321 .xword 0xDEADBEEFDEADBEEF
27322 .xword 0xDEADBEEFDEADBEEF
27323 .xword 0xDEADBEEFDEADBEEF
27324 .xword 0xDEADBEEFDEADBEEF
27325 .xword 0xDEADBEEFDEADBEEF
27326 .xword 0xDEADBEEFDEADBEEF
27327 .xword 0xDEADBEEFDEADBEEF
27328 .xword 0xDEADBEEFDEADBEEF
27329 .xword 0xDEADBEEFDEADBEEF
27330 .xword 0xDEADBEEFDEADBEEF
27331 .xword 0xDEADBEEFDEADBEEF
27332 .xword 0xDEADBEEFDEADBEEF
27333 .xword 0xDEADBEEFDEADBEEF
27334 .xword 0xDEADBEEFDEADBEEF
27335 .xword 0xDEADBEEFDEADBEEF
27336 .xword 0xDEADBEEFDEADBEEF
27337 .xword 0xDEADBEEFDEADBEEF
27338 .xword 0xDEADBEEFDEADBEEF
27339 .xword 0xDEADBEEFDEADBEEF
27340 .xword 0xDEADBEEFDEADBEEF
27341 .xword 0xDEADBEEFDEADBEEF
27342 .xword 0xDEADBEEFDEADBEEF
27343 .xword 0xDEADBEEFDEADBEEF
27344 .xword 0xDEADBEEFDEADBEEF
27345 .xword 0xDEADBEEFDEADBEEF
27346 .xword 0xDEADBEEFDEADBEEF
27347 .xword 0xDEADBEEFDEADBEEF
27348 .xword 0xDEADBEEFDEADBEEF
27349 .xword 0xDEADBEEFDEADBEEF
27350 .xword 0xDEADBEEFDEADBEEF
27351 .xword 0xDEADBEEFDEADBEEF
27352 .xword 0xDEADBEEFDEADBEEF
27353 .xword 0xDEADBEEFDEADBEEF
27354 .xword 0xDEADBEEFDEADBEEF
27355 .xword 0xDEADBEEFDEADBEEF
27356 .xword 0xDEADBEEFDEADBEEF
27357 .xword 0xDEADBEEFDEADBEEF
27358 .xword 0xDEADBEEFDEADBEEF
27359 .xword 0xDEADBEEFDEADBEEF
27360 .xword 0xDEADBEEFDEADBEEF
27361 .xword 0xDEADBEEFDEADBEEF
27362 .xword 0xDEADBEEFDEADBEEF
27363 .xword 0xDEADBEEFDEADBEEF
27364 .xword 0xDEADBEEFDEADBEEF
27365 .xword 0xDEADBEEFDEADBEEF
27366 .xword 0xDEADBEEFDEADBEEF
27367 .xword 0xDEADBEEFDEADBEEF
27368 .xword 0xDEADBEEFDEADBEEF
27369 .xword 0xDEADBEEFDEADBEEF
27370 .xword 0xDEADBEEFDEADBEEF
27371 .xword 0xDEADBEEFDEADBEEF
27372 .xword 0xDEADBEEFDEADBEEF
27373 .xword 0xDEADBEEFDEADBEEF
27374 .xword 0xDEADBEEFDEADBEEF
27375 .xword 0xDEADBEEFDEADBEEF
27376 .xword 0xDEADBEEFDEADBEEF
27377 .xword 0xDEADBEEFDEADBEEF
27378 .xword 0xDEADBEEFDEADBEEF
27379 .xword 0xDEADBEEFDEADBEEF
27380 .xword 0xDEADBEEFDEADBEEF
27381 .xword 0xDEADBEEFDEADBEEF
27382 .xword 0xDEADBEEFDEADBEEF
27383 .xword 0xDEADBEEFDEADBEEF
27384 .xword 0xDEADBEEFDEADBEEF
27385 .xword 0xDEADBEEFDEADBEEF
27386 .xword 0xDEADBEEFDEADBEEF
27387 .xword 0xDEADBEEFDEADBEEF
27388 .xword 0xDEADBEEFDEADBEEF
27389 .xword 0xDEADBEEFDEADBEEF
27390 .xword 0xDEADBEEFDEADBEEF
27391 .xword 0xDEADBEEFDEADBEEF
27392 .xword 0xDEADBEEFDEADBEEF
27393 .xword 0xDEADBEEFDEADBEEF
27394 .xword 0xDEADBEEFDEADBEEF
27395 .xword 0xDEADBEEFDEADBEEF
27396 .xword 0xDEADBEEFDEADBEEF
27397 .xword 0xDEADBEEFDEADBEEF
27398 .xword 0xDEADBEEFDEADBEEF
27399 .xword 0xDEADBEEFDEADBEEF
27400 .xword 0xDEADBEEFDEADBEEF
27401 .xword 0xDEADBEEFDEADBEEF
27402 .xword 0xDEADBEEFDEADBEEF
27403 .xword 0xDEADBEEFDEADBEEF
27404 .xword 0xDEADBEEFDEADBEEF
27405 .xword 0xDEADBEEFDEADBEEF
27406 .xword 0xDEADBEEFDEADBEEF
27407 .xword 0xDEADBEEFDEADBEEF
27408 .xword 0xDEADBEEFDEADBEEF
27409 .xword 0xDEADBEEFDEADBEEF
27410 .xword 0xDEADBEEFDEADBEEF
27411 .xword 0xDEADBEEFDEADBEEF
27412 .xword 0xDEADBEEFDEADBEEF
27413 .xword 0xDEADBEEFDEADBEEF
27414 .xword 0xDEADBEEFDEADBEEF
27415 .xword 0xDEADBEEFDEADBEEF
27416 .xword 0xDEADBEEFDEADBEEF
27417 .xword 0xDEADBEEFDEADBEEF
27418 .xword 0xDEADBEEFDEADBEEF
27419 .xword 0xDEADBEEFDEADBEEF
27420 .xword 0xDEADBEEFDEADBEEF
27421 .xword 0xDEADBEEFDEADBEEF
27422 .xword 0xDEADBEEFDEADBEEF
27423 .xword 0xDEADBEEFDEADBEEF
27424 .xword 0xDEADBEEFDEADBEEF
27425 .xword 0xDEADBEEFDEADBEEF
27426 .xword 0xDEADBEEFDEADBEEF
27427 .xword 0xDEADBEEFDEADBEEF
27428 .xword 0xDEADBEEFDEADBEEF
27429 .xword 0xDEADBEEFDEADBEEF
27430 .xword 0xDEADBEEFDEADBEEF
27431 .xword 0xDEADBEEFDEADBEEF
27432 .xword 0xDEADBEEFDEADBEEF
27433 .xword 0xDEADBEEFDEADBEEF
27434 .xword 0xDEADBEEFDEADBEEF
27435 .xword 0xDEADBEEFDEADBEEF
27436 .xword 0xDEADBEEFDEADBEEF
27437 .xword 0xDEADBEEFDEADBEEF
27438 .xword 0xDEADBEEFDEADBEEF
27439 .xword 0xDEADBEEFDEADBEEF
27440 .xword 0xDEADBEEFDEADBEEF
27441 .xword 0xDEADBEEFDEADBEEF
27442 .xword 0xDEADBEEFDEADBEEF
27443 .xword 0xDEADBEEFDEADBEEF
27444 .xword 0xDEADBEEFDEADBEEF
27445 .xword 0xDEADBEEFDEADBEEF
27446 .xword 0xDEADBEEFDEADBEEF
27447 .xword 0xDEADBEEFDEADBEEF
27448 .xword 0xDEADBEEFDEADBEEF
27449 .xword 0xDEADBEEFDEADBEEF
27450 .xword 0xDEADBEEFDEADBEEF
27451 .xword 0xDEADBEEFDEADBEEF
27452 .xword 0xDEADBEEFDEADBEEF
27453 .xword 0xDEADBEEFDEADBEEF
27454 .xword 0xDEADBEEFDEADBEEF
27455 .xword 0xDEADBEEFDEADBEEF
27456 .xword 0xDEADBEEFDEADBEEF
27457 .xword 0xDEADBEEFDEADBEEF
27458 .xword 0xDEADBEEFDEADBEEF
27459 .xword 0xDEADBEEFDEADBEEF
27460 .xword 0xDEADBEEFDEADBEEF
27461 .xword 0xDEADBEEFDEADBEEF
27462 .xword 0xDEADBEEFDEADBEEF
27463 .xword 0xDEADBEEFDEADBEEF
27464 .xword 0xDEADBEEFDEADBEEF
27465 .xword 0xDEADBEEFDEADBEEF
27466 .xword 0xDEADBEEFDEADBEEF
27467 .xword 0xDEADBEEFDEADBEEF
27468 .xword 0xDEADBEEFDEADBEEF
27469 .xword 0xDEADBEEFDEADBEEF
27470 .xword 0xDEADBEEFDEADBEEF
27471 .xword 0xDEADBEEFDEADBEEF
27472 .xword 0xDEADBEEFDEADBEEF
27473 .xword 0xDEADBEEFDEADBEEF
27474 .xword 0xDEADBEEFDEADBEEF
27475 .xword 0xDEADBEEFDEADBEEF
27476 .xword 0xDEADBEEFDEADBEEF
27477 .xword 0xDEADBEEFDEADBEEF
27478 .xword 0xDEADBEEFDEADBEEF
27479 .xword 0xDEADBEEFDEADBEEF
27480 .xword 0xDEADBEEFDEADBEEF
27481 .xword 0xDEADBEEFDEADBEEF
27482 .xword 0xDEADBEEFDEADBEEF
27483 .xword 0xDEADBEEFDEADBEEF
27484 .xword 0xDEADBEEFDEADBEEF
27485 .xword 0xDEADBEEFDEADBEEF
27486 .xword 0xDEADBEEFDEADBEEF
27487 .xword 0xDEADBEEFDEADBEEF
27488 .xword 0xDEADBEEFDEADBEEF
27489 .xword 0xDEADBEEFDEADBEEF
27490 .xword 0xDEADBEEFDEADBEEF
27491 .xword 0xDEADBEEFDEADBEEF
27492 .xword 0xDEADBEEFDEADBEEF
27493 .xword 0xDEADBEEFDEADBEEF
27494 .xword 0xDEADBEEFDEADBEEF
27495 .xword 0xDEADBEEFDEADBEEF
27496 .xword 0xDEADBEEFDEADBEEF
27497 .xword 0xDEADBEEFDEADBEEF
27498 .xword 0xDEADBEEFDEADBEEF
27499 .xword 0xDEADBEEFDEADBEEF
27500 .xword 0xDEADBEEFDEADBEEF
27501 .xword 0xDEADBEEFDEADBEEF
27502 .xword 0xDEADBEEFDEADBEEF
27503 .xword 0xDEADBEEFDEADBEEF
27504 .xword 0xDEADBEEFDEADBEEF
27505 .xword 0xDEADBEEFDEADBEEF
27506 .xword 0xDEADBEEFDEADBEEF
27507 .xword 0xDEADBEEFDEADBEEF
27508 .xword 0xDEADBEEFDEADBEEF
27509 .xword 0xDEADBEEFDEADBEEF
27510 .xword 0xDEADBEEFDEADBEEF
27511 .xword 0xDEADBEEFDEADBEEF
27512 .xword 0xDEADBEEFDEADBEEF
27513 .xword 0xDEADBEEFDEADBEEF
27514 .xword 0xDEADBEEFDEADBEEF
27515 .xword 0xDEADBEEFDEADBEEF
27516 .xword 0xDEADBEEFDEADBEEF
27517 .xword 0xDEADBEEFDEADBEEF
27518 .xword 0xDEADBEEFDEADBEEF
27519 .xword 0xDEADBEEFDEADBEEF
27520 .xword 0xDEADBEEFDEADBEEF
27521 .xword 0xDEADBEEFDEADBEEF
27522 .xword 0xDEADBEEFDEADBEEF
27523 .xword 0xDEADBEEFDEADBEEF
27524 .xword 0xDEADBEEFDEADBEEF
27525 .xword 0xDEADBEEFDEADBEEF
27526 .xword 0xDEADBEEFDEADBEEF
27527 .xword 0xDEADBEEFDEADBEEF
27528 .xword 0xDEADBEEFDEADBEEF
27529 .xword 0xDEADBEEFDEADBEEF
27530 .xword 0xDEADBEEFDEADBEEF
27531 .xword 0xDEADBEEFDEADBEEF
27532 .xword 0xDEADBEEFDEADBEEF
27533 .xword 0xDEADBEEFDEADBEEF
27534 .xword 0xDEADBEEFDEADBEEF
27535 .xword 0xDEADBEEFDEADBEEF
27536 .xword 0xDEADBEEFDEADBEEF
27537 .xword 0xDEADBEEFDEADBEEF
27538 .xword 0xDEADBEEFDEADBEEF
27539 .xword 0xDEADBEEFDEADBEEF
27540 .xword 0xDEADBEEFDEADBEEF
27541 .xword 0xDEADBEEFDEADBEEF
27542 .xword 0xDEADBEEFDEADBEEF
27543 .xword 0xDEADBEEFDEADBEEF
27544 .xword 0xDEADBEEFDEADBEEF
27545 .xword 0xDEADBEEFDEADBEEF
27546 .xword 0xDEADBEEFDEADBEEF
27547 .xword 0xDEADBEEFDEADBEEF
27548 .xword 0xDEADBEEFDEADBEEF
27549 .xword 0xDEADBEEFDEADBEEF
27550 .xword 0xDEADBEEFDEADBEEF
27551 .xword 0xDEADBEEFDEADBEEF
27552 .xword 0xDEADBEEFDEADBEEF
27553 .xword 0xDEADBEEFDEADBEEF
27554 .xword 0xDEADBEEFDEADBEEF
27555 .xword 0xDEADBEEFDEADBEEF
27556 .xword 0xDEADBEEFDEADBEEF
27557 .xword 0xDEADBEEFDEADBEEF
27558 .xword 0xDEADBEEFDEADBEEF
27559 .xword 0xDEADBEEFDEADBEEF
27560 .xword 0xDEADBEEFDEADBEEF
27561 .xword 0xDEADBEEFDEADBEEF
27562 .xword 0xDEADBEEFDEADBEEF
27563_t1_hmac_auth_key:
27564 .xword 0x159c611a22d70873
27565 .xword 0xa43e12321db0fa2b
27566 .xword 0x2465ba81f3ab5527
27567 .xword 0x27b1885432ed9d64
27568 .xword 0xb28bf014a8e6d51e
27569 .xword 0x7a8834f32149fdee
27570 .xword 0xe057ff1b9da716c1
27571 .xword 0x4bbe9e6f067dc86e
27572 .xword 0xfa4f2f045692ccd5
27573 .xword 0xb1743750be694769
27574 .xword 0x930bbeb387d640e5
27575 .xword 0xd02f873c8dc87947
27576 .xword 0x341fe025085cbaff
27577 .xword 0x9cb2cda179bd7254
27578 .xword 0xa4b75d14af30273c
27579 .xword 0xd16ad214ef4cae59
27580 .xword 0x91c4742f333f3bc8
27581 .xword 0xf32a781fb2ce8a4d
27582 .xword 0xcf5b23357948ad87
27583 .xword 0x2e0fc95b2b4c22b7
27584 .xword 0x5c9118f1ea09b979
27585 .xword 0xae28d70a131e7528
27586 .xword 0x067f0fac9e341882
27587_t1_hmac_auth_iv:
27588 .xword 0x73379eeeb926d004
27589 .xword 0xe44e57229c51f9f2
27590 .xword 0x43bb88a7d2301e06
27591 .xword 0x1d98954b778106e5
27592 .xword 0x1f91f596f07540cb
27593 .xword 0xf6604159cabc76f5
27594 .xword 0xe89747a2652f20a0
27595 .xword 0xe77ebd01d5ce3a8d
27596 .xword 0x30f3610f11ba5266
27597 .xword 0x9770c30654c8da22
27598 .xword 0x003c6fb8039e69a7
27599 .xword 0x62a61565361869bb
27600 .xword 0x0b75063192a2b9a5
27601 .xword 0x761a2e1c1ac6cc75
27602 .xword 0x099fb86e9ed15d19
27603 .xword 0x315f9cae542644d6
27604 .xword 0x7dfc545e1ae77804
27605 .xword 0x8b37d630f34431ab
27606 .xword 0xc7997b5d8ec5e835
27607 .xword 0xd20653c0b4b52f13
27608 .xword 0x5a662371f407b026
27609 .xword 0x76dab2e8de964efd
27610 .xword 0x867b607fd1458881
27611_t1_hmac_fas_result:
27612 .xword 0xDEADBEEFDEADBEEF
27613 .xword 0xDEADBEEFDEADBEEF
27614 .xword 0xDEADBEEFDEADBEEF
27615 .xword 0xDEADBEEFDEADBEEF
27616 .xword 0xDEADBEEFDEADBEEF
27617 .xword 0xDEADBEEFDEADBEEF
27618 .xword 0xDEADBEEFDEADBEEF
27619 .xword 0xDEADBEEFDEADBEEF
27620 .xword 0xDEADBEEFDEADBEEF
27621 .xword 0xDEADBEEFDEADBEEF
27622 .xword 0xDEADBEEFDEADBEEF
27623 .xword 0xDEADBEEFDEADBEEF
27624 .xword 0xDEADBEEFDEADBEEF
27625 .xword 0xDEADBEEFDEADBEEF
27626 .xword 0xDEADBEEFDEADBEEF
27627 .xword 0xDEADBEEFDEADBEEF
27628 .xword 0xDEADBEEFDEADBEEF
27629 .xword 0xDEADBEEFDEADBEEF
27630 .xword 0xDEADBEEFDEADBEEF
27631 .xword 0xDEADBEEFDEADBEEF
27632 .xword 0xDEADBEEFDEADBEEF
27633 .xword 0xDEADBEEFDEADBEEF
27634 .xword 0xDEADBEEFDEADBEEF
27635_t1_rc4_key_array:
27636 .xword 0x3f3e87c622ea430a
27637 .xword 0x73dc31e8328a1de6
27638 .xword 0x6265d67d690f919f
27639 .xword 0xc8b893d92db6de9d
27640 .xword 0xfef47287fb655efd
27641 .xword 0x5055bf443188ac0b
27642 .xword 0x38c652d22efb4079
27643 .xword 0x259c3c03dce1bbaa
27644 .xword 0xc644543202cc4ace
27645 .xword 0xf1318b765847a637
27646 .xword 0x0096a9969da540e6
27647 .xword 0xf185c4a718329a71
27648 .xword 0xa28d5d61d99a6538
27649 .xword 0xb963f2398c5dc74a
27650 .xword 0x4a9167aa6cc6d086
27651 .xword 0xe045d8cbff94bbef
27652 .xword 0xcb79420cd0ad43f6
27653 .xword 0xaef6654e5b8805a3
27654 .xword 0x2de699511c29ec4d
27655 .xword 0xe5e0a2051ef78e4b
27656 .xword 0x7a90c39ba0a773a6
27657 .xword 0x7f0a12475be4ebe3
27658 .xword 0x12987cd99b62f13f
27659 .xword 0xb07332c06ba9809a
27660 .xword 0x8af0c65d5b045cc0
27661 .xword 0x47b08f4b55559841
27662 .xword 0xcd5071bdf6a6a9d3
27663 .xword 0x00b0e66d98c4337f
27664 .xword 0xe9f0be6956df2ea5
27665 .xword 0xd0c9f96cc18207d6
27666 .xword 0xc480abbce79b64c3
27667 .xword 0x8dfec6618bbd8b86
27668 .xword 0x8a0d77b6b878a182
27669 .xword 0x55c7e681eee72804
27670 .xword 0xaeaecba910356c56
27671 .xword 0x6100d4d81055773e
27672 .xword 0xce087321da7943da
27673 .xword 0x910498835ca96c3c
27674 .xword 0x952d2c117252e80d
27675 .xword 0xddf7502d035d9a67
27676 .xword 0x49a4780d3dee330e
27677 .xword 0xad70245ce324bf73
27678 .xword 0x3d58e67d747a0768
27679 .xword 0x2dbdc6690b853dc3
27680 .xword 0xb6f40f4d38cf1b15
27681 .xword 0xf2efa47ba7677813
27682 .xword 0xef647191c08d8e69
27683 .xword 0x09d0d110c0e9ab8b
27684 .xword 0x72cad6b4d8653d12
27685 .xword 0xd763d3bc7b92169e
27686 .xword 0x230bf26441c341f6
27687_t1_rc4_iv_array:
27688 .xword 0x0d2ddb6e381fce2a
27689 .xword 0x0cf95f8bbc116652
27690 .xword 0x9b17cebbcf08ad25
27691 .xword 0x45eaab9409e42d4b
27692 .xword 0xda676fb45bf09c90
27693 .xword 0x09c43870f3c912f4
27694 .xword 0xf93456dccb8418b8
27695 .xword 0xeea6a338de9dcbb7
27696 .xword 0x7e73975dcd2fcfd8
27697 .xword 0xadf61121607fa3b1
27698 .xword 0x8f95b25d9116ceaa
27699 .xword 0x05e94f9d62d47de1
27700 .xword 0x07036e4f60b83732
27701 .xword 0x7ba5d7655b5a666d
27702 .xword 0x71d3c589bd1a9c99
27703 .xword 0xfec0f1b7ba87913d
27704 .xword 0xab026928f03873a7
27705 .xword 0x387ace4673bd4c7e
27706 .xword 0x02924d6c524038ac
27707 .xword 0xc515da8047fbeab5
27708 .xword 0x29379f0fc4dbd085
27709 .xword 0x92ee6825b07cedd9
27710 .xword 0x29e9dc4240deee48
27711 .xword 0x1af0f2704ff58534
27712 .xword 0xf9cc26ae5ae866c6
27713 .xword 0x22481efd5c857d79
27714 .xword 0xd60e1f9fdd825f85
27715 .xword 0x4f5e8fb0e2cbbad8
27716 .xword 0x09e82058e6632a59
27717 .xword 0x70c98268d0608eaf
27718 .xword 0x7c600e0350ad9d9f
27719 .xword 0x825c2bac2a7770cb
27720 .xword 0xb54f19ad06c775c9
27721 .xword 0xffd8945a01c35b7a
27722 .xword 0x9a7ff5710def18f2
27723 .xword 0x01c773ffdd50317c
27724 .xword 0x1164b81cc056b9e3
27725 .xword 0xb7c88203c04f79c2
27726 .xword 0x4fdbb4f3fbf87d37
27727 .xword 0x1bf7b85e0012aabd
27728 .xword 0x736ab468dac82912
27729 .xword 0xb901a4e28b2b20f8
27730 .xword 0x7981fb82dd25fd82
27731 .xword 0x3a4db27c65d8c7bd
27732 .xword 0x93f7bce27214b3d6
27733_t1_rc4_alignment_array:
27734 .xword 8
27735 .xword 6
27736 .xword 13
27737 .xword 13
27738 .xword 11
27739 .xword 4
27740 .xword 12
27741 .xword 12
27742 .xword 15
27743 .xword 10
27744 .xword 12
27745 .xword 11
27746 .xword 8
27747 .xword 11
27748 .xword 13
27749 .xword 2
27750 .xword 6
27751 .xword 0
27752 .xword 1
27753 .xword 11
27754 .xword 2
27755 .xword 4
27756 .xword 15
27757 .xword 4
27758 .xword 8
27759 .xword 3
27760 .xword 9
27761 .xword 6
27762 .xword 4
27763 .xword 2
27764 .xword 6
27765 .xword 12
27766 .xword 6
27767 .xword 3
27768 .xword 12
27769 .xword 13
27770 .xword 12
27771 .xword 6
27772 .xword 15
27773 .xword 10
27774 .xword 1
27775 .xword 7
27776 .xword 10
27777 .xword 12
27778 .xword 0
27779 .xword 1
27780 .xword 15
27781 .xword 15
27782 .xword 1
27783 .xword 7
27784 .xword 13
27785 .xword 1
27786 .xword 3
27787 .xword 1
27788 .xword 0
27789 .xword 13
27790 .xword 3
27791 .xword 4
27792 .xword 3
27793 .xword 15
27794 .xword 6
27795 .xword 5
27796 .xword 3
27797 .xword 7
27798 .xword 3
27799 .xword 3
27800 .xword 9
27801 .xword 12
27802 .xword 12
27803 .xword 9
27804 .xword 5
27805 .xword 9
27806 .xword 13
27807 .xword 4
27808 .xword 8
27809 .xword 2
27810 .xword 0
27811 .xword 14
27812 .xword 2
27813 .xword 15
27814 .xword 6
27815 .xword 10
27816 .xword 0
27817 .xword 5
27818 .xword 14
27819 .xword 12
27820 .xword 14
27821 .xword 9
27822 .xword 0
27823 .xword 9
27824 .xword 7
27825 .xword 1
27826 .xword 8
27827 .xword 10
27828 .xword 13
27829 .xword 13
27830 .xword 8
27831 .xword 1
27832 .xword 11
27833 .xword 7
27834 .xword 14
27835 .xword 7
27836 .xword 9
27837 .xword 13
27838 .xword 10
27839_t1_rc4_src:
27840 .xword 0x5b5724808ec01eae
27841 .xword 0x3c6e021df43c345e
27842 .xword 0x734ada1048384d94
27843 .xword 0xf49abcd28a8b4cf7
27844 .xword 0xc161515f52ab3a1c
27845 .xword 0xf8dae423e979f3ed
27846 .xword 0xf4bcab805800597f
27847 .xword 0x6ba4e93764bf82d7
27848 .xword 0x4cb590ceb9c05577
27849 .xword 0x24059a45c47c5f11
27850 .xword 0x35cb90ddb43930e8
27851 .xword 0x68ee6e1c291e4573
27852 .xword 0xd8764f3e5496f1d2
27853 .xword 0xc77922b1cf0b2334
27854 .xword 0xe1743b6d15094fb6
27855 .xword 0x198063f8cededf7d
27856 .xword 0x679e86a97a765e0b
27857 .xword 0x7772b61da1023f34
27858 .xword 0x565f91ac195da8ed
27859 .xword 0x39e9b18fc96dcc89
27860 .xword 0xe7edd46c542a731f
27861 .xword 0x0b58de0c379043dc
27862 .xword 0x26f9f3dbe5d3297a
27863 .xword 0x59efbc7290a9aa06
27864 .xword 0x935f2a5dfef26400
27865 .xword 0x7abf3933028a35e6
27866 .xword 0x3720b2374896bfef
27867 .xword 0x86a9243ed88eed1c
27868 .xword 0xae52d14779b82f07
27869 .xword 0x567a9a3df0434c96
27870 .xword 0xe3205b5032313959
27871 .xword 0x01c2b7bbf6ce78a1
27872 .xword 0xfde5b9ecaef03c46
27873 .xword 0xe1be41516fd02ba0
27874 .xword 0x765c163889ae0da8
27875 .xword 0x461d7824ae510494
27876 .xword 0xf761b5a61cec309e
27877 .xword 0xc3df17584bfbb6ec
27878 .xword 0x24057b72c8bf870e
27879 .xword 0x753fd37cd5ab9c4d
27880 .xword 0xba5e297dc29f056a
27881 .xword 0x18209ad737da72c6
27882 .xword 0x992a8d01232c09b6
27883 .xword 0xb363db819f8c53e3
27884 .xword 0xa150cb568017242d
27885 .xword 0xdc361f9a8d6a06db
27886 .xword 0x772cf88738fffa79
27887 .xword 0xa6dee4beb27215f6
27888 .xword 0x1d36864329971ced
27889 .xword 0x68b673fa79bb4603
27890 .xword 0x7d153a6290a18465
27891 .xword 0xb44d826f4c8cbfa6
27892 .xword 0x9389b6dc264b9866
27893 .xword 0xc251300a46230864
27894 .xword 0x49260a95f37b17b8
27895 .xword 0xfb2460e0661eed54
27896 .xword 0xd41a1f5ff102191c
27897 .xword 0x7e819debf2c9ba4b
27898 .xword 0xc5ad6cefbffcc70a
27899 .xword 0xbcfd345e8dc5113e
27900 .xword 0x11a2a6d712536e1a
27901 .xword 0x6969776abf95579b
27902 .xword 0x7a56c9567f27e808
27903 .xword 0xae4056cf20ffb831
27904 .xword 0x23ebe1653847a6d4
27905 .xword 0x126b80791763d844
27906 .xword 0x7e9137d6e98e8eea
27907 .xword 0x30d2bb1a53a90e15
27908 .xword 0x64f33ad43737bf05
27909 .xword 0x43257381a7bd10f8
27910 .xword 0x2a10a083b6a0510e
27911 .xword 0x1c43879f46662d3c
27912 .xword 0xc379046e9f06d35d
27913 .xword 0x4f4363374ed9c58a
27914 .xword 0x08f6fd369c4003aa
27915 .xword 0x35e9c5d0a2ee77e4
27916 .xword 0xc65a8051311fe5c3
27917 .xword 0x2c789ede2f56571d
27918 .xword 0xf9fc38470f27dcf0
27919 .xword 0x879bff5d0648522c
27920 .xword 0x9563c85f94ad6f1b
27921 .xword 0x214dadbbc79eedb5
27922 .xword 0xbf63dd9ab6e15657
27923 .xword 0xcc395cd5f2274d1b
27924 .xword 0xaa71661b803d04de
27925 .xword 0x7082bf1914367b40
27926 .xword 0x3f6c16e7a43fec92
27927 .xword 0x0fb5bede317486f8
27928 .xword 0xd638a207fdfbbaea
27929 .xword 0xdc1b5bdf32c07869
27930 .xword 0x5702985a35fb4570
27931 .xword 0xc862f6c91133d23b
27932 .xword 0x45dc1364b7c330ff
27933 .xword 0xaf61d202f4032f12
27934 .xword 0x26160b96223e6802
27935 .xword 0x741267b583e038aa
27936 .xword 0x4d19196116be1f05
27937 .xword 0xfaa749df78ee1b5c
27938 .xword 0xa15f0254f88713ea
27939 .xword 0x1f5e6e81f623ef5d
27940 .xword 0xb89771e81983e14a
27941 .xword 0xfb020ec4cfe3fdd6
27942 .xword 0x501b3a46e28efd30
27943 .xword 0x293187ceefb95737
27944 .xword 0xf5048246645163d6
27945 .xword 0xe1e291f7c98bbc3a
27946 .xword 0x129a92618aeccc89
27947 .xword 0xf280be605303b1cb
27948 .xword 0x4a1ca989d651a751
27949 .xword 0xed6cfc882d7b9370
27950 .xword 0xa2e716ad223f92f7
27951 .xword 0xf10d93ae33f49c1e
27952 .xword 0x3c86e9d158fd08f6
27953 .xword 0x384994eb6208adf3
27954 .xword 0xe72ba1a994138b47
27955 .xword 0xcb893080882bb6c3
27956 .xword 0xb9b02fb017167131
27957 .xword 0x032589ca544946b9
27958 .xword 0x987ddd7617ae474d
27959 .xword 0xf8765aa6a47f82a5
27960 .xword 0x570813b2095ed989
27961 .xword 0x9c5314cf2fa47637
27962 .xword 0x15a4294a36adba2b
27963 .xword 0xedc918f423bfa3c6
27964 .xword 0x2ce59c29fba53de0
27965 .xword 0x9a4c4ef2d3d90523
27966 .xword 0x5f397a77a8adc572
27967 .xword 0x5a8473672306b571
27968 .xword 0xd072b9f70f1a7e46
27969 .xword 0x59e32bf6bb015233
27970 .xword 0xf12394d456a80be6
27971 .xword 0xdea298628a3bf5a9
27972 .xword 0xc6d148deb8326c05
27973 .xword 0x146e156143098da5
27974 .xword 0x1d28ced30c00ad08
27975 .xword 0x06221c86504a1799
27976 .xword 0x808d656d919880ca
27977 .xword 0x5aee0fdb7daf529a
27978 .xword 0xcee07d87ce5ef429
27979 .xword 0x481710e8a602a89f
27980 .xword 0x9a8b09a00df74193
27981 .xword 0x9dad1b23b149867d
27982 .xword 0xc0c68897acc8cf9e
27983 .xword 0x7775bdeca599259a
27984 .xword 0x558e1d764bc11ee2
27985 .xword 0x195f93488b9e0965
27986 .xword 0x438f775bf3f5c718
27987 .xword 0xbfee4b72a1a998be
27988 .xword 0xfd20a284b1e3b033
27989 .xword 0xa9aeed34c4d78b51
27990 .xword 0x875792d9b233b9b1
27991 .xword 0x71834d523d09900e
27992 .xword 0xa7e1300b4696e436
27993 .xword 0xac9d038af51b3421
27994 .xword 0xd8ca1170dc1ff0c4
27995 .xword 0xd0526b5615b61b57
27996 .xword 0x33ab4a33ef14aad6
27997 .xword 0x64e995f3f893e8fb
27998 .xword 0x68a0c81ded728bb3
27999 .xword 0x27c3d0c9f9354ee1
28000 .xword 0xff5d687300972386
28001 .xword 0xf605aec95b944569
28002 .xword 0x076209c1fa239eab
28003 .xword 0x364b596a39442820
28004 .xword 0x0b986b4021f3dad4
28005 .xword 0xaa51aee52a02e4b6
28006 .xword 0x0da0ef8bfafd47da
28007 .xword 0x732346ea4e786056
28008 .xword 0x4302bf562db615ba
28009 .xword 0xb35ab35da626ecc4
28010 .xword 0xbb696ebb280e0d63
28011 .xword 0x295e6da0c334cd25
28012 .xword 0x4dca2ab143e83b74
28013 .xword 0x83c97e9a5102ab75
28014 .xword 0xed3a3da942e13b75
28015 .xword 0xa1c515df94d9121a
28016 .xword 0x489c9c22e391720e
28017 .xword 0xdfc2e96942285e79
28018 .xword 0x6ada0da8e9d9f9af
28019 .xword 0xbec147e1bfeed217
28020 .xword 0xb996aa56ee7c631b
28021 .xword 0x01e6db3b1a2802c6
28022 .xword 0x19a3d9104cd4c386
28023 .xword 0x3782d4c2071d7c2f
28024 .xword 0x40861b20473c1735
28025 .xword 0x80da467d26d4320d
28026 .xword 0x7f4f573f9c3ba8d9
28027 .xword 0x0beaf810f9ceb6e4
28028 .xword 0xd6e594f7322e6372
28029 .xword 0xc99fb012008262df
28030 .xword 0xd6f286a548c72399
28031 .xword 0xb3f48a9bbe0d026d
28032 .xword 0x58e1bea473237e60
28033 .xword 0x605cfe76fcfa03c8
28034 .xword 0xdd634f142bce7b30
28035 .xword 0xe1967c3d534beadd
28036 .xword 0x9982994ca2212840
28037 .xword 0xbf661d346b84eed0
28038 .xword 0xdca96e70801c3f0d
28039 .xword 0x3be7cadb5dd2758b
28040 .xword 0xe1b7d85295eed8da
28041 .xword 0xf4706f73e515bc40
28042 .xword 0x3d4a1d0ec7e4b7cb
28043 .xword 0xcd9f4f0ec11e8d77
28044 .xword 0x2a067c159d0ff03d
28045 .xword 0x16951b6aac16b940
28046 .xword 0x8033026c510ab471
28047 .xword 0x8bbcebe611a4f0a1
28048 .xword 0x45c678c2e31208bc
28049 .xword 0x5509f8cdb21658f4
28050 .xword 0xca7ad7db050aab80
28051 .xword 0x448090c1156565e6
28052 .xword 0xe73227bbebd1deb5
28053 .xword 0x3a4deb7b768f0705
28054 .xword 0x9a11bb455c00d1c7
28055 .xword 0x29cb3a91130970c5
28056 .xword 0x44cbdad61a589bc7
28057 .xword 0xd82caaa31b24c9f9
28058 .xword 0xcdaf20b4c9b557d9
28059 .xword 0xf9168d6ac5b05ec6
28060 .xword 0xe4575abcbbcc6ebd
28061 .xword 0xce7978aebac87dff
28062 .xword 0x725e7f080e866aa0
28063 .xword 0xaf93089c8d346b71
28064 .xword 0x1f3d9e4fd6d1e995
28065 .xword 0x3ad3e26a087bf61a
28066 .xword 0x8b5c997e430449f0
28067 .xword 0x35668cb5bb716940
28068 .xword 0x0e913534650740f9
28069 .xword 0x405ae724f879f6ee
28070 .xword 0x03a09be5c946ebdc
28071 .xword 0xa7562cb01c8b18a0
28072 .xword 0xdc553391ff722168
28073 .xword 0xd95fab2a6dcf8794
28074 .xword 0xb8e3b8b237696b21
28075 .xword 0xa49d59d42104adbd
28076 .xword 0xa5c4bf6f626c6222
28077 .xword 0x395cc418b90b1fab
28078 .xword 0x571e7a4e866f093a
28079 .xword 0x1968936b720a53ad
28080 .xword 0x5df7b2a8949f6618
28081 .xword 0xf3700a8960906c34
28082 .xword 0x3257e7986df3ea80
28083 .xword 0x605abc5e54e28ad6
28084 .xword 0xf27ea593a285ee15
28085 .xword 0xa1a8eee7a03b5c74
28086 .xword 0x997646a41a76e08d
28087 .xword 0x801260bf84d6e35c
28088 .xword 0x5606f5a96b0ec909
28089 .xword 0xa771e5718f22288b
28090 .xword 0x83f5a387d1f53c87
28091 .xword 0xc60f3caf70cce299
28092 .xword 0xe51af9b8c42b45c4
28093 .xword 0xd0a2fd129923aa7f
28094 .xword 0xcec0ce831566c528
28095 .xword 0x921d9d5e40dcfc25
28096 .xword 0x1298222f71a7eb1a
28097 .xword 0x78768f277f9a753a
28098 .xword 0x3b2aea56558b19da
28099 .xword 0xc1cfcfd0231259a3
28100 .xword 0xf88c8d23b8e227ea
28101 .xword 0xb976e013b734fcdc
28102 .xword 0x144546f500b0ec89
28103 .xword 0x091de479ee8a3a60
28104 .xword 0xed2a131d5801b3b6
28105 .xword 0x8c7d4b9523e1ae07
28106 .xword 0x452e49d92c95c31e
28107 .xword 0x2ab68fa4d6fe648f
28108 .xword 0x5c76343bb3f21281
28109 .xword 0x4af85abe44747cb5
28110 .xword 0xf7c5e79250a2df6c
28111 .xword 0xe142ca910d9d063e
28112 .xword 0xfa81fb4ac84643b9
28113 .xword 0xee25913f2b1d7396
28114 .xword 0xec537e1a0a517410
28115_t1_rc4_dest:
28116 .xword 0xDEADBEEFDEADBEEF
28117 .xword 0xDEADBEEFDEADBEEF
28118 .xword 0xDEADBEEFDEADBEEF
28119 .xword 0xDEADBEEFDEADBEEF
28120 .xword 0xDEADBEEFDEADBEEF
28121 .xword 0xDEADBEEFDEADBEEF
28122 .xword 0xDEADBEEFDEADBEEF
28123 .xword 0xDEADBEEFDEADBEEF
28124 .xword 0xDEADBEEFDEADBEEF
28125 .xword 0xDEADBEEFDEADBEEF
28126 .xword 0xDEADBEEFDEADBEEF
28127 .xword 0xDEADBEEFDEADBEEF
28128 .xword 0xDEADBEEFDEADBEEF
28129 .xword 0xDEADBEEFDEADBEEF
28130 .xword 0xDEADBEEFDEADBEEF
28131 .xword 0xDEADBEEFDEADBEEF
28132 .xword 0xDEADBEEFDEADBEEF
28133 .xword 0xDEADBEEFDEADBEEF
28134 .xword 0xDEADBEEFDEADBEEF
28135 .xword 0xDEADBEEFDEADBEEF
28136 .xword 0xDEADBEEFDEADBEEF
28137 .xword 0xDEADBEEFDEADBEEF
28138 .xword 0xDEADBEEFDEADBEEF
28139 .xword 0xDEADBEEFDEADBEEF
28140 .xword 0xDEADBEEFDEADBEEF
28141 .xword 0xDEADBEEFDEADBEEF
28142 .xword 0xDEADBEEFDEADBEEF
28143 .xword 0xDEADBEEFDEADBEEF
28144 .xword 0xDEADBEEFDEADBEEF
28145 .xword 0xDEADBEEFDEADBEEF
28146 .xword 0xDEADBEEFDEADBEEF
28147 .xword 0xDEADBEEFDEADBEEF
28148 .xword 0xDEADBEEFDEADBEEF
28149 .xword 0xDEADBEEFDEADBEEF
28150 .xword 0xDEADBEEFDEADBEEF
28151 .xword 0xDEADBEEFDEADBEEF
28152 .xword 0xDEADBEEFDEADBEEF
28153 .xword 0xDEADBEEFDEADBEEF
28154 .xword 0xDEADBEEFDEADBEEF
28155 .xword 0xDEADBEEFDEADBEEF
28156 .xword 0xDEADBEEFDEADBEEF
28157 .xword 0xDEADBEEFDEADBEEF
28158 .xword 0xDEADBEEFDEADBEEF
28159 .xword 0xDEADBEEFDEADBEEF
28160 .xword 0xDEADBEEFDEADBEEF
28161 .xword 0xDEADBEEFDEADBEEF
28162 .xword 0xDEADBEEFDEADBEEF
28163 .xword 0xDEADBEEFDEADBEEF
28164 .xword 0xDEADBEEFDEADBEEF
28165 .xword 0xDEADBEEFDEADBEEF
28166 .xword 0xDEADBEEFDEADBEEF
28167 .xword 0xDEADBEEFDEADBEEF
28168 .xword 0xDEADBEEFDEADBEEF
28169 .xword 0xDEADBEEFDEADBEEF
28170 .xword 0xDEADBEEFDEADBEEF
28171 .xword 0xDEADBEEFDEADBEEF
28172 .xword 0xDEADBEEFDEADBEEF
28173 .xword 0xDEADBEEFDEADBEEF
28174 .xword 0xDEADBEEFDEADBEEF
28175 .xword 0xDEADBEEFDEADBEEF
28176 .xword 0xDEADBEEFDEADBEEF
28177 .xword 0xDEADBEEFDEADBEEF
28178 .xword 0xDEADBEEFDEADBEEF
28179 .xword 0xDEADBEEFDEADBEEF
28180 .xword 0xDEADBEEFDEADBEEF
28181 .xword 0xDEADBEEFDEADBEEF
28182 .xword 0xDEADBEEFDEADBEEF
28183 .xword 0xDEADBEEFDEADBEEF
28184 .xword 0xDEADBEEFDEADBEEF
28185 .xword 0xDEADBEEFDEADBEEF
28186 .xword 0xDEADBEEFDEADBEEF
28187 .xword 0xDEADBEEFDEADBEEF
28188 .xword 0xDEADBEEFDEADBEEF
28189 .xword 0xDEADBEEFDEADBEEF
28190 .xword 0xDEADBEEFDEADBEEF
28191 .xword 0xDEADBEEFDEADBEEF
28192 .xword 0xDEADBEEFDEADBEEF
28193 .xword 0xDEADBEEFDEADBEEF
28194 .xword 0xDEADBEEFDEADBEEF
28195 .xword 0xDEADBEEFDEADBEEF
28196 .xword 0xDEADBEEFDEADBEEF
28197 .xword 0xDEADBEEFDEADBEEF
28198 .xword 0xDEADBEEFDEADBEEF
28199 .xword 0xDEADBEEFDEADBEEF
28200 .xword 0xDEADBEEFDEADBEEF
28201 .xword 0xDEADBEEFDEADBEEF
28202 .xword 0xDEADBEEFDEADBEEF
28203 .xword 0xDEADBEEFDEADBEEF
28204 .xword 0xDEADBEEFDEADBEEF
28205 .xword 0xDEADBEEFDEADBEEF
28206 .xword 0xDEADBEEFDEADBEEF
28207 .xword 0xDEADBEEFDEADBEEF
28208 .xword 0xDEADBEEFDEADBEEF
28209 .xword 0xDEADBEEFDEADBEEF
28210 .xword 0xDEADBEEFDEADBEEF
28211 .xword 0xDEADBEEFDEADBEEF
28212 .xword 0xDEADBEEFDEADBEEF
28213 .xword 0xDEADBEEFDEADBEEF
28214 .xword 0xDEADBEEFDEADBEEF
28215 .xword 0xDEADBEEFDEADBEEF
28216 .xword 0xDEADBEEFDEADBEEF
28217 .xword 0xDEADBEEFDEADBEEF
28218 .xword 0xDEADBEEFDEADBEEF
28219 .xword 0xDEADBEEFDEADBEEF
28220 .xword 0xDEADBEEFDEADBEEF
28221 .xword 0xDEADBEEFDEADBEEF
28222 .xword 0xDEADBEEFDEADBEEF
28223 .xword 0xDEADBEEFDEADBEEF
28224 .xword 0xDEADBEEFDEADBEEF
28225 .xword 0xDEADBEEFDEADBEEF
28226 .xword 0xDEADBEEFDEADBEEF
28227 .xword 0xDEADBEEFDEADBEEF
28228 .xword 0xDEADBEEFDEADBEEF
28229 .xword 0xDEADBEEFDEADBEEF
28230 .xword 0xDEADBEEFDEADBEEF
28231 .xword 0xDEADBEEFDEADBEEF
28232 .xword 0xDEADBEEFDEADBEEF
28233 .xword 0xDEADBEEFDEADBEEF
28234 .xword 0xDEADBEEFDEADBEEF
28235 .xword 0xDEADBEEFDEADBEEF
28236 .xword 0xDEADBEEFDEADBEEF
28237 .xword 0xDEADBEEFDEADBEEF
28238 .xword 0xDEADBEEFDEADBEEF
28239 .xword 0xDEADBEEFDEADBEEF
28240 .xword 0xDEADBEEFDEADBEEF
28241 .xword 0xDEADBEEFDEADBEEF
28242 .xword 0xDEADBEEFDEADBEEF
28243 .xword 0xDEADBEEFDEADBEEF
28244 .xword 0xDEADBEEFDEADBEEF
28245 .xword 0xDEADBEEFDEADBEEF
28246 .xword 0xDEADBEEFDEADBEEF
28247 .xword 0xDEADBEEFDEADBEEF
28248 .xword 0xDEADBEEFDEADBEEF
28249 .xword 0xDEADBEEFDEADBEEF
28250 .xword 0xDEADBEEFDEADBEEF
28251 .xword 0xDEADBEEFDEADBEEF
28252 .xword 0xDEADBEEFDEADBEEF
28253 .xword 0xDEADBEEFDEADBEEF
28254 .xword 0xDEADBEEFDEADBEEF
28255 .xword 0xDEADBEEFDEADBEEF
28256 .xword 0xDEADBEEFDEADBEEF
28257 .xword 0xDEADBEEFDEADBEEF
28258 .xword 0xDEADBEEFDEADBEEF
28259 .xword 0xDEADBEEFDEADBEEF
28260 .xword 0xDEADBEEFDEADBEEF
28261 .xword 0xDEADBEEFDEADBEEF
28262 .xword 0xDEADBEEFDEADBEEF
28263 .xword 0xDEADBEEFDEADBEEF
28264 .xword 0xDEADBEEFDEADBEEF
28265 .xword 0xDEADBEEFDEADBEEF
28266 .xword 0xDEADBEEFDEADBEEF
28267 .xword 0xDEADBEEFDEADBEEF
28268 .xword 0xDEADBEEFDEADBEEF
28269 .xword 0xDEADBEEFDEADBEEF
28270 .xword 0xDEADBEEFDEADBEEF
28271 .xword 0xDEADBEEFDEADBEEF
28272 .xword 0xDEADBEEFDEADBEEF
28273 .xword 0xDEADBEEFDEADBEEF
28274 .xword 0xDEADBEEFDEADBEEF
28275 .xword 0xDEADBEEFDEADBEEF
28276 .xword 0xDEADBEEFDEADBEEF
28277 .xword 0xDEADBEEFDEADBEEF
28278 .xword 0xDEADBEEFDEADBEEF
28279 .xword 0xDEADBEEFDEADBEEF
28280 .xword 0xDEADBEEFDEADBEEF
28281 .xword 0xDEADBEEFDEADBEEF
28282 .xword 0xDEADBEEFDEADBEEF
28283 .xword 0xDEADBEEFDEADBEEF
28284 .xword 0xDEADBEEFDEADBEEF
28285 .xword 0xDEADBEEFDEADBEEF
28286 .xword 0xDEADBEEFDEADBEEF
28287 .xword 0xDEADBEEFDEADBEEF
28288 .xword 0xDEADBEEFDEADBEEF
28289 .xword 0xDEADBEEFDEADBEEF
28290 .xword 0xDEADBEEFDEADBEEF
28291 .xword 0xDEADBEEFDEADBEEF
28292 .xword 0xDEADBEEFDEADBEEF
28293 .xword 0xDEADBEEFDEADBEEF
28294 .xword 0xDEADBEEFDEADBEEF
28295 .xword 0xDEADBEEFDEADBEEF
28296 .xword 0xDEADBEEFDEADBEEF
28297 .xword 0xDEADBEEFDEADBEEF
28298 .xword 0xDEADBEEFDEADBEEF
28299 .xword 0xDEADBEEFDEADBEEF
28300 .xword 0xDEADBEEFDEADBEEF
28301 .xword 0xDEADBEEFDEADBEEF
28302 .xword 0xDEADBEEFDEADBEEF
28303 .xword 0xDEADBEEFDEADBEEF
28304 .xword 0xDEADBEEFDEADBEEF
28305 .xword 0xDEADBEEFDEADBEEF
28306 .xword 0xDEADBEEFDEADBEEF
28307 .xword 0xDEADBEEFDEADBEEF
28308 .xword 0xDEADBEEFDEADBEEF
28309 .xword 0xDEADBEEFDEADBEEF
28310 .xword 0xDEADBEEFDEADBEEF
28311 .xword 0xDEADBEEFDEADBEEF
28312 .xword 0xDEADBEEFDEADBEEF
28313 .xword 0xDEADBEEFDEADBEEF
28314 .xword 0xDEADBEEFDEADBEEF
28315 .xword 0xDEADBEEFDEADBEEF
28316 .xword 0xDEADBEEFDEADBEEF
28317 .xword 0xDEADBEEFDEADBEEF
28318 .xword 0xDEADBEEFDEADBEEF
28319 .xword 0xDEADBEEFDEADBEEF
28320 .xword 0xDEADBEEFDEADBEEF
28321 .xword 0xDEADBEEFDEADBEEF
28322 .xword 0xDEADBEEFDEADBEEF
28323 .xword 0xDEADBEEFDEADBEEF
28324 .xword 0xDEADBEEFDEADBEEF
28325 .xword 0xDEADBEEFDEADBEEF
28326 .xword 0xDEADBEEFDEADBEEF
28327 .xword 0xDEADBEEFDEADBEEF
28328 .xword 0xDEADBEEFDEADBEEF
28329 .xword 0xDEADBEEFDEADBEEF
28330 .xword 0xDEADBEEFDEADBEEF
28331 .xword 0xDEADBEEFDEADBEEF
28332 .xword 0xDEADBEEFDEADBEEF
28333 .xword 0xDEADBEEFDEADBEEF
28334 .xword 0xDEADBEEFDEADBEEF
28335 .xword 0xDEADBEEFDEADBEEF
28336 .xword 0xDEADBEEFDEADBEEF
28337 .xword 0xDEADBEEFDEADBEEF
28338 .xword 0xDEADBEEFDEADBEEF
28339 .xword 0xDEADBEEFDEADBEEF
28340 .xword 0xDEADBEEFDEADBEEF
28341 .xword 0xDEADBEEFDEADBEEF
28342 .xword 0xDEADBEEFDEADBEEF
28343 .xword 0xDEADBEEFDEADBEEF
28344 .xword 0xDEADBEEFDEADBEEF
28345 .xword 0xDEADBEEFDEADBEEF
28346 .xword 0xDEADBEEFDEADBEEF
28347 .xword 0xDEADBEEFDEADBEEF
28348 .xword 0xDEADBEEFDEADBEEF
28349 .xword 0xDEADBEEFDEADBEEF
28350 .xword 0xDEADBEEFDEADBEEF
28351 .xword 0xDEADBEEFDEADBEEF
28352 .xword 0xDEADBEEFDEADBEEF
28353 .xword 0xDEADBEEFDEADBEEF
28354 .xword 0xDEADBEEFDEADBEEF
28355 .xword 0xDEADBEEFDEADBEEF
28356 .xword 0xDEADBEEFDEADBEEF
28357 .xword 0xDEADBEEFDEADBEEF
28358 .xword 0xDEADBEEFDEADBEEF
28359 .xword 0xDEADBEEFDEADBEEF
28360 .xword 0xDEADBEEFDEADBEEF
28361 .xword 0xDEADBEEFDEADBEEF
28362 .xword 0xDEADBEEFDEADBEEF
28363 .xword 0xDEADBEEFDEADBEEF
28364 .xword 0xDEADBEEFDEADBEEF
28365 .xword 0xDEADBEEFDEADBEEF
28366 .xword 0xDEADBEEFDEADBEEF
28367 .xword 0xDEADBEEFDEADBEEF
28368 .xword 0xDEADBEEFDEADBEEF
28369 .xword 0xDEADBEEFDEADBEEF
28370 .xword 0xDEADBEEFDEADBEEF
28371 .xword 0xDEADBEEFDEADBEEF
28372 .xword 0xDEADBEEFDEADBEEF
28373 .xword 0xDEADBEEFDEADBEEF
28374 .xword 0xDEADBEEFDEADBEEF
28375 .xword 0xDEADBEEFDEADBEEF
28376 .xword 0xDEADBEEFDEADBEEF
28377 .xword 0xDEADBEEFDEADBEEF
28378 .xword 0xDEADBEEFDEADBEEF
28379 .xword 0xDEADBEEFDEADBEEF
28380 .xword 0xDEADBEEFDEADBEEF
28381 .xword 0xDEADBEEFDEADBEEF
28382 .xword 0xDEADBEEFDEADBEEF
28383 .xword 0xDEADBEEFDEADBEEF
28384 .xword 0xDEADBEEFDEADBEEF
28385 .xword 0xDEADBEEFDEADBEEF
28386 .xword 0xDEADBEEFDEADBEEF
28387 .xword 0xDEADBEEFDEADBEEF
28388 .xword 0xDEADBEEFDEADBEEF
28389 .xword 0xDEADBEEFDEADBEEF
28390 .xword 0xDEADBEEFDEADBEEF
28391_t1_rc4_auth_key:
28392 .xword 0x92fe03b60c683ba8
28393 .xword 0x6672d8101e94c777
28394 .xword 0xd89c4a698b868754
28395 .xword 0x51df859667fa4227
28396 .xword 0xaa8e80817fcf1972
28397 .xword 0x209e555e58e568a3
28398 .xword 0xb4d08c2609d0f3db
28399 .xword 0xd65eab79822572dd
28400 .xword 0xd5834e8e6e65fd06
28401 .xword 0xd51e90fd2d6db803
28402 .xword 0xc0888f7e0fde98ed
28403 .xword 0xb1370553af2b5f99
28404 .xword 0x672c3d2bcdd79c66
28405 .xword 0x09069a510afb0b90
28406 .xword 0x3529b80cf01dc028
28407 .xword 0x77033f309677b068
28408 .xword 0xfd61425f5588f739
28409 .xword 0x8f6238a1bde5909d
28410 .xword 0x86bce0f95b0980aa
28411 .xword 0x3b3fccf5735d5b07
28412 .xword 0x5f013478bf074cac
28413 .xword 0x61acffefe692be66
28414 .xword 0x080baa2a2ac2d59d
28415_t1_rc4_auth_iv:
28416 .xword 0x56a8d92ceb8dc229
28417 .xword 0xa1d763fb4a1d2134
28418 .xword 0x457cf7709c40be7b
28419 .xword 0xb6b65420812c9792
28420 .xword 0xd8a25e1f73dc32ab
28421 .xword 0x23c757c49c03d4a1
28422 .xword 0x79aa62079f482e59
28423 .xword 0x3dd7bcf65ad2e853
28424 .xword 0x3a78c47fe73abbb3
28425 .xword 0xaf0fc86bdde0aff2
28426 .xword 0xc84397b1bb3bd4b2
28427 .xword 0x0ccd7143ac6d110a
28428 .xword 0xe3f2780e13ef16bc
28429 .xword 0xac79f9873896bad5
28430 .xword 0xfdb91d7f3da0aa4c
28431 .xword 0x2ff73c71f0095105
28432 .xword 0xc96f6163eebfcaf0
28433 .xword 0x48ec3a18b2aba5af
28434 .xword 0x0b214c9c5c7f9ee7
28435 .xword 0x64c6a8b64d83417f
28436 .xword 0x8fddd67ed8c0d412
28437 .xword 0x7ecf05a586dcbe07
28438 .xword 0x47d948666cc294b3
28439_t1_rc4_fas_result:
28440 .xword 0xDEADBEEFDEADBEEF
28441 .xword 0xDEADBEEFDEADBEEF
28442 .xword 0xDEADBEEFDEADBEEF
28443 .xword 0xDEADBEEFDEADBEEF
28444 .xword 0xDEADBEEFDEADBEEF
28445 .xword 0xDEADBEEFDEADBEEF
28446 .xword 0xDEADBEEFDEADBEEF
28447 .xword 0xDEADBEEFDEADBEEF
28448 .xword 0xDEADBEEFDEADBEEF
28449 .xword 0xDEADBEEFDEADBEEF
28450 .xword 0xDEADBEEFDEADBEEF
28451 .xword 0xDEADBEEFDEADBEEF
28452 .xword 0xDEADBEEFDEADBEEF
28453 .xword 0xDEADBEEFDEADBEEF
28454 .xword 0xDEADBEEFDEADBEEF
28455 .xword 0xDEADBEEFDEADBEEF
28456 .xword 0xDEADBEEFDEADBEEF
28457 .xword 0xDEADBEEFDEADBEEF
28458 .xword 0xDEADBEEFDEADBEEF
28459 .xword 0xDEADBEEFDEADBEEF
28460 .xword 0xDEADBEEFDEADBEEF
28461 .xword 0xDEADBEEFDEADBEEF
28462 .xword 0xDEADBEEFDEADBEEF
28463_t1_sslkey_key_array:
28464 .xword 0x4b94952ea33f479e
28465 .xword 0x5185159066ef70b7
28466 .xword 0xdd4b2f1f4e8dfe19
28467 .xword 0xd9bf3796da97bdf9
28468 .xword 0x2ce13427c6d8a1d8
28469 .xword 0xe55cec3705da9d56
28470 .xword 0x73ba8e507051bbb4
28471 .xword 0x05d4b89d21679c7c
28472 .xword 0x2f9eccbf89669cda
28473 .xword 0xcdb79d950d9c38a4
28474 .xword 0x78b14feadef648fa
28475 .xword 0x52f2d742b555c496
28476 .xword 0x8ec4518eb5b21169
28477 .xword 0xb4fba26a19ee74b9
28478 .xword 0xc80751bed7a488c1
28479 .xword 0x16c552a32e042d8e
28480 .xword 0x1755a334d15a0a08
28481 .xword 0x19782194c578aba2
28482 .xword 0x23e15e20391f2286
28483 .xword 0x2f077a7ae899b95e
28484 .xword 0xad163ac978dcfa87
28485 .xword 0x1c284d7c1a704b53
28486 .xword 0xa3aced97f10476cd
28487 .xword 0x18b0964e54a5fedf
28488 .xword 0xaa8268f91e695715
28489 .xword 0x0540c44bee0c790b
28490 .xword 0x47f6e4a29ba68cab
28491 .xword 0x9454cc4abd53aeda
28492 .xword 0x5155449a374761d4
28493 .xword 0x45343c9fb76a15f4
28494 .xword 0x409393e8e8fc4457
28495 .xword 0x2c3a4955fad19060
28496 .xword 0xb4b3fdd8f71abde8
28497 .xword 0x2e13f4ef17641985
28498 .xword 0x07993f44d89670a8
28499 .xword 0xa0797d28f8c03f75
28500 .xword 0x3f103a59620d9689
28501 .xword 0x021e2044cbd707cf
28502 .xword 0xa25d85e72a61a63c
28503 .xword 0xe7fab4af8fc59f8c
28504 .xword 0x188060498107832e
28505 .xword 0xaefceccd2cb57711
28506 .xword 0x7e5d66507ca5d332
28507 .xword 0x6292e88a51480065
28508 .xword 0x458113e086336e62
28509 .xword 0x5b9017ef9713ce2d
28510 .xword 0x1fa4a1ad92bc343d
28511 .xword 0x0246666414c3a897
28512 .xword 0xea75317a2da96d4e
28513 .xword 0xfa2b54c27df0f1b0
28514 .xword 0x36b941bb7dae0917
28515_t1_sslkey_iv_array:
28516 .xword 0x23eb4e6ca82dddae
28517 .xword 0xd8a346d73ba40497
28518 .xword 0x0e6febea6f1f9737
28519 .xword 0xd8014c572dca7573
28520 .xword 0xeceb6db3e8acf45d
28521 .xword 0x50016576725691e9
28522 .xword 0x0e537678759ee7d9
28523 .xword 0x9893d3712427805a
28524 .xword 0x6e58b313a73c4501
28525 .xword 0xddecfb4649895470
28526 .xword 0xe6617f4373f351ed
28527 .xword 0x56128ad1867f925e
28528 .xword 0x449176add3e74b8f
28529 .xword 0x7890cac5def5a3d2
28530 .xword 0xe4884b80753db254
28531 .xword 0xee7d5dd00040f2a9
28532 .xword 0xa0225527dd3a02e7
28533 .xword 0x361c73a60cdbf276
28534 .xword 0x211648ca2a404879
28535 .xword 0xd60f7a72640c87b7
28536 .xword 0x9f02448cdb9d9f58
28537 .xword 0x4f40e55a81413676
28538 .xword 0xd58590219d741efe
28539 .xword 0xfef4e186b61b5955
28540 .xword 0x68f42b4b86c1d680
28541 .xword 0xa28b6b4c19f4ed2a
28542 .xword 0xea3ca3579187b08d
28543 .xword 0xa0f82af39654f95d
28544 .xword 0x5239d3cf43e84a41
28545 .xword 0xc3cd562f79f1dd59
28546 .xword 0x9b5a079e9c16edaa
28547 .xword 0xaf8887cc75b77a6c
28548 .xword 0x53d8e5febf178f3d
28549 .xword 0x1d1f3ad5b27818da
28550 .xword 0x5247b51e198abd87
28551 .xword 0x5f7d916e09c469dc
28552 .xword 0xc138ef1dc5e01b15
28553 .xword 0x5c965dabe5ab687d
28554 .xword 0xd5e7dd6b0d01f95f
28555 .xword 0x211a72bf91a60866
28556 .xword 0x987eb62f250466df
28557 .xword 0x767f8eeaea58a2e0
28558 .xword 0x9d96140956663a2f
28559 .xword 0x495aa1babc6b5eb8
28560 .xword 0xda94b1e11b432d1b
28561_t1_sslkey_alignment_array:
28562 .xword 0
28563 .xword 0
28564 .xword 0
28565 .xword 0
28566 .xword 0
28567 .xword 0
28568 .xword 0
28569 .xword 0
28570 .xword 0
28571 .xword 0
28572 .xword 0
28573 .xword 0
28574 .xword 0
28575 .xword 0
28576 .xword 0
28577 .xword 0
28578 .xword 0
28579 .xword 0
28580 .xword 0
28581 .xword 0
28582 .xword 0
28583 .xword 0
28584 .xword 0
28585 .xword 0
28586 .xword 0
28587 .xword 0
28588 .xword 0
28589 .xword 0
28590 .xword 0
28591 .xword 0
28592 .xword 0
28593 .xword 0
28594 .xword 0
28595 .xword 0
28596 .xword 0
28597 .xword 0
28598 .xword 0
28599 .xword 0
28600 .xword 0
28601 .xword 0
28602 .xword 0
28603 .xword 0
28604 .xword 0
28605 .xword 0
28606 .xword 0
28607 .xword 0
28608 .xword 0
28609 .xword 0
28610 .xword 0
28611 .xword 0
28612 .xword 0
28613 .xword 0
28614 .xword 0
28615 .xword 0
28616 .xword 0
28617 .xword 0
28618 .xword 0
28619 .xword 0
28620 .xword 0
28621 .xword 0
28622 .xword 0
28623 .xword 0
28624 .xword 0
28625 .xword 0
28626 .xword 0
28627 .xword 0
28628 .xword 0
28629 .xword 0
28630 .xword 0
28631 .xword 0
28632 .xword 0
28633 .xword 0
28634 .xword 0
28635 .xword 0
28636 .xword 0
28637 .xword 0
28638 .xword 0
28639 .xword 0
28640 .xword 0
28641 .xword 0
28642 .xword 0
28643 .xword 0
28644 .xword 0
28645 .xword 0
28646 .xword 0
28647 .xword 0
28648 .xword 0
28649 .xword 0
28650 .xword 0
28651 .xword 0
28652 .xword 0
28653 .xword 0
28654 .xword 0
28655 .xword 0
28656 .xword 0
28657 .xword 0
28658 .xword 0
28659 .xword 0
28660 .xword 0
28661 .xword 0
28662 .xword 0
28663 .xword 0
28664 .xword 0
28665 .xword 0
28666 .xword 0
28667_t1_sslkey_src:
28668 .xword 0x4cc424b0133778a6
28669 .xword 0xcb2f1ae5094b7fc2
28670 .xword 0xdd54150f5462ae5f
28671 .xword 0x616531cf24b33a24
28672 .xword 0xb7d5d9a9e43347e4
28673 .xword 0xc85d023c192e04d0
28674 .xword 0xf4fcd9f753bef1dd
28675 .xword 0x75de7358276647be
28676 .xword 0xb47cbfcbeb2e8cc7
28677 .xword 0x000a32bf94c15f10
28678 .xword 0x244e282ed5722515
28679 .xword 0x17f94fc4778ba457
28680 .xword 0x837ff81709de9ef7
28681 .xword 0x68ad52b75a21e961
28682 .xword 0xf58c87b994af112c
28683 .xword 0x681d085bd5e6abf2
28684 .xword 0x101ea446382c72cb
28685 .xword 0x1c5372f66aa28c9b
28686 .xword 0x84fc4b55c721f87e
28687 .xword 0x5ee86b1b2ab6ce6a
28688 .xword 0x1caaa974370b4081
28689 .xword 0x7f8d4e597c500ab2
28690 .xword 0x071a229c6aca9ae4
28691 .xword 0xd7d1d6d0df6987d6
28692 .xword 0x3a1f96da4c41d292
28693 .xword 0x2144994ceee58a76
28694 .xword 0x48b4f80897abc207
28695 .xword 0xbcc3b38bafc6dc26
28696 .xword 0x828c86335fa714d1
28697 .xword 0x726d6e4750fe94f8
28698 .xword 0x30685431de40a975
28699 .xword 0x2e38e53d56217a0b
28700 .xword 0x93f0108a675e83d3
28701 .xword 0x2e6b02944fa498cb
28702 .xword 0x15ff984081406760
28703 .xword 0x8674f4f8dbaa4801
28704 .xword 0x339f27261562e937
28705 .xword 0x49864a9e4de9fde3
28706 .xword 0xace4fb1efb595708
28707 .xword 0x04eca1d95d7b0f67
28708 .xword 0x67ca2a8178d23f27
28709 .xword 0xece01b9dce126eda
28710 .xword 0xdbcc07aed143a69c
28711 .xword 0xce8c127b913c84de
28712 .xword 0x139b7b52204365f8
28713 .xword 0xb622deebc05a1f40
28714 .xword 0xa850aa241c594444
28715 .xword 0x289a6dbf5b0ee3fe
28716 .xword 0xf7b1ccc2e18b78d7
28717 .xword 0x1633ed39a83feaf3
28718 .xword 0x77d3077a4e24ba52
28719 .xword 0x928d283c0d3ae4a7
28720 .xword 0x9160ebffae9b4e51
28721 .xword 0x2ca098d06082549c
28722 .xword 0x4539c0b5d111a462
28723 .xword 0x280b97200fedea2f
28724 .xword 0x33defc56d62e7652
28725 .xword 0xd6a36999230959da
28726 .xword 0x222065914added88
28727 .xword 0xf219910a6fb67dbe
28728 .xword 0x1a764e255858ac42
28729 .xword 0x776b369e0025d424
28730 .xword 0x65fa5ddac62b646c
28731 .xword 0x279cf9cb200e61ee
28732 .xword 0x55f8e9d260dd6437
28733 .xword 0xc28311070bc7e518
28734 .xword 0xb811a03917829aad
28735 .xword 0xbf798413541faba3
28736 .xword 0xdde579f416adf517
28737 .xword 0x444a92a15a49ceca
28738 .xword 0xd77e4f9981293874
28739 .xword 0x6a873bbb255a294a
28740 .xword 0xe9764675bedbb933
28741 .xword 0x0e619004cfce8ce5
28742 .xword 0xab9b78821d48805c
28743 .xword 0xc14e437d243a3df2
28744 .xword 0x673e6ca906a246fd
28745 .xword 0x768d3b9312c43aee
28746 .xword 0xb6e0f0ffae9dcf09
28747 .xword 0xd105ca5ec24a1ab1
28748 .xword 0xc35699ea698e2c45
28749 .xword 0x830760a990128620
28750 .xword 0x96815036222aa79d
28751 .xword 0xa7101418f9771f98
28752 .xword 0x8d824658cd9e0eb3
28753 .xword 0xd04399d8eee60050
28754 .xword 0x86e72c4f13072258
28755 .xword 0x42854f3c373b4a81
28756 .xword 0xc2837fbf72214385
28757 .xword 0xeead08a71fabc5f1
28758 .xword 0x4ccdf22d0a0c1511
28759 .xword 0x592369ff70bfce20
28760 .xword 0xcab641c1ed3243cf
28761 .xword 0x8243b48d4f7a2d8f
28762 .xword 0x61b0604a72d7534d
28763 .xword 0xc378b01c7dbcf392
28764 .xword 0x0bb1291c6d21cc7e
28765 .xword 0x7eefa32a82a1013a
28766 .xword 0xfcf706e743ca2ceb
28767 .xword 0xacd4ae4e21d493bd
28768 .xword 0xfaf6933eb683e896
28769 .xword 0x7ec3169c7bf1dbd8
28770 .xword 0x22eb5c6625f71520
28771 .xword 0x9f3fcb8afc268ae4
28772 .xword 0x5ce8c3412a089012
28773 .xword 0x2fd3d315a7e69fb8
28774 .xword 0x24a6320ef2614f29
28775 .xword 0x691f187139b642eb
28776 .xword 0xeac5f9333bf7ab09
28777 .xword 0xd7231232f3ece3da
28778 .xword 0x58afa78a31eeeee3
28779 .xword 0xcc094ae80bad5603
28780 .xword 0xf07b1399dd6371c5
28781 .xword 0x910d3f5c00cc20b7
28782 .xword 0xf351cf57f0bf1870
28783 .xword 0x6108459c7d24b114
28784 .xword 0xfe409a7deb11d901
28785 .xword 0xdb5d2d99b0aa66dc
28786 .xword 0x077328c04ac8e3ee
28787 .xword 0x103647ce0dbec2bc
28788 .xword 0x6a3228e5ef7d971c
28789 .xword 0x4c61a4262bc07117
28790 .xword 0xef7ee3a7b7f0edf3
28791 .xword 0x71fe4d633963f0a5
28792 .xword 0x34c172c772344be1
28793 .xword 0x7520d455a9352629
28794 .xword 0xf2435696177b1181
28795 .xword 0x6cf61b5a06d6b6f1
28796 .xword 0x54f97a661077887a
28797 .xword 0x30bee5a42f33ea4c
28798 .xword 0x21a3cb27a9579130
28799 .xword 0x148571490fb45df9
28800 .xword 0x754c00d2c12f0231
28801 .xword 0x924a02add031ea66
28802 .xword 0xb774d6a46c489949
28803 .xword 0xa5a3c7409d17c7c1
28804 .xword 0xbc82e9bab0c50732
28805 .xword 0x0f9c03c7e9ad0297
28806 .xword 0x718dd96d34d0ed18
28807 .xword 0x5b7ede75d5aa0947
28808 .xword 0x896a1c51bd1baf4d
28809 .xword 0xcab1c0d203173911
28810 .xword 0xa4e69140dfc37dcf
28811 .xword 0x54ff9cb8ebdf8357
28812 .xword 0xa8c1931b4936fa55
28813 .xword 0x8cf4e04bffdb47e9
28814 .xword 0x69db204e0bcc74b0
28815 .xword 0xe52368b60c543873
28816 .xword 0x322888c3e9bc2b6d
28817 .xword 0xae7697df8ee79350
28818 .xword 0x39f4a368e8e42c68
28819 .xword 0x4e781155e269cbbd
28820 .xword 0xda470cfaf09e473d
28821 .xword 0xa516714d89b802ad
28822 .xword 0x47a1378b8ee34df1
28823 .xword 0xfebb3256b4c46e36
28824 .xword 0x4474f94c72d400d7
28825 .xword 0x4396ca3cffb261e7
28826 .xword 0x0697573202c26e3f
28827 .xword 0x5cdda9e1cd76845b
28828 .xword 0x8753a6127764e1ef
28829 .xword 0x3a8aa664c82bc509
28830 .xword 0xa15518f25b688689
28831 .xword 0x083cf7d2ec5c55aa
28832 .xword 0x982bb4f1a631c0ec
28833 .xword 0xf2ba04cd2ccabd84
28834 .xword 0xeffce66411e63fc0
28835 .xword 0xa00155fd64a18ae2
28836 .xword 0xe9be5952e438c99d
28837 .xword 0x3c726192d878dd4d
28838 .xword 0x8a32dd1da9e9d88b
28839 .xword 0xad49c0489e674a14
28840 .xword 0xd9b146d3aad97a95
28841 .xword 0xe6490f81ee540c40
28842 .xword 0x9be2460859248385
28843 .xword 0x6ef8624da83346d7
28844 .xword 0x418026a18f23fbbf
28845 .xword 0xac195d6f7fc83707
28846 .xword 0xc0548c1d5409b071
28847 .xword 0xad13cc3dfa926ee4
28848 .xword 0x7642fae9debfbe00
28849 .xword 0x93617ee448026687
28850 .xword 0xd47024f7ba7402ce
28851 .xword 0xd1a834ba890bce86
28852 .xword 0x9589d74c14e185e9
28853 .xword 0x9534ae7d6ca13600
28854 .xword 0xec177734eae3dc6a
28855 .xword 0xd8660020d9a430b8
28856 .xword 0xcd61a0e75d4c7503
28857 .xword 0x6a091c396bb0f9b6
28858 .xword 0x5e00b8f272cd8f92
28859 .xword 0xc8a6bde93f4c9051
28860 .xword 0x75c07667cd85d99e
28861 .xword 0x03edf3d32af17cbe
28862 .xword 0xb304db14633c5871
28863 .xword 0xfc36c7ebc3af0d69
28864 .xword 0xdcd7459ddbf0df64
28865 .xword 0xaa1180982f2ce017
28866 .xword 0x4c29af9312bdc2fd
28867 .xword 0x37170a075efba662
28868 .xword 0x8cb36c42950b5084
28869 .xword 0x77dac95decc32acd
28870 .xword 0xd27b170654ff9ce1
28871 .xword 0x3b33ebc74bdc8127
28872 .xword 0x383cd3d37055d23c
28873 .xword 0x96686b08917ec6c6
28874 .xword 0x4d827854b8032b6e
28875 .xword 0x26f7928cb1342902
28876 .xword 0x5d7ea3d0b48edd2a
28877 .xword 0x11add580b1adb463
28878 .xword 0xcb1549b4b64550e9
28879 .xword 0x24c63031747a2ddc
28880 .xword 0xc6769dea80842398
28881 .xword 0x71d62104c084f730
28882 .xword 0xe6fbe78b035218e5
28883 .xword 0x364dfa04bf6ff55d
28884 .xword 0xc025ea04587d706f
28885 .xword 0x046d8ddf9f85cb9a
28886 .xword 0x6ae268e38bc35f5a
28887 .xword 0x970ad8b1557a4b1f
28888 .xword 0xb3dc7fe6d01ee3de
28889 .xword 0x484618f3e5c81da3
28890 .xword 0x72dd047e035347ae
28891 .xword 0x616d6cb87f001472
28892 .xword 0x31881300109d2d38
28893 .xword 0xc3d5328ca6751a82
28894 .xword 0xae33b48686a6128e
28895 .xword 0xfee3bf3ca73eecc8
28896 .xword 0x50d9b94d9c1c739d
28897 .xword 0x97e9666a05139d7a
28898 .xword 0x99fcc8d4a4605626
28899 .xword 0x78c65179db68217e
28900 .xword 0x64b4850e135f3554
28901 .xword 0x50161660209423af
28902 .xword 0x6c8edf1a0647002e
28903 .xword 0x55df5913fcfe7350
28904 .xword 0x3c333ea61e9bea48
28905 .xword 0x510a67ab9304db12
28906 .xword 0xfd43f9315d9e2c02
28907 .xword 0x14a949dfcf882601
28908 .xword 0x4135b52f2d5bd95d
28909 .xword 0x17e56b17516212f9
28910 .xword 0x4fcd929b6f1a0332
28911 .xword 0x31196d7010961283
28912 .xword 0x92fd4e928228b56d
28913 .xword 0x669201fb6e69f0b2
28914 .xword 0x1abde07838a1e2a9
28915 .xword 0x9ed33f2c4a005e32
28916 .xword 0x6c6c3f71fae7ee5b
28917 .xword 0x0b159b76068e759f
28918 .xword 0x7e1c722dd57f8cb6
28919 .xword 0xfda907b9a04a7b72
28920 .xword 0x5337d70711b3aac8
28921 .xword 0xaa22405616887385
28922 .xword 0x88eea7283f937e6a
28923 .xword 0x1127969e36623100
28924 .xword 0xacc70f8447f2fc97
28925 .xword 0xa80756b1da1f6721
28926 .xword 0x94b7dc1a3d8db4dc
28927 .xword 0xea0efdf364873d79
28928 .xword 0x655176be2260ff9b
28929 .xword 0x9c4f01654905596f
28930 .xword 0x060a26c368dffea1
28931 .xword 0x54c247423437e34c
28932 .xword 0x5ae70145ad1ba47d
28933 .xword 0xcd283c9cb4693156
28934 .xword 0x4053c42329d0c587
28935 .xword 0x25cc4451a86c48bf
28936 .xword 0x368eaf76f1fd5e24
28937 .xword 0xc45d510a2a9645cd
28938 .xword 0x9457639129717648
28939 .xword 0x0884875c8ccaf9f6
28940 .xword 0x278c5e7b168ced73
28941 .xword 0x22c09d91412800d0
28942 .xword 0x33cff4479440d026
28943_t1_sslkey_dest:
28944 .xword 0xDEADBEEFDEADBEEF
28945 .xword 0xDEADBEEFDEADBEEF
28946 .xword 0xDEADBEEFDEADBEEF
28947 .xword 0xDEADBEEFDEADBEEF
28948 .xword 0xDEADBEEFDEADBEEF
28949 .xword 0xDEADBEEFDEADBEEF
28950 .xword 0xDEADBEEFDEADBEEF
28951 .xword 0xDEADBEEFDEADBEEF
28952 .xword 0xDEADBEEFDEADBEEF
28953 .xword 0xDEADBEEFDEADBEEF
28954 .xword 0xDEADBEEFDEADBEEF
28955 .xword 0xDEADBEEFDEADBEEF
28956 .xword 0xDEADBEEFDEADBEEF
28957 .xword 0xDEADBEEFDEADBEEF
28958 .xword 0xDEADBEEFDEADBEEF
28959 .xword 0xDEADBEEFDEADBEEF
28960 .xword 0xDEADBEEFDEADBEEF
28961 .xword 0xDEADBEEFDEADBEEF
28962 .xword 0xDEADBEEFDEADBEEF
28963 .xword 0xDEADBEEFDEADBEEF
28964 .xword 0xDEADBEEFDEADBEEF
28965 .xword 0xDEADBEEFDEADBEEF
28966 .xword 0xDEADBEEFDEADBEEF
28967 .xword 0xDEADBEEFDEADBEEF
28968 .xword 0xDEADBEEFDEADBEEF
28969 .xword 0xDEADBEEFDEADBEEF
28970 .xword 0xDEADBEEFDEADBEEF
28971 .xword 0xDEADBEEFDEADBEEF
28972 .xword 0xDEADBEEFDEADBEEF
28973 .xword 0xDEADBEEFDEADBEEF
28974 .xword 0xDEADBEEFDEADBEEF
28975 .xword 0xDEADBEEFDEADBEEF
28976 .xword 0xDEADBEEFDEADBEEF
28977 .xword 0xDEADBEEFDEADBEEF
28978 .xword 0xDEADBEEFDEADBEEF
28979 .xword 0xDEADBEEFDEADBEEF
28980 .xword 0xDEADBEEFDEADBEEF
28981 .xword 0xDEADBEEFDEADBEEF
28982 .xword 0xDEADBEEFDEADBEEF
28983 .xword 0xDEADBEEFDEADBEEF
28984 .xword 0xDEADBEEFDEADBEEF
28985 .xword 0xDEADBEEFDEADBEEF
28986 .xword 0xDEADBEEFDEADBEEF
28987 .xword 0xDEADBEEFDEADBEEF
28988 .xword 0xDEADBEEFDEADBEEF
28989 .xword 0xDEADBEEFDEADBEEF
28990 .xword 0xDEADBEEFDEADBEEF
28991 .xword 0xDEADBEEFDEADBEEF
28992 .xword 0xDEADBEEFDEADBEEF
28993 .xword 0xDEADBEEFDEADBEEF
28994 .xword 0xDEADBEEFDEADBEEF
28995 .xword 0xDEADBEEFDEADBEEF
28996 .xword 0xDEADBEEFDEADBEEF
28997 .xword 0xDEADBEEFDEADBEEF
28998 .xword 0xDEADBEEFDEADBEEF
28999 .xword 0xDEADBEEFDEADBEEF
29000 .xword 0xDEADBEEFDEADBEEF
29001 .xword 0xDEADBEEFDEADBEEF
29002 .xword 0xDEADBEEFDEADBEEF
29003 .xword 0xDEADBEEFDEADBEEF
29004 .xword 0xDEADBEEFDEADBEEF
29005 .xword 0xDEADBEEFDEADBEEF
29006 .xword 0xDEADBEEFDEADBEEF
29007 .xword 0xDEADBEEFDEADBEEF
29008 .xword 0xDEADBEEFDEADBEEF
29009 .xword 0xDEADBEEFDEADBEEF
29010 .xword 0xDEADBEEFDEADBEEF
29011 .xword 0xDEADBEEFDEADBEEF
29012 .xword 0xDEADBEEFDEADBEEF
29013 .xword 0xDEADBEEFDEADBEEF
29014 .xword 0xDEADBEEFDEADBEEF
29015 .xword 0xDEADBEEFDEADBEEF
29016 .xword 0xDEADBEEFDEADBEEF
29017 .xword 0xDEADBEEFDEADBEEF
29018 .xword 0xDEADBEEFDEADBEEF
29019 .xword 0xDEADBEEFDEADBEEF
29020 .xword 0xDEADBEEFDEADBEEF
29021 .xword 0xDEADBEEFDEADBEEF
29022 .xword 0xDEADBEEFDEADBEEF
29023 .xword 0xDEADBEEFDEADBEEF
29024 .xword 0xDEADBEEFDEADBEEF
29025 .xword 0xDEADBEEFDEADBEEF
29026 .xword 0xDEADBEEFDEADBEEF
29027 .xword 0xDEADBEEFDEADBEEF
29028 .xword 0xDEADBEEFDEADBEEF
29029 .xword 0xDEADBEEFDEADBEEF
29030 .xword 0xDEADBEEFDEADBEEF
29031 .xword 0xDEADBEEFDEADBEEF
29032 .xword 0xDEADBEEFDEADBEEF
29033 .xword 0xDEADBEEFDEADBEEF
29034 .xword 0xDEADBEEFDEADBEEF
29035 .xword 0xDEADBEEFDEADBEEF
29036 .xword 0xDEADBEEFDEADBEEF
29037 .xword 0xDEADBEEFDEADBEEF
29038 .xword 0xDEADBEEFDEADBEEF
29039 .xword 0xDEADBEEFDEADBEEF
29040 .xword 0xDEADBEEFDEADBEEF
29041 .xword 0xDEADBEEFDEADBEEF
29042 .xword 0xDEADBEEFDEADBEEF
29043 .xword 0xDEADBEEFDEADBEEF
29044 .xword 0xDEADBEEFDEADBEEF
29045 .xword 0xDEADBEEFDEADBEEF
29046 .xword 0xDEADBEEFDEADBEEF
29047 .xword 0xDEADBEEFDEADBEEF
29048 .xword 0xDEADBEEFDEADBEEF
29049 .xword 0xDEADBEEFDEADBEEF
29050 .xword 0xDEADBEEFDEADBEEF
29051 .xword 0xDEADBEEFDEADBEEF
29052 .xword 0xDEADBEEFDEADBEEF
29053 .xword 0xDEADBEEFDEADBEEF
29054 .xword 0xDEADBEEFDEADBEEF
29055 .xword 0xDEADBEEFDEADBEEF
29056 .xword 0xDEADBEEFDEADBEEF
29057 .xword 0xDEADBEEFDEADBEEF
29058 .xword 0xDEADBEEFDEADBEEF
29059 .xword 0xDEADBEEFDEADBEEF
29060 .xword 0xDEADBEEFDEADBEEF
29061 .xword 0xDEADBEEFDEADBEEF
29062 .xword 0xDEADBEEFDEADBEEF
29063 .xword 0xDEADBEEFDEADBEEF
29064 .xword 0xDEADBEEFDEADBEEF
29065 .xword 0xDEADBEEFDEADBEEF
29066 .xword 0xDEADBEEFDEADBEEF
29067 .xword 0xDEADBEEFDEADBEEF
29068 .xword 0xDEADBEEFDEADBEEF
29069 .xword 0xDEADBEEFDEADBEEF
29070 .xword 0xDEADBEEFDEADBEEF
29071 .xword 0xDEADBEEFDEADBEEF
29072 .xword 0xDEADBEEFDEADBEEF
29073 .xword 0xDEADBEEFDEADBEEF
29074 .xword 0xDEADBEEFDEADBEEF
29075 .xword 0xDEADBEEFDEADBEEF
29076 .xword 0xDEADBEEFDEADBEEF
29077 .xword 0xDEADBEEFDEADBEEF
29078 .xword 0xDEADBEEFDEADBEEF
29079 .xword 0xDEADBEEFDEADBEEF
29080 .xword 0xDEADBEEFDEADBEEF
29081 .xword 0xDEADBEEFDEADBEEF
29082 .xword 0xDEADBEEFDEADBEEF
29083 .xword 0xDEADBEEFDEADBEEF
29084 .xword 0xDEADBEEFDEADBEEF
29085 .xword 0xDEADBEEFDEADBEEF
29086 .xword 0xDEADBEEFDEADBEEF
29087 .xword 0xDEADBEEFDEADBEEF
29088 .xword 0xDEADBEEFDEADBEEF
29089 .xword 0xDEADBEEFDEADBEEF
29090 .xword 0xDEADBEEFDEADBEEF
29091 .xword 0xDEADBEEFDEADBEEF
29092 .xword 0xDEADBEEFDEADBEEF
29093 .xword 0xDEADBEEFDEADBEEF
29094 .xword 0xDEADBEEFDEADBEEF
29095 .xword 0xDEADBEEFDEADBEEF
29096 .xword 0xDEADBEEFDEADBEEF
29097 .xword 0xDEADBEEFDEADBEEF
29098 .xword 0xDEADBEEFDEADBEEF
29099 .xword 0xDEADBEEFDEADBEEF
29100 .xword 0xDEADBEEFDEADBEEF
29101 .xword 0xDEADBEEFDEADBEEF
29102 .xword 0xDEADBEEFDEADBEEF
29103 .xword 0xDEADBEEFDEADBEEF
29104 .xword 0xDEADBEEFDEADBEEF
29105 .xword 0xDEADBEEFDEADBEEF
29106 .xword 0xDEADBEEFDEADBEEF
29107 .xword 0xDEADBEEFDEADBEEF
29108 .xword 0xDEADBEEFDEADBEEF
29109 .xword 0xDEADBEEFDEADBEEF
29110 .xword 0xDEADBEEFDEADBEEF
29111 .xword 0xDEADBEEFDEADBEEF
29112 .xword 0xDEADBEEFDEADBEEF
29113 .xword 0xDEADBEEFDEADBEEF
29114 .xword 0xDEADBEEFDEADBEEF
29115 .xword 0xDEADBEEFDEADBEEF
29116 .xword 0xDEADBEEFDEADBEEF
29117 .xword 0xDEADBEEFDEADBEEF
29118 .xword 0xDEADBEEFDEADBEEF
29119 .xword 0xDEADBEEFDEADBEEF
29120 .xword 0xDEADBEEFDEADBEEF
29121 .xword 0xDEADBEEFDEADBEEF
29122 .xword 0xDEADBEEFDEADBEEF
29123 .xword 0xDEADBEEFDEADBEEF
29124 .xword 0xDEADBEEFDEADBEEF
29125 .xword 0xDEADBEEFDEADBEEF
29126 .xword 0xDEADBEEFDEADBEEF
29127 .xword 0xDEADBEEFDEADBEEF
29128 .xword 0xDEADBEEFDEADBEEF
29129 .xword 0xDEADBEEFDEADBEEF
29130 .xword 0xDEADBEEFDEADBEEF
29131 .xword 0xDEADBEEFDEADBEEF
29132 .xword 0xDEADBEEFDEADBEEF
29133 .xword 0xDEADBEEFDEADBEEF
29134 .xword 0xDEADBEEFDEADBEEF
29135 .xword 0xDEADBEEFDEADBEEF
29136 .xword 0xDEADBEEFDEADBEEF
29137 .xword 0xDEADBEEFDEADBEEF
29138 .xword 0xDEADBEEFDEADBEEF
29139 .xword 0xDEADBEEFDEADBEEF
29140 .xword 0xDEADBEEFDEADBEEF
29141 .xword 0xDEADBEEFDEADBEEF
29142 .xword 0xDEADBEEFDEADBEEF
29143 .xword 0xDEADBEEFDEADBEEF
29144 .xword 0xDEADBEEFDEADBEEF
29145 .xword 0xDEADBEEFDEADBEEF
29146 .xword 0xDEADBEEFDEADBEEF
29147 .xword 0xDEADBEEFDEADBEEF
29148 .xword 0xDEADBEEFDEADBEEF
29149 .xword 0xDEADBEEFDEADBEEF
29150 .xword 0xDEADBEEFDEADBEEF
29151 .xword 0xDEADBEEFDEADBEEF
29152 .xword 0xDEADBEEFDEADBEEF
29153 .xword 0xDEADBEEFDEADBEEF
29154 .xword 0xDEADBEEFDEADBEEF
29155 .xword 0xDEADBEEFDEADBEEF
29156 .xword 0xDEADBEEFDEADBEEF
29157 .xword 0xDEADBEEFDEADBEEF
29158 .xword 0xDEADBEEFDEADBEEF
29159 .xword 0xDEADBEEFDEADBEEF
29160 .xword 0xDEADBEEFDEADBEEF
29161 .xword 0xDEADBEEFDEADBEEF
29162 .xword 0xDEADBEEFDEADBEEF
29163 .xword 0xDEADBEEFDEADBEEF
29164 .xword 0xDEADBEEFDEADBEEF
29165 .xword 0xDEADBEEFDEADBEEF
29166 .xword 0xDEADBEEFDEADBEEF
29167 .xword 0xDEADBEEFDEADBEEF
29168 .xword 0xDEADBEEFDEADBEEF
29169 .xword 0xDEADBEEFDEADBEEF
29170 .xword 0xDEADBEEFDEADBEEF
29171 .xword 0xDEADBEEFDEADBEEF
29172 .xword 0xDEADBEEFDEADBEEF
29173 .xword 0xDEADBEEFDEADBEEF
29174 .xword 0xDEADBEEFDEADBEEF
29175 .xword 0xDEADBEEFDEADBEEF
29176 .xword 0xDEADBEEFDEADBEEF
29177 .xword 0xDEADBEEFDEADBEEF
29178 .xword 0xDEADBEEFDEADBEEF
29179 .xword 0xDEADBEEFDEADBEEF
29180 .xword 0xDEADBEEFDEADBEEF
29181 .xword 0xDEADBEEFDEADBEEF
29182 .xword 0xDEADBEEFDEADBEEF
29183 .xword 0xDEADBEEFDEADBEEF
29184 .xword 0xDEADBEEFDEADBEEF
29185 .xword 0xDEADBEEFDEADBEEF
29186 .xword 0xDEADBEEFDEADBEEF
29187 .xword 0xDEADBEEFDEADBEEF
29188 .xword 0xDEADBEEFDEADBEEF
29189 .xword 0xDEADBEEFDEADBEEF
29190 .xword 0xDEADBEEFDEADBEEF
29191 .xword 0xDEADBEEFDEADBEEF
29192 .xword 0xDEADBEEFDEADBEEF
29193 .xword 0xDEADBEEFDEADBEEF
29194 .xword 0xDEADBEEFDEADBEEF
29195 .xword 0xDEADBEEFDEADBEEF
29196 .xword 0xDEADBEEFDEADBEEF
29197 .xword 0xDEADBEEFDEADBEEF
29198 .xword 0xDEADBEEFDEADBEEF
29199 .xword 0xDEADBEEFDEADBEEF
29200 .xword 0xDEADBEEFDEADBEEF
29201 .xword 0xDEADBEEFDEADBEEF
29202 .xword 0xDEADBEEFDEADBEEF
29203 .xword 0xDEADBEEFDEADBEEF
29204 .xword 0xDEADBEEFDEADBEEF
29205 .xword 0xDEADBEEFDEADBEEF
29206 .xword 0xDEADBEEFDEADBEEF
29207 .xword 0xDEADBEEFDEADBEEF
29208 .xword 0xDEADBEEFDEADBEEF
29209 .xword 0xDEADBEEFDEADBEEF
29210 .xword 0xDEADBEEFDEADBEEF
29211 .xword 0xDEADBEEFDEADBEEF
29212 .xword 0xDEADBEEFDEADBEEF
29213 .xword 0xDEADBEEFDEADBEEF
29214 .xword 0xDEADBEEFDEADBEEF
29215 .xword 0xDEADBEEFDEADBEEF
29216 .xword 0xDEADBEEFDEADBEEF
29217 .xword 0xDEADBEEFDEADBEEF
29218 .xword 0xDEADBEEFDEADBEEF
29219_t1_sslkey_auth_key:
29220 .xword 0xee677c17f1cf0773
29221 .xword 0x68cf6fe22c7369f4
29222 .xword 0x0fd9ea27fd40253a
29223 .xword 0x2ab3d7a4c33fa4d6
29224 .xword 0xba637d0f4304cf39
29225 .xword 0x912ef52992527140
29226 .xword 0xcc76cb8fc6c0784d
29227 .xword 0x7f59cdf62fd04f16
29228 .xword 0x8c0bd47a15612eea
29229 .xword 0x33cd7f59f2f95c6b
29230 .xword 0xd707526f07466974
29231 .xword 0x97dd56117348cc1a
29232 .xword 0xf612e5deab199444
29233 .xword 0x3b8a1fc8becb3fca
29234 .xword 0xc624ae8af371fc57
29235 .xword 0x7bb83494e0a3af98
29236 .xword 0x5cead9578530385b
29237 .xword 0x88052f9bd3937514
29238 .xword 0xcd5c9a9831c3f04a
29239 .xword 0x58ecfc9d6b98652d
29240 .xword 0x8bb17b83dbcafe37
29241 .xword 0x5b0d0fdc95071516
29242 .xword 0x456e250ec6d5c28a
29243_t1_sslkey_auth_iv:
29244 .xword 0xcfbece952abbe3fb
29245 .xword 0xad213d7494dc64d9
29246 .xword 0x5761d50ff3cc0dd5
29247 .xword 0xe8c9f70ce37a8f8b
29248 .xword 0xb8f413afde09be3b
29249 .xword 0x6068636a3c10d602
29250 .xword 0x98f1d4bd670ce61a
29251 .xword 0x00a8bd14bfc1ffd4
29252 .xword 0xa584b95a3789a6ff
29253 .xword 0x5a0fe98a3b8262c9
29254 .xword 0x7ceb81a212a19904
29255 .xword 0x45ca4423dfdfcc02
29256 .xword 0x77b4727595760bcb
29257 .xword 0x3664424074ae0347
29258 .xword 0x9c81a38610f5a1d1
29259 .xword 0x57478162ee8e9bc8
29260 .xword 0x240512b530ef67de
29261 .xword 0x13b016cc6e54aa82
29262 .xword 0x113579bcc235ee48
29263 .xword 0xf021e83037f941a9
29264 .xword 0xeb697762970d96be
29265 .xword 0xa5f778e63f7dd979
29266 .xword 0xc5b3d7ccdf94ce7e
29267_t1_sslkey_fas_result:
29268 .xword 0xDEADBEEFDEADBEEF
29269 .xword 0xDEADBEEFDEADBEEF
29270 .xword 0xDEADBEEFDEADBEEF
29271 .xword 0xDEADBEEFDEADBEEF
29272 .xword 0xDEADBEEFDEADBEEF
29273 .xword 0xDEADBEEFDEADBEEF
29274 .xword 0xDEADBEEFDEADBEEF
29275 .xword 0xDEADBEEFDEADBEEF
29276 .xword 0xDEADBEEFDEADBEEF
29277 .xword 0xDEADBEEFDEADBEEF
29278 .xword 0xDEADBEEFDEADBEEF
29279 .xword 0xDEADBEEFDEADBEEF
29280 .xword 0xDEADBEEFDEADBEEF
29281 .xword 0xDEADBEEFDEADBEEF
29282 .xword 0xDEADBEEFDEADBEEF
29283 .xword 0xDEADBEEFDEADBEEF
29284 .xword 0xDEADBEEFDEADBEEF
29285 .xword 0xDEADBEEFDEADBEEF
29286 .xword 0xDEADBEEFDEADBEEF
29287 .xword 0xDEADBEEFDEADBEEF
29288 .xword 0xDEADBEEFDEADBEEF
29289 .xword 0xDEADBEEFDEADBEEF
29290 .xword 0xDEADBEEFDEADBEEF
29291_t1_aes_toc:
29292 .xword _t1_aes_cwd_array
29293 .xword _t1_aes_src
29294 .xword _t1_aes_auth_key
29295 .xword _t1_aes_auth_iv
29296 .xword _t1_aes_fas_result
29297 .xword _t1_aes_key_array
29298 .xword _t1_aes_iv_array
29299 .xword _t1_aes_dest
29300 .xword _t1_aes_alignment_array
29301_t1_des_toc:
29302 .xword _t1_des_cwd_array
29303 .xword _t1_des_src
29304 .xword _t1_des_auth_key
29305 .xword _t1_des_auth_iv
29306 .xword _t1_des_fas_result
29307 .xword _t1_des_key_array
29308 .xword _t1_des_iv_array
29309 .xword _t1_des_dest
29310 .xword _t1_des_alignment_array
29311_t1_copy_toc:
29312 .xword _t1_copy_cwd_array
29313 .xword _t1_copy_src
29314 .xword _t1_copy_auth_key
29315 .xword _t1_copy_auth_iv
29316 .xword _t1_copy_fas_result
29317 .xword _t1_copy_key_array
29318 .xword _t1_copy_iv_array
29319 .xword _t1_copy_dest
29320 .xword _t1_copy_alignment_array
29321_t1_crc_toc:
29322 .xword _t1_crc_cwd_array
29323 .xword _t1_crc_src
29324 .xword _t1_crc_auth_key
29325 .xword _t1_crc_auth_iv
29326 .xword _t1_crc_fas_result
29327 .xword _t1_crc_key_array
29328 .xword _t1_crc_iv_array
29329 .xword _t1_crc_dest
29330 .xword _t1_crc_alignment_array
29331_t1_hash_toc:
29332 .xword _t1_hash_cwd_array
29333 .xword _t1_hash_src
29334 .xword _t1_hash_auth_key
29335 .xword _t1_hash_auth_iv
29336 .xword _t1_hash_fas_result
29337 .xword _t1_hash_key_array
29338 .xword _t1_hash_iv_array
29339 .xword _t1_hash_dest
29340 .xword _t1_hash_alignment_array
29341_t1_hmac_toc:
29342 .xword _t1_hmac_cwd_array
29343 .xword _t1_hmac_src
29344 .xword _t1_hmac_auth_key
29345 .xword _t1_hmac_auth_iv
29346 .xword _t1_hmac_fas_result
29347 .xword _t1_hmac_key_array
29348 .xword _t1_hmac_iv_array
29349 .xword _t1_hmac_dest
29350 .xword _t1_hmac_alignment_array
29351_t1_rc4_toc:
29352 .xword _t1_rc4_cwd_array
29353 .xword _t1_rc4_src
29354 .xword _t1_rc4_auth_key
29355 .xword _t1_rc4_auth_iv
29356 .xword _t1_rc4_fas_result
29357 .xword _t1_rc4_key_array
29358 .xword _t1_rc4_iv_array
29359 .xword _t1_rc4_dest
29360 .xword _t1_rc4_alignment_array
29361_t1_sslkey_toc:
29362 .xword _t1_sslkey_cwd_array
29363 .xword _t1_sslkey_src
29364 .xword _t1_sslkey_auth_key
29365 .xword _t1_sslkey_auth_iv
29366 .xword _t1_sslkey_fas_result
29367 .xword _t1_sslkey_key_array
29368 .xword _t1_sslkey_iv_array
29369 .xword _t1_sslkey_dest
29370 .xword _t1_sslkey_alignment_array
29371_t1_table_of_context1:
29372 .xword _t1_aes_toc
29373 .xword _t1_des_toc
29374 .xword _t1_copy_toc
29375 .xword _t1_crc_toc
29376 .xword _t1_hash_toc
29377 .xword _t1_hmac_toc
29378 .xword _t1_rc4_toc
29379 .xword _t1_sslkey_toc
29380
29381!# CWQ data area, set aside 512 CW's worth
29382!# 512*8*8 = 32KB
29383.align 32*1024
29384_t1_cwq_base1:
29385 .xword 0xAAAAAAAAAAAAAAA
29386 .xword 0xAAAAAAAAAAAAAAA
29387 .xword 0xAAAAAAAAAAAAAAA
29388 .xword 0xAAAAAAAAAAAAAAA
29389 .xword 0xAAAAAAAAAAAAAAA
29390 .xword 0xAAAAAAAAAAAAAAA
29391 .xword 0xAAAAAAAAAAAAAAA
29392 .xword 0xAAAAAAAAAAAAAAA
29393.align 32*1024
29394_t1_cwq_last1:
29395
29396SECTION ._t1_T_CWQ_DATA2 DATA_VA=287309824
29397attr_data {
29398 Name = ._t1_T_CWQ_DATA2
29399 hypervisor
29400}
29401 .data
29402_t1_user_data_start2:
29403_t1_scratch_area2:
29404
29405.align 16
29406_t1_spu_op_array2:
29407 .xword 2
29408 .xword 3
29409 .xword 2
29410 .xword 7
29411 .xword 2
29412 .xword 0
29413 .xword 0
29414 .xword 2
29415 .xword 4
29416 .xword 6
29417 .xword 2
29418 .xword 3
29419 .xword 3
29420 .xword 4
29421 .xword 1
29422_t1_aes_cwd_array2:
29423 .xword 0x406000a01400003f
29424 .xword 0xc0e100a01500002f
29425 .xword 0x40e000601b00003f
29426 .xword 0xc06000801000001f
29427 .xword 0x406100e01b00003f
29428 .xword 0x40e100401000002f
29429 .xword 0x40e100201700002f
29430 .xword 0xc06000601800001f
29431 .xword 0xc0e100a01500003f
29432 .xword 0x406000201700003f
29433 .xword 0xc06000601500002f
29434 .xword 0xc0e000c01800003f
29435 .xword 0x40e000c01400003f
29436 .xword 0x406100c01800003f
29437 .xword 0x406000801b00003f
29438_t1_des_cwd_array2:
29439 .xword 0x406100600d00000f
29440 .xword 0xc0e100e009000007
29441 .xword 0xc06100600a000007
29442 .xword 0xc0e000a008000007
29443 .xword 0x40e100000c000007
29444 .xword 0x406100200a000017
29445 .xword 0x40e000800c00001f
29446 .xword 0xc0e0004009000007
29447 .xword 0xc0e000600c00001f
29448 .xword 0x4061002009000017
29449 .xword 0x40e000c009000017
29450 .xword 0xc0e000c00c000017
29451 .xword 0xc06100a00c00000f
29452 .xword 0xc060000008000007
29453 .xword 0xc0e000000a000007
29454_t1_copy_cwd_array2:
29455 .xword 0x2060004000000008
29456 .xword 0x206100e000000008
29457 .xword 0xa06000a00000000d
29458 .xword 0xa06100e00000000e
29459 .xword 0xa06000e00000000d
29460 .xword 0xa061006000000002
29461 .xword 0xa06100400000000c
29462 .xword 0x206100000000000b
29463 .xword 0x2060004000000003
29464 .xword 0xa061002000000001
29465 .xword 0x206000c000000007
29466 .xword 0xa06000800000000c
29467 .xword 0x206000a00000000c
29468 .xword 0xa06000a00000000c
29469 .xword 0x2060006000000008
29470_t1_crc_cwd_array2:
29471 .xword 0xc16203a400000006
29472 .xword 0xc161010800000008
29473 .xword 0x416103040000000a
29474 .xword 0x4161012800000002
29475 .xword 0xc16003240000000a
29476 .xword 0x416001a800000007
29477 .xword 0xc161032400000000
29478 .xword 0x4161012800000000
29479 .xword 0x4161030400000002
29480 .xword 0xc16201e80000000f
29481 .xword 0x416203240000000d
29482 .xword 0x416201080000000b
29483 .xword 0x4162036400000003
29484 .xword 0x416101e800000007
29485_t1_hash_cwd_array2:
29486 .xword 0xc1610f6200000004
29487 .xword 0xc162024100000021
29488 .xword 0xc1620d2200000008
29489 .xword 0xc160028100000003
29490 .xword 0x41620a2100000025
29491 .xword 0x41600a010000003b
29492 .xword 0x416202e100000034
29493 .xword 0x41630aa20000003e
29494 .xword 0x41630ec10000000a
29495 .xword 0x416005a100000009
29496 .xword 0x41600b4200000034
29497 .xword 0x4162098300000039
29498 .xword 0x416309e100000005
29499 .xword 0x41620ba10000002c
29500 .xword 0x416309c100000028
29501_t1_hmac_cwd_array2:
29502 .xword 0xc16206e60013003c
29503 .xword 0xc1620745000f000f
29504 .xword 0x416207ab001f0013
29505 .xword 0xc1600169000f0003
29506 .xword 0xc1630589000f002c
29507 .xword 0xc1610f69000f0040
29508 .xword 0xc1620629000f0020
29509 .xword 0x416203cb001f0023
29510 .xword 0xc1620f05000f001f
29511 .xword 0xc16213e600130020
29512 .xword 0x4160184b001f003d
29513 .xword 0x41631187001f001c
29514 .xword 0x416005a9000f001d
29515 .xword 0xc163118a0013003a
29516 .xword 0xc1630365000f0023
29517_t1_rc4_cwd_array2:
29518 .xword 0xc0e0006000000004
29519 .xword 0xc0e0006004000003
29520 .xword 0x40e1002004000002
29521 .xword 0x40e0000000000002
29522 .xword 0x40e000e000000000
29523 .xword 0x40e000e00400000e
29524 .xword 0x40e000000000000b
29525 .xword 0x40e100400400000c
29526 .xword 0xc0e100c00400000b
29527 .xword 0xc0e1004004000000
29528 .xword 0x40e0008000000009
29529 .xword 0xc0e100e000000004
29530 .xword 0x40e0004004000008
29531 .xword 0xc0e100a000000004
29532 .xword 0x40e000e004000009
29533_t1_sslkey_cwd_array2:
29534 .xword 0x9060022000000000, 0
29535 .xword 0x9060122000000000, 0
29536 .xword 0x1060094000000000, 0
29537 .xword 0x106035e000000000, 0
29538 .xword 0x1060228000000000, 0
29539 .xword 0x9060102000000000, 0
29540 .xword 0x10601e4000000000, 0
29541 .xword 0x1060330000000000, 0
29542 .xword 0x9060240000000000, 0
29543 .xword 0x1060082000000000, 0
29544 .xword 0x90600f0000000000, 0
29545 .xword 0x90600a6000000000, 0
29546 .xword 0x10600a4000000000, 0
29547 .xword 0x1060010000000000, 0
29548 .xword 0x90600c2000000000, 0
29549_t1_aes_key_array:
29550 .xword 0xa536f327102d664d
29551 .xword 0x79720d6e79ffa2ea
29552 .xword 0x28c8378084ce77a0
29553 .xword 0xa7b76f874835d23e
29554 .xword 0x42e6df4ba49e8aa0
29555 .xword 0x5ddc0ab4b7a02122
29556 .xword 0xd3072d73409770d0
29557 .xword 0x0b6d709dcbe5b020
29558 .xword 0x3fb046a5251a0cd8
29559 .xword 0x5b96814c0f1b8f06
29560 .xword 0xae7eb00c0823aa87
29561 .xword 0xacffdda5cbd79c69
29562 .xword 0xe723677ab94ca9ff
29563 .xword 0x07a2e16ab7c2fd54
29564 .xword 0xbdaf071554be9139
29565 .xword 0x1ddc9126c4fa10fa
29566 .xword 0x9f5a8bc948c41c8c
29567 .xword 0xb699e198d1347315
29568 .xword 0x06514855923a8b0c
29569 .xword 0x7b34877b1ea886aa
29570 .xword 0x11aa590a370776a0
29571 .xword 0x55cc14b3a83bd116
29572 .xword 0x031ba0f338db3571
29573 .xword 0xc73b8a6c101f0960
29574 .xword 0xc4d2a99344ab57d2
29575 .xword 0xd062f306fce24233
29576 .xword 0xe157e704dde3f2f4
29577 .xword 0x9a137444e696eb19
29578 .xword 0xda2e1a788bbb1703
29579 .xword 0xab771a0335727542
29580 .xword 0x44fee8dfec8578c6
29581 .xword 0xfa1e623076386dba
29582 .xword 0x7e4bc1b5977ff908
29583 .xword 0x5d713815a82e40a4
29584 .xword 0xef148e1efa7a46ca
29585 .xword 0x06943f5997730253
29586 .xword 0x5e21d01e73ad8595
29587 .xword 0x083d16ea371de2d1
29588 .xword 0xee6d6c0da8187b67
29589 .xword 0x3ba1662eee22ebd2
29590 .xword 0xb07d424a4fbf4422
29591 .xword 0x874077de84f63643
29592 .xword 0x4adf02d567e6a65a
29593 .xword 0x0657b0730261be3d
29594 .xword 0x6ee58a4a11fa1800
29595 .xword 0x8db8f3a15e422435
29596 .xword 0xce2076a9f60efe5e
29597 .xword 0xeadc7c2a9152463f
29598 .xword 0x322364858a229e62
29599 .xword 0x5b2410f101127fda
29600 .xword 0x5688be88932c27da
29601_t1_aes_iv_array:
29602 .xword 0xfdd27ea0227176c2
29603 .xword 0xce525bb0105e8350
29604 .xword 0xc0a278e4df192326
29605 .xword 0xe0d7e261f9908c56
29606 .xword 0x4e00f705a3034c11
29607 .xword 0x9cff4b09fe0c3ed1
29608 .xword 0xdf284b12821629b5
29609 .xword 0x48466ab49f5cf4cd
29610 .xword 0xa341b41f20c7d5bb
29611 .xword 0x64b4f11511033209
29612 .xword 0x4ea99af2f9a7c7ad
29613 .xword 0xde372ddc8afd11b5
29614 .xword 0xdc8af0202f584f20
29615 .xword 0x12bef72bc9e3545b
29616 .xword 0xeb3198e45dee1039
29617 .xword 0x6b7842eba1a5bd67
29618 .xword 0x8aedf6c74ae653fd
29619 .xword 0xa8f322ceb68c4615
29620 .xword 0xa86dc8b037f7f8c9
29621 .xword 0x76f1eeefbd925068
29622 .xword 0x4476fe2525df0bf4
29623 .xword 0xe25933fa6263750a
29624 .xword 0xe74dec29b72e7d1e
29625 .xword 0x431d121a9d1d78e4
29626 .xword 0x9a2ed603b98c6766
29627 .xword 0x8fca2bf073f6c630
29628 .xword 0x46978d27f2d46a17
29629 .xword 0x8b3f1adb5287a2ee
29630 .xword 0x5038fe20f946f7dc
29631 .xword 0xf58b602b468538e2
29632 .xword 0x908ec2cabda64a75
29633 .xword 0x48a0590cd2f930f2
29634 .xword 0x37f3c798320bfa64
29635 .xword 0x1395802c876e0233
29636 .xword 0x665bc699f3d7aaab
29637 .xword 0x49efd0cd45d0d684
29638 .xword 0x50658607d156bb6f
29639 .xword 0xbd3c339ffe915147
29640 .xword 0x324e65fbf47f5862
29641 .xword 0xe2e41dfa57085066
29642 .xword 0x32b54cbda9ebbb9c
29643 .xword 0x00a275a39f0d9746
29644 .xword 0xc83ca989bba85fc5
29645 .xword 0x89f7e4fca827cb57
29646 .xword 0x07f0b606c11c29a4
29647_t1_aes_alignment_array:
29648 .xword 8
29649 .xword 15
29650 .xword 9
29651 .xword 12
29652 .xword 8
29653 .xword 10
29654 .xword 14
29655 .xword 3
29656 .xword 7
29657 .xword 9
29658 .xword 6
29659 .xword 9
29660 .xword 14
29661 .xword 1
29662 .xword 9
29663 .xword 12
29664 .xword 5
29665 .xword 2
29666 .xword 14
29667 .xword 9
29668 .xword 2
29669 .xword 3
29670 .xword 5
29671 .xword 7
29672 .xword 8
29673 .xword 15
29674 .xword 2
29675 .xword 5
29676 .xword 3
29677 .xword 11
29678 .xword 2
29679 .xword 5
29680 .xword 11
29681 .xword 12
29682 .xword 0
29683 .xword 7
29684 .xword 1
29685 .xword 14
29686 .xword 7
29687 .xword 2
29688 .xword 1
29689 .xword 4
29690 .xword 9
29691 .xword 0
29692 .xword 5
29693 .xword 10
29694 .xword 6
29695 .xword 6
29696 .xword 10
29697 .xword 2
29698 .xword 5
29699 .xword 8
29700 .xword 11
29701 .xword 7
29702 .xword 4
29703 .xword 2
29704 .xword 1
29705 .xword 11
29706 .xword 9
29707 .xword 4
29708 .xword 11
29709 .xword 13
29710 .xword 10
29711 .xword 4
29712 .xword 7
29713 .xword 11
29714 .xword 3
29715 .xword 1
29716 .xword 5
29717 .xword 0
29718 .xword 6
29719 .xword 1
29720 .xword 1
29721 .xword 13
29722 .xword 13
29723 .xword 3
29724 .xword 4
29725 .xword 11
29726 .xword 14
29727 .xword 15
29728 .xword 7
29729 .xword 4
29730 .xword 4
29731 .xword 0
29732 .xword 11
29733 .xword 7
29734 .xword 9
29735 .xword 1
29736 .xword 7
29737 .xword 13
29738 .xword 11
29739 .xword 7
29740 .xword 6
29741 .xword 12
29742 .xword 1
29743 .xword 2
29744 .xword 3
29745 .xword 2
29746 .xword 5
29747 .xword 8
29748 .xword 3
29749 .xword 1
29750 .xword 13
29751 .xword 1
29752 .xword 2
29753_t1_aes_src:
29754 .xword 0x55dcb230dd996feb
29755 .xword 0x8fe31aae73af9c6c
29756 .xword 0x4724b576050de42b
29757 .xword 0x0dcc5f53f6d6316f
29758 .xword 0x519b2cc659ecc23e
29759 .xword 0x17b6727b206307cc
29760 .xword 0xf864bace21d98d4f
29761 .xword 0x7e52e0f74d11f05d
29762 .xword 0xd14ecf90fb9c1a94
29763 .xword 0x4e40ce49ea8ee5b0
29764 .xword 0xe03aece89244d624
29765 .xword 0x967b660102c731b5
29766 .xword 0xde1438364ed05198
29767 .xword 0x1ceb57cd472da745
29768 .xword 0x740be313b87d59c3
29769 .xword 0x7c27aa04a7f3996e
29770 .xword 0xbc5a66414783c190
29771 .xword 0x0b54a3947ae7bd7b
29772 .xword 0x7dfb46ee7499bc8d
29773 .xword 0x7bf1fdeeb7311325
29774 .xword 0x92e569a2bcad3262
29775 .xword 0xb39f52e52f462789
29776 .xword 0x17a79c89b79924dd
29777 .xword 0xe2bb464d2f3b345c
29778 .xword 0x043eb9773ac388e0
29779 .xword 0x88ea8eacef4f8a27
29780 .xword 0x2847d9d9add97f03
29781 .xword 0x61e3ad83b9e3ef26
29782 .xword 0x4e1fbca199511b20
29783 .xword 0xa9c3e9b8d0e30ba5
29784 .xword 0x0f4090a3f529e10a
29785 .xword 0xeb5528890a9a9d70
29786 .xword 0x1e325dec1c6db51c
29787 .xword 0x20163ac1bdcb4df1
29788 .xword 0xb30079c6c360a3f2
29789 .xword 0x8cde653e4f4061e1
29790 .xword 0x2f69000837bd5309
29791 .xword 0xc8867f352ff8bd7e
29792 .xword 0x05d9d8a68195493c
29793 .xword 0x6ec31cf52c7765a6
29794 .xword 0xb98cd36a80c98c79
29795 .xword 0x55c21336b77d981c
29796 .xword 0x1a05eab04742fd51
29797 .xword 0xe55626738c2915cc
29798 .xword 0xe9716903436367ca
29799 .xword 0x0a29faaa66820422
29800 .xword 0xf470fdad03a366e9
29801 .xword 0x3bd75e7dd4658d51
29802 .xword 0x80a2677b0be20249
29803 .xword 0x54679ed9267007a0
29804 .xword 0x30e0d1fa495839e4
29805 .xword 0xc4074282dd966f0e
29806 .xword 0xd8ae03be1bd8f843
29807 .xword 0x77c498126e6d996c
29808 .xword 0x4068a44b89ead398
29809 .xword 0x663ba97c2dbe3125
29810 .xword 0x03c964985a39fb5d
29811 .xword 0xea01af1b8485df51
29812 .xword 0x8d0fc59bbe46b9c1
29813 .xword 0x77989d0827bd15da
29814 .xword 0x055f1eeac63e9252
29815 .xword 0xcacd336f86502b8c
29816 .xword 0xfdba45186b0be416
29817 .xword 0x877cc2265e7732b9
29818 .xword 0x269d9ea11c03d98a
29819 .xword 0x26b37b57072bcd1b
29820 .xword 0xfc71e23670ab761a
29821 .xword 0xf78064ea048c0857
29822 .xword 0x2211250ec2626c06
29823 .xword 0xf0df4001bc671ee6
29824 .xword 0xb2e14fd546525814
29825 .xword 0xf51f0995cb273974
29826 .xword 0xebdf960c1e1608e4
29827 .xword 0x84f5dd54f764ad2b
29828 .xword 0x5ba72fe8f5542693
29829 .xword 0x2982b6f1577d9b62
29830 .xword 0xe437098589c149b2
29831 .xword 0x6d2162392ec89abe
29832 .xword 0xb77513bfe844e505
29833 .xword 0x1ddaf16c298fa16c
29834 .xword 0x9605e854c43c5013
29835 .xword 0x09e51dae188c44a3
29836 .xword 0x6673aa406af7703e
29837 .xword 0x2cef4518cf30401b
29838 .xword 0xeafd1ea91e58c344
29839 .xword 0xf76150cd89748b2e
29840 .xword 0x0e5b0743f3002b99
29841 .xword 0xe08a09e8862917df
29842 .xword 0xde3352a73a03bf4f
29843 .xword 0x3b9292bce617d0c0
29844 .xword 0x371d3b49420da7ea
29845 .xword 0x4841e5095000b43c
29846 .xword 0x434fa1412660ccbb
29847 .xword 0x5ba2bf34b8b7f38c
29848 .xword 0xa617ff82c4f450db
29849 .xword 0x45f618d5423489c3
29850 .xword 0x11d919bf0af93ed4
29851 .xword 0x404ff9bb076d66cd
29852 .xword 0xe3a55d17b1dacae8
29853 .xword 0xbc1a29be2d848b6d
29854 .xword 0x9e1f5ea41de68dcb
29855 .xword 0x3c29f4cb524b82e4
29856 .xword 0x47f5ce84055d3ca5
29857 .xword 0x26934b3c8220fc26
29858 .xword 0xd20898e1b7741bcd
29859 .xword 0xa69fd58cc860ae08
29860 .xword 0xa74fcc1c653a0bcc
29861 .xword 0x97a1420d7b702eb3
29862 .xword 0x7b6c99c60122cd2c
29863 .xword 0xe8aba302c7413de1
29864 .xword 0x601362f0fbbd8dcc
29865 .xword 0xf5ea666144939e6e
29866 .xword 0xab7a7376f4c0ad18
29867 .xword 0xc5dec3813651d944
29868 .xword 0x9cf32927ffc3f17c
29869 .xword 0xfce4d43b47c81678
29870 .xword 0xea24ea6f5737cce0
29871 .xword 0xfc1b7063d2ca24ea
29872 .xword 0x056b6ead1cec48be
29873 .xword 0x171824111ac09d79
29874 .xword 0xcf5892d63090d763
29875 .xword 0xb2b82293c1d35140
29876 .xword 0x3ebcec75970c1b6b
29877 .xword 0x03ff8c19e182e6ee
29878 .xword 0xc08b39665f6f7486
29879 .xword 0xf3b354251c53fbe1
29880 .xword 0xe13e49b2aa39eb5c
29881 .xword 0xcb83da0a846b318f
29882 .xword 0xceb767b7078eff76
29883 .xword 0x9db397f88fe1ccec
29884 .xword 0x46c1876b886642e7
29885 .xword 0x5f1a7815356f2872
29886 .xword 0xcd0c78b8ce9e3e22
29887 .xword 0x16cfafeab5724253
29888 .xword 0x655c12d67287c052
29889 .xword 0x70f8f84f2fa4e12b
29890 .xword 0x5b08ee1341114818
29891 .xword 0x33de78c2fb69fec3
29892 .xword 0x75536e62d58ec103
29893 .xword 0x59de3f1f6f2afc8a
29894 .xword 0xd1c2f5016e1b83ad
29895 .xword 0x2d125c44bc0fbac5
29896 .xword 0x9f03cb982a0f669a
29897 .xword 0x763f9ffcdb1eabcc
29898 .xword 0xf41fc5727d319ec1
29899 .xword 0xc94e5bf75f2402bd
29900 .xword 0x888b09712b2414c7
29901 .xword 0x95ea850869bc13fc
29902 .xword 0x318e57cafa48281c
29903 .xword 0xc32ca73970dbfc6b
29904 .xword 0x217e2eb322b13307
29905 .xword 0x4116aca00ff3d5fc
29906 .xword 0x230c26c32ea8094a
29907 .xword 0xc8a8df0073c57fd5
29908 .xword 0xc44eedd6ffb3f185
29909 .xword 0xf8aaaa8bf5375dfa
29910 .xword 0xc90c76d9d850ecc8
29911 .xword 0xdf9c91061db72c86
29912 .xword 0x8ab13fa1aeea1d47
29913 .xword 0xc7d2044ca647db7c
29914 .xword 0xfb0bedaa3f9b4877
29915 .xword 0xae7beb197f945e35
29916 .xword 0x6c9907997c89e055
29917 .xword 0x5ccd3e9e70951567
29918 .xword 0x6346a20388ce6b16
29919 .xword 0x64ba8a93d70d9c01
29920 .xword 0xdab74e3ae735a0d8
29921 .xword 0x653a27e904b7f3e6
29922 .xword 0x1c447341d1adb896
29923 .xword 0xaa302e2fc3ac6b40
29924 .xword 0x58fef3c7c4a0c80a
29925 .xword 0x60d224559c058aae
29926 .xword 0xea8287b246ce4655
29927 .xword 0x530d0b9a9363ed2b
29928 .xword 0xcf5a4b5572d0d418
29929 .xword 0x00ae96e23995b917
29930 .xword 0x5ea6746979bf0f54
29931 .xword 0x61a4ca7ffaac472d
29932 .xword 0x549dcaa970b745b3
29933 .xword 0x9e5d2e4f8ec8243e
29934 .xword 0xec88ab35ba2ca419
29935 .xword 0x9ad4d279343888de
29936 .xword 0xf7e277f4a9b3b5cd
29937 .xword 0xbf4afdcdc54eb9b0
29938 .xword 0x3e5bf529ca22c75f
29939 .xword 0xed943a826fd5456a
29940 .xword 0x85eeb250afc8a15a
29941 .xword 0x09703410b6d91a31
29942 .xword 0xac83ea2f52082a94
29943 .xword 0xff4ae9b05e351409
29944 .xword 0xef874fe311730a31
29945 .xword 0xd345f6099b07cc74
29946 .xword 0xb4f254181765c340
29947 .xword 0xdd65b06945be6421
29948 .xword 0x573ab15f5b2b571e
29949 .xword 0xa45492df312a3992
29950 .xword 0xac0f3ca8fad68dd0
29951 .xword 0x09155cb443838360
29952 .xword 0x172784c37c223813
29953 .xword 0xb5f12e1fc5233312
29954 .xword 0xd7a94e305323ee48
29955 .xword 0x29341a1afffbaed1
29956 .xword 0x1a2284fa3541986b
29957 .xword 0x93e8a19205d112c2
29958 .xword 0x1fba378a56ec63f3
29959 .xword 0x159e3f32d34d0b37
29960 .xword 0x571817e5af70a22c
29961 .xword 0xc84cdb08a9522374
29962 .xword 0xb07c26ccc764f7c4
29963 .xword 0x1b6ca8576079f0c1
29964 .xword 0x8439574935e87e0b
29965 .xword 0x25a6040f1c68f1e9
29966 .xword 0x20ce0b0d54228683
29967 .xword 0xfe78d82426e3db45
29968 .xword 0x32565629ebf9854b
29969 .xword 0xc81195c1c544d830
29970 .xword 0xaa1e491c08d79e77
29971 .xword 0x3fa691662cb649b7
29972 .xword 0x82a7ede3b5dc9ba0
29973 .xword 0x9f63b61803b8f8e3
29974 .xword 0xa3df5425ee0f3a47
29975 .xword 0x1e743d674f4742e8
29976 .xword 0x013fd0af4eee7b4a
29977 .xword 0x7691e8fea2261239
29978 .xword 0xc73dd379ef09f503
29979 .xword 0x6c705a20d5345d75
29980 .xword 0x25b5aad3f323c305
29981 .xword 0xfadebaca4838db55
29982 .xword 0xd9ecca7ffea0fc85
29983 .xword 0xb3e04df0606a8035
29984 .xword 0x422936be212bef37
29985 .xword 0x19549dab758ef85d
29986 .xword 0xa102f0fd32f8b0b2
29987 .xword 0x8f8dadf2ed3c0ef4
29988 .xword 0xb00b8d85afb993ef
29989 .xword 0x6fd4897e1ff21230
29990 .xword 0x443c952418427eb8
29991 .xword 0xff4aa48c44d2d04f
29992 .xword 0x65b0cd729cc77c11
29993 .xword 0x0e0f30aa60dcce04
29994 .xword 0x5b89a7048bb252aa
29995 .xword 0xad87e66bcc5dc6b1
29996 .xword 0xcd727e15c8ba27de
29997 .xword 0xe913ddd79396c3e4
29998 .xword 0x40180a082c5c5559
29999 .xword 0x984006fbaa274bcb
30000 .xword 0xb36c15153c780dce
30001 .xword 0xd3c822c061578789
30002 .xword 0x6a477edb20de12dc
30003 .xword 0x130a92591c94edbc
30004 .xword 0x8ce39d94e4f1bb19
30005 .xword 0xd567fd817a797ad3
30006 .xword 0x0a4d39e89f445ecd
30007 .xword 0x3a1f194018f662ad
30008 .xword 0x13711b4bbc27dedb
30009 .xword 0xb3d8e75ef07b4b9b
30010 .xword 0x01e653ba5197a4e4
30011 .xword 0xf70fb20d2cd870eb
30012 .xword 0x5cf6248c196c510e
30013 .xword 0x724f808562c8a26a
30014 .xword 0x1ad1817919c479f4
30015 .xword 0xa9317d21b3157e00
30016 .xword 0x19fd8ca6d7502d89
30017 .xword 0xdb53d9bf5b8e19c9
30018 .xword 0xe4d57043e81d7fbd
30019 .xword 0x70d629c01941349f
30020 .xword 0x29638e54094ff97e
30021 .xword 0x48c65bde6bb04d4b
30022 .xword 0xc0fff2080b15f50f
30023 .xword 0x90985064b227c8e2
30024 .xword 0x6d2190f6338c04a3
30025 .xword 0xdf0f0cc0a10862ca
30026 .xword 0xf615412583128384
30027 .xword 0x3717b92419ab7f0b
30028 .xword 0x61765317dc183051
30029_t1_aes_dest:
30030 .xword 0xDEADBEEFDEADBEEF
30031 .xword 0xDEADBEEFDEADBEEF
30032 .xword 0xDEADBEEFDEADBEEF
30033 .xword 0xDEADBEEFDEADBEEF
30034 .xword 0xDEADBEEFDEADBEEF
30035 .xword 0xDEADBEEFDEADBEEF
30036 .xword 0xDEADBEEFDEADBEEF
30037 .xword 0xDEADBEEFDEADBEEF
30038 .xword 0xDEADBEEFDEADBEEF
30039 .xword 0xDEADBEEFDEADBEEF
30040 .xword 0xDEADBEEFDEADBEEF
30041 .xword 0xDEADBEEFDEADBEEF
30042 .xword 0xDEADBEEFDEADBEEF
30043 .xword 0xDEADBEEFDEADBEEF
30044 .xword 0xDEADBEEFDEADBEEF
30045 .xword 0xDEADBEEFDEADBEEF
30046 .xword 0xDEADBEEFDEADBEEF
30047 .xword 0xDEADBEEFDEADBEEF
30048 .xword 0xDEADBEEFDEADBEEF
30049 .xword 0xDEADBEEFDEADBEEF
30050 .xword 0xDEADBEEFDEADBEEF
30051 .xword 0xDEADBEEFDEADBEEF
30052 .xword 0xDEADBEEFDEADBEEF
30053 .xword 0xDEADBEEFDEADBEEF
30054 .xword 0xDEADBEEFDEADBEEF
30055 .xword 0xDEADBEEFDEADBEEF
30056 .xword 0xDEADBEEFDEADBEEF
30057 .xword 0xDEADBEEFDEADBEEF
30058 .xword 0xDEADBEEFDEADBEEF
30059 .xword 0xDEADBEEFDEADBEEF
30060 .xword 0xDEADBEEFDEADBEEF
30061 .xword 0xDEADBEEFDEADBEEF
30062 .xword 0xDEADBEEFDEADBEEF
30063 .xword 0xDEADBEEFDEADBEEF
30064 .xword 0xDEADBEEFDEADBEEF
30065 .xword 0xDEADBEEFDEADBEEF
30066 .xword 0xDEADBEEFDEADBEEF
30067 .xword 0xDEADBEEFDEADBEEF
30068 .xword 0xDEADBEEFDEADBEEF
30069 .xword 0xDEADBEEFDEADBEEF
30070 .xword 0xDEADBEEFDEADBEEF
30071 .xword 0xDEADBEEFDEADBEEF
30072 .xword 0xDEADBEEFDEADBEEF
30073 .xword 0xDEADBEEFDEADBEEF
30074 .xword 0xDEADBEEFDEADBEEF
30075 .xword 0xDEADBEEFDEADBEEF
30076 .xword 0xDEADBEEFDEADBEEF
30077 .xword 0xDEADBEEFDEADBEEF
30078 .xword 0xDEADBEEFDEADBEEF
30079 .xword 0xDEADBEEFDEADBEEF
30080 .xword 0xDEADBEEFDEADBEEF
30081 .xword 0xDEADBEEFDEADBEEF
30082 .xword 0xDEADBEEFDEADBEEF
30083 .xword 0xDEADBEEFDEADBEEF
30084 .xword 0xDEADBEEFDEADBEEF
30085 .xword 0xDEADBEEFDEADBEEF
30086 .xword 0xDEADBEEFDEADBEEF
30087 .xword 0xDEADBEEFDEADBEEF
30088 .xword 0xDEADBEEFDEADBEEF
30089 .xword 0xDEADBEEFDEADBEEF
30090 .xword 0xDEADBEEFDEADBEEF
30091 .xword 0xDEADBEEFDEADBEEF
30092 .xword 0xDEADBEEFDEADBEEF
30093 .xword 0xDEADBEEFDEADBEEF
30094 .xword 0xDEADBEEFDEADBEEF
30095 .xword 0xDEADBEEFDEADBEEF
30096 .xword 0xDEADBEEFDEADBEEF
30097 .xword 0xDEADBEEFDEADBEEF
30098 .xword 0xDEADBEEFDEADBEEF
30099 .xword 0xDEADBEEFDEADBEEF
30100 .xword 0xDEADBEEFDEADBEEF
30101 .xword 0xDEADBEEFDEADBEEF
30102 .xword 0xDEADBEEFDEADBEEF
30103 .xword 0xDEADBEEFDEADBEEF
30104 .xword 0xDEADBEEFDEADBEEF
30105 .xword 0xDEADBEEFDEADBEEF
30106 .xword 0xDEADBEEFDEADBEEF
30107 .xword 0xDEADBEEFDEADBEEF
30108 .xword 0xDEADBEEFDEADBEEF
30109 .xword 0xDEADBEEFDEADBEEF
30110 .xword 0xDEADBEEFDEADBEEF
30111 .xword 0xDEADBEEFDEADBEEF
30112 .xword 0xDEADBEEFDEADBEEF
30113 .xword 0xDEADBEEFDEADBEEF
30114 .xword 0xDEADBEEFDEADBEEF
30115 .xword 0xDEADBEEFDEADBEEF
30116 .xword 0xDEADBEEFDEADBEEF
30117 .xword 0xDEADBEEFDEADBEEF
30118 .xword 0xDEADBEEFDEADBEEF
30119 .xword 0xDEADBEEFDEADBEEF
30120 .xword 0xDEADBEEFDEADBEEF
30121 .xword 0xDEADBEEFDEADBEEF
30122 .xword 0xDEADBEEFDEADBEEF
30123 .xword 0xDEADBEEFDEADBEEF
30124 .xword 0xDEADBEEFDEADBEEF
30125 .xword 0xDEADBEEFDEADBEEF
30126 .xword 0xDEADBEEFDEADBEEF
30127 .xword 0xDEADBEEFDEADBEEF
30128 .xword 0xDEADBEEFDEADBEEF
30129 .xword 0xDEADBEEFDEADBEEF
30130 .xword 0xDEADBEEFDEADBEEF
30131 .xword 0xDEADBEEFDEADBEEF
30132 .xword 0xDEADBEEFDEADBEEF
30133 .xword 0xDEADBEEFDEADBEEF
30134 .xword 0xDEADBEEFDEADBEEF
30135 .xword 0xDEADBEEFDEADBEEF
30136 .xword 0xDEADBEEFDEADBEEF
30137 .xword 0xDEADBEEFDEADBEEF
30138 .xword 0xDEADBEEFDEADBEEF
30139 .xword 0xDEADBEEFDEADBEEF
30140 .xword 0xDEADBEEFDEADBEEF
30141 .xword 0xDEADBEEFDEADBEEF
30142 .xword 0xDEADBEEFDEADBEEF
30143 .xword 0xDEADBEEFDEADBEEF
30144 .xword 0xDEADBEEFDEADBEEF
30145 .xword 0xDEADBEEFDEADBEEF
30146 .xword 0xDEADBEEFDEADBEEF
30147 .xword 0xDEADBEEFDEADBEEF
30148 .xword 0xDEADBEEFDEADBEEF
30149 .xword 0xDEADBEEFDEADBEEF
30150 .xword 0xDEADBEEFDEADBEEF
30151 .xword 0xDEADBEEFDEADBEEF
30152 .xword 0xDEADBEEFDEADBEEF
30153 .xword 0xDEADBEEFDEADBEEF
30154 .xword 0xDEADBEEFDEADBEEF
30155 .xword 0xDEADBEEFDEADBEEF
30156 .xword 0xDEADBEEFDEADBEEF
30157 .xword 0xDEADBEEFDEADBEEF
30158 .xword 0xDEADBEEFDEADBEEF
30159 .xword 0xDEADBEEFDEADBEEF
30160 .xword 0xDEADBEEFDEADBEEF
30161 .xword 0xDEADBEEFDEADBEEF
30162 .xword 0xDEADBEEFDEADBEEF
30163 .xword 0xDEADBEEFDEADBEEF
30164 .xword 0xDEADBEEFDEADBEEF
30165 .xword 0xDEADBEEFDEADBEEF
30166 .xword 0xDEADBEEFDEADBEEF
30167 .xword 0xDEADBEEFDEADBEEF
30168 .xword 0xDEADBEEFDEADBEEF
30169 .xword 0xDEADBEEFDEADBEEF
30170 .xword 0xDEADBEEFDEADBEEF
30171 .xword 0xDEADBEEFDEADBEEF
30172 .xword 0xDEADBEEFDEADBEEF
30173 .xword 0xDEADBEEFDEADBEEF
30174 .xword 0xDEADBEEFDEADBEEF
30175 .xword 0xDEADBEEFDEADBEEF
30176 .xword 0xDEADBEEFDEADBEEF
30177 .xword 0xDEADBEEFDEADBEEF
30178 .xword 0xDEADBEEFDEADBEEF
30179 .xword 0xDEADBEEFDEADBEEF
30180 .xword 0xDEADBEEFDEADBEEF
30181 .xword 0xDEADBEEFDEADBEEF
30182 .xword 0xDEADBEEFDEADBEEF
30183 .xword 0xDEADBEEFDEADBEEF
30184 .xword 0xDEADBEEFDEADBEEF
30185 .xword 0xDEADBEEFDEADBEEF
30186 .xword 0xDEADBEEFDEADBEEF
30187 .xword 0xDEADBEEFDEADBEEF
30188 .xword 0xDEADBEEFDEADBEEF
30189 .xword 0xDEADBEEFDEADBEEF
30190 .xword 0xDEADBEEFDEADBEEF
30191 .xword 0xDEADBEEFDEADBEEF
30192 .xword 0xDEADBEEFDEADBEEF
30193 .xword 0xDEADBEEFDEADBEEF
30194 .xword 0xDEADBEEFDEADBEEF
30195 .xword 0xDEADBEEFDEADBEEF
30196 .xword 0xDEADBEEFDEADBEEF
30197 .xword 0xDEADBEEFDEADBEEF
30198 .xword 0xDEADBEEFDEADBEEF
30199 .xword 0xDEADBEEFDEADBEEF
30200 .xword 0xDEADBEEFDEADBEEF
30201 .xword 0xDEADBEEFDEADBEEF
30202 .xword 0xDEADBEEFDEADBEEF
30203 .xword 0xDEADBEEFDEADBEEF
30204 .xword 0xDEADBEEFDEADBEEF
30205 .xword 0xDEADBEEFDEADBEEF
30206 .xword 0xDEADBEEFDEADBEEF
30207 .xword 0xDEADBEEFDEADBEEF
30208 .xword 0xDEADBEEFDEADBEEF
30209 .xword 0xDEADBEEFDEADBEEF
30210 .xword 0xDEADBEEFDEADBEEF
30211 .xword 0xDEADBEEFDEADBEEF
30212 .xword 0xDEADBEEFDEADBEEF
30213 .xword 0xDEADBEEFDEADBEEF
30214 .xword 0xDEADBEEFDEADBEEF
30215 .xword 0xDEADBEEFDEADBEEF
30216 .xword 0xDEADBEEFDEADBEEF
30217 .xword 0xDEADBEEFDEADBEEF
30218 .xword 0xDEADBEEFDEADBEEF
30219 .xword 0xDEADBEEFDEADBEEF
30220 .xword 0xDEADBEEFDEADBEEF
30221 .xword 0xDEADBEEFDEADBEEF
30222 .xword 0xDEADBEEFDEADBEEF
30223 .xword 0xDEADBEEFDEADBEEF
30224 .xword 0xDEADBEEFDEADBEEF
30225 .xword 0xDEADBEEFDEADBEEF
30226 .xword 0xDEADBEEFDEADBEEF
30227 .xword 0xDEADBEEFDEADBEEF
30228 .xword 0xDEADBEEFDEADBEEF
30229 .xword 0xDEADBEEFDEADBEEF
30230 .xword 0xDEADBEEFDEADBEEF
30231 .xword 0xDEADBEEFDEADBEEF
30232 .xword 0xDEADBEEFDEADBEEF
30233 .xword 0xDEADBEEFDEADBEEF
30234 .xword 0xDEADBEEFDEADBEEF
30235 .xword 0xDEADBEEFDEADBEEF
30236 .xword 0xDEADBEEFDEADBEEF
30237 .xword 0xDEADBEEFDEADBEEF
30238 .xword 0xDEADBEEFDEADBEEF
30239 .xword 0xDEADBEEFDEADBEEF
30240 .xword 0xDEADBEEFDEADBEEF
30241 .xword 0xDEADBEEFDEADBEEF
30242 .xword 0xDEADBEEFDEADBEEF
30243 .xword 0xDEADBEEFDEADBEEF
30244 .xword 0xDEADBEEFDEADBEEF
30245 .xword 0xDEADBEEFDEADBEEF
30246 .xword 0xDEADBEEFDEADBEEF
30247 .xword 0xDEADBEEFDEADBEEF
30248 .xword 0xDEADBEEFDEADBEEF
30249 .xword 0xDEADBEEFDEADBEEF
30250 .xword 0xDEADBEEFDEADBEEF
30251 .xword 0xDEADBEEFDEADBEEF
30252 .xword 0xDEADBEEFDEADBEEF
30253 .xword 0xDEADBEEFDEADBEEF
30254 .xword 0xDEADBEEFDEADBEEF
30255 .xword 0xDEADBEEFDEADBEEF
30256 .xword 0xDEADBEEFDEADBEEF
30257 .xword 0xDEADBEEFDEADBEEF
30258 .xword 0xDEADBEEFDEADBEEF
30259 .xword 0xDEADBEEFDEADBEEF
30260 .xword 0xDEADBEEFDEADBEEF
30261 .xword 0xDEADBEEFDEADBEEF
30262 .xword 0xDEADBEEFDEADBEEF
30263 .xword 0xDEADBEEFDEADBEEF
30264 .xword 0xDEADBEEFDEADBEEF
30265 .xword 0xDEADBEEFDEADBEEF
30266 .xword 0xDEADBEEFDEADBEEF
30267 .xword 0xDEADBEEFDEADBEEF
30268 .xword 0xDEADBEEFDEADBEEF
30269 .xword 0xDEADBEEFDEADBEEF
30270 .xword 0xDEADBEEFDEADBEEF
30271 .xword 0xDEADBEEFDEADBEEF
30272 .xword 0xDEADBEEFDEADBEEF
30273 .xword 0xDEADBEEFDEADBEEF
30274 .xword 0xDEADBEEFDEADBEEF
30275 .xword 0xDEADBEEFDEADBEEF
30276 .xword 0xDEADBEEFDEADBEEF
30277 .xword 0xDEADBEEFDEADBEEF
30278 .xword 0xDEADBEEFDEADBEEF
30279 .xword 0xDEADBEEFDEADBEEF
30280 .xword 0xDEADBEEFDEADBEEF
30281 .xword 0xDEADBEEFDEADBEEF
30282 .xword 0xDEADBEEFDEADBEEF
30283 .xword 0xDEADBEEFDEADBEEF
30284 .xword 0xDEADBEEFDEADBEEF
30285 .xword 0xDEADBEEFDEADBEEF
30286 .xword 0xDEADBEEFDEADBEEF
30287 .xword 0xDEADBEEFDEADBEEF
30288 .xword 0xDEADBEEFDEADBEEF
30289 .xword 0xDEADBEEFDEADBEEF
30290 .xword 0xDEADBEEFDEADBEEF
30291 .xword 0xDEADBEEFDEADBEEF
30292 .xword 0xDEADBEEFDEADBEEF
30293 .xword 0xDEADBEEFDEADBEEF
30294 .xword 0xDEADBEEFDEADBEEF
30295 .xword 0xDEADBEEFDEADBEEF
30296 .xword 0xDEADBEEFDEADBEEF
30297 .xword 0xDEADBEEFDEADBEEF
30298 .xword 0xDEADBEEFDEADBEEF
30299 .xword 0xDEADBEEFDEADBEEF
30300 .xword 0xDEADBEEFDEADBEEF
30301 .xword 0xDEADBEEFDEADBEEF
30302 .xword 0xDEADBEEFDEADBEEF
30303 .xword 0xDEADBEEFDEADBEEF
30304 .xword 0xDEADBEEFDEADBEEF
30305_t1_aes_auth_key:
30306 .xword 0x93fdaa560bf3cb8e
30307 .xword 0xdc62131e39779b37
30308 .xword 0x79b29f35520a37ba
30309 .xword 0xdd5533e782e0b483
30310 .xword 0x2b7204bd7904f153
30311 .xword 0xf775346524921512
30312 .xword 0x67ab52f7914bd17c
30313 .xword 0x8536019765adf974
30314 .xword 0x45ebd244441435d4
30315 .xword 0x0871d4291cbac440
30316 .xword 0xbca31b381f128524
30317 .xword 0x25f550867a126d7d
30318 .xword 0x23544a61fe9182a6
30319 .xword 0x8a29c48f296ec03f
30320 .xword 0xd31a323240176463
30321 .xword 0x82702aa8b448c016
30322 .xword 0x86a9a4e04403434d
30323 .xword 0x5bb7dc4664525d66
30324 .xword 0xe2e58713971b2d54
30325 .xword 0x1bdf1a85e0428bee
30326 .xword 0x3c268c27c642a20a
30327 .xword 0x40c798d2e7621099
30328 .xword 0x8ce7f7e21e4fdb4d
30329_t1_aes_auth_iv:
30330 .xword 0xf747239dcec60038
30331 .xword 0x0303676e3dfd3362
30332 .xword 0x9e51e304fe975a94
30333 .xword 0x136a1f3bead336b3
30334 .xword 0x2238be302c0430fd
30335 .xword 0xb8de2f02f6b2f7c1
30336 .xword 0xa99e6fff13d7312a
30337 .xword 0x7416a6681501d9f5
30338 .xword 0xa40398b26aa73ec1
30339 .xword 0xa7658de19697cfd7
30340 .xword 0x064a010e6cb9dbc6
30341 .xword 0x666b2fd3087a6279
30342 .xword 0xf3f6b3cccfbafc0f
30343 .xword 0xdea965b1d61ae8ba
30344 .xword 0xa4639bf12ed90d80
30345 .xword 0xb1c4a702c1f123f4
30346 .xword 0x803d87a7c0ffe847
30347 .xword 0x0c35f4c9f33d49f4
30348 .xword 0x8a07b83cc6e64f49
30349 .xword 0x047c8ba87684f520
30350 .xword 0xe48b48caafd9ee4e
30351 .xword 0x23a2ad8558a83b8c
30352 .xword 0xbd8be4712f92b30f
30353_t1_aes_fas_result:
30354 .xword 0xDEADBEEFDEADBEEF
30355 .xword 0xDEADBEEFDEADBEEF
30356 .xword 0xDEADBEEFDEADBEEF
30357 .xword 0xDEADBEEFDEADBEEF
30358 .xword 0xDEADBEEFDEADBEEF
30359 .xword 0xDEADBEEFDEADBEEF
30360 .xword 0xDEADBEEFDEADBEEF
30361 .xword 0xDEADBEEFDEADBEEF
30362 .xword 0xDEADBEEFDEADBEEF
30363 .xword 0xDEADBEEFDEADBEEF
30364 .xword 0xDEADBEEFDEADBEEF
30365 .xword 0xDEADBEEFDEADBEEF
30366 .xword 0xDEADBEEFDEADBEEF
30367 .xword 0xDEADBEEFDEADBEEF
30368 .xword 0xDEADBEEFDEADBEEF
30369 .xword 0xDEADBEEFDEADBEEF
30370 .xword 0xDEADBEEFDEADBEEF
30371 .xword 0xDEADBEEFDEADBEEF
30372 .xword 0xDEADBEEFDEADBEEF
30373 .xword 0xDEADBEEFDEADBEEF
30374 .xword 0xDEADBEEFDEADBEEF
30375 .xword 0xDEADBEEFDEADBEEF
30376 .xword 0xDEADBEEFDEADBEEF
30377_t1_des_key_array:
30378 .xword 0xa90b9568548d75c2
30379 .xword 0xad8d3abcd48a0bef
30380 .xword 0x61c3e4e9b81b0925
30381 .xword 0xfee6a0846b0d9382
30382 .xword 0x94ea06c65d31b4e1
30383 .xword 0x9cbcc388130119bc
30384 .xword 0xd9134fad792351f1
30385 .xword 0x3c6f6a64eee01516
30386 .xword 0xea1576c6c3b3cf38
30387 .xword 0xe89bfca0828777bc
30388 .xword 0x467128dee40ba176
30389 .xword 0x9aa552c656f4fa52
30390 .xword 0xf166a4be9e3dfa44
30391 .xword 0x1aa310dde532cf34
30392 .xword 0xdccfd5a8e611914e
30393 .xword 0xaa03fa460e948d02
30394 .xword 0x1fdae7b9b7c938a3
30395 .xword 0xc38625435e6c5542
30396 .xword 0x7da1ea96435328da
30397 .xword 0x4366c7a839c71457
30398 .xword 0x15a4b4a86f42a6ad
30399 .xword 0x004ddcce65458580
30400 .xword 0xfc282d4c88dcfd00
30401 .xword 0x4d1d88eadcb8c6bd
30402 .xword 0x0eb7238a8e6aa9f0
30403 .xword 0x4ef379ccea23d0bf
30404 .xword 0x202d612335de3e55
30405 .xword 0xb6e56a2c726fe46f
30406 .xword 0x44e2067381d35dbd
30407 .xword 0x1091913f5b188e25
30408 .xword 0xc78ed6d4dd126065
30409 .xword 0xf2e6285555925feb
30410 .xword 0xd29d40255ff6e3a8
30411 .xword 0xeeae745e91509fc8
30412 .xword 0xc32b4a98254fc1f9
30413 .xword 0xd8c700c0f7cec47d
30414 .xword 0xa88b1a620b547688
30415 .xword 0x7608fdc177703750
30416 .xword 0x3bc214680bc72fe4
30417 .xword 0x6d41340724d725b9
30418 .xword 0xf37693e6c5707572
30419 .xword 0xdb699a5705a79aee
30420 .xword 0x8dff23e6fd72c844
30421 .xword 0x67de5eb47d4ffbd2
30422 .xword 0x03b96cf45aa2b48e
30423 .xword 0x1c0f3970fbb99106
30424 .xword 0x3d2e68798dc13272
30425 .xword 0xdf239432cf61180c
30426 .xword 0xf96ab76950543558
30427 .xword 0xaf611a5dd2bf028b
30428 .xword 0x6cd589c7355fd9af
30429_t1_des_iv_array:
30430 .xword 0x7911af9ee4bdd3a8
30431 .xword 0x8793595b354646eb
30432 .xword 0x9d6bbd191c9f2e44
30433 .xword 0xf976ebe5a6254c72
30434 .xword 0x77d176b220abd554
30435 .xword 0xd2e088e36756c9c3
30436 .xword 0x8db9f9cafb3491d4
30437 .xword 0x396c8e6875385afd
30438 .xword 0x0b59657ac2682d2a
30439 .xword 0x256e6dd790d2670e
30440 .xword 0xfb5b82a1f07a655c
30441 .xword 0x693977052c4194a1
30442 .xword 0x4e2de2776d6b1f0a
30443 .xword 0x594f2b770ed2bbc9
30444 .xword 0xd606332f787f5b2d
30445 .xword 0x7647a26be622bd17
30446 .xword 0xa3994f19ca02a871
30447 .xword 0x9d6ed772e8c395b0
30448 .xword 0x1f338d6d6d534f45
30449 .xword 0x1d582edc9d6a6e0d
30450 .xword 0xc722601373baaa48
30451 .xword 0xd411770a369eb0cf
30452 .xword 0xc6b04c09b4ec2fbb
30453 .xword 0x113226a011a80c52
30454 .xword 0x734e6bfa10e41c36
30455 .xword 0xf039696421f7d4b6
30456 .xword 0xf83f6bfda1cd6731
30457 .xword 0x87902b940caea976
30458 .xword 0x8c3c980b67a142b6
30459 .xword 0xcb3ffa450e7a4739
30460 .xword 0x28a617f6cb9181a1
30461 .xword 0xac9ddf3be43c23b7
30462 .xword 0x663217d04d8ad9d4
30463 .xword 0x2a7d89c28a5f86fa
30464 .xword 0x2dd5fe6c1bb3e3c2
30465 .xword 0x09560f8c463b3a4a
30466 .xword 0x34ef3f94e8d04092
30467 .xword 0x52396812730aef57
30468 .xword 0x08e6521c4b357f07
30469 .xword 0x028c4c32bd732956
30470 .xword 0x9b6d5611df41cef1
30471 .xword 0x82cb0658a0eb03f5
30472 .xword 0x1a55a9fd6e5bfa9b
30473 .xword 0xa0785c80e775dd89
30474 .xword 0x98507a7c7e2f262b
30475_t1_des_alignment_array:
30476 .xword 2
30477 .xword 6
30478 .xword 6
30479 .xword 5
30480 .xword 15
30481 .xword 9
30482 .xword 1
30483 .xword 14
30484 .xword 6
30485 .xword 11
30486 .xword 11
30487 .xword 12
30488 .xword 15
30489 .xword 9
30490 .xword 4
30491 .xword 3
30492 .xword 11
30493 .xword 3
30494 .xword 13
30495 .xword 14
30496 .xword 11
30497 .xword 14
30498 .xword 3
30499 .xword 4
30500 .xword 14
30501 .xword 8
30502 .xword 0
30503 .xword 12
30504 .xword 14
30505 .xword 2
30506 .xword 2
30507 .xword 8
30508 .xword 9
30509 .xword 5
30510 .xword 13
30511 .xword 6
30512 .xword 7
30513 .xword 2
30514 .xword 14
30515 .xword 10
30516 .xword 10
30517 .xword 15
30518 .xword 1
30519 .xword 6
30520 .xword 7
30521 .xword 11
30522 .xword 11
30523 .xword 7
30524 .xword 1
30525 .xword 8
30526 .xword 3
30527 .xword 2
30528 .xword 5
30529 .xword 12
30530 .xword 6
30531 .xword 15
30532 .xword 6
30533 .xword 4
30534 .xword 8
30535 .xword 5
30536 .xword 9
30537 .xword 2
30538 .xword 12
30539 .xword 10
30540 .xword 5
30541 .xword 1
30542 .xword 1
30543 .xword 3
30544 .xword 14
30545 .xword 0
30546 .xword 15
30547 .xword 11
30548 .xword 2
30549 .xword 13
30550 .xword 10
30551 .xword 10
30552 .xword 4
30553 .xword 2
30554 .xword 0
30555 .xword 4
30556 .xword 10
30557 .xword 4
30558 .xword 6
30559 .xword 7
30560 .xword 9
30561 .xword 7
30562 .xword 0
30563 .xword 8
30564 .xword 4
30565 .xword 11
30566 .xword 4
30567 .xword 9
30568 .xword 10
30569 .xword 14
30570 .xword 3
30571 .xword 11
30572 .xword 13
30573 .xword 8
30574 .xword 12
30575 .xword 11
30576 .xword 4
30577 .xword 1
30578 .xword 8
30579 .xword 12
30580 .xword 13
30581_t1_des_src:
30582 .xword 0xcb296e182177665e
30583 .xword 0x439d20d051bc4c41
30584 .xword 0xad3cb13e0b6e421f
30585 .xword 0x12932cb009c4acc2
30586 .xword 0x5de51f7ce616ccd4
30587 .xword 0x2b11edbb49340f9a
30588 .xword 0x503ba51e841582f4
30589 .xword 0xd274284afd483d70
30590 .xword 0x4fde6e298bbc2503
30591 .xword 0x1d0da976581531dc
30592 .xword 0x7d3f035d46a79690
30593 .xword 0x98318d5860c2e3c9
30594 .xword 0x79415ca16163ab3f
30595 .xword 0x4c90d40a474ecea6
30596 .xword 0x4ee819411ff1b9b1
30597 .xword 0x9087d153ab872a50
30598 .xword 0x0563c97cb6cbc9f0
30599 .xword 0x24250c43530a9f57
30600 .xword 0x39c4e0d6c0b5e198
30601 .xword 0x273a9baae03d31e2
30602 .xword 0x78111d1f00810c05
30603 .xword 0x10a9850f8841c6d4
30604 .xword 0x305e02ca9319a380
30605 .xword 0x990fee0d12b00a17
30606 .xword 0xbef42acf678ab969
30607 .xword 0x7f1644deaba19d7f
30608 .xword 0xa2ca5a9e400d5d99
30609 .xword 0xb69a325a678a995c
30610 .xword 0x6cabd03cbc4efcce
30611 .xword 0x31006cddb90f9e8f
30612 .xword 0xa37d6ed3a04f49be
30613 .xword 0x2a3c997248bd2c22
30614 .xword 0x361c305db67aa874
30615 .xword 0x7583b369a44b33d5
30616 .xword 0x1d310c5bf5845f63
30617 .xword 0x8110c00e6d9bf6f7
30618 .xword 0xf05013e715a801fb
30619 .xword 0xf92073d94fbb1d65
30620 .xword 0x3a77ef16a5e43e2e
30621 .xword 0x125be6eaf57bbde9
30622 .xword 0x0d8302f7457829aa
30623 .xword 0x8ef9e184992a2046
30624 .xword 0x3796e3f7557c637b
30625 .xword 0x1ac294aea4249915
30626 .xword 0x1d355f754d9bf694
30627 .xword 0xd65f646bb4f228bb
30628 .xword 0x449dadf3f69e5dc3
30629 .xword 0x1bbd1cf9a840a92d
30630 .xword 0x41517b13459e05ad
30631 .xword 0x3cfc0b614b49cb71
30632 .xword 0x2d78bde20c2b26e2
30633 .xword 0xf3c4ee92d24e62f1
30634 .xword 0xcc765a5d80c9bf0b
30635 .xword 0x21d26a8d76e2d143
30636 .xword 0xbcd0ce5698b66299
30637 .xword 0x634a60b5b7df202b
30638 .xword 0x0d95bed003d159c3
30639 .xword 0x22d31464b6d7f7fa
30640 .xword 0x24cb0ad289ae217d
30641 .xword 0x255f4996713aa002
30642 .xword 0x10512f06b8c335cc
30643 .xword 0xbc2de95655296c15
30644 .xword 0x292412fc4cc74be2
30645 .xword 0x42b628ebf210a5af
30646 .xword 0xae8806e3dbdc2b90
30647 .xword 0x0c4ab527ed0c7e63
30648 .xword 0xba313585ae9e45d7
30649 .xword 0x0efdceeebbaaa628
30650 .xword 0x47fe4475d2214391
30651 .xword 0xd2e5a7f39d57612e
30652 .xword 0xa13c062efbfca09d
30653 .xword 0x0533138e34b6cb59
30654 .xword 0x405984a99605f5d9
30655 .xword 0xfcb18021aa5cec69
30656 .xword 0xa48ec5bad6947a56
30657 .xword 0xaecfdf29ce18554e
30658 .xword 0x628c8c56448193c6
30659 .xword 0x7ec2efaeaa1b3f29
30660 .xword 0x4f17886ea0ef0781
30661 .xword 0xc38eb04828670368
30662 .xword 0x39a24fd9a86f1d6e
30663 .xword 0x98a5a368f58b0d81
30664 .xword 0x990d83455781b2fe
30665 .xword 0x5bf82a09b2386fd6
30666 .xword 0x8c20cf7ac2298d01
30667 .xword 0x1843ab2307fde643
30668 .xword 0x89f6bec371641a7e
30669 .xword 0xa5ffd6f548c63832
30670 .xword 0xb94ab302da998779
30671 .xword 0xdf9583fc46581121
30672 .xword 0x3ad4d7c4f9d1398d
30673 .xword 0x48d5f66179f94cea
30674 .xword 0xd95549cdc33d1349
30675 .xword 0x72fa69c3d35af501
30676 .xword 0xc920039802fbb42b
30677 .xword 0x5f5783bf5c56ab06
30678 .xword 0x08a18a9e3d836958
30679 .xword 0x37c81f818dcae109
30680 .xword 0x69bd4701d0a60565
30681 .xword 0x8c2efaa266080476
30682 .xword 0x678285624251527a
30683 .xword 0xf076d63fb58021df
30684 .xword 0xe8f3af7d2a9ba0a9
30685 .xword 0x7c3a1be831b96507
30686 .xword 0xab69ed34535f424a
30687 .xword 0xcb5c6cbf248f4b81
30688 .xword 0xac16cb5058c9f6fa
30689 .xword 0xe82b929ebecb2120
30690 .xword 0x26df7d93eb295392
30691 .xword 0x8e157628551818de
30692 .xword 0xaba4a56c4f596fbf
30693 .xword 0x1469f117408af88f
30694 .xword 0x6913d6dbc04e3ea8
30695 .xword 0x8e142260ca0a4018
30696 .xword 0x6cf8ed667554497f
30697 .xword 0x3098aa12cfea6bbf
30698 .xword 0x643743f672d13581
30699 .xword 0x465ce945c0264b78
30700 .xword 0xdff31892c0c22db6
30701 .xword 0x4db649b45b526680
30702 .xword 0x9633e737c1e0f2fd
30703 .xword 0x134259c4cc2d188c
30704 .xword 0xfb50f144ddca5387
30705 .xword 0x627786e8ac766ddb
30706 .xword 0xbf860e8833b60b45
30707 .xword 0x937a9c9b4388d841
30708 .xword 0x6ef5ac47e9936e01
30709 .xword 0x906da4053547c06c
30710 .xword 0x865b9f65dc4c2055
30711 .xword 0x673049236cea0e7f
30712 .xword 0x362ba54ff856528a
30713 .xword 0x84b9c2cf1e3d5998
30714 .xword 0x15ec3a3a26d591b5
30715 .xword 0xba1e4c0a4db42d39
30716 .xword 0xdf0a0718b02b04ae
30717 .xword 0xa9daf92326881a58
30718 .xword 0x465ebdfa1866f65f
30719 .xword 0x4603d08bed816550
30720 .xword 0x992002ba51b038f9
30721 .xword 0x03361a7e9cbd0bf5
30722 .xword 0x4f724dda77ea8a0d
30723 .xword 0x824dd1848aae87e0
30724 .xword 0x0be257d81c6fb66d
30725 .xword 0xdb7ef992dafca0b7
30726 .xword 0x5631e6e47fbbdeed
30727 .xword 0x7a333a7ea7a93c94
30728 .xword 0x89d9325cf6069907
30729 .xword 0xa95b6800350e66a1
30730 .xword 0x0b9e7525fd3227fc
30731 .xword 0xec262e0a39e0b409
30732 .xword 0xcfd25d4721a5bd6c
30733 .xword 0x3a55b508c590793a
30734 .xword 0x61376225c5ec6e30
30735 .xword 0xe53ad244d820a430
30736 .xword 0x1e53df0f53f90f5c
30737 .xword 0x6f8f72fcdef861f2
30738 .xword 0x59770c4eee34b093
30739 .xword 0x18d0c80d7eaf05bf
30740 .xword 0xeaefe00e5838ffcf
30741 .xword 0xd11856427804e715
30742 .xword 0xa723dc98ab0fdec7
30743 .xword 0x12630852dc3bca5e
30744 .xword 0x0344bde19f4b8c7e
30745 .xword 0x9860e8f9613f4748
30746 .xword 0x890552041ae9480c
30747 .xword 0xd540096db924c62e
30748 .xword 0xbd21eed489316d80
30749 .xword 0xcc1f375fea1a6bf2
30750 .xword 0xbf204dd7524b1d6d
30751 .xword 0xa5cc2c83d57cd4fd
30752 .xword 0xda7713cee3f3dc53
30753 .xword 0x52469ae1a98abca4
30754 .xword 0x38269ce199da425d
30755 .xword 0x6f249b3ae842a6d1
30756 .xword 0xb4ecb0204b39d674
30757 .xword 0x36c0a96fa0166115
30758 .xword 0xcd89e309fda6cbd5
30759 .xword 0x2ab81bbfd6b11d82
30760 .xword 0xa126472450513770
30761 .xword 0xf0f7c7c1fdd32fa4
30762 .xword 0x45038a46d79ce91d
30763 .xword 0x2c059e2876ff3305
30764 .xword 0xfac787d84db664e0
30765 .xword 0x20b765e128797014
30766 .xword 0x2dda161a346f7448
30767 .xword 0xe78db7941c72b79e
30768 .xword 0x3b77d8ea2b3594d1
30769 .xword 0x879cda7aa19fb294
30770 .xword 0x3f1e062706add0d8
30771 .xword 0xb7af7cdc53bb5127
30772 .xword 0xa7eddc976365989a
30773 .xword 0x43441e4693b7da7b
30774 .xword 0x9da79eaf75d889a3
30775 .xword 0xbbc1ac06f8e7ceef
30776 .xword 0x72ae1d64041d794b
30777 .xword 0x2576839bb3934e7e
30778 .xword 0xbb57b427ee009e91
30779 .xword 0x1aad034fe48fadb6
30780 .xword 0x0eb2e3a1e338ddbe
30781 .xword 0x2a7ae552fdad3bab
30782 .xword 0x919c30e39015d0c5
30783 .xword 0xe2716cf86d4a8ea0
30784 .xword 0x18595d544565d434
30785 .xword 0xe38988b4c7236e15
30786 .xword 0xc2bb72661b900cbb
30787 .xword 0xacd62052348be4d6
30788 .xword 0xbfe44304365cce34
30789 .xword 0xc9cf79c0c0214593
30790 .xword 0x90d20bb95b284cad
30791 .xword 0x48a6dca39d017137
30792 .xword 0x6e3d2249d3d77c15
30793 .xword 0xea2c72e8a7c64015
30794 .xword 0x205e23f005322197
30795 .xword 0x0febd3a8ab47c62b
30796 .xword 0x22bea9829969a087
30797 .xword 0x4cc6e3330eed6ca9
30798 .xword 0x749a256e0240263d
30799 .xword 0x548877c5b32b274f
30800 .xword 0x651fd2a43552290b
30801 .xword 0x5c03a0b47c89d1d5
30802 .xword 0x7bf37f8cc7b2c055
30803 .xword 0x563ec742aa0f8583
30804 .xword 0x915abbffe4890ee5
30805 .xword 0x3161eba2cf440810
30806 .xword 0xde3018d481d7d167
30807 .xword 0x1b7d696d9bd83eb0
30808 .xword 0xa6ea39257336c849
30809 .xword 0xf2327c2dd4f43195
30810 .xword 0x82bfd0b6fab1ce42
30811 .xword 0x8801735a8696d5a0
30812 .xword 0xf014b584510dc7b3
30813 .xword 0x7d5197cc1826e05c
30814 .xword 0x8231fb17301e411f
30815 .xword 0xd332b0d3f10aade2
30816 .xword 0x9d500cd8c6eb56e4
30817 .xword 0x268b4820bf949cbf
30818 .xword 0x712a01a90ffe43d4
30819 .xword 0x6abadbd1cb4b7dbe
30820 .xword 0x494a9521c7baecee
30821 .xword 0x0872e35dc3b17e59
30822 .xword 0xac045fc26966429b
30823 .xword 0xe6ea4d7ecab9a8f3
30824 .xword 0xaea355e549adaf63
30825 .xword 0x4fd62540ac605a43
30826 .xword 0xccb33cdbea921e3e
30827 .xword 0xded484dbc65d1195
30828 .xword 0xc854a8d148d8ba3e
30829 .xword 0x2f6d85cf51db6b6d
30830 .xword 0xc0cea02b6d84c616
30831 .xword 0x275697123dc1d209
30832 .xword 0xd00917e37d348100
30833 .xword 0x7e210b96ea10100a
30834 .xword 0x4738be422e4378eb
30835 .xword 0x96b69bc9b9b5eee8
30836 .xword 0xfa8f34f51382b51d
30837 .xword 0x58ce2a3df299d226
30838 .xword 0x1334379b629ef2c1
30839 .xword 0xe001b2abd1821129
30840 .xword 0x848469117d1a4350
30841 .xword 0x301990f4cc027075
30842 .xword 0x3aa2016e2d3a3211
30843 .xword 0xfbd5f4ca6040044f
30844 .xword 0x1c5c4318c9d90474
30845 .xword 0x6d50a700fdb180d7
30846 .xword 0xde95f2c4e0242a7d
30847 .xword 0x79ac520cda42f483
30848 .xword 0x0677c5d56b182bd6
30849 .xword 0x951641bcfedfb887
30850 .xword 0xfd918e06036e02bb
30851 .xword 0x243430b440d99e99
30852 .xword 0xfa88fe47fc21953b
30853 .xword 0x2ae14c77723a9d12
30854 .xword 0xc32a37e1dca033c7
30855 .xword 0x2311f6c13927bad1
30856 .xword 0xdc7e2c1ec1972352
30857_t1_des_dest:
30858 .xword 0xDEADBEEFDEADBEEF
30859 .xword 0xDEADBEEFDEADBEEF
30860 .xword 0xDEADBEEFDEADBEEF
30861 .xword 0xDEADBEEFDEADBEEF
30862 .xword 0xDEADBEEFDEADBEEF
30863 .xword 0xDEADBEEFDEADBEEF
30864 .xword 0xDEADBEEFDEADBEEF
30865 .xword 0xDEADBEEFDEADBEEF
30866 .xword 0xDEADBEEFDEADBEEF
30867 .xword 0xDEADBEEFDEADBEEF
30868 .xword 0xDEADBEEFDEADBEEF
30869 .xword 0xDEADBEEFDEADBEEF
30870 .xword 0xDEADBEEFDEADBEEF
30871 .xword 0xDEADBEEFDEADBEEF
30872 .xword 0xDEADBEEFDEADBEEF
30873 .xword 0xDEADBEEFDEADBEEF
30874 .xword 0xDEADBEEFDEADBEEF
30875 .xword 0xDEADBEEFDEADBEEF
30876 .xword 0xDEADBEEFDEADBEEF
30877 .xword 0xDEADBEEFDEADBEEF
30878 .xword 0xDEADBEEFDEADBEEF
30879 .xword 0xDEADBEEFDEADBEEF
30880 .xword 0xDEADBEEFDEADBEEF
30881 .xword 0xDEADBEEFDEADBEEF
30882 .xword 0xDEADBEEFDEADBEEF
30883 .xword 0xDEADBEEFDEADBEEF
30884 .xword 0xDEADBEEFDEADBEEF
30885 .xword 0xDEADBEEFDEADBEEF
30886 .xword 0xDEADBEEFDEADBEEF
30887 .xword 0xDEADBEEFDEADBEEF
30888 .xword 0xDEADBEEFDEADBEEF
30889 .xword 0xDEADBEEFDEADBEEF
30890 .xword 0xDEADBEEFDEADBEEF
30891 .xword 0xDEADBEEFDEADBEEF
30892 .xword 0xDEADBEEFDEADBEEF
30893 .xword 0xDEADBEEFDEADBEEF
30894 .xword 0xDEADBEEFDEADBEEF
30895 .xword 0xDEADBEEFDEADBEEF
30896 .xword 0xDEADBEEFDEADBEEF
30897 .xword 0xDEADBEEFDEADBEEF
30898 .xword 0xDEADBEEFDEADBEEF
30899 .xword 0xDEADBEEFDEADBEEF
30900 .xword 0xDEADBEEFDEADBEEF
30901 .xword 0xDEADBEEFDEADBEEF
30902 .xword 0xDEADBEEFDEADBEEF
30903 .xword 0xDEADBEEFDEADBEEF
30904 .xword 0xDEADBEEFDEADBEEF
30905 .xword 0xDEADBEEFDEADBEEF
30906 .xword 0xDEADBEEFDEADBEEF
30907 .xword 0xDEADBEEFDEADBEEF
30908 .xword 0xDEADBEEFDEADBEEF
30909 .xword 0xDEADBEEFDEADBEEF
30910 .xword 0xDEADBEEFDEADBEEF
30911 .xword 0xDEADBEEFDEADBEEF
30912 .xword 0xDEADBEEFDEADBEEF
30913 .xword 0xDEADBEEFDEADBEEF
30914 .xword 0xDEADBEEFDEADBEEF
30915 .xword 0xDEADBEEFDEADBEEF
30916 .xword 0xDEADBEEFDEADBEEF
30917 .xword 0xDEADBEEFDEADBEEF
30918 .xword 0xDEADBEEFDEADBEEF
30919 .xword 0xDEADBEEFDEADBEEF
30920 .xword 0xDEADBEEFDEADBEEF
30921 .xword 0xDEADBEEFDEADBEEF
30922 .xword 0xDEADBEEFDEADBEEF
30923 .xword 0xDEADBEEFDEADBEEF
30924 .xword 0xDEADBEEFDEADBEEF
30925 .xword 0xDEADBEEFDEADBEEF
30926 .xword 0xDEADBEEFDEADBEEF
30927 .xword 0xDEADBEEFDEADBEEF
30928 .xword 0xDEADBEEFDEADBEEF
30929 .xword 0xDEADBEEFDEADBEEF
30930 .xword 0xDEADBEEFDEADBEEF
30931 .xword 0xDEADBEEFDEADBEEF
30932 .xword 0xDEADBEEFDEADBEEF
30933 .xword 0xDEADBEEFDEADBEEF
30934 .xword 0xDEADBEEFDEADBEEF
30935 .xword 0xDEADBEEFDEADBEEF
30936 .xword 0xDEADBEEFDEADBEEF
30937 .xword 0xDEADBEEFDEADBEEF
30938 .xword 0xDEADBEEFDEADBEEF
30939 .xword 0xDEADBEEFDEADBEEF
30940 .xword 0xDEADBEEFDEADBEEF
30941 .xword 0xDEADBEEFDEADBEEF
30942 .xword 0xDEADBEEFDEADBEEF
30943 .xword 0xDEADBEEFDEADBEEF
30944 .xword 0xDEADBEEFDEADBEEF
30945 .xword 0xDEADBEEFDEADBEEF
30946 .xword 0xDEADBEEFDEADBEEF
30947 .xword 0xDEADBEEFDEADBEEF
30948 .xword 0xDEADBEEFDEADBEEF
30949 .xword 0xDEADBEEFDEADBEEF
30950 .xword 0xDEADBEEFDEADBEEF
30951 .xword 0xDEADBEEFDEADBEEF
30952 .xword 0xDEADBEEFDEADBEEF
30953 .xword 0xDEADBEEFDEADBEEF
30954 .xword 0xDEADBEEFDEADBEEF
30955 .xword 0xDEADBEEFDEADBEEF
30956 .xword 0xDEADBEEFDEADBEEF
30957 .xword 0xDEADBEEFDEADBEEF
30958 .xword 0xDEADBEEFDEADBEEF
30959 .xword 0xDEADBEEFDEADBEEF
30960 .xword 0xDEADBEEFDEADBEEF
30961 .xword 0xDEADBEEFDEADBEEF
30962 .xword 0xDEADBEEFDEADBEEF
30963 .xword 0xDEADBEEFDEADBEEF
30964 .xword 0xDEADBEEFDEADBEEF
30965 .xword 0xDEADBEEFDEADBEEF
30966 .xword 0xDEADBEEFDEADBEEF
30967 .xword 0xDEADBEEFDEADBEEF
30968 .xword 0xDEADBEEFDEADBEEF
30969 .xword 0xDEADBEEFDEADBEEF
30970 .xword 0xDEADBEEFDEADBEEF
30971 .xword 0xDEADBEEFDEADBEEF
30972 .xword 0xDEADBEEFDEADBEEF
30973 .xword 0xDEADBEEFDEADBEEF
30974 .xword 0xDEADBEEFDEADBEEF
30975 .xword 0xDEADBEEFDEADBEEF
30976 .xword 0xDEADBEEFDEADBEEF
30977 .xword 0xDEADBEEFDEADBEEF
30978 .xword 0xDEADBEEFDEADBEEF
30979 .xword 0xDEADBEEFDEADBEEF
30980 .xword 0xDEADBEEFDEADBEEF
30981 .xword 0xDEADBEEFDEADBEEF
30982 .xword 0xDEADBEEFDEADBEEF
30983 .xword 0xDEADBEEFDEADBEEF
30984 .xword 0xDEADBEEFDEADBEEF
30985 .xword 0xDEADBEEFDEADBEEF
30986 .xword 0xDEADBEEFDEADBEEF
30987 .xword 0xDEADBEEFDEADBEEF
30988 .xword 0xDEADBEEFDEADBEEF
30989 .xword 0xDEADBEEFDEADBEEF
30990 .xword 0xDEADBEEFDEADBEEF
30991 .xword 0xDEADBEEFDEADBEEF
30992 .xword 0xDEADBEEFDEADBEEF
30993 .xword 0xDEADBEEFDEADBEEF
30994 .xword 0xDEADBEEFDEADBEEF
30995 .xword 0xDEADBEEFDEADBEEF
30996 .xword 0xDEADBEEFDEADBEEF
30997 .xword 0xDEADBEEFDEADBEEF
30998 .xword 0xDEADBEEFDEADBEEF
30999 .xword 0xDEADBEEFDEADBEEF
31000 .xword 0xDEADBEEFDEADBEEF
31001 .xword 0xDEADBEEFDEADBEEF
31002 .xword 0xDEADBEEFDEADBEEF
31003 .xword 0xDEADBEEFDEADBEEF
31004 .xword 0xDEADBEEFDEADBEEF
31005 .xword 0xDEADBEEFDEADBEEF
31006 .xword 0xDEADBEEFDEADBEEF
31007 .xword 0xDEADBEEFDEADBEEF
31008 .xword 0xDEADBEEFDEADBEEF
31009 .xword 0xDEADBEEFDEADBEEF
31010 .xword 0xDEADBEEFDEADBEEF
31011 .xword 0xDEADBEEFDEADBEEF
31012 .xword 0xDEADBEEFDEADBEEF
31013 .xword 0xDEADBEEFDEADBEEF
31014 .xword 0xDEADBEEFDEADBEEF
31015 .xword 0xDEADBEEFDEADBEEF
31016 .xword 0xDEADBEEFDEADBEEF
31017 .xword 0xDEADBEEFDEADBEEF
31018 .xword 0xDEADBEEFDEADBEEF
31019 .xword 0xDEADBEEFDEADBEEF
31020 .xword 0xDEADBEEFDEADBEEF
31021 .xword 0xDEADBEEFDEADBEEF
31022 .xword 0xDEADBEEFDEADBEEF
31023 .xword 0xDEADBEEFDEADBEEF
31024 .xword 0xDEADBEEFDEADBEEF
31025 .xword 0xDEADBEEFDEADBEEF
31026 .xword 0xDEADBEEFDEADBEEF
31027 .xword 0xDEADBEEFDEADBEEF
31028 .xword 0xDEADBEEFDEADBEEF
31029 .xword 0xDEADBEEFDEADBEEF
31030 .xword 0xDEADBEEFDEADBEEF
31031 .xword 0xDEADBEEFDEADBEEF
31032 .xword 0xDEADBEEFDEADBEEF
31033 .xword 0xDEADBEEFDEADBEEF
31034 .xword 0xDEADBEEFDEADBEEF
31035 .xword 0xDEADBEEFDEADBEEF
31036 .xword 0xDEADBEEFDEADBEEF
31037 .xword 0xDEADBEEFDEADBEEF
31038 .xword 0xDEADBEEFDEADBEEF
31039 .xword 0xDEADBEEFDEADBEEF
31040 .xword 0xDEADBEEFDEADBEEF
31041 .xword 0xDEADBEEFDEADBEEF
31042 .xword 0xDEADBEEFDEADBEEF
31043 .xword 0xDEADBEEFDEADBEEF
31044 .xword 0xDEADBEEFDEADBEEF
31045 .xword 0xDEADBEEFDEADBEEF
31046 .xword 0xDEADBEEFDEADBEEF
31047 .xword 0xDEADBEEFDEADBEEF
31048 .xword 0xDEADBEEFDEADBEEF
31049 .xword 0xDEADBEEFDEADBEEF
31050 .xword 0xDEADBEEFDEADBEEF
31051 .xword 0xDEADBEEFDEADBEEF
31052 .xword 0xDEADBEEFDEADBEEF
31053 .xword 0xDEADBEEFDEADBEEF
31054 .xword 0xDEADBEEFDEADBEEF
31055 .xword 0xDEADBEEFDEADBEEF
31056 .xword 0xDEADBEEFDEADBEEF
31057 .xword 0xDEADBEEFDEADBEEF
31058 .xword 0xDEADBEEFDEADBEEF
31059 .xword 0xDEADBEEFDEADBEEF
31060 .xword 0xDEADBEEFDEADBEEF
31061 .xword 0xDEADBEEFDEADBEEF
31062 .xword 0xDEADBEEFDEADBEEF
31063 .xword 0xDEADBEEFDEADBEEF
31064 .xword 0xDEADBEEFDEADBEEF
31065 .xword 0xDEADBEEFDEADBEEF
31066 .xword 0xDEADBEEFDEADBEEF
31067 .xword 0xDEADBEEFDEADBEEF
31068 .xword 0xDEADBEEFDEADBEEF
31069 .xword 0xDEADBEEFDEADBEEF
31070 .xword 0xDEADBEEFDEADBEEF
31071 .xword 0xDEADBEEFDEADBEEF
31072 .xword 0xDEADBEEFDEADBEEF
31073 .xword 0xDEADBEEFDEADBEEF
31074 .xword 0xDEADBEEFDEADBEEF
31075 .xword 0xDEADBEEFDEADBEEF
31076 .xword 0xDEADBEEFDEADBEEF
31077 .xword 0xDEADBEEFDEADBEEF
31078 .xword 0xDEADBEEFDEADBEEF
31079 .xword 0xDEADBEEFDEADBEEF
31080 .xword 0xDEADBEEFDEADBEEF
31081 .xword 0xDEADBEEFDEADBEEF
31082 .xword 0xDEADBEEFDEADBEEF
31083 .xword 0xDEADBEEFDEADBEEF
31084 .xword 0xDEADBEEFDEADBEEF
31085 .xword 0xDEADBEEFDEADBEEF
31086 .xword 0xDEADBEEFDEADBEEF
31087 .xword 0xDEADBEEFDEADBEEF
31088 .xword 0xDEADBEEFDEADBEEF
31089 .xword 0xDEADBEEFDEADBEEF
31090 .xword 0xDEADBEEFDEADBEEF
31091 .xword 0xDEADBEEFDEADBEEF
31092 .xword 0xDEADBEEFDEADBEEF
31093 .xword 0xDEADBEEFDEADBEEF
31094 .xword 0xDEADBEEFDEADBEEF
31095 .xword 0xDEADBEEFDEADBEEF
31096 .xword 0xDEADBEEFDEADBEEF
31097 .xword 0xDEADBEEFDEADBEEF
31098 .xword 0xDEADBEEFDEADBEEF
31099 .xword 0xDEADBEEFDEADBEEF
31100 .xword 0xDEADBEEFDEADBEEF
31101 .xword 0xDEADBEEFDEADBEEF
31102 .xword 0xDEADBEEFDEADBEEF
31103 .xword 0xDEADBEEFDEADBEEF
31104 .xword 0xDEADBEEFDEADBEEF
31105 .xword 0xDEADBEEFDEADBEEF
31106 .xword 0xDEADBEEFDEADBEEF
31107 .xword 0xDEADBEEFDEADBEEF
31108 .xword 0xDEADBEEFDEADBEEF
31109 .xword 0xDEADBEEFDEADBEEF
31110 .xword 0xDEADBEEFDEADBEEF
31111 .xword 0xDEADBEEFDEADBEEF
31112 .xword 0xDEADBEEFDEADBEEF
31113 .xword 0xDEADBEEFDEADBEEF
31114 .xword 0xDEADBEEFDEADBEEF
31115 .xword 0xDEADBEEFDEADBEEF
31116 .xword 0xDEADBEEFDEADBEEF
31117 .xword 0xDEADBEEFDEADBEEF
31118 .xword 0xDEADBEEFDEADBEEF
31119 .xword 0xDEADBEEFDEADBEEF
31120 .xword 0xDEADBEEFDEADBEEF
31121 .xword 0xDEADBEEFDEADBEEF
31122 .xword 0xDEADBEEFDEADBEEF
31123 .xword 0xDEADBEEFDEADBEEF
31124 .xword 0xDEADBEEFDEADBEEF
31125 .xword 0xDEADBEEFDEADBEEF
31126 .xword 0xDEADBEEFDEADBEEF
31127 .xword 0xDEADBEEFDEADBEEF
31128 .xword 0xDEADBEEFDEADBEEF
31129 .xword 0xDEADBEEFDEADBEEF
31130 .xword 0xDEADBEEFDEADBEEF
31131 .xword 0xDEADBEEFDEADBEEF
31132 .xword 0xDEADBEEFDEADBEEF
31133_t1_des_auth_key:
31134 .xword 0xbbaa5ecb9913e51a
31135 .xword 0x3a96f0e0b95ab942
31136 .xword 0x6f2511c63b15de78
31137 .xword 0x26f99b6262422ad2
31138 .xword 0x44c530d6a218bc57
31139 .xword 0xc8a6c45a157ef53d
31140 .xword 0xbbe899f198a41c3b
31141 .xword 0xcff75e2e3d1d1e39
31142 .xword 0x5e9ab410d1526eb8
31143 .xword 0x19ae71b6afe0a812
31144 .xword 0xffedaa9b1db8b0e3
31145 .xword 0x52c97246f45e1244
31146 .xword 0xf5fc792a9b39e1e1
31147 .xword 0xe090fe05981b39bb
31148 .xword 0x476a7d912ece0301
31149 .xword 0x59c406a36e49449f
31150 .xword 0xaee53107477780ed
31151 .xword 0xe1815581c47e4671
31152 .xword 0x4ed886eda480420c
31153 .xword 0x1eb909224e7e47cb
31154 .xword 0x7aaf30d43b092e3c
31155 .xword 0xd9b34afa08ec9599
31156 .xword 0x31d17ba67fb4d29e
31157_t1_des_auth_iv:
31158 .xword 0xcbd20fc6a0e79e44
31159 .xword 0x219dbfec865c2dc9
31160 .xword 0x21afdc7345517d64
31161 .xword 0xf437c529d6a04497
31162 .xword 0xc259ee51b909b144
31163 .xword 0x5cb6bc05661b415b
31164 .xword 0xb9ec6189e6fa2bad
31165 .xword 0x0f97aa1db127958c
31166 .xword 0x1bd79ca3b0c3c1be
31167 .xword 0x921db128e1075f7d
31168 .xword 0x4a5fc77828b456d9
31169 .xword 0x413a5f38c23a72a7
31170 .xword 0x5d5584ccb6ed5b58
31171 .xword 0xd3424b7eb0b44515
31172 .xword 0xca7309f0cbbcfad7
31173 .xword 0xa27b3b6a4f99871d
31174 .xword 0xf8d62351c7df8b56
31175 .xword 0xe3b500d83fb6eeda
31176 .xword 0x127b69b99e36dc74
31177 .xword 0x1003fc910a504831
31178 .xword 0xd69cdb92e2c8efd3
31179 .xword 0x7e29c68ebd805807
31180 .xword 0xc95b1d519f84ee01
31181_t1_des_fas_result:
31182 .xword 0xDEADBEEFDEADBEEF
31183 .xword 0xDEADBEEFDEADBEEF
31184 .xword 0xDEADBEEFDEADBEEF
31185 .xword 0xDEADBEEFDEADBEEF
31186 .xword 0xDEADBEEFDEADBEEF
31187 .xword 0xDEADBEEFDEADBEEF
31188 .xword 0xDEADBEEFDEADBEEF
31189 .xword 0xDEADBEEFDEADBEEF
31190 .xword 0xDEADBEEFDEADBEEF
31191 .xword 0xDEADBEEFDEADBEEF
31192 .xword 0xDEADBEEFDEADBEEF
31193 .xword 0xDEADBEEFDEADBEEF
31194 .xword 0xDEADBEEFDEADBEEF
31195 .xword 0xDEADBEEFDEADBEEF
31196 .xword 0xDEADBEEFDEADBEEF
31197 .xword 0xDEADBEEFDEADBEEF
31198 .xword 0xDEADBEEFDEADBEEF
31199 .xword 0xDEADBEEFDEADBEEF
31200 .xword 0xDEADBEEFDEADBEEF
31201 .xword 0xDEADBEEFDEADBEEF
31202 .xword 0xDEADBEEFDEADBEEF
31203 .xword 0xDEADBEEFDEADBEEF
31204 .xword 0xDEADBEEFDEADBEEF
31205_t1_copy_key_array:
31206 .xword 0x122bec0c32168b02
31207 .xword 0x48b54df9121600fe
31208 .xword 0x013ab77ea54d3de3
31209 .xword 0x936cd53f19f2f485
31210 .xword 0xaf54b754b2ca1baa
31211 .xword 0x04392549e3a3c5d5
31212 .xword 0xf9b3ec81a481fdf2
31213 .xword 0x6035de3d46881718
31214 .xword 0xdf57f61af9d909ee
31215 .xword 0x11454fdf744fe86a
31216 .xword 0x7d43e3335efdbda3
31217 .xword 0x73624823fed59014
31218 .xword 0x8f8bbf73de354d08
31219 .xword 0x6fcd01de5cb85c5e
31220 .xword 0x89993f84b9e4c687
31221 .xword 0xc0c28605a2a7f47c
31222 .xword 0xeea45c14fb3a01e4
31223 .xword 0x2e0d0e0e60ad8091
31224 .xword 0x60e82d4a123c02f7
31225 .xword 0x2564d72d4f70f439
31226 .xword 0x06081cf23065da95
31227 .xword 0x6abe96edd41f19bc
31228 .xword 0xee781c9a69a27b45
31229 .xword 0xf2338206b60ff756
31230 .xword 0x5f98ef1856b0a3ba
31231 .xword 0x314160206db0cbd8
31232 .xword 0xe912193540e0af51
31233 .xword 0x3507cadc8a64fd7d
31234 .xword 0x24174ab168d8b53d
31235 .xword 0x40d2537189412ecf
31236 .xword 0x1ed25aa712a3caf3
31237 .xword 0x0ef8c1a2dde3de36
31238 .xword 0xc58436e3a4054a2f
31239 .xword 0xfe0fab4b2917fedc
31240 .xword 0x187ddfbfd41535d2
31241 .xword 0xfc51ef2e25a406c4
31242 .xword 0x6dfd955c5f49ebcb
31243 .xword 0xbc6950ef46fbc952
31244 .xword 0xd75a8bdc8e7305b2
31245 .xword 0xdecb3e7422259259
31246 .xword 0x368cff32701cbd10
31247 .xword 0xc04f2f58c7b976bc
31248 .xword 0xc7cb19b21e4ecd18
31249 .xword 0x76f9d7457d4fe96a
31250 .xword 0x69814f91be538543
31251 .xword 0xdbd09810e40c7706
31252 .xword 0x39d5738671e06b0a
31253 .xword 0x8c48af7e2f4b5f8b
31254 .xword 0x03bc1422cb745211
31255 .xword 0x17df383cd337d666
31256 .xword 0xff5dd16a19016516
31257_t1_copy_iv_array:
31258 .xword 0x2860c22817df9e54
31259 .xword 0xb54dadd391f83ab7
31260 .xword 0xc854528e8d3e4f55
31261 .xword 0x4fc46a15fc584d7c
31262 .xword 0x869b94a911af362a
31263 .xword 0xc156593b2efd31fa
31264 .xword 0x69beabb71df999f8
31265 .xword 0x1090f3536a27fc8a
31266 .xword 0xe3d73033f7f63993
31267 .xword 0xca8cd5463073f2f7
31268 .xword 0x6884ec3b9130f828
31269 .xword 0xf905ecbc48683a09
31270 .xword 0x2275d67ee56181e9
31271 .xword 0x5db61d9acdaf12a5
31272 .xword 0xd65b5e8e10c97c36
31273 .xword 0x02e1d5e8cc8cf03c
31274 .xword 0xa93cb08a71b4b8cc
31275 .xword 0x058ffb0c628845d5
31276 .xword 0x0fb5c3ca44c3a82c
31277 .xword 0x27d85544bcbb4fc9
31278 .xword 0xdc50d1cd1c95714e
31279 .xword 0xceb101c853a1c1e1
31280 .xword 0x8ceaeb1ca5f63950
31281 .xword 0x8b165152ae4087f7
31282 .xword 0x9b29ae1a738948ce
31283 .xword 0xb07b593d2a78116b
31284 .xword 0xfad4ca2f477a9c39
31285 .xword 0xa051e66fe6ad4d33
31286 .xword 0x93845c7b825c8ed1
31287 .xword 0x9b7cdc2cec90ff80
31288 .xword 0xce21b6da69d566ae
31289 .xword 0x0c3b975812a49f00
31290 .xword 0xe523dc73e3b26def
31291 .xword 0x181bfe947e9c5552
31292 .xword 0x73efc73a2fd9ed41
31293 .xword 0x058ccc568cdd2bf3
31294 .xword 0x8f48935ae8f4fdda
31295 .xword 0x804c6cef7532b6d8
31296 .xword 0x1423d58e749f7074
31297 .xword 0x0c55c40c5f923da2
31298 .xword 0xa130c476a213da0c
31299 .xword 0x82f8966e69ad317d
31300 .xword 0x704478f3e612e0a1
31301 .xword 0x9f58dfa37deb6e60
31302 .xword 0x79afed7d01598db1
31303_t1_copy_alignment_array:
31304 .xword 10
31305 .xword 2
31306 .xword 6
31307 .xword 12
31308 .xword 11
31309 .xword 13
31310 .xword 4
31311 .xword 4
31312 .xword 7
31313 .xword 13
31314 .xword 2
31315 .xword 3
31316 .xword 3
31317 .xword 4
31318 .xword 2
31319 .xword 14
31320 .xword 0
31321 .xword 6
31322 .xword 5
31323 .xword 7
31324 .xword 10
31325 .xword 15
31326 .xword 14
31327 .xword 0
31328 .xword 9
31329 .xword 15
31330 .xword 2
31331 .xword 6
31332 .xword 2
31333 .xword 10
31334 .xword 15
31335 .xword 11
31336 .xword 12
31337 .xword 4
31338 .xword 10
31339 .xword 11
31340 .xword 6
31341 .xword 4
31342 .xword 12
31343 .xword 15
31344 .xword 0
31345 .xword 2
31346 .xword 9
31347 .xword 4
31348 .xword 1
31349 .xword 15
31350 .xword 3
31351 .xword 2
31352 .xword 10
31353 .xword 10
31354 .xword 8
31355 .xword 4
31356 .xword 6
31357 .xword 0
31358 .xword 8
31359 .xword 6
31360 .xword 6
31361 .xword 8
31362 .xword 4
31363 .xword 1
31364 .xword 4
31365 .xword 8
31366 .xword 15
31367 .xword 15
31368 .xword 3
31369 .xword 15
31370 .xword 7
31371 .xword 12
31372 .xword 1
31373 .xword 11
31374 .xword 8
31375 .xword 8
31376 .xword 14
31377 .xword 13
31378 .xword 15
31379 .xword 2
31380 .xword 12
31381 .xword 1
31382 .xword 12
31383 .xword 1
31384 .xword 14
31385 .xword 15
31386 .xword 10
31387 .xword 15
31388 .xword 3
31389 .xword 1
31390 .xword 9
31391 .xword 12
31392 .xword 11
31393 .xword 15
31394 .xword 5
31395 .xword 15
31396 .xword 10
31397 .xword 6
31398 .xword 1
31399 .xword 0
31400 .xword 12
31401 .xword 0
31402 .xword 1
31403 .xword 0
31404 .xword 12
31405 .xword 8
31406 .xword 3
31407 .xword 4
31408 .xword 15
31409_t1_copy_src:
31410 .xword 0x9a3fb3b1be3b8f7a
31411 .xword 0x6c04648cb5614570
31412 .xword 0x67b7d36f426e5ac8
31413 .xword 0xd693dda3ed0da3bd
31414 .xword 0xff5328c1e66f3909
31415 .xword 0x3e22b6d60a0d2efc
31416 .xword 0xe4999b245ccb3ffe
31417 .xword 0x61130490d1c0fb3c
31418 .xword 0xd58c48af99a9ab2a
31419 .xword 0x1064c4d5670b276e
31420 .xword 0xee85461ba3270906
31421 .xword 0xbac23aef9b1688f5
31422 .xword 0x6a9707feadffb531
31423 .xword 0xd546df8b6057abde
31424 .xword 0x570e521d5744595c
31425 .xword 0x1ca0ee7c8190025a
31426 .xword 0x68d7053114de6995
31427 .xword 0xc5410afff8faa7fe
31428 .xword 0xe3cd66164f150e1c
31429 .xword 0x9041ce238a19ef61
31430 .xword 0xc8967233b2964eba
31431 .xword 0x01b55e515f461db4
31432 .xword 0xdcf2e653d56d1dd6
31433 .xword 0x94f90b77a87bd33e
31434 .xword 0x20fc6a00eec81a29
31435 .xword 0x8cb7291ebc5f80f0
31436 .xword 0x1eb382ae7af618a5
31437 .xword 0x20b363020bbacfae
31438 .xword 0xd2837a14a54fc731
31439 .xword 0xc00c51f32d4b473e
31440 .xword 0xd258e333fa8ffc19
31441 .xword 0xbfa99301c462f181
31442 .xword 0xf86b223e78c9e8dc
31443 .xword 0x2aa544237b1a9a1a
31444 .xword 0xede98ac1b9dbf889
31445 .xword 0x5092604a26cc836d
31446 .xword 0x95cb62029dd57b62
31447 .xword 0xd72ed21dab1b0da9
31448 .xword 0x10d1082e34351312
31449 .xword 0xf7768df88a06cea1
31450 .xword 0xbdf19bee75dee5e4
31451 .xword 0xc9ee8809acdf4f12
31452 .xword 0xb71c6dff2cd35873
31453 .xword 0x1500f3f9cbb8cb61
31454 .xword 0xaae364cdd6c5e392
31455 .xword 0x26c4da80374ec8e2
31456 .xword 0x50918c7128bbe442
31457 .xword 0xc69d74873c7abe32
31458 .xword 0xd5d540ea4aad2297
31459 .xword 0xc9e40a0e5e4d7f54
31460 .xword 0xc93fda3cfe0b93de
31461 .xword 0x907b2a9549cf753b
31462 .xword 0x6dc482e48ebc05a6
31463 .xword 0x99fc3a0d54e9fa23
31464 .xword 0xa9f7c7f140c5e860
31465 .xword 0xb5272fd526e88acb
31466 .xword 0x89de6f367ee4cfe5
31467 .xword 0x91cca7760c613d34
31468 .xword 0xa624f5ecdb89f616
31469 .xword 0x887c75eeeb014662
31470 .xword 0x6fc799d50278b4b3
31471 .xword 0x09c73cf9bd0f3cb7
31472 .xword 0x9cc1889c29db0f98
31473 .xword 0xf36b48949dd59fe7
31474 .xword 0xcba94d740bdbad8f
31475 .xword 0x42165b258135be90
31476 .xword 0xbe604721d7b5468a
31477 .xword 0xf5941770b8d287ea
31478 .xword 0x873cd2c6bbeb6cad
31479 .xword 0xfd77784214ac0d78
31480 .xword 0x682593f01c6cc183
31481 .xword 0x89b24fd73a18415b
31482 .xword 0x64dce5fbb28aed20
31483 .xword 0x2dc6dab405bc8d98
31484 .xword 0x768b55828ca233a6
31485 .xword 0xe40be6b5e68a264e
31486 .xword 0x936f4baf7cadb61c
31487 .xword 0xddab5d410679817d
31488 .xword 0x7926bfae7bf24206
31489 .xword 0x7a9f200194c7228d
31490 .xword 0xc39d8000b772b9a0
31491 .xword 0x527bb224b5f691bc
31492 .xword 0x17bdbefdeac07276
31493 .xword 0xf553f9b8fc0c526e
31494 .xword 0x57066215844f7ea1
31495 .xword 0xf4d3fc546e539b8c
31496 .xword 0xfdac98589e2fd8cb
31497 .xword 0xad47556f47d598ae
31498 .xword 0xf8973ffbbabd2015
31499 .xword 0xfbe1e478390435d1
31500 .xword 0xbc91cf581bc363a1
31501 .xword 0xb6ba932654268b05
31502 .xword 0xb38d6e22433f22f9
31503 .xword 0xd1322fd0a2258513
31504 .xword 0x2e1df683cabdaca9
31505 .xword 0x890bf09953924920
31506 .xword 0x9da0ba8c546579b9
31507 .xword 0x117dc47cfbbf0aee
31508 .xword 0x1e1b60df2b982721
31509 .xword 0x1db472d2fd72b719
31510 .xword 0xc5c73d899141606c
31511 .xword 0x1f6af6b61e01c643
31512 .xword 0x34bc9b24624ed2ad
31513 .xword 0x656f26a6fcfccd85
31514 .xword 0xa2e905634f53806a
31515 .xword 0x59c2b810420f11a7
31516 .xword 0xf8407f2558147327
31517 .xword 0x57d5068162d240af
31518 .xword 0x32cb5f7b2ac8c2df
31519 .xword 0xd34948191380dec1
31520 .xword 0xa5cc01804cc61c59
31521 .xword 0x97a33a9d72d162d3
31522 .xword 0x42bbd766cc789a4a
31523 .xword 0x740e3569ca725abb
31524 .xword 0x335412f5761d0835
31525 .xword 0x2869a9e47c7a3c3f
31526 .xword 0xbcda2ecd1ee926eb
31527 .xword 0x833ba4e989a1b2ec
31528 .xword 0x775bb9b8d28f011b
31529 .xword 0xd74da9d965360c3c
31530 .xword 0xa4ce284c3e829901
31531 .xword 0xefa03e72738d6ef4
31532 .xword 0x0cb6eb03b9c2fa91
31533 .xword 0x5c41144cd27edb70
31534 .xword 0x92848af159f2f06a
31535 .xword 0x6fd67254775ccea2
31536 .xword 0xd948639bf1b37b14
31537 .xword 0xf31abfa5526acf9d
31538 .xword 0xe3919bfc86d183d1
31539 .xword 0x9437d530ec422c29
31540 .xword 0xe11327d105718e3d
31541 .xword 0xe10b4fcffdc058de
31542 .xword 0x493cbe3ab93e19c0
31543 .xword 0xb19b6b616fa1967b
31544 .xword 0x6ab1e1d2d17e0cf0
31545 .xword 0xfd654573ad0b0f3b
31546 .xword 0x9ddd5da410b8092e
31547 .xword 0xb1ecd83af34b50fa
31548 .xword 0x82e7872c0c7cff0d
31549 .xword 0x1a205b9a02fa7bd5
31550 .xword 0x726d63fa66b8da60
31551 .xword 0x2f84a112a4d84eae
31552 .xword 0x16cacbd79a996eab
31553 .xword 0x90665cc1b8dc8e9e
31554 .xword 0x18311e4bac294bfe
31555 .xword 0xd8af6d03e6c68004
31556 .xword 0x06a25ebe65c01f06
31557 .xword 0x24b4ef43a2c73ce1
31558 .xword 0x961fb2b97886cc72
31559 .xword 0xa1dbfb0c9131a17f
31560 .xword 0xcec00268f0d82512
31561 .xword 0xdc4e9245503547b0
31562 .xword 0xd2783ebf210efb47
31563 .xword 0x7a6acec32dfd320b
31564 .xword 0x358c4eaa9d8e9ba8
31565 .xword 0x572259712021316c
31566 .xword 0x203fdad00f318d66
31567 .xword 0x3f202d12ea1c0c9f
31568 .xword 0x0f54e1beffb49b6c
31569 .xword 0xfecb8202e9cd79e7
31570 .xword 0xfdc9ffc87b76c58c
31571 .xword 0xa1bd45042a4b94ef
31572 .xword 0xa909fed03307b889
31573 .xword 0x7117f535cfdea420
31574 .xword 0x4b09f302fcc60f5f
31575 .xword 0x3802055af184b826
31576 .xword 0x154aa26a9fb5b5e5
31577 .xword 0x04b34ecaa7633d8a
31578 .xword 0xe72907f11f2b7131
31579 .xword 0x802b88d299e0a082
31580 .xword 0x481f89d3076b7b25
31581 .xword 0x382c7a8b6a125051
31582 .xword 0x798aedf11f40ab4e
31583 .xword 0xcca83f1803b18abb
31584 .xword 0x5b0691430e713fed
31585 .xword 0x7d048a172447b4be
31586 .xword 0x8b57f7f1c3c65632
31587 .xword 0x7146c282a5545fd8
31588 .xword 0xbb1d84dd5a2f5b41
31589 .xword 0x55d671f7c21fbe8c
31590 .xword 0xf39dd66993e708d9
31591 .xword 0x0618f53f60afe7b2
31592 .xword 0x731d7ced805069f6
31593 .xword 0xd44e2e1fe8346691
31594 .xword 0x14bd74691f22a998
31595 .xword 0x6bf8e31a55d8974e
31596 .xword 0xe42ccf1aa7c8b22b
31597 .xword 0x34ae171554068043
31598 .xword 0xf86c98a3c30f541d
31599 .xword 0xc00d3d6dad15fabc
31600 .xword 0x56ca2a57ff3fcaab
31601 .xword 0xac3e252cc5cf356a
31602 .xword 0x7c5530f260f42050
31603 .xword 0x274592a4e0cfc0af
31604 .xword 0x7128e2ea2696d197
31605 .xword 0x2e093ad2401cf150
31606 .xword 0x9ed46b2f606c33e9
31607 .xword 0x478a656bc0d2b966
31608 .xword 0xb83b90c6668c1607
31609 .xword 0x08c55e3e0e9abecc
31610 .xword 0x52c8408a1b930241
31611 .xword 0x777f6efb0892dce2
31612 .xword 0x4b29638666987a14
31613 .xword 0x4367514aead7be30
31614 .xword 0x12bf5bdf14504457
31615 .xword 0x41f3ac6e52f5a782
31616 .xword 0x15a386a8142f92fe
31617 .xword 0xda6e217a8e976094
31618 .xword 0xf35f06d3b97b9a64
31619 .xword 0x3f033e47638df8d3
31620 .xword 0x0618fd895ac0a0c4
31621 .xword 0x46dac430094168df
31622 .xword 0x6673b05592013d99
31623 .xword 0x3112cb9394d58241
31624 .xword 0xde35bbb0690ae80b
31625 .xword 0x02294d47ea003ab4
31626 .xword 0x3fd98fd18059decb
31627 .xword 0x89e6f3b78e944424
31628 .xword 0x7feb96d065bea830
31629 .xword 0x83660e212a06a214
31630 .xword 0x6335a69ec2b11b94
31631 .xword 0xcebad677a37dfe87
31632 .xword 0xdf5116a4e014e5cb
31633 .xword 0x6b4a121df8da1442
31634 .xword 0x949c3cec5d38adc0
31635 .xword 0xbe41430aa1c6653b
31636 .xword 0x14eb13fb1a81e14b
31637 .xword 0x06fd38789323a020
31638 .xword 0x18173487cd105f1f
31639 .xword 0x625ac8d9bd2e3113
31640 .xword 0x381b27cb8a905355
31641 .xword 0x9ba6ab1db46e24ed
31642 .xword 0x6ba61507412f215b
31643 .xword 0x14f0bd1705454a5a
31644 .xword 0xaedc5d7354664ecb
31645 .xword 0xa9358a3012c4296b
31646 .xword 0x6d2b2faab0efc7b3
31647 .xword 0xb4750c56eba81494
31648 .xword 0xf21b905ab60a5570
31649 .xword 0xc4e417ab943d1b60
31650 .xword 0x93e3d661fe5ff3fd
31651 .xword 0x2f032da092d2237f
31652 .xword 0xe41288fa38a17754
31653 .xword 0x43c5a1051f9af536
31654 .xword 0xeb1912bf636b6fa4
31655 .xword 0x532bad0ea420d811
31656 .xword 0x1c26d7395ae1a123
31657 .xword 0x0f3ce2432ce15d42
31658 .xword 0xfb79eac9a9f6c0ab
31659 .xword 0xd85727101bd1ba10
31660 .xword 0x72d9f8a461166b86
31661 .xword 0xd35f6136249d3bda
31662 .xword 0xedc49e630397f15f
31663 .xword 0x5d5f6f0289466727
31664 .xword 0x645e6fa2c45884e9
31665 .xword 0x1d1c4d93d77dd9ba
31666 .xword 0xfabc879171d19462
31667 .xword 0x59fa4c44db89603d
31668 .xword 0x016d5fdea24da611
31669 .xword 0x50dc7e46210d93e6
31670 .xword 0xecf373611c256436
31671 .xword 0x6915def862c46847
31672 .xword 0x791398bc815713e2
31673 .xword 0xfd4e97e90b313302
31674 .xword 0x4adfde0e245a50d8
31675 .xword 0xf3267b2651b1fddd
31676 .xword 0x6269e5cda841f8c0
31677 .xword 0xb92dbc830ce01c92
31678 .xword 0xbef16487019db877
31679 .xword 0x7d6ac675e0142db7
31680 .xword 0xdf8a14a77d172704
31681 .xword 0xb83fe2d82bb87067
31682 .xword 0x29a05f2f692094eb
31683 .xword 0x63d09ec127028985
31684 .xword 0x86883b3cc35562cf
31685_t1_copy_dest:
31686 .xword 0xDEADBEEFDEADBEEF
31687 .xword 0xDEADBEEFDEADBEEF
31688 .xword 0xDEADBEEFDEADBEEF
31689 .xword 0xDEADBEEFDEADBEEF
31690 .xword 0xDEADBEEFDEADBEEF
31691 .xword 0xDEADBEEFDEADBEEF
31692 .xword 0xDEADBEEFDEADBEEF
31693 .xword 0xDEADBEEFDEADBEEF
31694 .xword 0xDEADBEEFDEADBEEF
31695 .xword 0xDEADBEEFDEADBEEF
31696 .xword 0xDEADBEEFDEADBEEF
31697 .xword 0xDEADBEEFDEADBEEF
31698 .xword 0xDEADBEEFDEADBEEF
31699 .xword 0xDEADBEEFDEADBEEF
31700 .xword 0xDEADBEEFDEADBEEF
31701 .xword 0xDEADBEEFDEADBEEF
31702 .xword 0xDEADBEEFDEADBEEF
31703 .xword 0xDEADBEEFDEADBEEF
31704 .xword 0xDEADBEEFDEADBEEF
31705 .xword 0xDEADBEEFDEADBEEF
31706 .xword 0xDEADBEEFDEADBEEF
31707 .xword 0xDEADBEEFDEADBEEF
31708 .xword 0xDEADBEEFDEADBEEF
31709 .xword 0xDEADBEEFDEADBEEF
31710 .xword 0xDEADBEEFDEADBEEF
31711 .xword 0xDEADBEEFDEADBEEF
31712 .xword 0xDEADBEEFDEADBEEF
31713 .xword 0xDEADBEEFDEADBEEF
31714 .xword 0xDEADBEEFDEADBEEF
31715 .xword 0xDEADBEEFDEADBEEF
31716 .xword 0xDEADBEEFDEADBEEF
31717 .xword 0xDEADBEEFDEADBEEF
31718 .xword 0xDEADBEEFDEADBEEF
31719 .xword 0xDEADBEEFDEADBEEF
31720 .xword 0xDEADBEEFDEADBEEF
31721 .xword 0xDEADBEEFDEADBEEF
31722 .xword 0xDEADBEEFDEADBEEF
31723 .xword 0xDEADBEEFDEADBEEF
31724 .xword 0xDEADBEEFDEADBEEF
31725 .xword 0xDEADBEEFDEADBEEF
31726 .xword 0xDEADBEEFDEADBEEF
31727 .xword 0xDEADBEEFDEADBEEF
31728 .xword 0xDEADBEEFDEADBEEF
31729 .xword 0xDEADBEEFDEADBEEF
31730 .xword 0xDEADBEEFDEADBEEF
31731 .xword 0xDEADBEEFDEADBEEF
31732 .xword 0xDEADBEEFDEADBEEF
31733 .xword 0xDEADBEEFDEADBEEF
31734 .xword 0xDEADBEEFDEADBEEF
31735 .xword 0xDEADBEEFDEADBEEF
31736 .xword 0xDEADBEEFDEADBEEF
31737 .xword 0xDEADBEEFDEADBEEF
31738 .xword 0xDEADBEEFDEADBEEF
31739 .xword 0xDEADBEEFDEADBEEF
31740 .xword 0xDEADBEEFDEADBEEF
31741 .xword 0xDEADBEEFDEADBEEF
31742 .xword 0xDEADBEEFDEADBEEF
31743 .xword 0xDEADBEEFDEADBEEF
31744 .xword 0xDEADBEEFDEADBEEF
31745 .xword 0xDEADBEEFDEADBEEF
31746 .xword 0xDEADBEEFDEADBEEF
31747 .xword 0xDEADBEEFDEADBEEF
31748 .xword 0xDEADBEEFDEADBEEF
31749 .xword 0xDEADBEEFDEADBEEF
31750 .xword 0xDEADBEEFDEADBEEF
31751 .xword 0xDEADBEEFDEADBEEF
31752 .xword 0xDEADBEEFDEADBEEF
31753 .xword 0xDEADBEEFDEADBEEF
31754 .xword 0xDEADBEEFDEADBEEF
31755 .xword 0xDEADBEEFDEADBEEF
31756 .xword 0xDEADBEEFDEADBEEF
31757 .xword 0xDEADBEEFDEADBEEF
31758 .xword 0xDEADBEEFDEADBEEF
31759 .xword 0xDEADBEEFDEADBEEF
31760 .xword 0xDEADBEEFDEADBEEF
31761 .xword 0xDEADBEEFDEADBEEF
31762 .xword 0xDEADBEEFDEADBEEF
31763 .xword 0xDEADBEEFDEADBEEF
31764 .xword 0xDEADBEEFDEADBEEF
31765 .xword 0xDEADBEEFDEADBEEF
31766 .xword 0xDEADBEEFDEADBEEF
31767 .xword 0xDEADBEEFDEADBEEF
31768 .xword 0xDEADBEEFDEADBEEF
31769 .xword 0xDEADBEEFDEADBEEF
31770 .xword 0xDEADBEEFDEADBEEF
31771 .xword 0xDEADBEEFDEADBEEF
31772 .xword 0xDEADBEEFDEADBEEF
31773 .xword 0xDEADBEEFDEADBEEF
31774 .xword 0xDEADBEEFDEADBEEF
31775 .xword 0xDEADBEEFDEADBEEF
31776 .xword 0xDEADBEEFDEADBEEF
31777 .xword 0xDEADBEEFDEADBEEF
31778 .xword 0xDEADBEEFDEADBEEF
31779 .xword 0xDEADBEEFDEADBEEF
31780 .xword 0xDEADBEEFDEADBEEF
31781 .xword 0xDEADBEEFDEADBEEF
31782 .xword 0xDEADBEEFDEADBEEF
31783 .xword 0xDEADBEEFDEADBEEF
31784 .xword 0xDEADBEEFDEADBEEF
31785 .xword 0xDEADBEEFDEADBEEF
31786 .xword 0xDEADBEEFDEADBEEF
31787 .xword 0xDEADBEEFDEADBEEF
31788 .xword 0xDEADBEEFDEADBEEF
31789 .xword 0xDEADBEEFDEADBEEF
31790 .xword 0xDEADBEEFDEADBEEF
31791 .xword 0xDEADBEEFDEADBEEF
31792 .xword 0xDEADBEEFDEADBEEF
31793 .xword 0xDEADBEEFDEADBEEF
31794 .xword 0xDEADBEEFDEADBEEF
31795 .xword 0xDEADBEEFDEADBEEF
31796 .xword 0xDEADBEEFDEADBEEF
31797 .xword 0xDEADBEEFDEADBEEF
31798 .xword 0xDEADBEEFDEADBEEF
31799 .xword 0xDEADBEEFDEADBEEF
31800 .xword 0xDEADBEEFDEADBEEF
31801 .xword 0xDEADBEEFDEADBEEF
31802 .xword 0xDEADBEEFDEADBEEF
31803 .xword 0xDEADBEEFDEADBEEF
31804 .xword 0xDEADBEEFDEADBEEF
31805 .xword 0xDEADBEEFDEADBEEF
31806 .xword 0xDEADBEEFDEADBEEF
31807 .xword 0xDEADBEEFDEADBEEF
31808 .xword 0xDEADBEEFDEADBEEF
31809 .xword 0xDEADBEEFDEADBEEF
31810 .xword 0xDEADBEEFDEADBEEF
31811 .xword 0xDEADBEEFDEADBEEF
31812 .xword 0xDEADBEEFDEADBEEF
31813 .xword 0xDEADBEEFDEADBEEF
31814 .xword 0xDEADBEEFDEADBEEF
31815 .xword 0xDEADBEEFDEADBEEF
31816 .xword 0xDEADBEEFDEADBEEF
31817 .xword 0xDEADBEEFDEADBEEF
31818 .xword 0xDEADBEEFDEADBEEF
31819 .xword 0xDEADBEEFDEADBEEF
31820 .xword 0xDEADBEEFDEADBEEF
31821 .xword 0xDEADBEEFDEADBEEF
31822 .xword 0xDEADBEEFDEADBEEF
31823 .xword 0xDEADBEEFDEADBEEF
31824 .xword 0xDEADBEEFDEADBEEF
31825 .xword 0xDEADBEEFDEADBEEF
31826 .xword 0xDEADBEEFDEADBEEF
31827 .xword 0xDEADBEEFDEADBEEF
31828 .xword 0xDEADBEEFDEADBEEF
31829 .xword 0xDEADBEEFDEADBEEF
31830 .xword 0xDEADBEEFDEADBEEF
31831 .xword 0xDEADBEEFDEADBEEF
31832 .xword 0xDEADBEEFDEADBEEF
31833 .xword 0xDEADBEEFDEADBEEF
31834 .xword 0xDEADBEEFDEADBEEF
31835 .xword 0xDEADBEEFDEADBEEF
31836 .xword 0xDEADBEEFDEADBEEF
31837 .xword 0xDEADBEEFDEADBEEF
31838 .xword 0xDEADBEEFDEADBEEF
31839 .xword 0xDEADBEEFDEADBEEF
31840 .xword 0xDEADBEEFDEADBEEF
31841 .xword 0xDEADBEEFDEADBEEF
31842 .xword 0xDEADBEEFDEADBEEF
31843 .xword 0xDEADBEEFDEADBEEF
31844 .xword 0xDEADBEEFDEADBEEF
31845 .xword 0xDEADBEEFDEADBEEF
31846 .xword 0xDEADBEEFDEADBEEF
31847 .xword 0xDEADBEEFDEADBEEF
31848 .xword 0xDEADBEEFDEADBEEF
31849 .xword 0xDEADBEEFDEADBEEF
31850 .xword 0xDEADBEEFDEADBEEF
31851 .xword 0xDEADBEEFDEADBEEF
31852 .xword 0xDEADBEEFDEADBEEF
31853 .xword 0xDEADBEEFDEADBEEF
31854 .xword 0xDEADBEEFDEADBEEF
31855 .xword 0xDEADBEEFDEADBEEF
31856 .xword 0xDEADBEEFDEADBEEF
31857 .xword 0xDEADBEEFDEADBEEF
31858 .xword 0xDEADBEEFDEADBEEF
31859 .xword 0xDEADBEEFDEADBEEF
31860 .xword 0xDEADBEEFDEADBEEF
31861 .xword 0xDEADBEEFDEADBEEF
31862 .xword 0xDEADBEEFDEADBEEF
31863 .xword 0xDEADBEEFDEADBEEF
31864 .xword 0xDEADBEEFDEADBEEF
31865 .xword 0xDEADBEEFDEADBEEF
31866 .xword 0xDEADBEEFDEADBEEF
31867 .xword 0xDEADBEEFDEADBEEF
31868 .xword 0xDEADBEEFDEADBEEF
31869 .xword 0xDEADBEEFDEADBEEF
31870 .xword 0xDEADBEEFDEADBEEF
31871 .xword 0xDEADBEEFDEADBEEF
31872 .xword 0xDEADBEEFDEADBEEF
31873 .xword 0xDEADBEEFDEADBEEF
31874 .xword 0xDEADBEEFDEADBEEF
31875 .xword 0xDEADBEEFDEADBEEF
31876 .xword 0xDEADBEEFDEADBEEF
31877 .xword 0xDEADBEEFDEADBEEF
31878 .xword 0xDEADBEEFDEADBEEF
31879 .xword 0xDEADBEEFDEADBEEF
31880 .xword 0xDEADBEEFDEADBEEF
31881 .xword 0xDEADBEEFDEADBEEF
31882 .xword 0xDEADBEEFDEADBEEF
31883 .xword 0xDEADBEEFDEADBEEF
31884 .xword 0xDEADBEEFDEADBEEF
31885 .xword 0xDEADBEEFDEADBEEF
31886 .xword 0xDEADBEEFDEADBEEF
31887 .xword 0xDEADBEEFDEADBEEF
31888 .xword 0xDEADBEEFDEADBEEF
31889 .xword 0xDEADBEEFDEADBEEF
31890 .xword 0xDEADBEEFDEADBEEF
31891 .xword 0xDEADBEEFDEADBEEF
31892 .xword 0xDEADBEEFDEADBEEF
31893 .xword 0xDEADBEEFDEADBEEF
31894 .xword 0xDEADBEEFDEADBEEF
31895 .xword 0xDEADBEEFDEADBEEF
31896 .xword 0xDEADBEEFDEADBEEF
31897 .xword 0xDEADBEEFDEADBEEF
31898 .xword 0xDEADBEEFDEADBEEF
31899 .xword 0xDEADBEEFDEADBEEF
31900 .xword 0xDEADBEEFDEADBEEF
31901 .xword 0xDEADBEEFDEADBEEF
31902 .xword 0xDEADBEEFDEADBEEF
31903 .xword 0xDEADBEEFDEADBEEF
31904 .xword 0xDEADBEEFDEADBEEF
31905 .xword 0xDEADBEEFDEADBEEF
31906 .xword 0xDEADBEEFDEADBEEF
31907 .xword 0xDEADBEEFDEADBEEF
31908 .xword 0xDEADBEEFDEADBEEF
31909 .xword 0xDEADBEEFDEADBEEF
31910 .xword 0xDEADBEEFDEADBEEF
31911 .xword 0xDEADBEEFDEADBEEF
31912 .xword 0xDEADBEEFDEADBEEF
31913 .xword 0xDEADBEEFDEADBEEF
31914 .xword 0xDEADBEEFDEADBEEF
31915 .xword 0xDEADBEEFDEADBEEF
31916 .xword 0xDEADBEEFDEADBEEF
31917 .xword 0xDEADBEEFDEADBEEF
31918 .xword 0xDEADBEEFDEADBEEF
31919 .xword 0xDEADBEEFDEADBEEF
31920 .xword 0xDEADBEEFDEADBEEF
31921 .xword 0xDEADBEEFDEADBEEF
31922 .xword 0xDEADBEEFDEADBEEF
31923 .xword 0xDEADBEEFDEADBEEF
31924 .xword 0xDEADBEEFDEADBEEF
31925 .xword 0xDEADBEEFDEADBEEF
31926 .xword 0xDEADBEEFDEADBEEF
31927 .xword 0xDEADBEEFDEADBEEF
31928 .xword 0xDEADBEEFDEADBEEF
31929 .xword 0xDEADBEEFDEADBEEF
31930 .xword 0xDEADBEEFDEADBEEF
31931 .xword 0xDEADBEEFDEADBEEF
31932 .xword 0xDEADBEEFDEADBEEF
31933 .xword 0xDEADBEEFDEADBEEF
31934 .xword 0xDEADBEEFDEADBEEF
31935 .xword 0xDEADBEEFDEADBEEF
31936 .xword 0xDEADBEEFDEADBEEF
31937 .xword 0xDEADBEEFDEADBEEF
31938 .xword 0xDEADBEEFDEADBEEF
31939 .xword 0xDEADBEEFDEADBEEF
31940 .xword 0xDEADBEEFDEADBEEF
31941 .xword 0xDEADBEEFDEADBEEF
31942 .xword 0xDEADBEEFDEADBEEF
31943 .xword 0xDEADBEEFDEADBEEF
31944 .xword 0xDEADBEEFDEADBEEF
31945 .xword 0xDEADBEEFDEADBEEF
31946 .xword 0xDEADBEEFDEADBEEF
31947 .xword 0xDEADBEEFDEADBEEF
31948 .xword 0xDEADBEEFDEADBEEF
31949 .xword 0xDEADBEEFDEADBEEF
31950 .xword 0xDEADBEEFDEADBEEF
31951 .xword 0xDEADBEEFDEADBEEF
31952 .xword 0xDEADBEEFDEADBEEF
31953 .xword 0xDEADBEEFDEADBEEF
31954 .xword 0xDEADBEEFDEADBEEF
31955 .xword 0xDEADBEEFDEADBEEF
31956 .xword 0xDEADBEEFDEADBEEF
31957 .xword 0xDEADBEEFDEADBEEF
31958 .xword 0xDEADBEEFDEADBEEF
31959 .xword 0xDEADBEEFDEADBEEF
31960 .xword 0xDEADBEEFDEADBEEF
31961_t1_copy_auth_key:
31962 .xword 0xcab37be0c00b04c0
31963 .xword 0x8aabb2e46ec5e1a4
31964 .xword 0x0a92ec8b295418c5
31965 .xword 0xb8aa0ad4e207e286
31966 .xword 0xe5731a3e38eb2caf
31967 .xword 0x954ab119c8ada4f6
31968 .xword 0xf4f300f1f7f99bc6
31969 .xword 0xfb1055bf01b68e0c
31970 .xword 0xc769041d9e138fbd
31971 .xword 0x69521735b3bb8481
31972 .xword 0x1266e951ed5ec095
31973 .xword 0x43406110e4da7999
31974 .xword 0xe39fbcb667e94a19
31975 .xword 0x688a814cb2b12aa4
31976 .xword 0xcca4da7d246abd5a
31977 .xword 0xff960d063462f0d8
31978 .xword 0xa5056683a7d7b051
31979 .xword 0x080feacec1b8e463
31980 .xword 0x508662e519375466
31981 .xword 0x208cc395d6bc28ab
31982 .xword 0x0c0dceb204dd158a
31983 .xword 0x8c91a3c14940e3d1
31984 .xword 0x87c18e608452234d
31985_t1_copy_auth_iv:
31986 .xword 0x4f7908f8725468ca
31987 .xword 0xeffd8ac411b669b7
31988 .xword 0xb562034d291496b9
31989 .xword 0x8f82dce7270d6d11
31990 .xword 0x012bfc6b322ae92d
31991 .xword 0xd149ef58e66e8627
31992 .xword 0x879f06a5ab2c652a
31993 .xword 0xb7fe5cae7216b3f1
31994 .xword 0x96a8c631b7337250
31995 .xword 0x6df3b2a1624564ec
31996 .xword 0xf20d77c4e710e621
31997 .xword 0xa215d3af34be6311
31998 .xword 0xa2b61be0689322c5
31999 .xword 0x74fe6538e3805fd4
32000 .xword 0x749b52f168d06a1f
32001 .xword 0x6f2efc27b319e8a5
32002 .xword 0x25580bb546ba2217
32003 .xword 0x5f0df428269bc105
32004 .xword 0x598f5236a4b8f970
32005 .xword 0x4a38501577d5c41b
32006 .xword 0x21402e988552823e
32007 .xword 0x1926a7230279d34f
32008 .xword 0xb0705ac8509405b1
32009_t1_copy_fas_result:
32010 .xword 0xDEADBEEFDEADBEEF
32011 .xword 0xDEADBEEFDEADBEEF
32012 .xword 0xDEADBEEFDEADBEEF
32013 .xword 0xDEADBEEFDEADBEEF
32014 .xword 0xDEADBEEFDEADBEEF
32015 .xword 0xDEADBEEFDEADBEEF
32016 .xword 0xDEADBEEFDEADBEEF
32017 .xword 0xDEADBEEFDEADBEEF
32018 .xword 0xDEADBEEFDEADBEEF
32019 .xword 0xDEADBEEFDEADBEEF
32020 .xword 0xDEADBEEFDEADBEEF
32021 .xword 0xDEADBEEFDEADBEEF
32022 .xword 0xDEADBEEFDEADBEEF
32023 .xword 0xDEADBEEFDEADBEEF
32024 .xword 0xDEADBEEFDEADBEEF
32025 .xword 0xDEADBEEFDEADBEEF
32026 .xword 0xDEADBEEFDEADBEEF
32027 .xword 0xDEADBEEFDEADBEEF
32028 .xword 0xDEADBEEFDEADBEEF
32029 .xword 0xDEADBEEFDEADBEEF
32030 .xword 0xDEADBEEFDEADBEEF
32031 .xword 0xDEADBEEFDEADBEEF
32032 .xword 0xDEADBEEFDEADBEEF
32033_t1_crc_key_array:
32034 .xword 0xb045159288c9b172
32035 .xword 0xee04bf0a373097ce
32036 .xword 0xea4c1539cbc659f7
32037 .xword 0x52961a9bec1b49b0
32038 .xword 0x778f9d0cf230a718
32039 .xword 0x4e7243ca8a08a88d
32040 .xword 0x830db18f4bce2300
32041 .xword 0xcc5e81bd62349102
32042 .xword 0x155a09a230c2423e
32043 .xword 0x3eb61d2b462ffd1c
32044 .xword 0x8f91e1b612d2d968
32045 .xword 0x294221845c2027a2
32046 .xword 0xc90a7067f4349375
32047 .xword 0xe30849fc86f21776
32048 .xword 0x5344567e79378a4e
32049 .xword 0x497499109297bd61
32050 .xword 0x67790ef430497be1
32051 .xword 0x8642789e5c5e9cfd
32052 .xword 0x0ab86937d039f178
32053 .xword 0x842422c0906da767
32054 .xword 0xebb0841dd2b33edb
32055 .xword 0xcb1df5803e9b249c
32056 .xword 0xa36e4c44c9e15003
32057 .xword 0x54543ecc3703fa25
32058 .xword 0x152baeec7417486a
32059 .xword 0xb55f6f9f0db3c5a3
32060 .xword 0xf298d613faeb90f1
32061 .xword 0x8faa68676e1df577
32062 .xword 0x8c42f499e8f0c87b
32063 .xword 0xdc1ae05a709aad99
32064 .xword 0x2af2b32343377880
32065 .xword 0x4463b33e52b81831
32066 .xword 0xb0b02f260f4d5852
32067 .xword 0x72350d7866f602af
32068 .xword 0x0a936b506944701a
32069 .xword 0xd7952b985aa8b368
32070 .xword 0xa582f44824012d80
32071 .xword 0xcad1f9475d00cb2d
32072 .xword 0x103c48a808aa581a
32073 .xword 0x9a85b64ccd59dfb9
32074 .xword 0x4faf299325a31e08
32075 .xword 0x0148db110e16a910
32076 .xword 0x6c655801219569c3
32077 .xword 0xe773d001ba454093
32078 .xword 0x1b68ad966cbff446
32079 .xword 0x12b0ab6cb2074659
32080 .xword 0x221455eb22b7e800
32081 .xword 0x6a320220b49d00cd
32082 .xword 0xea3a4f9229d8e0c5
32083 .xword 0x4d97c44549ff18a3
32084 .xword 0xb660f247aae36c80
32085_t1_crc_iv_array:
32086 .xword 0x997503224e9abca4
32087 .xword 0x4f7eb72cfd1b65ba
32088 .xword 0xfba683b27947f131
32089 .xword 0x24542f2f94204b60
32090 .xword 0xe37d039edde33456
32091 .xword 0xe6a922850ce80cc3
32092 .xword 0xe1687d4205108b13
32093 .xword 0xa24f724c93786899
32094 .xword 0x944ccc74a35aded6
32095 .xword 0x7cb140b355ecb09f
32096 .xword 0x59823ecde4819255
32097 .xword 0x99a5cd03ccb0c85c
32098 .xword 0xed941268ba70a63b
32099 .xword 0x86b2a0dd610b9852
32100 .xword 0xae66c51d4fcaa097
32101 .xword 0x017d80ae4a3ceecc
32102 .xword 0xfba1d0d3fcbfab30
32103 .xword 0x124fae35db61dd75
32104 .xword 0x836f9a5aea74e545
32105 .xword 0x13a6c704aaf36d51
32106 .xword 0xd21872b9f7cbc682
32107 .xword 0xb48a6c994f99bbff
32108 .xword 0x38b8b4e8a4e709b0
32109 .xword 0x439ad916b1d849dd
32110 .xword 0xcb6171eac02b32a0
32111 .xword 0xb0570be911dd8a73
32112 .xword 0x3f163675de6cd9a4
32113 .xword 0xfdbdf225a31404ad
32114 .xword 0xf7edbf72bb92226f
32115 .xword 0x674f8e4031cca9b9
32116 .xword 0x752a229598bb3e41
32117 .xword 0x643af8df868117cd
32118 .xword 0xcaeb0705852f8fcd
32119 .xword 0xab4d74f4611db18a
32120 .xword 0xc15ab2131d7b1f90
32121 .xword 0x49ea1cda3698f85f
32122 .xword 0x0748ba6a3a336561
32123 .xword 0x3bbefe746c405784
32124 .xword 0xa545978baf8a5c56
32125 .xword 0xb742af98d1e4262b
32126 .xword 0xf4f7f49897164db8
32127 .xword 0x274eca7f4baa106a
32128 .xword 0xabcc37a3cece14a8
32129 .xword 0x1869dbfd4d7bbeff
32130 .xword 0x2fab8b42aba1bc69
32131_t1_crc_alignment_array:
32132 .xword 0
32133 .xword 11
32134 .xword 0
32135 .xword 12
32136 .xword 2
32137 .xword 7
32138 .xword 4
32139 .xword 12
32140 .xword 4
32141 .xword 2
32142 .xword 13
32143 .xword 7
32144 .xword 10
32145 .xword 0
32146 .xword 13
32147 .xword 0
32148 .xword 10
32149 .xword 6
32150 .xword 1
32151 .xword 7
32152 .xword 2
32153 .xword 2
32154 .xword 7
32155 .xword 1
32156 .xword 10
32157 .xword 2
32158 .xword 7
32159 .xword 0
32160 .xword 5
32161 .xword 11
32162 .xword 12
32163 .xword 4
32164 .xword 2
32165 .xword 8
32166 .xword 0
32167 .xword 15
32168 .xword 7
32169 .xword 8
32170 .xword 8
32171 .xword 6
32172 .xword 10
32173 .xword 9
32174 .xword 10
32175 .xword 14
32176 .xword 10
32177 .xword 8
32178 .xword 11
32179 .xword 9
32180 .xword 14
32181 .xword 12
32182 .xword 15
32183 .xword 14
32184 .xword 2
32185 .xword 8
32186 .xword 15
32187 .xword 7
32188 .xword 3
32189 .xword 7
32190 .xword 12
32191 .xword 2
32192 .xword 0
32193 .xword 5
32194 .xword 14
32195 .xword 8
32196 .xword 6
32197 .xword 2
32198 .xword 14
32199 .xword 15
32200 .xword 15
32201 .xword 15
32202 .xword 5
32203 .xword 1
32204 .xword 13
32205 .xword 11
32206 .xword 1
32207 .xword 0
32208 .xword 6
32209 .xword 4
32210 .xword 7
32211 .xword 8
32212 .xword 6
32213 .xword 15
32214 .xword 0
32215 .xword 10
32216 .xword 15
32217 .xword 0
32218 .xword 14
32219 .xword 13
32220 .xword 6
32221 .xword 7
32222 .xword 11
32223 .xword 6
32224 .xword 4
32225 .xword 14
32226 .xword 3
32227 .xword 2
32228 .xword 6
32229 .xword 2
32230 .xword 5
32231 .xword 8
32232 .xword 4
32233 .xword 0
32234 .xword 6
32235 .xword 15
32236 .xword 12
32237_t1_crc_src:
32238 .xword 0x3c49f97d55f95a89
32239 .xword 0xdd4bf12efab1828b
32240 .xword 0xfbb3fefff2948b86
32241 .xword 0xb4cb03813548907d
32242 .xword 0x5ff1745eaccab6ff
32243 .xword 0x67808e3fe67d1c43
32244 .xword 0x37f2cfa85520c21b
32245 .xword 0x0edb7cf83ae85bed
32246 .xword 0x2fe8c249d68eb865
32247 .xword 0x36ea27d811ff9307
32248 .xword 0xf2345d9efdc0c376
32249 .xword 0x1f36e1b57d43816d
32250 .xword 0x1338515afb6c5aa7
32251 .xword 0x7dd04a76c076cac2
32252 .xword 0x439ffdb7a37c9cff
32253 .xword 0xf6e92b8c29147f1c
32254 .xword 0xff0bcda477445a56
32255 .xword 0x2996a78766d54ab3
32256 .xword 0x35362c96a2ac85cb
32257 .xword 0x79ae47eec7169bcc
32258 .xword 0x14728f98885abb74
32259 .xword 0xee84d874d3c04742
32260 .xword 0x964016c75e8ef531
32261 .xword 0xfb89a096de311696
32262 .xword 0x4bed1331da74777d
32263 .xword 0x082514d3187f2646
32264 .xword 0x24aabd25480cd0f1
32265 .xword 0xe5f0e75c776c7396
32266 .xword 0xd86a02445facc9f7
32267 .xword 0x44ba1cc82e21d549
32268 .xword 0x461a718c1b209976
32269 .xword 0xbcfaa56f915e3dcc
32270 .xword 0xbfe1491609d812b4
32271 .xword 0x3dd66ea96fe54434
32272 .xword 0x0c6ab86d01ac9527
32273 .xword 0x3fa35cf9cded09a8
32274 .xword 0xad4d9d001dddfee8
32275 .xword 0xd1ed3cae10fbc095
32276 .xword 0x80a7784261d1498d
32277 .xword 0x0ac4574c79f64469
32278 .xword 0x728f67d556abe5b8
32279 .xword 0x69038d9f43fd6aa8
32280 .xword 0xf7af69dcc7f01aca
32281 .xword 0xad3e03e94ecb9472
32282 .xword 0x2ef9a626d6403498
32283 .xword 0x188a928e98c50790
32284 .xword 0x26fdcebdc7e03e3f
32285 .xword 0x674937345c270cdc
32286 .xword 0xfc7ad6176edfa682
32287 .xword 0xc8e4f51cad2dd856
32288 .xword 0x56df554e72962cfc
32289 .xword 0x8f1a25a9b03217b9
32290 .xword 0xcfd15340310b7645
32291 .xword 0x161b494edef89d54
32292 .xword 0xb527b63c49eb952c
32293 .xword 0x34d6499b79d9c5d2
32294 .xword 0xfee6bec1ce76eb52
32295 .xword 0xe17c0254dc690c05
32296 .xword 0x74e064edcb8bc9d3
32297 .xword 0x284eb2e0459866f2
32298 .xword 0x4331199695da6f26
32299 .xword 0x777113dd54e6ce68
32300 .xword 0xe2d8e67f3e644787
32301 .xword 0x8d51a0ebb882486e
32302 .xword 0x82777fd771f4508e
32303 .xword 0xcffadac238f35fba
32304 .xword 0xefa33135d04af0ea
32305 .xword 0x4c4fd4e92312583e
32306 .xword 0x9fed143b100d9a87
32307 .xword 0xecf6d01eceef36f6
32308 .xword 0xaed2922ef7a76b46
32309 .xword 0xf6ae839fb4f70774
32310 .xword 0x1cf1c7a1df1acd27
32311 .xword 0xbc4581a35d5b7758
32312 .xword 0x628229fca0bf4be8
32313 .xword 0x9d08688ed27af080
32314 .xword 0x0fe730254410ce9c
32315 .xword 0x7c6aefd423b40a7d
32316 .xword 0xd0f11bd7b31748ac
32317 .xword 0xbc78c632e7fb1c6a
32318 .xword 0x68bdc67051292551
32319 .xword 0xea7a378845875e7a
32320 .xword 0x565fa60370d8915e
32321 .xword 0xc474f53a6d027132
32322 .xword 0x68404798750e04b0
32323 .xword 0xc94d83ac2e2604e2
32324 .xword 0x289fd0e3ea41e352
32325 .xword 0xb23a7c149be43bb2
32326 .xword 0x36938c445552f0f3
32327 .xword 0xc5dd55dd3afaefc0
32328 .xword 0x63fd873ae8ed83ca
32329 .xword 0xe8e870eb19736ba5
32330 .xword 0xc094ca401950376d
32331 .xword 0x30126d72e091888a
32332 .xword 0x9bce63afc74ba4e1
32333 .xword 0xd17f216dd0f84b78
32334 .xword 0x80def0a29bdaca0f
32335 .xword 0x774ed69a339b526d
32336 .xword 0x919acaa0f4df3abe
32337 .xword 0xbf8d830419384d1a
32338 .xword 0xe56cda09e732936f
32339 .xword 0x60223e6ffff5244a
32340 .xword 0xc7040194567c7bee
32341 .xword 0x227c547e6f9eab44
32342 .xword 0x6aa40fcdec5a9749
32343 .xword 0xd830a02e1793fbb5
32344 .xword 0x1ea08d0969c01302
32345 .xword 0x614e84ae872f1c42
32346 .xword 0x4a7cd49bd2251c75
32347 .xword 0x9c799553deb4d31f
32348 .xword 0x0211dcc1063bee14
32349 .xword 0x429afad9d2f39bd6
32350 .xword 0xb84e20a4951ccbd1
32351 .xword 0x6454bab7c35af7b9
32352 .xword 0x177a0351bd28d655
32353 .xword 0x52aabaefd0d16cd0
32354 .xword 0x605407b025859d71
32355 .xword 0x3daca68c7e97f63e
32356 .xword 0xa0eb864f6cc9c724
32357 .xword 0x4bf79927a9a342d6
32358 .xword 0xc33886477277c353
32359 .xword 0x9b3088994f53308c
32360 .xword 0xd945b8fabe7b2e0e
32361 .xword 0xc3e5d23adc7e95a6
32362 .xword 0x81eb733a8c67f8a4
32363 .xword 0xe297b221f2294d9a
32364 .xword 0xe5416c2e3250576b
32365 .xword 0xda4877725e4cf54d
32366 .xword 0x433ba8810ecafc2d
32367 .xword 0xacd211a02a7cab89
32368 .xword 0x57fa3d18499923ee
32369 .xword 0x5f4c360aaa9c2a45
32370 .xword 0x69d1dfe544c4aaa2
32371 .xword 0x70337caf8e0e302e
32372 .xword 0x467c9a4527cafb3b
32373 .xword 0x79f0ab427e810f66
32374 .xword 0xf258d88f8ded6196
32375 .xword 0x1f01f7630ca76349
32376 .xword 0xad13cba0cf78cc56
32377 .xword 0x577387637868033d
32378 .xword 0x2f870a81dccc3dc2
32379 .xword 0xccdaa6b8a6c37c2a
32380 .xword 0x4116f44f9519ebef
32381 .xword 0x16661b827be4883d
32382 .xword 0x1230a08efac135fb
32383 .xword 0x994c9f88097c5170
32384 .xword 0x4104884b2e1fec8b
32385 .xword 0x24a00c9edb22fb2e
32386 .xword 0xc1416b0910fa697a
32387 .xword 0xb2a9bce880731ecb
32388 .xword 0x80fb06b4042bbd61
32389 .xword 0x8979491cc205bf3e
32390 .xword 0x4f62b0dc3865d0da
32391 .xword 0x3d71cbce8e38ec44
32392 .xword 0xe9d1d18b998fcb78
32393 .xword 0x4be4ec102c287638
32394 .xword 0x269deb70e6f1d07a
32395 .xword 0xfb3153b2d3a18914
32396 .xword 0x3256340ab0591d0c
32397 .xword 0x11c4193ca4bbbf16
32398 .xword 0xad42c4603fd7b995
32399 .xword 0x53344b4998533cfc
32400 .xword 0x1690b4c80406ebbe
32401 .xword 0xe1bc0398bbe2f786
32402 .xword 0x5067c8415be085d7
32403 .xword 0xe5620e2585965166
32404 .xword 0x291a30244c1e98d8
32405 .xword 0xd25a918fe39f10f0
32406 .xword 0x87541992281c9fa8
32407 .xword 0x2d6b4ffdf77ef359
32408 .xword 0x5cf8f540dea63110
32409 .xword 0x9fc7c6271c1d164b
32410 .xword 0x980201f923c1322d
32411 .xword 0x2218ac368508ec36
32412 .xword 0xaa10b470580dfd16
32413 .xword 0xcb55ca6eca320d5d
32414 .xword 0x665d017a2f572eda
32415 .xword 0x8e0db9dffa5cfffe
32416 .xword 0xaefac35a7c49c985
32417 .xword 0x4346b20ce36979e4
32418 .xword 0x6b83ccbcb278540b
32419 .xword 0xf18fc7ae01268309
32420 .xword 0x10ab39889810fe0f
32421 .xword 0x80c3eeecb00d6759
32422 .xword 0x20f2ce779583feea
32423 .xword 0x75c8ac121bceb875
32424 .xword 0x814ba1059f7ee294
32425 .xword 0xcb71db5e79a4e0c5
32426 .xword 0x39cbcd2e5e24dd9e
32427 .xword 0x113bea7d0e9391f2
32428 .xword 0xcc440fa44d2cc8e7
32429 .xword 0xddf2992a4184a81a
32430 .xword 0xbd44a250cb16d029
32431 .xword 0xae7ad67c62d2dd34
32432 .xword 0xb7e3da2d08799e8c
32433 .xword 0xdad6d4cff8ae9148
32434 .xword 0xcec43e672c42ff3b
32435 .xword 0xbd5db7fa3f105577
32436 .xword 0x4c2403027b0601fc
32437 .xword 0xa3825ba094344e91
32438 .xword 0xa21407f23f74818a
32439 .xword 0xd5bfb2f211e76cb7
32440 .xword 0x49256b7cab356706
32441 .xword 0x95152824f5a4adf6
32442 .xword 0xb948c3d4e32628d0
32443 .xword 0x6855b80cf8e8859d
32444 .xword 0xb30923daf171d68f
32445 .xword 0xf6c912cf9d173a4a
32446 .xword 0x7d3c14b3bda93b10
32447 .xword 0x7a25faf722abc773
32448 .xword 0xcd6f278ac09feb53
32449 .xword 0xacc1c9e86283a6df
32450 .xword 0x730b41891f6a0dba
32451 .xword 0x9fa4a0674e3cf9ef
32452 .xword 0xa31b1d6e2a31c68f
32453 .xword 0xcb51709845c3ff9a
32454 .xword 0x8d581ec4366f6276
32455 .xword 0x2c0f1c6c0ade584a
32456 .xword 0xe082890ac457665a
32457 .xword 0x2d65aa8af34b78b9
32458 .xword 0xbbf5dfc1e54607fc
32459 .xword 0x7c9024a45eda79ed
32460 .xword 0x9a1646d026e0a09d
32461 .xword 0x853b770d99846d2f
32462 .xword 0x60b6c223c76ef82f
32463 .xword 0x2ad70928c6d438b3
32464 .xword 0xcbc8556068fbb371
32465 .xword 0xac3cd1f41aa8c578
32466 .xword 0xc7a2fb1a628b2dfe
32467 .xword 0x59b48cfe64c91148
32468 .xword 0x059b6cfd6b634ca2
32469 .xword 0xac74822297fda7e3
32470 .xword 0x70e3ad0e42f0256c
32471 .xword 0x0f021a505aede273
32472 .xword 0x6184a1cb54a37afe
32473 .xword 0xa2916db3d932f118
32474 .xword 0x3da1064f1af914ec
32475 .xword 0x3ac63e30ca76b8b7
32476 .xword 0xffc1f7101d620b3c
32477 .xword 0x50ab85596a096b38
32478 .xword 0x177cae115b649d91
32479 .xword 0x0d5f8df255a95a74
32480 .xword 0x20e198416e114369
32481 .xword 0x8707807f9d017917
32482 .xword 0xd9a51ac9552faf27
32483 .xword 0x5444e44b6c3dd2e4
32484 .xword 0x2be52db5d51037c3
32485 .xword 0x647920587f9903a6
32486 .xword 0x5268d2ceb2ccc76c
32487 .xword 0x5c2687df7174eeeb
32488 .xword 0x02b2b3a205ebd44d
32489 .xword 0x03cf8cc22e0d55ee
32490 .xword 0x0be0b23a39189fd9
32491 .xword 0x6781d550e2b9c463
32492 .xword 0xf6dabd02332c43da
32493 .xword 0x4b4a5e0f8f6b4200
32494 .xword 0x03371ecbcf73f7e2
32495 .xword 0x530ade058bfe18b3
32496 .xword 0x5b5837f87428bf16
32497 .xword 0x2d24c5c0032a66d7
32498 .xword 0xd0c5737853e6c0fd
32499 .xword 0x4e7f15a50f86196d
32500 .xword 0xb9f1a855b8717e44
32501 .xword 0x4cdcbc0027654166
32502 .xword 0xe6c48e01379f91a4
32503 .xword 0x6067a749e355c172
32504 .xword 0x55475f2530ed40f5
32505 .xword 0xf7f20e043d684c66
32506 .xword 0x486dc1df81a4c2b3
32507 .xword 0x5a981f87a2692860
32508 .xword 0x1014b9180315a248
32509 .xword 0x313e818bcbf65685
32510 .xword 0x8cc6eda3ce49eda0
32511 .xword 0x5580869096ac3c17
32512 .xword 0x4ca75268213e2e49
32513_t1_crc_dest:
32514 .xword 0xDEADBEEFDEADBEEF
32515 .xword 0xDEADBEEFDEADBEEF
32516 .xword 0xDEADBEEFDEADBEEF
32517 .xword 0xDEADBEEFDEADBEEF
32518 .xword 0xDEADBEEFDEADBEEF
32519 .xword 0xDEADBEEFDEADBEEF
32520 .xword 0xDEADBEEFDEADBEEF
32521 .xword 0xDEADBEEFDEADBEEF
32522 .xword 0xDEADBEEFDEADBEEF
32523 .xword 0xDEADBEEFDEADBEEF
32524 .xword 0xDEADBEEFDEADBEEF
32525 .xword 0xDEADBEEFDEADBEEF
32526 .xword 0xDEADBEEFDEADBEEF
32527 .xword 0xDEADBEEFDEADBEEF
32528 .xword 0xDEADBEEFDEADBEEF
32529 .xword 0xDEADBEEFDEADBEEF
32530 .xword 0xDEADBEEFDEADBEEF
32531 .xword 0xDEADBEEFDEADBEEF
32532 .xword 0xDEADBEEFDEADBEEF
32533 .xword 0xDEADBEEFDEADBEEF
32534 .xword 0xDEADBEEFDEADBEEF
32535 .xword 0xDEADBEEFDEADBEEF
32536 .xword 0xDEADBEEFDEADBEEF
32537 .xword 0xDEADBEEFDEADBEEF
32538 .xword 0xDEADBEEFDEADBEEF
32539 .xword 0xDEADBEEFDEADBEEF
32540 .xword 0xDEADBEEFDEADBEEF
32541 .xword 0xDEADBEEFDEADBEEF
32542 .xword 0xDEADBEEFDEADBEEF
32543 .xword 0xDEADBEEFDEADBEEF
32544 .xword 0xDEADBEEFDEADBEEF
32545 .xword 0xDEADBEEFDEADBEEF
32546 .xword 0xDEADBEEFDEADBEEF
32547 .xword 0xDEADBEEFDEADBEEF
32548 .xword 0xDEADBEEFDEADBEEF
32549 .xword 0xDEADBEEFDEADBEEF
32550 .xword 0xDEADBEEFDEADBEEF
32551 .xword 0xDEADBEEFDEADBEEF
32552 .xword 0xDEADBEEFDEADBEEF
32553 .xword 0xDEADBEEFDEADBEEF
32554 .xword 0xDEADBEEFDEADBEEF
32555 .xword 0xDEADBEEFDEADBEEF
32556 .xword 0xDEADBEEFDEADBEEF
32557 .xword 0xDEADBEEFDEADBEEF
32558 .xword 0xDEADBEEFDEADBEEF
32559 .xword 0xDEADBEEFDEADBEEF
32560 .xword 0xDEADBEEFDEADBEEF
32561 .xword 0xDEADBEEFDEADBEEF
32562 .xword 0xDEADBEEFDEADBEEF
32563 .xword 0xDEADBEEFDEADBEEF
32564 .xword 0xDEADBEEFDEADBEEF
32565 .xword 0xDEADBEEFDEADBEEF
32566 .xword 0xDEADBEEFDEADBEEF
32567 .xword 0xDEADBEEFDEADBEEF
32568 .xword 0xDEADBEEFDEADBEEF
32569 .xword 0xDEADBEEFDEADBEEF
32570 .xword 0xDEADBEEFDEADBEEF
32571 .xword 0xDEADBEEFDEADBEEF
32572 .xword 0xDEADBEEFDEADBEEF
32573 .xword 0xDEADBEEFDEADBEEF
32574 .xword 0xDEADBEEFDEADBEEF
32575 .xword 0xDEADBEEFDEADBEEF
32576 .xword 0xDEADBEEFDEADBEEF
32577 .xword 0xDEADBEEFDEADBEEF
32578 .xword 0xDEADBEEFDEADBEEF
32579 .xword 0xDEADBEEFDEADBEEF
32580 .xword 0xDEADBEEFDEADBEEF
32581 .xword 0xDEADBEEFDEADBEEF
32582 .xword 0xDEADBEEFDEADBEEF
32583 .xword 0xDEADBEEFDEADBEEF
32584 .xword 0xDEADBEEFDEADBEEF
32585 .xword 0xDEADBEEFDEADBEEF
32586 .xword 0xDEADBEEFDEADBEEF
32587 .xword 0xDEADBEEFDEADBEEF
32588 .xword 0xDEADBEEFDEADBEEF
32589 .xword 0xDEADBEEFDEADBEEF
32590 .xword 0xDEADBEEFDEADBEEF
32591 .xword 0xDEADBEEFDEADBEEF
32592 .xword 0xDEADBEEFDEADBEEF
32593 .xword 0xDEADBEEFDEADBEEF
32594 .xword 0xDEADBEEFDEADBEEF
32595 .xword 0xDEADBEEFDEADBEEF
32596 .xword 0xDEADBEEFDEADBEEF
32597 .xword 0xDEADBEEFDEADBEEF
32598 .xword 0xDEADBEEFDEADBEEF
32599 .xword 0xDEADBEEFDEADBEEF
32600 .xword 0xDEADBEEFDEADBEEF
32601 .xword 0xDEADBEEFDEADBEEF
32602 .xword 0xDEADBEEFDEADBEEF
32603 .xword 0xDEADBEEFDEADBEEF
32604 .xword 0xDEADBEEFDEADBEEF
32605 .xword 0xDEADBEEFDEADBEEF
32606 .xword 0xDEADBEEFDEADBEEF
32607 .xword 0xDEADBEEFDEADBEEF
32608 .xword 0xDEADBEEFDEADBEEF
32609 .xword 0xDEADBEEFDEADBEEF
32610 .xword 0xDEADBEEFDEADBEEF
32611 .xword 0xDEADBEEFDEADBEEF
32612 .xword 0xDEADBEEFDEADBEEF
32613 .xword 0xDEADBEEFDEADBEEF
32614 .xword 0xDEADBEEFDEADBEEF
32615 .xword 0xDEADBEEFDEADBEEF
32616 .xword 0xDEADBEEFDEADBEEF
32617 .xword 0xDEADBEEFDEADBEEF
32618 .xword 0xDEADBEEFDEADBEEF
32619 .xword 0xDEADBEEFDEADBEEF
32620 .xword 0xDEADBEEFDEADBEEF
32621 .xword 0xDEADBEEFDEADBEEF
32622 .xword 0xDEADBEEFDEADBEEF
32623 .xword 0xDEADBEEFDEADBEEF
32624 .xword 0xDEADBEEFDEADBEEF
32625 .xword 0xDEADBEEFDEADBEEF
32626 .xword 0xDEADBEEFDEADBEEF
32627 .xword 0xDEADBEEFDEADBEEF
32628 .xword 0xDEADBEEFDEADBEEF
32629 .xword 0xDEADBEEFDEADBEEF
32630 .xword 0xDEADBEEFDEADBEEF
32631 .xword 0xDEADBEEFDEADBEEF
32632 .xword 0xDEADBEEFDEADBEEF
32633 .xword 0xDEADBEEFDEADBEEF
32634 .xword 0xDEADBEEFDEADBEEF
32635 .xword 0xDEADBEEFDEADBEEF
32636 .xword 0xDEADBEEFDEADBEEF
32637 .xword 0xDEADBEEFDEADBEEF
32638 .xword 0xDEADBEEFDEADBEEF
32639 .xword 0xDEADBEEFDEADBEEF
32640 .xword 0xDEADBEEFDEADBEEF
32641 .xword 0xDEADBEEFDEADBEEF
32642 .xword 0xDEADBEEFDEADBEEF
32643 .xword 0xDEADBEEFDEADBEEF
32644 .xword 0xDEADBEEFDEADBEEF
32645 .xword 0xDEADBEEFDEADBEEF
32646 .xword 0xDEADBEEFDEADBEEF
32647 .xword 0xDEADBEEFDEADBEEF
32648 .xword 0xDEADBEEFDEADBEEF
32649 .xword 0xDEADBEEFDEADBEEF
32650 .xword 0xDEADBEEFDEADBEEF
32651 .xword 0xDEADBEEFDEADBEEF
32652 .xword 0xDEADBEEFDEADBEEF
32653 .xword 0xDEADBEEFDEADBEEF
32654 .xword 0xDEADBEEFDEADBEEF
32655 .xword 0xDEADBEEFDEADBEEF
32656 .xword 0xDEADBEEFDEADBEEF
32657 .xword 0xDEADBEEFDEADBEEF
32658 .xword 0xDEADBEEFDEADBEEF
32659 .xword 0xDEADBEEFDEADBEEF
32660 .xword 0xDEADBEEFDEADBEEF
32661 .xword 0xDEADBEEFDEADBEEF
32662 .xword 0xDEADBEEFDEADBEEF
32663 .xword 0xDEADBEEFDEADBEEF
32664 .xword 0xDEADBEEFDEADBEEF
32665 .xword 0xDEADBEEFDEADBEEF
32666 .xword 0xDEADBEEFDEADBEEF
32667 .xword 0xDEADBEEFDEADBEEF
32668 .xword 0xDEADBEEFDEADBEEF
32669 .xword 0xDEADBEEFDEADBEEF
32670 .xword 0xDEADBEEFDEADBEEF
32671 .xword 0xDEADBEEFDEADBEEF
32672 .xword 0xDEADBEEFDEADBEEF
32673 .xword 0xDEADBEEFDEADBEEF
32674 .xword 0xDEADBEEFDEADBEEF
32675 .xword 0xDEADBEEFDEADBEEF
32676 .xword 0xDEADBEEFDEADBEEF
32677 .xword 0xDEADBEEFDEADBEEF
32678 .xword 0xDEADBEEFDEADBEEF
32679 .xword 0xDEADBEEFDEADBEEF
32680 .xword 0xDEADBEEFDEADBEEF
32681 .xword 0xDEADBEEFDEADBEEF
32682 .xword 0xDEADBEEFDEADBEEF
32683 .xword 0xDEADBEEFDEADBEEF
32684 .xword 0xDEADBEEFDEADBEEF
32685 .xword 0xDEADBEEFDEADBEEF
32686 .xword 0xDEADBEEFDEADBEEF
32687 .xword 0xDEADBEEFDEADBEEF
32688 .xword 0xDEADBEEFDEADBEEF
32689 .xword 0xDEADBEEFDEADBEEF
32690 .xword 0xDEADBEEFDEADBEEF
32691 .xword 0xDEADBEEFDEADBEEF
32692 .xword 0xDEADBEEFDEADBEEF
32693 .xword 0xDEADBEEFDEADBEEF
32694 .xword 0xDEADBEEFDEADBEEF
32695 .xword 0xDEADBEEFDEADBEEF
32696 .xword 0xDEADBEEFDEADBEEF
32697 .xword 0xDEADBEEFDEADBEEF
32698 .xword 0xDEADBEEFDEADBEEF
32699 .xword 0xDEADBEEFDEADBEEF
32700 .xword 0xDEADBEEFDEADBEEF
32701 .xword 0xDEADBEEFDEADBEEF
32702 .xword 0xDEADBEEFDEADBEEF
32703 .xword 0xDEADBEEFDEADBEEF
32704 .xword 0xDEADBEEFDEADBEEF
32705 .xword 0xDEADBEEFDEADBEEF
32706 .xword 0xDEADBEEFDEADBEEF
32707 .xword 0xDEADBEEFDEADBEEF
32708 .xword 0xDEADBEEFDEADBEEF
32709 .xword 0xDEADBEEFDEADBEEF
32710 .xword 0xDEADBEEFDEADBEEF
32711 .xword 0xDEADBEEFDEADBEEF
32712 .xword 0xDEADBEEFDEADBEEF
32713 .xword 0xDEADBEEFDEADBEEF
32714 .xword 0xDEADBEEFDEADBEEF
32715 .xword 0xDEADBEEFDEADBEEF
32716 .xword 0xDEADBEEFDEADBEEF
32717 .xword 0xDEADBEEFDEADBEEF
32718 .xword 0xDEADBEEFDEADBEEF
32719 .xword 0xDEADBEEFDEADBEEF
32720 .xword 0xDEADBEEFDEADBEEF
32721 .xword 0xDEADBEEFDEADBEEF
32722 .xword 0xDEADBEEFDEADBEEF
32723 .xword 0xDEADBEEFDEADBEEF
32724 .xword 0xDEADBEEFDEADBEEF
32725 .xword 0xDEADBEEFDEADBEEF
32726 .xword 0xDEADBEEFDEADBEEF
32727 .xword 0xDEADBEEFDEADBEEF
32728 .xword 0xDEADBEEFDEADBEEF
32729 .xword 0xDEADBEEFDEADBEEF
32730 .xword 0xDEADBEEFDEADBEEF
32731 .xword 0xDEADBEEFDEADBEEF
32732 .xword 0xDEADBEEFDEADBEEF
32733 .xword 0xDEADBEEFDEADBEEF
32734 .xword 0xDEADBEEFDEADBEEF
32735 .xword 0xDEADBEEFDEADBEEF
32736 .xword 0xDEADBEEFDEADBEEF
32737 .xword 0xDEADBEEFDEADBEEF
32738 .xword 0xDEADBEEFDEADBEEF
32739 .xword 0xDEADBEEFDEADBEEF
32740 .xword 0xDEADBEEFDEADBEEF
32741 .xword 0xDEADBEEFDEADBEEF
32742 .xword 0xDEADBEEFDEADBEEF
32743 .xword 0xDEADBEEFDEADBEEF
32744 .xword 0xDEADBEEFDEADBEEF
32745 .xword 0xDEADBEEFDEADBEEF
32746 .xword 0xDEADBEEFDEADBEEF
32747 .xword 0xDEADBEEFDEADBEEF
32748 .xword 0xDEADBEEFDEADBEEF
32749 .xword 0xDEADBEEFDEADBEEF
32750 .xword 0xDEADBEEFDEADBEEF
32751 .xword 0xDEADBEEFDEADBEEF
32752 .xword 0xDEADBEEFDEADBEEF
32753 .xword 0xDEADBEEFDEADBEEF
32754 .xword 0xDEADBEEFDEADBEEF
32755 .xword 0xDEADBEEFDEADBEEF
32756 .xword 0xDEADBEEFDEADBEEF
32757 .xword 0xDEADBEEFDEADBEEF
32758 .xword 0xDEADBEEFDEADBEEF
32759 .xword 0xDEADBEEFDEADBEEF
32760 .xword 0xDEADBEEFDEADBEEF
32761 .xword 0xDEADBEEFDEADBEEF
32762 .xword 0xDEADBEEFDEADBEEF
32763 .xword 0xDEADBEEFDEADBEEF
32764 .xword 0xDEADBEEFDEADBEEF
32765 .xword 0xDEADBEEFDEADBEEF
32766 .xword 0xDEADBEEFDEADBEEF
32767 .xword 0xDEADBEEFDEADBEEF
32768 .xword 0xDEADBEEFDEADBEEF
32769 .xword 0xDEADBEEFDEADBEEF
32770 .xword 0xDEADBEEFDEADBEEF
32771 .xword 0xDEADBEEFDEADBEEF
32772 .xword 0xDEADBEEFDEADBEEF
32773 .xword 0xDEADBEEFDEADBEEF
32774 .xword 0xDEADBEEFDEADBEEF
32775 .xword 0xDEADBEEFDEADBEEF
32776 .xword 0xDEADBEEFDEADBEEF
32777 .xword 0xDEADBEEFDEADBEEF
32778 .xword 0xDEADBEEFDEADBEEF
32779 .xword 0xDEADBEEFDEADBEEF
32780 .xword 0xDEADBEEFDEADBEEF
32781 .xword 0xDEADBEEFDEADBEEF
32782 .xword 0xDEADBEEFDEADBEEF
32783 .xword 0xDEADBEEFDEADBEEF
32784 .xword 0xDEADBEEFDEADBEEF
32785 .xword 0xDEADBEEFDEADBEEF
32786 .xword 0xDEADBEEFDEADBEEF
32787 .xword 0xDEADBEEFDEADBEEF
32788 .xword 0xDEADBEEFDEADBEEF
32789_t1_crc_auth_key:
32790 .xword 0x30c6a61912319cfd
32791 .xword 0x636978cf4a9bef74
32792 .xword 0x0809992a71754616
32793 .xword 0xd417da59db879b92
32794 .xword 0xc0d2d086c19101fa
32795 .xword 0x35a6ad096b5ca68c
32796 .xword 0x2703d6a9db3b569a
32797 .xword 0x86df2b4743754d17
32798 .xword 0x4f40bc191802896d
32799 .xword 0x7fa7005fd2aecf01
32800 .xword 0x5ed2286c1bfb786f
32801 .xword 0xb503a909963240bc
32802 .xword 0x3dcb46c7d0924a56
32803 .xword 0xa2350be910ce00c2
32804 .xword 0x5b313fe1aafbd3e2
32805 .xword 0xae7ff928537b2332
32806 .xword 0x1c03151af0da05b5
32807 .xword 0x4e99f93bcf727d8b
32808 .xword 0xb21f090f51466e1e
32809 .xword 0xf4a2234f96a00927
32810 .xword 0x3b7ce198a8b81c3d
32811 .xword 0x8ba9f21d2ee3382e
32812 .xword 0x5ec5819c482d554e
32813_t1_crc_auth_iv:
32814 .xword 0x7698343b8bdef967
32815 .xword 0xe58b98901c13654a
32816 .xword 0xb4da901e4a8ac987
32817 .xword 0xd15a19cee75e9d57
32818 .xword 0xbfaa20fcff1d42ee
32819 .xword 0x1787a8104c45248e
32820 .xword 0xb9f8711ec96901b0
32821 .xword 0x0769736cc22a6529
32822 .xword 0x893362fb274b37d8
32823 .xword 0xd8e911c55aa968e2
32824 .xword 0xd43c8807c8f7e0e3
32825 .xword 0x4370240f7df4b650
32826 .xword 0xc7048026194fec3e
32827 .xword 0xf135ab887d64ac07
32828 .xword 0x02bca45b8fa7c702
32829 .xword 0x10114546463f9634
32830 .xword 0x54c4416cb8b8789e
32831 .xword 0x2aab70dd4229ebf6
32832 .xword 0x23412ae6f1709faa
32833 .xword 0x8d20e7c610566d63
32834 .xword 0x81caebd281784873
32835 .xword 0x069ad0c4bfc00641
32836 .xword 0x57eefd5c6b9ba7a6
32837_t1_crc_fas_result:
32838 .xword 0xDEADBEEFDEADBEEF
32839 .xword 0xDEADBEEFDEADBEEF
32840 .xword 0xDEADBEEFDEADBEEF
32841 .xword 0xDEADBEEFDEADBEEF
32842 .xword 0xDEADBEEFDEADBEEF
32843 .xword 0xDEADBEEFDEADBEEF
32844 .xword 0xDEADBEEFDEADBEEF
32845 .xword 0xDEADBEEFDEADBEEF
32846 .xword 0xDEADBEEFDEADBEEF
32847 .xword 0xDEADBEEFDEADBEEF
32848 .xword 0xDEADBEEFDEADBEEF
32849 .xword 0xDEADBEEFDEADBEEF
32850 .xword 0xDEADBEEFDEADBEEF
32851 .xword 0xDEADBEEFDEADBEEF
32852 .xword 0xDEADBEEFDEADBEEF
32853 .xword 0xDEADBEEFDEADBEEF
32854 .xword 0xDEADBEEFDEADBEEF
32855 .xword 0xDEADBEEFDEADBEEF
32856 .xword 0xDEADBEEFDEADBEEF
32857 .xword 0xDEADBEEFDEADBEEF
32858 .xword 0xDEADBEEFDEADBEEF
32859 .xword 0xDEADBEEFDEADBEEF
32860 .xword 0xDEADBEEFDEADBEEF
32861_t1_hash_key_array:
32862 .xword 0x2071db80b951fcf6
32863 .xword 0x75e306b06eeb66c8
32864 .xword 0x3445516a4cc94499
32865 .xword 0x612a3d9d85f692b7
32866 .xword 0xdb76a12f63866a01
32867 .xword 0x34f03380ec204a91
32868 .xword 0x723bdb3ce288cc51
32869 .xword 0xc17feaee5eacf36d
32870 .xword 0x480bfe2a9467a60d
32871 .xword 0x48adb9f2625b23bd
32872 .xword 0xd547dcdefb9faf90
32873 .xword 0xfed75b1538acaba1
32874 .xword 0x0cfec5fb3586850b
32875 .xword 0x5377c6feb23883cc
32876 .xword 0x78493ce007f99883
32877 .xword 0x6a54f49e2e375e5c
32878 .xword 0xd94aec9c2e4e26b2
32879 .xword 0x5f1a6a13f291d005
32880 .xword 0x11cca174a063aa19
32881 .xword 0x39bb8b2436c6f120
32882 .xword 0x52f3ad8d2c5abf01
32883 .xword 0x8c07d3a2d4d1cef5
32884 .xword 0xa6ce062535d3e0e8
32885 .xword 0xe5f4b6d865f67ff5
32886 .xword 0x73a2b422c66dc2e8
32887 .xword 0xa234408d33419add
32888 .xword 0x7e06c9e31345c264
32889 .xword 0xaa01ed8a4a7ab2ab
32890 .xword 0x3429dafb08d06633
32891 .xword 0x113718169502aef8
32892 .xword 0xa0daca2503c3c260
32893 .xword 0x7536c0b31e63a501
32894 .xword 0xd7822ffc27120b38
32895 .xword 0xf239237bd7d8dc05
32896 .xword 0x87cb0f1f157335d8
32897 .xword 0xc0baebd7688a2b75
32898 .xword 0x1ee5ed462de37f98
32899 .xword 0x079abad927c143b8
32900 .xword 0x32d0dc8f530f9717
32901 .xword 0x14ce0138e1a196e9
32902 .xword 0x36a5645d434a5d26
32903 .xword 0xa713deb904c10b5e
32904 .xword 0x854ff8718fa6c5c4
32905 .xword 0xec6a6fc126c0b31e
32906 .xword 0x753447ef260eaa18
32907 .xword 0xce8c0d0b63ba35bf
32908 .xword 0xa723d7571f9aaedf
32909 .xword 0xfba666c7eb5260f6
32910 .xword 0x2b4210a24ad4832e
32911 .xword 0x27eb88a3503c39d9
32912 .xword 0x1bbe01c3ba7a28a8
32913_t1_hash_iv_array:
32914 .xword 0x326a1f5b87357002
32915 .xword 0x6fb991239983252e
32916 .xword 0x2a811456cf5ecbab
32917 .xword 0xe10bebc7110b394c
32918 .xword 0x28c5d20383b7129b
32919 .xword 0x34bd3a25f2858b2e
32920 .xword 0x40e2620d2e7b463c
32921 .xword 0xee42d5a9dfc75384
32922 .xword 0xdcae8cef74d0ae55
32923 .xword 0x0506ba119300bc8d
32924 .xword 0xb362821849d1c259
32925 .xword 0x7089fc8b6f7d794a
32926 .xword 0xa78508784226d35a
32927 .xword 0xe8f28be5f6a847f1
32928 .xword 0xcba9e34589048da2
32929 .xword 0xd512be4cebdceb03
32930 .xword 0xc9acef8a09c987eb
32931 .xword 0xeb04acda507f975d
32932 .xword 0xa2da32c34907e3d5
32933 .xword 0x9deef863b0685c22
32934 .xword 0x1d7b29ed8f2ca45e
32935 .xword 0xaff5e90166d0c55d
32936 .xword 0x64276341a8941e8a
32937 .xword 0xce194c61a1c17d1c
32938 .xword 0x12e6f932dc5252c7
32939 .xword 0x76fd13b6448c68b9
32940 .xword 0xeb76437941edbaf3
32941 .xword 0x2b753d8b60142a7c
32942 .xword 0xdc6b19efcbe0144f
32943 .xword 0xe66749b924871260
32944 .xword 0xd1d57a6c167ab767
32945 .xword 0xba25f50b40624d78
32946 .xword 0x416da648ddec0b9f
32947 .xword 0xf20e4b473b6f6198
32948 .xword 0x784f47e6a87e3200
32949 .xword 0x5ea2d6114d37599f
32950 .xword 0x03f602d57acecc4c
32951 .xword 0x9209fc4672496a5c
32952 .xword 0x7047903fb85c998d
32953 .xword 0xed6eefa985ba3fc7
32954 .xword 0xa1a784bd801e8650
32955 .xword 0xb979176cf3fd81be
32956 .xword 0x99772b554fee407e
32957 .xword 0x49337f0a9215496a
32958 .xword 0xa55643798f7374f7
32959_t1_hash_alignment_array:
32960 .xword 4
32961 .xword 15
32962 .xword 4
32963 .xword 0
32964 .xword 10
32965 .xword 15
32966 .xword 12
32967 .xword 8
32968 .xword 6
32969 .xword 9
32970 .xword 15
32971 .xword 14
32972 .xword 8
32973 .xword 0
32974 .xword 7
32975 .xword 7
32976 .xword 5
32977 .xword 13
32978 .xword 9
32979 .xword 14
32980 .xword 9
32981 .xword 7
32982 .xword 9
32983 .xword 6
32984 .xword 11
32985 .xword 7
32986 .xword 11
32987 .xword 15
32988 .xword 12
32989 .xword 4
32990 .xword 2
32991 .xword 7
32992 .xword 12
32993 .xword 0
32994 .xword 3
32995 .xword 5
32996 .xword 14
32997 .xword 9
32998 .xword 15
32999 .xword 7
33000 .xword 5
33001 .xword 6
33002 .xword 4
33003 .xword 13
33004 .xword 6
33005 .xword 7
33006 .xword 1
33007 .xword 14
33008 .xword 0
33009 .xword 2
33010 .xword 0
33011 .xword 12
33012 .xword 4
33013 .xword 14
33014 .xword 6
33015 .xword 7
33016 .xword 14
33017 .xword 15
33018 .xword 1
33019 .xword 13
33020 .xword 4
33021 .xword 4
33022 .xword 4
33023 .xword 1
33024 .xword 2
33025 .xword 12
33026 .xword 1
33027 .xword 5
33028 .xword 8
33029 .xword 8
33030 .xword 1
33031 .xword 13
33032 .xword 8
33033 .xword 9
33034 .xword 1
33035 .xword 1
33036 .xword 14
33037 .xword 11
33038 .xword 4
33039 .xword 15
33040 .xword 3
33041 .xword 12
33042 .xword 13
33043 .xword 3
33044 .xword 7
33045 .xword 7
33046 .xword 14
33047 .xword 12
33048 .xword 1
33049 .xword 9
33050 .xword 11
33051 .xword 0
33052 .xword 8
33053 .xword 10
33054 .xword 15
33055 .xword 10
33056 .xword 9
33057 .xword 13
33058 .xword 1
33059 .xword 3
33060 .xword 6
33061 .xword 7
33062 .xword 9
33063 .xword 14
33064 .xword 7
33065_t1_hash_src:
33066 .xword 0x2f06d93353b5e310
33067 .xword 0x5356ca268b6bfaf6
33068 .xword 0x949268056bb52eee
33069 .xword 0x579e3afb41bb8937
33070 .xword 0x58e18576ca2bf3a2
33071 .xword 0x30ffc0a97e860796
33072 .xword 0xebc96d8499b94bb8
33073 .xword 0xe778cd3d57648f1a
33074 .xword 0xa9e7e4a58c20e914
33075 .xword 0xfd707f6895583f95
33076 .xword 0xe49cd50c33e01f29
33077 .xword 0xd98d23fa32a189da
33078 .xword 0xd5128a0be0d51dd7
33079 .xword 0xc003bf64e9fef291
33080 .xword 0xfa3e9b15149a3047
33081 .xword 0x56e7a68623cdb0e8
33082 .xword 0x1bf93f20065a172a
33083 .xword 0xb6a8dd05171b7fd2
33084 .xword 0x032f5d01c7af65eb
33085 .xword 0xe30cc430f055ed08
33086 .xword 0x0b6799eb09dcd1e4
33087 .xword 0x4d75dfd4f33d2e1b
33088 .xword 0xb867c63fb592084a
33089 .xword 0x232a8364fd101468
33090 .xword 0x77e9abe917f7de02
33091 .xword 0xfac7990daed0639a
33092 .xword 0x0bf160031755bb4c
33093 .xword 0x5f92b1f1c5b3a846
33094 .xword 0xffbee092bac66e9f
33095 .xword 0x050e0fdeab145a6f
33096 .xword 0x33280656d916b87d
33097 .xword 0x8f11996e47a5acf0
33098 .xword 0xf845668792d85737
33099 .xword 0xfb2e00a9f44b0dfa
33100 .xword 0x356910b9650a8cd9
33101 .xword 0xa2981e098b74e535
33102 .xword 0x5c510ee71816baca
33103 .xword 0x0af51ad6f884d9ea
33104 .xword 0xeba1624154e5f784
33105 .xword 0x34af5e3285483ea6
33106 .xword 0xc9ee7005797eda5d
33107 .xword 0x85f66d889eadddd2
33108 .xword 0x66a3080de736ed10
33109 .xword 0xd8870bfd42acfe70
33110 .xword 0x03dd6470b617c40a
33111 .xword 0xe4c385f2b0b32ac9
33112 .xword 0x76062c60955d021c
33113 .xword 0xc382923ffbdba033
33114 .xword 0xac0b6081fcd90835
33115 .xword 0x498fdf45f52b57a0
33116 .xword 0x97fd62ce78f524a5
33117 .xword 0x27381b93a72b8ea8
33118 .xword 0x99ab1be4cadda5c8
33119 .xword 0x4d434d5e820e0dee
33120 .xword 0x7280a8b3f27197ac
33121 .xword 0xfb0b97d5c849821b
33122 .xword 0xa1f358bf3f5a6a3d
33123 .xword 0x8c56d0f3d3b69228
33124 .xword 0x5c77a2a562bd4075
33125 .xword 0x630a613ea89d1784
33126 .xword 0x7bc97a8a8271207e
33127 .xword 0xb48590c5696cd697
33128 .xword 0xc8c69b784334f812
33129 .xword 0x4d15de77236f6979
33130 .xword 0xf3478008d64e2925
33131 .xword 0x596bdab5bfe64580
33132 .xword 0xa6728078e9a1d3ef
33133 .xword 0xda3a04cf016f9d2c
33134 .xword 0xe809b64695d18fc7
33135 .xword 0x2ca5d6181720a9b4
33136 .xword 0xbc016806d67356af
33137 .xword 0x2185a09301c9ed9f
33138 .xword 0x2eeeea9a8cdb9101
33139 .xword 0x4b21313e26f57533
33140 .xword 0x78e47bd488be1d5c
33141 .xword 0x546bdf1ee3cbe14d
33142 .xword 0x443d322211058eea
33143 .xword 0x878b381f264ae1c0
33144 .xword 0xb91ef87d807e30de
33145 .xword 0x2a30a589e859d352
33146 .xword 0x2e00e3cd496a0cfe
33147 .xword 0xb072e012ed01b390
33148 .xword 0x829d31fdd041efab
33149 .xword 0x227cf4e5d74bad80
33150 .xword 0x9ea87b1cb9793632
33151 .xword 0x16514e940946f174
33152 .xword 0x8688126f5eafeb9e
33153 .xword 0x5c0cf243047bd2cb
33154 .xword 0xfc44fdff87070032
33155 .xword 0xc64070829931e5cb
33156 .xword 0xd07bd317bb4ae1b2
33157 .xword 0xa699f50adf1b3646
33158 .xword 0xbcfdc72be8acbbdf
33159 .xword 0x0231d101062dab1e
33160 .xword 0x0b627d816996f430
33161 .xword 0x30d43c6824f0c85e
33162 .xword 0xca219b13a487ff20
33163 .xword 0x7fed72e4e62b6e75
33164 .xword 0xace0a3b62b0d493e
33165 .xword 0xe3e15553bb723a0f
33166 .xword 0x39acc6d0a300f1a1
33167 .xword 0x6c82d6776148f496
33168 .xword 0x99cc72ac5da93183
33169 .xword 0xe7939b9d5459b614
33170 .xword 0x454e2c27f38b2f45
33171 .xword 0xa33d4ba6e05c0ca9
33172 .xword 0x2309af260d8f49d1
33173 .xword 0x50c86c63abe1d499
33174 .xword 0x68f269f86f793b05
33175 .xword 0xc0e8e9e5cff4d77c
33176 .xword 0xf9b883ccb24274a2
33177 .xword 0xcb744c77b707db4e
33178 .xword 0xb41129e90f6a8bb1
33179 .xword 0xfadd5b0c8bb4a747
33180 .xword 0x8b1f755e916053ce
33181 .xword 0xafc555a6fb49e6db
33182 .xword 0x2a3bccc56dff6fe0
33183 .xword 0x7a306a467b1a792b
33184 .xword 0x3f1d47adee9f9f17
33185 .xword 0xa1494996f110bd69
33186 .xword 0x57bb5dff0739487f
33187 .xword 0x9b783536f83623c6
33188 .xword 0x051e44e4b489adbc
33189 .xword 0xdaa890b326cbbefd
33190 .xword 0xcca221babeb6ec75
33191 .xword 0xca9a81082aa1b62c
33192 .xword 0x209451d6415d5e0b
33193 .xword 0x52446821d201a150
33194 .xword 0xa3364695ac4a24fc
33195 .xword 0xe70ec4890ce8562e
33196 .xword 0x1469cf14db97d820
33197 .xword 0xd6908fe3fbcb428a
33198 .xword 0xbd44ce018ad24df3
33199 .xword 0x10bd38ed46cc0aae
33200 .xword 0x3afd4d7da4fc85f7
33201 .xword 0x82f39265cc9498d4
33202 .xword 0x88290318dd1153c5
33203 .xword 0x2adfbb1d15ecc85c
33204 .xword 0xa6f50034d5126a69
33205 .xword 0xf7733d3d18eb5567
33206 .xword 0x98810fbf28f01cb0
33207 .xword 0x16fc662b5cd5cd9b
33208 .xword 0xa1f61b82f384c748
33209 .xword 0x0d8c8beebdb04b39
33210 .xword 0x8ea6dadf9d131e7d
33211 .xword 0x7a68f68ed3ea1c3f
33212 .xword 0x65ea32caf8e8d34b
33213 .xword 0xee7e3727d15c808e
33214 .xword 0x3a48ba2f03b55710
33215 .xword 0x4d8719dbe3a2829e
33216 .xword 0xc4951dc1497d8077
33217 .xword 0x60ade76b3c911057
33218 .xword 0xb74f1418207d6f40
33219 .xword 0x2f62bbc7a6d8a99a
33220 .xword 0x110d348f8be92473
33221 .xword 0x24d01045fa7e1455
33222 .xword 0x5a68c3ec066c3dd8
33223 .xword 0x55d0604456cea7f4
33224 .xword 0x206743d7ffefaa62
33225 .xword 0x9ae8c8583ac29bfd
33226 .xword 0x2f23e19fc4dfd608
33227 .xword 0x08ace3268c6a85be
33228 .xword 0xe7d039478f943fe5
33229 .xword 0xac0e693f7465fee2
33230 .xword 0x9822a60d8af3cf1f
33231 .xword 0x317c453fc3a7dc57
33232 .xword 0x4930e261c1a5c02e
33233 .xword 0x71fbcfa3aaa2a7ba
33234 .xword 0xd3c106a6b31af7cf
33235 .xword 0xf17eed63df8cf00b
33236 .xword 0x014753cf3691a34c
33237 .xword 0x301ef71bcfd8293b
33238 .xword 0x06fe14eba6195f10
33239 .xword 0x6de4ea380bafb73d
33240 .xword 0xba1937a5d33e519e
33241 .xword 0x3a9963aa457ea477
33242 .xword 0x2d17785fe43ca4b4
33243 .xword 0xa1c51703f80d0a72
33244 .xword 0xabd2d51ffcdb071d
33245 .xword 0xdc105ee98a3a6a73
33246 .xword 0x81d788cb65f01cb7
33247 .xword 0x0d501dae65726bc3
33248 .xword 0x277d091b9e2ab8d9
33249 .xword 0x953ae748f20394f4
33250 .xword 0xf5fbac0750a2b1e3
33251 .xword 0xe82e3b18ab9a229d
33252 .xword 0x298097d25ec4fb03
33253 .xword 0xfc4bf40c0719b552
33254 .xword 0xf577fe371ca71328
33255 .xword 0x13dd280ea2f6feb9
33256 .xword 0x261743ece1d58c16
33257 .xword 0xed4cf6876fc07c7b
33258 .xword 0xcf5f31c77969accf
33259 .xword 0x9dbd72382df85879
33260 .xword 0xe0e1e3a75d9d5930
33261 .xword 0x6003b742a70cf9ed
33262 .xword 0x900f05609e761f3b
33263 .xword 0x8828b1f9ac40e0d2
33264 .xword 0x21a691134983b93e
33265 .xword 0x428e6ef6872ce821
33266 .xword 0xce8fdf430c1c0d8c
33267 .xword 0xca107b5ff3464675
33268 .xword 0x3fdb6ee167bb772b
33269 .xword 0x663a039ca1f8f1ae
33270 .xword 0xb7264543a2b4c063
33271 .xword 0x023de1bd2d8c0d07
33272 .xword 0x403001f916b81d32
33273 .xword 0x461f54f8efa10003
33274 .xword 0x77002aac55014314
33275 .xword 0xc5cacf794137228b
33276 .xword 0x24e9ca7a1ecc2557
33277 .xword 0x02f713a08965ba19
33278 .xword 0xcdb4ff7825e89d06
33279 .xword 0xe870d6e09ac1a23a
33280 .xword 0xfca9a36cbbf74d4e
33281 .xword 0xb91b20baef367e6d
33282 .xword 0x4648b2590db2beaf
33283 .xword 0x1f1bc0c95cdcdcfe
33284 .xword 0xf8cf4d7bc97a5008
33285 .xword 0x8884d84df597b90d
33286 .xword 0x0e09d0ca61956d21
33287 .xword 0x4049b069f1db28df
33288 .xword 0x2ea25160ad28be97
33289 .xword 0xe553a009325afd45
33290 .xword 0x452d60e8c827dcdc
33291 .xword 0xc92fe57e1833434b
33292 .xword 0xff3207e4074d2d97
33293 .xword 0xe096aa982cb647ec
33294 .xword 0xe950a54bb6df999e
33295 .xword 0x3eece6b4bff17c12
33296 .xword 0x3ee9522434336df7
33297 .xword 0x946a860ffc4923ae
33298 .xword 0xb240a0ec7a6005b7
33299 .xword 0x03fd9f2f2570f461
33300 .xword 0xb94360c5be9965e4
33301 .xword 0x026ec48ac3bad251
33302 .xword 0xe1fa239fc17b520f
33303 .xword 0xeb1f59ffae9987b6
33304 .xword 0x1c26855cf8f730f7
33305 .xword 0x3e8956954df04bb7
33306 .xword 0x813861246129e476
33307 .xword 0x3a324246e7173cff
33308 .xword 0xc0e4ce738f6a9013
33309 .xword 0xd118a2a031de4c7b
33310 .xword 0x96456476d1ae8655
33311 .xword 0x079ee52a66d4a9fd
33312 .xword 0x7e699825f927b18f
33313 .xword 0x5ad4abcb664020af
33314 .xword 0x23c9c9ac4e4e289e
33315 .xword 0xd5df41ddaa3d0d9e
33316 .xword 0xac383171b315846a
33317 .xword 0x9fe92436236f08b9
33318 .xword 0xac73d6e968805c1c
33319 .xword 0x7ef5b1fea48a9194
33320 .xword 0x4025cb47457a34a1
33321 .xword 0xba5cb84858fd4828
33322 .xword 0xa7ccd779b08c1dcc
33323 .xword 0x9f05d8abae248bd4
33324 .xword 0x15f9f6280f068fcc
33325 .xword 0x457e321ac762f401
33326 .xword 0xcdb120d8a523c175
33327 .xword 0xcf06544c149c3e6f
33328 .xword 0x9eda529c3036d776
33329 .xword 0x3e0d435347c3bb57
33330 .xword 0x4865b964789a691f
33331 .xword 0x9eacb38d0039ccb6
33332 .xword 0x1fe52b77b696204a
33333 .xword 0x3f7b6b0dea68ab09
33334 .xword 0xb62127ec84035e2e
33335 .xword 0xb8d2ff1cd26d6efc
33336 .xword 0x81cfdac209dae6c0
33337 .xword 0xcf5b3ba9fb621726
33338 .xword 0x4b39dcfa57cc46a2
33339 .xword 0x48aa05dd78abb7d0
33340 .xword 0x2e97c0b1c8bf71e7
33341_t1_hash_dest:
33342 .xword 0xDEADBEEFDEADBEEF
33343 .xword 0xDEADBEEFDEADBEEF
33344 .xword 0xDEADBEEFDEADBEEF
33345 .xword 0xDEADBEEFDEADBEEF
33346 .xword 0xDEADBEEFDEADBEEF
33347 .xword 0xDEADBEEFDEADBEEF
33348 .xword 0xDEADBEEFDEADBEEF
33349 .xword 0xDEADBEEFDEADBEEF
33350 .xword 0xDEADBEEFDEADBEEF
33351 .xword 0xDEADBEEFDEADBEEF
33352 .xword 0xDEADBEEFDEADBEEF
33353 .xword 0xDEADBEEFDEADBEEF
33354 .xword 0xDEADBEEFDEADBEEF
33355 .xword 0xDEADBEEFDEADBEEF
33356 .xword 0xDEADBEEFDEADBEEF
33357 .xword 0xDEADBEEFDEADBEEF
33358 .xword 0xDEADBEEFDEADBEEF
33359 .xword 0xDEADBEEFDEADBEEF
33360 .xword 0xDEADBEEFDEADBEEF
33361 .xword 0xDEADBEEFDEADBEEF
33362 .xword 0xDEADBEEFDEADBEEF
33363 .xword 0xDEADBEEFDEADBEEF
33364 .xword 0xDEADBEEFDEADBEEF
33365 .xword 0xDEADBEEFDEADBEEF
33366 .xword 0xDEADBEEFDEADBEEF
33367 .xword 0xDEADBEEFDEADBEEF
33368 .xword 0xDEADBEEFDEADBEEF
33369 .xword 0xDEADBEEFDEADBEEF
33370 .xword 0xDEADBEEFDEADBEEF
33371 .xword 0xDEADBEEFDEADBEEF
33372 .xword 0xDEADBEEFDEADBEEF
33373 .xword 0xDEADBEEFDEADBEEF
33374 .xword 0xDEADBEEFDEADBEEF
33375 .xword 0xDEADBEEFDEADBEEF
33376 .xword 0xDEADBEEFDEADBEEF
33377 .xword 0xDEADBEEFDEADBEEF
33378 .xword 0xDEADBEEFDEADBEEF
33379 .xword 0xDEADBEEFDEADBEEF
33380 .xword 0xDEADBEEFDEADBEEF
33381 .xword 0xDEADBEEFDEADBEEF
33382 .xword 0xDEADBEEFDEADBEEF
33383 .xword 0xDEADBEEFDEADBEEF
33384 .xword 0xDEADBEEFDEADBEEF
33385 .xword 0xDEADBEEFDEADBEEF
33386 .xword 0xDEADBEEFDEADBEEF
33387 .xword 0xDEADBEEFDEADBEEF
33388 .xword 0xDEADBEEFDEADBEEF
33389 .xword 0xDEADBEEFDEADBEEF
33390 .xword 0xDEADBEEFDEADBEEF
33391 .xword 0xDEADBEEFDEADBEEF
33392 .xword 0xDEADBEEFDEADBEEF
33393 .xword 0xDEADBEEFDEADBEEF
33394 .xword 0xDEADBEEFDEADBEEF
33395 .xword 0xDEADBEEFDEADBEEF
33396 .xword 0xDEADBEEFDEADBEEF
33397 .xword 0xDEADBEEFDEADBEEF
33398 .xword 0xDEADBEEFDEADBEEF
33399 .xword 0xDEADBEEFDEADBEEF
33400 .xword 0xDEADBEEFDEADBEEF
33401 .xword 0xDEADBEEFDEADBEEF
33402 .xword 0xDEADBEEFDEADBEEF
33403 .xword 0xDEADBEEFDEADBEEF
33404 .xword 0xDEADBEEFDEADBEEF
33405 .xword 0xDEADBEEFDEADBEEF
33406 .xword 0xDEADBEEFDEADBEEF
33407 .xword 0xDEADBEEFDEADBEEF
33408 .xword 0xDEADBEEFDEADBEEF
33409 .xword 0xDEADBEEFDEADBEEF
33410 .xword 0xDEADBEEFDEADBEEF
33411 .xword 0xDEADBEEFDEADBEEF
33412 .xword 0xDEADBEEFDEADBEEF
33413 .xword 0xDEADBEEFDEADBEEF
33414 .xword 0xDEADBEEFDEADBEEF
33415 .xword 0xDEADBEEFDEADBEEF
33416 .xword 0xDEADBEEFDEADBEEF
33417 .xword 0xDEADBEEFDEADBEEF
33418 .xword 0xDEADBEEFDEADBEEF
33419 .xword 0xDEADBEEFDEADBEEF
33420 .xword 0xDEADBEEFDEADBEEF
33421 .xword 0xDEADBEEFDEADBEEF
33422 .xword 0xDEADBEEFDEADBEEF
33423 .xword 0xDEADBEEFDEADBEEF
33424 .xword 0xDEADBEEFDEADBEEF
33425 .xword 0xDEADBEEFDEADBEEF
33426 .xword 0xDEADBEEFDEADBEEF
33427 .xword 0xDEADBEEFDEADBEEF
33428 .xword 0xDEADBEEFDEADBEEF
33429 .xword 0xDEADBEEFDEADBEEF
33430 .xword 0xDEADBEEFDEADBEEF
33431 .xword 0xDEADBEEFDEADBEEF
33432 .xword 0xDEADBEEFDEADBEEF
33433 .xword 0xDEADBEEFDEADBEEF
33434 .xword 0xDEADBEEFDEADBEEF
33435 .xword 0xDEADBEEFDEADBEEF
33436 .xword 0xDEADBEEFDEADBEEF
33437 .xword 0xDEADBEEFDEADBEEF
33438 .xword 0xDEADBEEFDEADBEEF
33439 .xword 0xDEADBEEFDEADBEEF
33440 .xword 0xDEADBEEFDEADBEEF
33441 .xword 0xDEADBEEFDEADBEEF
33442 .xword 0xDEADBEEFDEADBEEF
33443 .xword 0xDEADBEEFDEADBEEF
33444 .xword 0xDEADBEEFDEADBEEF
33445 .xword 0xDEADBEEFDEADBEEF
33446 .xword 0xDEADBEEFDEADBEEF
33447 .xword 0xDEADBEEFDEADBEEF
33448 .xword 0xDEADBEEFDEADBEEF
33449 .xword 0xDEADBEEFDEADBEEF
33450 .xword 0xDEADBEEFDEADBEEF
33451 .xword 0xDEADBEEFDEADBEEF
33452 .xword 0xDEADBEEFDEADBEEF
33453 .xword 0xDEADBEEFDEADBEEF
33454 .xword 0xDEADBEEFDEADBEEF
33455 .xword 0xDEADBEEFDEADBEEF
33456 .xword 0xDEADBEEFDEADBEEF
33457 .xword 0xDEADBEEFDEADBEEF
33458 .xword 0xDEADBEEFDEADBEEF
33459 .xword 0xDEADBEEFDEADBEEF
33460 .xword 0xDEADBEEFDEADBEEF
33461 .xword 0xDEADBEEFDEADBEEF
33462 .xword 0xDEADBEEFDEADBEEF
33463 .xword 0xDEADBEEFDEADBEEF
33464 .xword 0xDEADBEEFDEADBEEF
33465 .xword 0xDEADBEEFDEADBEEF
33466 .xword 0xDEADBEEFDEADBEEF
33467 .xword 0xDEADBEEFDEADBEEF
33468 .xword 0xDEADBEEFDEADBEEF
33469 .xword 0xDEADBEEFDEADBEEF
33470 .xword 0xDEADBEEFDEADBEEF
33471 .xword 0xDEADBEEFDEADBEEF
33472 .xword 0xDEADBEEFDEADBEEF
33473 .xword 0xDEADBEEFDEADBEEF
33474 .xword 0xDEADBEEFDEADBEEF
33475 .xword 0xDEADBEEFDEADBEEF
33476 .xword 0xDEADBEEFDEADBEEF
33477 .xword 0xDEADBEEFDEADBEEF
33478 .xword 0xDEADBEEFDEADBEEF
33479 .xword 0xDEADBEEFDEADBEEF
33480 .xword 0xDEADBEEFDEADBEEF
33481 .xword 0xDEADBEEFDEADBEEF
33482 .xword 0xDEADBEEFDEADBEEF
33483 .xword 0xDEADBEEFDEADBEEF
33484 .xword 0xDEADBEEFDEADBEEF
33485 .xword 0xDEADBEEFDEADBEEF
33486 .xword 0xDEADBEEFDEADBEEF
33487 .xword 0xDEADBEEFDEADBEEF
33488 .xword 0xDEADBEEFDEADBEEF
33489 .xword 0xDEADBEEFDEADBEEF
33490 .xword 0xDEADBEEFDEADBEEF
33491 .xword 0xDEADBEEFDEADBEEF
33492 .xword 0xDEADBEEFDEADBEEF
33493 .xword 0xDEADBEEFDEADBEEF
33494 .xword 0xDEADBEEFDEADBEEF
33495 .xword 0xDEADBEEFDEADBEEF
33496 .xword 0xDEADBEEFDEADBEEF
33497 .xword 0xDEADBEEFDEADBEEF
33498 .xword 0xDEADBEEFDEADBEEF
33499 .xword 0xDEADBEEFDEADBEEF
33500 .xword 0xDEADBEEFDEADBEEF
33501 .xword 0xDEADBEEFDEADBEEF
33502 .xword 0xDEADBEEFDEADBEEF
33503 .xword 0xDEADBEEFDEADBEEF
33504 .xword 0xDEADBEEFDEADBEEF
33505 .xword 0xDEADBEEFDEADBEEF
33506 .xword 0xDEADBEEFDEADBEEF
33507 .xword 0xDEADBEEFDEADBEEF
33508 .xword 0xDEADBEEFDEADBEEF
33509 .xword 0xDEADBEEFDEADBEEF
33510 .xword 0xDEADBEEFDEADBEEF
33511 .xword 0xDEADBEEFDEADBEEF
33512 .xword 0xDEADBEEFDEADBEEF
33513 .xword 0xDEADBEEFDEADBEEF
33514 .xword 0xDEADBEEFDEADBEEF
33515 .xword 0xDEADBEEFDEADBEEF
33516 .xword 0xDEADBEEFDEADBEEF
33517 .xword 0xDEADBEEFDEADBEEF
33518 .xword 0xDEADBEEFDEADBEEF
33519 .xword 0xDEADBEEFDEADBEEF
33520 .xword 0xDEADBEEFDEADBEEF
33521 .xword 0xDEADBEEFDEADBEEF
33522 .xword 0xDEADBEEFDEADBEEF
33523 .xword 0xDEADBEEFDEADBEEF
33524 .xword 0xDEADBEEFDEADBEEF
33525 .xword 0xDEADBEEFDEADBEEF
33526 .xword 0xDEADBEEFDEADBEEF
33527 .xword 0xDEADBEEFDEADBEEF
33528 .xword 0xDEADBEEFDEADBEEF
33529 .xword 0xDEADBEEFDEADBEEF
33530 .xword 0xDEADBEEFDEADBEEF
33531 .xword 0xDEADBEEFDEADBEEF
33532 .xword 0xDEADBEEFDEADBEEF
33533 .xword 0xDEADBEEFDEADBEEF
33534 .xword 0xDEADBEEFDEADBEEF
33535 .xword 0xDEADBEEFDEADBEEF
33536 .xword 0xDEADBEEFDEADBEEF
33537 .xword 0xDEADBEEFDEADBEEF
33538 .xword 0xDEADBEEFDEADBEEF
33539 .xword 0xDEADBEEFDEADBEEF
33540 .xword 0xDEADBEEFDEADBEEF
33541 .xword 0xDEADBEEFDEADBEEF
33542 .xword 0xDEADBEEFDEADBEEF
33543 .xword 0xDEADBEEFDEADBEEF
33544 .xword 0xDEADBEEFDEADBEEF
33545 .xword 0xDEADBEEFDEADBEEF
33546 .xword 0xDEADBEEFDEADBEEF
33547 .xword 0xDEADBEEFDEADBEEF
33548 .xword 0xDEADBEEFDEADBEEF
33549 .xword 0xDEADBEEFDEADBEEF
33550 .xword 0xDEADBEEFDEADBEEF
33551 .xword 0xDEADBEEFDEADBEEF
33552 .xword 0xDEADBEEFDEADBEEF
33553 .xword 0xDEADBEEFDEADBEEF
33554 .xword 0xDEADBEEFDEADBEEF
33555 .xword 0xDEADBEEFDEADBEEF
33556 .xword 0xDEADBEEFDEADBEEF
33557 .xword 0xDEADBEEFDEADBEEF
33558 .xword 0xDEADBEEFDEADBEEF
33559 .xword 0xDEADBEEFDEADBEEF
33560 .xword 0xDEADBEEFDEADBEEF
33561 .xword 0xDEADBEEFDEADBEEF
33562 .xword 0xDEADBEEFDEADBEEF
33563 .xword 0xDEADBEEFDEADBEEF
33564 .xword 0xDEADBEEFDEADBEEF
33565 .xword 0xDEADBEEFDEADBEEF
33566 .xword 0xDEADBEEFDEADBEEF
33567 .xword 0xDEADBEEFDEADBEEF
33568 .xword 0xDEADBEEFDEADBEEF
33569 .xword 0xDEADBEEFDEADBEEF
33570 .xword 0xDEADBEEFDEADBEEF
33571 .xword 0xDEADBEEFDEADBEEF
33572 .xword 0xDEADBEEFDEADBEEF
33573 .xword 0xDEADBEEFDEADBEEF
33574 .xword 0xDEADBEEFDEADBEEF
33575 .xword 0xDEADBEEFDEADBEEF
33576 .xword 0xDEADBEEFDEADBEEF
33577 .xword 0xDEADBEEFDEADBEEF
33578 .xword 0xDEADBEEFDEADBEEF
33579 .xword 0xDEADBEEFDEADBEEF
33580 .xword 0xDEADBEEFDEADBEEF
33581 .xword 0xDEADBEEFDEADBEEF
33582 .xword 0xDEADBEEFDEADBEEF
33583 .xword 0xDEADBEEFDEADBEEF
33584 .xword 0xDEADBEEFDEADBEEF
33585 .xword 0xDEADBEEFDEADBEEF
33586 .xword 0xDEADBEEFDEADBEEF
33587 .xword 0xDEADBEEFDEADBEEF
33588 .xword 0xDEADBEEFDEADBEEF
33589 .xword 0xDEADBEEFDEADBEEF
33590 .xword 0xDEADBEEFDEADBEEF
33591 .xword 0xDEADBEEFDEADBEEF
33592 .xword 0xDEADBEEFDEADBEEF
33593 .xword 0xDEADBEEFDEADBEEF
33594 .xword 0xDEADBEEFDEADBEEF
33595 .xword 0xDEADBEEFDEADBEEF
33596 .xword 0xDEADBEEFDEADBEEF
33597 .xword 0xDEADBEEFDEADBEEF
33598 .xword 0xDEADBEEFDEADBEEF
33599 .xword 0xDEADBEEFDEADBEEF
33600 .xword 0xDEADBEEFDEADBEEF
33601 .xword 0xDEADBEEFDEADBEEF
33602 .xword 0xDEADBEEFDEADBEEF
33603 .xword 0xDEADBEEFDEADBEEF
33604 .xword 0xDEADBEEFDEADBEEF
33605 .xword 0xDEADBEEFDEADBEEF
33606 .xword 0xDEADBEEFDEADBEEF
33607 .xword 0xDEADBEEFDEADBEEF
33608 .xword 0xDEADBEEFDEADBEEF
33609 .xword 0xDEADBEEFDEADBEEF
33610 .xword 0xDEADBEEFDEADBEEF
33611 .xword 0xDEADBEEFDEADBEEF
33612 .xword 0xDEADBEEFDEADBEEF
33613 .xword 0xDEADBEEFDEADBEEF
33614 .xword 0xDEADBEEFDEADBEEF
33615 .xword 0xDEADBEEFDEADBEEF
33616 .xword 0xDEADBEEFDEADBEEF
33617_t1_hash_auth_key:
33618 .xword 0xc1f39fa43c29b908
33619 .xword 0x0fb2d4fedc9ed4d9
33620 .xword 0xc666d54a4c609cdc
33621 .xword 0x204ebb8fa9c50a7c
33622 .xword 0xd10f422579f2f504
33623 .xword 0xb824321c397c99d2
33624 .xword 0x1b0a4a28c1839262
33625 .xword 0x7639666b5bc5cda7
33626 .xword 0x2e9b9152523b5a9e
33627 .xword 0xb456639d7b74d5f8
33628 .xword 0x13ec569442b84e7a
33629 .xword 0x69c4f5fca3ab54e9
33630 .xword 0x5a7a2b7c2d516113
33631 .xword 0x3c71687bff70698a
33632 .xword 0xb757593779f021be
33633 .xword 0xe189facc254620b8
33634 .xword 0x9f75bad4bfa1b2fb
33635 .xword 0xcf0d1b3631ff0f05
33636 .xword 0x75d6c66e313b59ca
33637 .xword 0xfa828facd84585df
33638 .xword 0x73a8f161236d2885
33639 .xword 0x53f2ad8105d40d99
33640 .xword 0x5d12a3ee75c05721
33641_t1_hash_auth_iv:
33642 .xword 0x1d3fad22b1542a51
33643 .xword 0xd5f8f124ffba6b15
33644 .xword 0xf9fb3818f4374fa9
33645 .xword 0xb9b56e69731222d8
33646 .xword 0xe52157668221e3e0
33647 .xword 0xaa218b31517f188f
33648 .xword 0x09aba84a5bed0525
33649 .xword 0xa1118d95d4e72cb4
33650 .xword 0x4f808fa549b3981c
33651 .xword 0xd783e2bc4ff1b00a
33652 .xword 0x92ca2b6dc1e4ee7b
33653 .xword 0xf8485104919f3a04
33654 .xword 0x0565c02f0bbaccdd
33655 .xword 0x2961acaf8522fbdd
33656 .xword 0x4a57b0d7b62f2e13
33657 .xword 0x92cabf7d0079c49b
33658 .xword 0x38d689262f76b345
33659 .xword 0xe3bd721d4ad1e6ce
33660 .xword 0x2d66a6818a6c21d1
33661 .xword 0x2762abab6f0ab4d6
33662 .xword 0x919db73720b7916b
33663 .xword 0x248cb3a8e365b0fc
33664 .xword 0x7076079f29acf781
33665_t1_hash_fas_result:
33666 .xword 0xDEADBEEFDEADBEEF
33667 .xword 0xDEADBEEFDEADBEEF
33668 .xword 0xDEADBEEFDEADBEEF
33669 .xword 0xDEADBEEFDEADBEEF
33670 .xword 0xDEADBEEFDEADBEEF
33671 .xword 0xDEADBEEFDEADBEEF
33672 .xword 0xDEADBEEFDEADBEEF
33673 .xword 0xDEADBEEFDEADBEEF
33674 .xword 0xDEADBEEFDEADBEEF
33675 .xword 0xDEADBEEFDEADBEEF
33676 .xword 0xDEADBEEFDEADBEEF
33677 .xword 0xDEADBEEFDEADBEEF
33678 .xword 0xDEADBEEFDEADBEEF
33679 .xword 0xDEADBEEFDEADBEEF
33680 .xword 0xDEADBEEFDEADBEEF
33681 .xword 0xDEADBEEFDEADBEEF
33682 .xword 0xDEADBEEFDEADBEEF
33683 .xword 0xDEADBEEFDEADBEEF
33684 .xword 0xDEADBEEFDEADBEEF
33685 .xword 0xDEADBEEFDEADBEEF
33686 .xword 0xDEADBEEFDEADBEEF
33687 .xword 0xDEADBEEFDEADBEEF
33688 .xword 0xDEADBEEFDEADBEEF
33689_t1_hmac_key_array:
33690 .xword 0xabc965a62d9ca05f
33691 .xword 0x8df2f2f1b72de1f9
33692 .xword 0x255129665b0883b4
33693 .xword 0x18d357ff538ba897
33694 .xword 0xb209612511b5f955
33695 .xword 0xa159abe89787bea5
33696 .xword 0x4649ed6f48a8b88b
33697 .xword 0x36b57640680aa691
33698 .xword 0x19ec35a11e7a6396
33699 .xword 0x74f4084457184f01
33700 .xword 0x3a61fae8f4c28d28
33701 .xword 0x3bc4ffbbf4583239
33702 .xword 0x606f4704d740d662
33703 .xword 0x66bf278c2bed1d7f
33704 .xword 0x68e1b440f26f269f
33705 .xword 0xe1627b5bbcdf5753
33706 .xword 0x8e6aa2111e5b5f99
33707 .xword 0xe7f9d1eecc1b8cd9
33708 .xword 0xfab036373269bbfc
33709 .xword 0xa2afccb898750626
33710 .xword 0xaad75b8a91b6a5e0
33711 .xword 0xdd6d8999eaff9521
33712 .xword 0x06bb4ccc1ad0ff1c
33713 .xword 0x66bbd48066edef95
33714 .xword 0x026f80e6871c5e45
33715 .xword 0x93d88696d096e26d
33716 .xword 0x9c92d23450717e39
33717 .xword 0x048d7834400ab643
33718 .xword 0x791da623d106cd39
33719 .xword 0xe2f09ba9b0c12b43
33720 .xword 0xca6356b99fa9b3ff
33721 .xword 0x78810d025e207758
33722 .xword 0xa236a26b0985fd2f
33723 .xword 0x8c08dcbe46322a11
33724 .xword 0x2dc77b6c3301edc6
33725 .xword 0x929b45956a310801
33726 .xword 0x8d2214b89830328f
33727 .xword 0x97cceaf850b4c126
33728 .xword 0xa32595a0e343b1fe
33729 .xword 0x0f0f0e8923326e81
33730 .xword 0xfe6afd110dee04b6
33731 .xword 0x5fe03db6d281728c
33732 .xword 0xe90ba0a9810c7082
33733 .xword 0x5683b85ec6f54ee4
33734 .xword 0x836e77fa569103a0
33735 .xword 0x06a27384051aec13
33736 .xword 0x997180a9cb33bea3
33737 .xword 0xbd7db27ae4d2ac67
33738 .xword 0xff9f1031295cb80b
33739 .xword 0x231a6041dc46ab7a
33740 .xword 0x93947ccfaf4f76bc
33741_t1_hmac_iv_array:
33742 .xword 0xbf779d20c22ff388
33743 .xword 0x59dc87f9ee194345
33744 .xword 0xbc7835b0d1cdcf5b
33745 .xword 0xf392bf5f3ee08267
33746 .xword 0x991059241c7a9c67
33747 .xword 0x8a4bd2333930216f
33748 .xword 0x8b7b0ec2fbaff8aa
33749 .xword 0x3ab81e5830105dd9
33750 .xword 0x7c1b475ac994b732
33751 .xword 0x187265b3fe77c822
33752 .xword 0x2b3f131ecc17090c
33753 .xword 0xad714f77c15aee69
33754 .xword 0xbc23265e347b9445
33755 .xword 0x961248d62ad7cbf7
33756 .xword 0x1192abcbe4ccc4ad
33757 .xword 0xf4170be79a96eec4
33758 .xword 0x9320a03cb4e41782
33759 .xword 0xd5aa182930d7169a
33760 .xword 0xcb95b114a9faf039
33761 .xword 0x0ce0eb3167af3b2f
33762 .xword 0x97fd2490b9ef01ee
33763 .xword 0x70198befe102c750
33764 .xword 0xa9043c97111af7da
33765 .xword 0x8463833e73463706
33766 .xword 0xdef0b55fc9b3c01d
33767 .xword 0xc1c12403f3d435d3
33768 .xword 0x12bf2a6cf167893e
33769 .xword 0xef0f08f96849976e
33770 .xword 0x1fadb2ddf7a47d31
33771 .xword 0xa3009dbbc85b2406
33772 .xword 0xe10e31ec095767a7
33773 .xword 0x0d5fc861ce49ee3f
33774 .xword 0x555d495ee04c9a46
33775 .xword 0xcf8f97c37bed279f
33776 .xword 0xeba9354b88381eb8
33777 .xword 0x1e1eabea8ed80cc5
33778 .xword 0xdfa3ea0bba982862
33779 .xword 0xccdf119e62d2a8f6
33780 .xword 0xa1144caae35b1a5b
33781 .xword 0x2702de65891afb2c
33782 .xword 0xe8c607b2a782826f
33783 .xword 0x459c535af2cc755d
33784 .xword 0x9570b3cd8a2b5d67
33785 .xword 0x4b4cb5c90b247ab2
33786 .xword 0xaee8b5aba7b99d1d
33787_t1_hmac_alignment_array:
33788 .xword 3
33789 .xword 4
33790 .xword 11
33791 .xword 11
33792 .xword 10
33793 .xword 3
33794 .xword 5
33795 .xword 1
33796 .xword 11
33797 .xword 11
33798 .xword 12
33799 .xword 13
33800 .xword 4
33801 .xword 9
33802 .xword 12
33803 .xword 6
33804 .xword 9
33805 .xword 0
33806 .xword 4
33807 .xword 8
33808 .xword 9
33809 .xword 3
33810 .xword 2
33811 .xword 15
33812 .xword 12
33813 .xword 14
33814 .xword 1
33815 .xword 15
33816 .xword 3
33817 .xword 9
33818 .xword 9
33819 .xword 12
33820 .xword 1
33821 .xword 2
33822 .xword 6
33823 .xword 4
33824 .xword 6
33825 .xword 9
33826 .xword 11
33827 .xword 11
33828 .xword 15
33829 .xword 0
33830 .xword 15
33831 .xword 6
33832 .xword 15
33833 .xword 2
33834 .xword 4
33835 .xword 1
33836 .xword 4
33837 .xword 15
33838 .xword 7
33839 .xword 15
33840 .xword 10
33841 .xword 7
33842 .xword 13
33843 .xword 2
33844 .xword 15
33845 .xword 13
33846 .xword 7
33847 .xword 11
33848 .xword 3
33849 .xword 8
33850 .xword 6
33851 .xword 1
33852 .xword 6
33853 .xword 4
33854 .xword 3
33855 .xword 7
33856 .xword 12
33857 .xword 4
33858 .xword 5
33859 .xword 14
33860 .xword 9
33861 .xword 11
33862 .xword 6
33863 .xword 5
33864 .xword 12
33865 .xword 8
33866 .xword 15
33867 .xword 1
33868 .xword 12
33869 .xword 11
33870 .xword 5
33871 .xword 14
33872 .xword 12
33873 .xword 3
33874 .xword 11
33875 .xword 4
33876 .xword 9
33877 .xword 14
33878 .xword 6
33879 .xword 2
33880 .xword 8
33881 .xword 0
33882 .xword 9
33883 .xword 9
33884 .xword 7
33885 .xword 12
33886 .xword 0
33887 .xword 5
33888 .xword 0
33889 .xword 4
33890 .xword 15
33891 .xword 6
33892 .xword 7
33893_t1_hmac_src:
33894 .xword 0x184bfa35e2395221
33895 .xword 0x22edbaf5e2969b65
33896 .xword 0x7be76edba72c8570
33897 .xword 0x83f8d535a7be0ab4
33898 .xword 0xe9bf16b75771e110
33899 .xword 0x78eacb04fe3ca783
33900 .xword 0x30493ec5b2a122ca
33901 .xword 0x33ba9f299f35febe
33902 .xword 0x152eb20e44e80bf8
33903 .xword 0x2145b8c8de0bafe5
33904 .xword 0xdf0b502ad01eb967
33905 .xword 0x4a38856d06747eb2
33906 .xword 0x5ec36c3c6bd2fddf
33907 .xword 0xdb6f9c538cb2629a
33908 .xword 0xabc297f10c5954b7
33909 .xword 0x0515c064c392935f
33910 .xword 0xb7d1db3ff898cad6
33911 .xword 0x74d17ac98dd205e4
33912 .xword 0xb6e7cf58fb45ac3f
33913 .xword 0xdf6cc09f6f9b4690
33914 .xword 0x72ed6c5feae44914
33915 .xword 0x31c6cf0ba3afc241
33916 .xword 0x6b5825cf9abe8eab
33917 .xword 0x41ae445784f46545
33918 .xword 0xf3e15f7e8771c302
33919 .xword 0x51b943c4d0f8535f
33920 .xword 0xd3770ef417ee12ae
33921 .xword 0xed985afd450b53f0
33922 .xword 0x60aee796fb0c3f02
33923 .xword 0xf56eac42202338a5
33924 .xword 0xb850160fcf4691f5
33925 .xword 0x1cacf3d98adf3f41
33926 .xword 0x0885ddd31e4d2de0
33927 .xword 0x93667730fdf3d09e
33928 .xword 0x6ba88921932237c5
33929 .xword 0x1555f583682c3429
33930 .xword 0x61a26c28a011f996
33931 .xword 0x1eed9fd498dc8c98
33932 .xword 0x8bb6e52bd78b4ff8
33933 .xword 0x09300c765a1774d0
33934 .xword 0x02b76dc53dd734b8
33935 .xword 0x0c4a73d7fe7ea29a
33936 .xword 0xbe5d92efd0c907f8
33937 .xword 0xeedaca067df72960
33938 .xword 0x85dc65352e6c2cac
33939 .xword 0x96812800f4f6d80b
33940 .xword 0xb47fce2891143802
33941 .xword 0xfbbbb0c03e78a3a4
33942 .xword 0xa1f17f165c1768e8
33943 .xword 0x0d0239bc153efec0
33944 .xword 0xcee9a70a87d7a9cd
33945 .xword 0xbdab53241747a748
33946 .xword 0xba5f0c0f35f0da73
33947 .xword 0x653f8b3928449806
33948 .xword 0x509a0fad1006177e
33949 .xword 0xf05fd465e8583669
33950 .xword 0x3354f82fa371aa6f
33951 .xword 0x5b2ea152938878b2
33952 .xword 0x748c999b50d1666a
33953 .xword 0xc55abc33cb6d197a
33954 .xword 0xb18af06009bcc267
33955 .xword 0x7626058b4f74951d
33956 .xword 0x7771daedf561fdf9
33957 .xword 0x319d3d96f4973b31
33958 .xword 0x6468bddff7fecee2
33959 .xword 0x177231f890e31ae7
33960 .xword 0x7843798178045ce7
33961 .xword 0x7ed1befdcf9a36d7
33962 .xword 0xb34b8866dea74e28
33963 .xword 0x572c132b58fad8ed
33964 .xword 0x50fdae52a5d722c6
33965 .xword 0xcf6562d8b9e2553d
33966 .xword 0xa79a4c5c981242dd
33967 .xword 0x84c50bc7e2b4d822
33968 .xword 0x67a01953263c4e7a
33969 .xword 0xa0e65218e478132c
33970 .xword 0x6d4455b7ac1dce45
33971 .xword 0x61e9776ff26d8641
33972 .xword 0x1053977f69c74dd6
33973 .xword 0x265f3df7819f526d
33974 .xword 0x3b0b197473152cf5
33975 .xword 0xb347d308b92a2b2c
33976 .xword 0xe95e7dde8fe00aeb
33977 .xword 0x5cf44617243d0534
33978 .xword 0xd5b4a06f7ceee2da
33979 .xword 0xa0315726d038dfa0
33980 .xword 0x087e669542d1d614
33981 .xword 0x1f26f1a318bbde9a
33982 .xword 0xdb3a6afb185e9c5b
33983 .xword 0x1f565b3a89642f10
33984 .xword 0xbc96924682129a0c
33985 .xword 0x2e2e2432838ab6b6
33986 .xword 0xa06c79d96cdba869
33987 .xword 0xb8a35036ee650b85
33988 .xword 0x9110e9cc0917db9b
33989 .xword 0x3e510598596c3408
33990 .xword 0xfe8af0d2854aaf58
33991 .xword 0x9bf5cdd1a48fc259
33992 .xword 0x3c81c0229732f6cd
33993 .xword 0xcb01b725a8a1d55a
33994 .xword 0xc4e9f43ae5f9b426
33995 .xword 0xbb1c16e76e235965
33996 .xword 0x65157534f71eac0d
33997 .xword 0x58a71d805f4c5879
33998 .xword 0x2726be212d423595
33999 .xword 0x0189c53a05849bfb
34000 .xword 0x6b724781f046e99b
34001 .xword 0xfda1189d28ce3169
34002 .xword 0x4de6e82b6b0ec06f
34003 .xword 0x74dd89bbbcfc19d8
34004 .xword 0xb603b34a7aa2d3c7
34005 .xword 0x0ddd9c568cf3bf1d
34006 .xword 0x8628781eb5963bbf
34007 .xword 0x02aca0851e2a1a22
34008 .xword 0xf72e98dd628f1a8d
34009 .xword 0xdd55d817cd76b757
34010 .xword 0x8758cd34ea1aa986
34011 .xword 0xe8b720c749f78246
34012 .xword 0x6a908378dd2b5913
34013 .xword 0x3049022f7723d161
34014 .xword 0xd28f0d312a02c726
34015 .xword 0x19b7695929ffe839
34016 .xword 0x623607209d973385
34017 .xword 0x151256898ffaf32b
34018 .xword 0x568a542629c87060
34019 .xword 0x692278a0c1315e35
34020 .xword 0x0e9928229fd3af13
34021 .xword 0x95bc85c8548bb1dc
34022 .xword 0x9990a5637a2fa4c0
34023 .xword 0x20a5e3d6b452bba1
34024 .xword 0x13d2a21fac63de4d
34025 .xword 0x596709093a5d7f08
34026 .xword 0xab654cdfe06cc719
34027 .xword 0x64423a11ae4efb14
34028 .xword 0x0831767b77ee05d6
34029 .xword 0x3a50589b09914bcc
34030 .xword 0xb8940c0f12b33a2f
34031 .xword 0xb005c99791e38881
34032 .xword 0x5cf86adb7696ee9d
34033 .xword 0xee4aaa109316a00d
34034 .xword 0xe9a2a451ef7433db
34035 .xword 0x12ef5f0c7d00b96e
34036 .xword 0x8fbaaf0ef3b158ea
34037 .xword 0xdc736e5d66cdba43
34038 .xword 0x8fb34bf00c846866
34039 .xword 0x417a5a1af8ff40c9
34040 .xword 0x4256928c7e109b86
34041 .xword 0xa31326aaf6aa3f80
34042 .xword 0x6c4aad414d4b6845
34043 .xword 0x151c0b09e4a3eec8
34044 .xword 0xfbabb0980c990b71
34045 .xword 0x3b3c64d68a31c654
34046 .xword 0xa4f7dc4e75a6e739
34047 .xword 0xbdc4d8b8ee130d55
34048 .xword 0xe48dcdf7fc37a157
34049 .xword 0x8f94ca512e7eea6e
34050 .xword 0x787cef0a47b91c08
34051 .xword 0x3114536259a1d178
34052 .xword 0xfaafd9c1708b6b98
34053 .xword 0xb50a86ef4084f5f8
34054 .xword 0xd9fdaff4ee75edd8
34055 .xword 0x9fcd09ef6763418a
34056 .xword 0x5bb2e397ef9eb1b1
34057 .xword 0xd61e5303011380f0
34058 .xword 0x65bc64084d2de738
34059 .xword 0x507a84bf75a16f45
34060 .xword 0xc289cf6584a66b21
34061 .xword 0xc36a47f2ec5383b4
34062 .xword 0x3d4e7d4249c1da88
34063 .xword 0x50ffac1d230ef936
34064 .xword 0xd42edf2a9c8958fd
34065 .xword 0xcfb81140a1530122
34066 .xword 0xc6d27907e99d9d5b
34067 .xword 0x63779a79011e4023
34068 .xword 0x1b245d1d3401cb92
34069 .xword 0xa796821a195041be
34070 .xword 0xd93c84c7999a1317
34071 .xword 0xd1669839eddd3cab
34072 .xword 0xfb63b813d2f1c0d1
34073 .xword 0xc60c0093aef1f27e
34074 .xword 0x12bbd0644f5dbd80
34075 .xword 0x589ef60f0b433dfd
34076 .xword 0xbf7324b49baaf767
34077 .xword 0x38805e672b80e0c0
34078 .xword 0x524ef6e57ad92661
34079 .xword 0xe616118998d2624d
34080 .xword 0x81f7bb72b8f1b430
34081 .xword 0xb217ce03ccf91b36
34082 .xword 0xb2356faeec434e76
34083 .xword 0x579a81a189c62510
34084 .xword 0x10458cf08019dcfa
34085 .xword 0xe4a3d90092e077d3
34086 .xword 0x6e98f4999ef636ff
34087 .xword 0x41818b99ab4f4c61
34088 .xword 0x68c2148018710800
34089 .xword 0x3a65ec752e1b7a92
34090 .xword 0x2649f096e48c8b93
34091 .xword 0xb2528f31ff108d76
34092 .xword 0x9ea9356ec66a0c90
34093 .xword 0xd814e8557bfe8f4d
34094 .xword 0xcf47af9b4000b132
34095 .xword 0xe41a47eaa39ad14e
34096 .xword 0x43eb9ee049d12f1e
34097 .xword 0x525e491ad621d303
34098 .xword 0xfdd700555ff0c2f1
34099 .xword 0x73da44d056baa5fe
34100 .xword 0x6f898bd69ba2f1c7
34101 .xword 0xfd62b6134378ee99
34102 .xword 0x5a7ac915aacb3071
34103 .xword 0x100a202ccb24ce5a
34104 .xword 0xc1a9a7931696b1d6
34105 .xword 0xc405c88d4550e4e5
34106 .xword 0xee0ace6735a4c941
34107 .xword 0x514920e4412a878d
34108 .xword 0x9e139e44d6b2c5ae
34109 .xword 0x66e20d0972fc506e
34110 .xword 0x3eedef15199a565f
34111 .xword 0x9df674a00d6709ff
34112 .xword 0x4d83bc9f58ef5e48
34113 .xword 0x72233c66dfc97700
34114 .xword 0x928d54b1cb1d4550
34115 .xword 0x64d4ffd1f1bf377a
34116 .xword 0x3493bfffbe89a31b
34117 .xword 0xeaaf259c60d0f4ce
34118 .xword 0x0f94ebc3b12acb36
34119 .xword 0xacfecb976f141dd0
34120 .xword 0x7962e6f826562280
34121 .xword 0x3ebccd05e9e5d2cd
34122 .xword 0x86727e138606ab9d
34123 .xword 0xf20ed787982270ed
34124 .xword 0x815c3e46af145e55
34125 .xword 0x1e870d2597076a0a
34126 .xword 0x574cdcda1cea0204
34127 .xword 0x91dda89736c123fc
34128 .xword 0x04e108683ffe1047
34129 .xword 0x5a72abd555f8eb15
34130 .xword 0x2cdb8dd3c5be9cd1
34131 .xword 0x6adbd3f22fa85008
34132 .xword 0xe9033d4de7fc28ce
34133 .xword 0x961c8301efba901f
34134 .xword 0x6ac29273fe764282
34135 .xword 0xc60d1996b134bec9
34136 .xword 0xd439c289e0da9f5f
34137 .xword 0xea9848de55bf54c1
34138 .xword 0xc71aa03e76b7f189
34139 .xword 0xa62384ea3328857f
34140 .xword 0x530507da845b9aee
34141 .xword 0xa14250056a3748f2
34142 .xword 0x90d54faf67cb6765
34143 .xword 0xf40d87ec72006941
34144 .xword 0x791531b270965915
34145 .xword 0xfb3ec76b6b20d378
34146 .xword 0x31f147562e2e3bda
34147 .xword 0x80c4cdbf306b0b24
34148 .xword 0x9028ef840e8021c6
34149 .xword 0xafa3a8b7dc7b920f
34150 .xword 0x7a7a833678686109
34151 .xword 0xd690e3c7bfe6caae
34152 .xword 0xcde72ae81c1c8054
34153 .xword 0xd030de69d9c8eff2
34154 .xword 0x47482342b8a38c6a
34155 .xword 0x598d3ed1bd750f65
34156 .xword 0x64b822148c50c18e
34157 .xword 0x5c1dd1b9279b8b0f
34158 .xword 0x83204d7e643efc69
34159 .xword 0x218be06235f43d4d
34160 .xword 0x93b3a1f6fa4cba9f
34161 .xword 0x5b7c9824de213e4f
34162 .xword 0xc8184340757eb9a8
34163 .xword 0xc1ed25a9393770a0
34164 .xword 0xca4601d46abfb70c
34165 .xword 0xd3ddc3e9091cee4b
34166 .xword 0x35dea309fac2c841
34167 .xword 0x778e25fe4bc825e6
34168 .xword 0xcf96c3559146d3ac
34169_t1_hmac_dest:
34170 .xword 0xDEADBEEFDEADBEEF
34171 .xword 0xDEADBEEFDEADBEEF
34172 .xword 0xDEADBEEFDEADBEEF
34173 .xword 0xDEADBEEFDEADBEEF
34174 .xword 0xDEADBEEFDEADBEEF
34175 .xword 0xDEADBEEFDEADBEEF
34176 .xword 0xDEADBEEFDEADBEEF
34177 .xword 0xDEADBEEFDEADBEEF
34178 .xword 0xDEADBEEFDEADBEEF
34179 .xword 0xDEADBEEFDEADBEEF
34180 .xword 0xDEADBEEFDEADBEEF
34181 .xword 0xDEADBEEFDEADBEEF
34182 .xword 0xDEADBEEFDEADBEEF
34183 .xword 0xDEADBEEFDEADBEEF
34184 .xword 0xDEADBEEFDEADBEEF
34185 .xword 0xDEADBEEFDEADBEEF
34186 .xword 0xDEADBEEFDEADBEEF
34187 .xword 0xDEADBEEFDEADBEEF
34188 .xword 0xDEADBEEFDEADBEEF
34189 .xword 0xDEADBEEFDEADBEEF
34190 .xword 0xDEADBEEFDEADBEEF
34191 .xword 0xDEADBEEFDEADBEEF
34192 .xword 0xDEADBEEFDEADBEEF
34193 .xword 0xDEADBEEFDEADBEEF
34194 .xword 0xDEADBEEFDEADBEEF
34195 .xword 0xDEADBEEFDEADBEEF
34196 .xword 0xDEADBEEFDEADBEEF
34197 .xword 0xDEADBEEFDEADBEEF
34198 .xword 0xDEADBEEFDEADBEEF
34199 .xword 0xDEADBEEFDEADBEEF
34200 .xword 0xDEADBEEFDEADBEEF
34201 .xword 0xDEADBEEFDEADBEEF
34202 .xword 0xDEADBEEFDEADBEEF
34203 .xword 0xDEADBEEFDEADBEEF
34204 .xword 0xDEADBEEFDEADBEEF
34205 .xword 0xDEADBEEFDEADBEEF
34206 .xword 0xDEADBEEFDEADBEEF
34207 .xword 0xDEADBEEFDEADBEEF
34208 .xword 0xDEADBEEFDEADBEEF
34209 .xword 0xDEADBEEFDEADBEEF
34210 .xword 0xDEADBEEFDEADBEEF
34211 .xword 0xDEADBEEFDEADBEEF
34212 .xword 0xDEADBEEFDEADBEEF
34213 .xword 0xDEADBEEFDEADBEEF
34214 .xword 0xDEADBEEFDEADBEEF
34215 .xword 0xDEADBEEFDEADBEEF
34216 .xword 0xDEADBEEFDEADBEEF
34217 .xword 0xDEADBEEFDEADBEEF
34218 .xword 0xDEADBEEFDEADBEEF
34219 .xword 0xDEADBEEFDEADBEEF
34220 .xword 0xDEADBEEFDEADBEEF
34221 .xword 0xDEADBEEFDEADBEEF
34222 .xword 0xDEADBEEFDEADBEEF
34223 .xword 0xDEADBEEFDEADBEEF
34224 .xword 0xDEADBEEFDEADBEEF
34225 .xword 0xDEADBEEFDEADBEEF
34226 .xword 0xDEADBEEFDEADBEEF
34227 .xword 0xDEADBEEFDEADBEEF
34228 .xword 0xDEADBEEFDEADBEEF
34229 .xword 0xDEADBEEFDEADBEEF
34230 .xword 0xDEADBEEFDEADBEEF
34231 .xword 0xDEADBEEFDEADBEEF
34232 .xword 0xDEADBEEFDEADBEEF
34233 .xword 0xDEADBEEFDEADBEEF
34234 .xword 0xDEADBEEFDEADBEEF
34235 .xword 0xDEADBEEFDEADBEEF
34236 .xword 0xDEADBEEFDEADBEEF
34237 .xword 0xDEADBEEFDEADBEEF
34238 .xword 0xDEADBEEFDEADBEEF
34239 .xword 0xDEADBEEFDEADBEEF
34240 .xword 0xDEADBEEFDEADBEEF
34241 .xword 0xDEADBEEFDEADBEEF
34242 .xword 0xDEADBEEFDEADBEEF
34243 .xword 0xDEADBEEFDEADBEEF
34244 .xword 0xDEADBEEFDEADBEEF
34245 .xword 0xDEADBEEFDEADBEEF
34246 .xword 0xDEADBEEFDEADBEEF
34247 .xword 0xDEADBEEFDEADBEEF
34248 .xword 0xDEADBEEFDEADBEEF
34249 .xword 0xDEADBEEFDEADBEEF
34250 .xword 0xDEADBEEFDEADBEEF
34251 .xword 0xDEADBEEFDEADBEEF
34252 .xword 0xDEADBEEFDEADBEEF
34253 .xword 0xDEADBEEFDEADBEEF
34254 .xword 0xDEADBEEFDEADBEEF
34255 .xword 0xDEADBEEFDEADBEEF
34256 .xword 0xDEADBEEFDEADBEEF
34257 .xword 0xDEADBEEFDEADBEEF
34258 .xword 0xDEADBEEFDEADBEEF
34259 .xword 0xDEADBEEFDEADBEEF
34260 .xword 0xDEADBEEFDEADBEEF
34261 .xword 0xDEADBEEFDEADBEEF
34262 .xword 0xDEADBEEFDEADBEEF
34263 .xword 0xDEADBEEFDEADBEEF
34264 .xword 0xDEADBEEFDEADBEEF
34265 .xword 0xDEADBEEFDEADBEEF
34266 .xword 0xDEADBEEFDEADBEEF
34267 .xword 0xDEADBEEFDEADBEEF
34268 .xword 0xDEADBEEFDEADBEEF
34269 .xword 0xDEADBEEFDEADBEEF
34270 .xword 0xDEADBEEFDEADBEEF
34271 .xword 0xDEADBEEFDEADBEEF
34272 .xword 0xDEADBEEFDEADBEEF
34273 .xword 0xDEADBEEFDEADBEEF
34274 .xword 0xDEADBEEFDEADBEEF
34275 .xword 0xDEADBEEFDEADBEEF
34276 .xword 0xDEADBEEFDEADBEEF
34277 .xword 0xDEADBEEFDEADBEEF
34278 .xword 0xDEADBEEFDEADBEEF
34279 .xword 0xDEADBEEFDEADBEEF
34280 .xword 0xDEADBEEFDEADBEEF
34281 .xword 0xDEADBEEFDEADBEEF
34282 .xword 0xDEADBEEFDEADBEEF
34283 .xword 0xDEADBEEFDEADBEEF
34284 .xword 0xDEADBEEFDEADBEEF
34285 .xword 0xDEADBEEFDEADBEEF
34286 .xword 0xDEADBEEFDEADBEEF
34287 .xword 0xDEADBEEFDEADBEEF
34288 .xword 0xDEADBEEFDEADBEEF
34289 .xword 0xDEADBEEFDEADBEEF
34290 .xword 0xDEADBEEFDEADBEEF
34291 .xword 0xDEADBEEFDEADBEEF
34292 .xword 0xDEADBEEFDEADBEEF
34293 .xword 0xDEADBEEFDEADBEEF
34294 .xword 0xDEADBEEFDEADBEEF
34295 .xword 0xDEADBEEFDEADBEEF
34296 .xword 0xDEADBEEFDEADBEEF
34297 .xword 0xDEADBEEFDEADBEEF
34298 .xword 0xDEADBEEFDEADBEEF
34299 .xword 0xDEADBEEFDEADBEEF
34300 .xword 0xDEADBEEFDEADBEEF
34301 .xword 0xDEADBEEFDEADBEEF
34302 .xword 0xDEADBEEFDEADBEEF
34303 .xword 0xDEADBEEFDEADBEEF
34304 .xword 0xDEADBEEFDEADBEEF
34305 .xword 0xDEADBEEFDEADBEEF
34306 .xword 0xDEADBEEFDEADBEEF
34307 .xword 0xDEADBEEFDEADBEEF
34308 .xword 0xDEADBEEFDEADBEEF
34309 .xword 0xDEADBEEFDEADBEEF
34310 .xword 0xDEADBEEFDEADBEEF
34311 .xword 0xDEADBEEFDEADBEEF
34312 .xword 0xDEADBEEFDEADBEEF
34313 .xword 0xDEADBEEFDEADBEEF
34314 .xword 0xDEADBEEFDEADBEEF
34315 .xword 0xDEADBEEFDEADBEEF
34316 .xword 0xDEADBEEFDEADBEEF
34317 .xword 0xDEADBEEFDEADBEEF
34318 .xword 0xDEADBEEFDEADBEEF
34319 .xword 0xDEADBEEFDEADBEEF
34320 .xword 0xDEADBEEFDEADBEEF
34321 .xword 0xDEADBEEFDEADBEEF
34322 .xword 0xDEADBEEFDEADBEEF
34323 .xword 0xDEADBEEFDEADBEEF
34324 .xword 0xDEADBEEFDEADBEEF
34325 .xword 0xDEADBEEFDEADBEEF
34326 .xword 0xDEADBEEFDEADBEEF
34327 .xword 0xDEADBEEFDEADBEEF
34328 .xword 0xDEADBEEFDEADBEEF
34329 .xword 0xDEADBEEFDEADBEEF
34330 .xword 0xDEADBEEFDEADBEEF
34331 .xword 0xDEADBEEFDEADBEEF
34332 .xword 0xDEADBEEFDEADBEEF
34333 .xword 0xDEADBEEFDEADBEEF
34334 .xword 0xDEADBEEFDEADBEEF
34335 .xword 0xDEADBEEFDEADBEEF
34336 .xword 0xDEADBEEFDEADBEEF
34337 .xword 0xDEADBEEFDEADBEEF
34338 .xword 0xDEADBEEFDEADBEEF
34339 .xword 0xDEADBEEFDEADBEEF
34340 .xword 0xDEADBEEFDEADBEEF
34341 .xword 0xDEADBEEFDEADBEEF
34342 .xword 0xDEADBEEFDEADBEEF
34343 .xword 0xDEADBEEFDEADBEEF
34344 .xword 0xDEADBEEFDEADBEEF
34345 .xword 0xDEADBEEFDEADBEEF
34346 .xword 0xDEADBEEFDEADBEEF
34347 .xword 0xDEADBEEFDEADBEEF
34348 .xword 0xDEADBEEFDEADBEEF
34349 .xword 0xDEADBEEFDEADBEEF
34350 .xword 0xDEADBEEFDEADBEEF
34351 .xword 0xDEADBEEFDEADBEEF
34352 .xword 0xDEADBEEFDEADBEEF
34353 .xword 0xDEADBEEFDEADBEEF
34354 .xword 0xDEADBEEFDEADBEEF
34355 .xword 0xDEADBEEFDEADBEEF
34356 .xword 0xDEADBEEFDEADBEEF
34357 .xword 0xDEADBEEFDEADBEEF
34358 .xword 0xDEADBEEFDEADBEEF
34359 .xword 0xDEADBEEFDEADBEEF
34360 .xword 0xDEADBEEFDEADBEEF
34361 .xword 0xDEADBEEFDEADBEEF
34362 .xword 0xDEADBEEFDEADBEEF
34363 .xword 0xDEADBEEFDEADBEEF
34364 .xword 0xDEADBEEFDEADBEEF
34365 .xword 0xDEADBEEFDEADBEEF
34366 .xword 0xDEADBEEFDEADBEEF
34367 .xword 0xDEADBEEFDEADBEEF
34368 .xword 0xDEADBEEFDEADBEEF
34369 .xword 0xDEADBEEFDEADBEEF
34370 .xword 0xDEADBEEFDEADBEEF
34371 .xword 0xDEADBEEFDEADBEEF
34372 .xword 0xDEADBEEFDEADBEEF
34373 .xword 0xDEADBEEFDEADBEEF
34374 .xword 0xDEADBEEFDEADBEEF
34375 .xword 0xDEADBEEFDEADBEEF
34376 .xword 0xDEADBEEFDEADBEEF
34377 .xword 0xDEADBEEFDEADBEEF
34378 .xword 0xDEADBEEFDEADBEEF
34379 .xword 0xDEADBEEFDEADBEEF
34380 .xword 0xDEADBEEFDEADBEEF
34381 .xword 0xDEADBEEFDEADBEEF
34382 .xword 0xDEADBEEFDEADBEEF
34383 .xword 0xDEADBEEFDEADBEEF
34384 .xword 0xDEADBEEFDEADBEEF
34385 .xword 0xDEADBEEFDEADBEEF
34386 .xword 0xDEADBEEFDEADBEEF
34387 .xword 0xDEADBEEFDEADBEEF
34388 .xword 0xDEADBEEFDEADBEEF
34389 .xword 0xDEADBEEFDEADBEEF
34390 .xword 0xDEADBEEFDEADBEEF
34391 .xword 0xDEADBEEFDEADBEEF
34392 .xword 0xDEADBEEFDEADBEEF
34393 .xword 0xDEADBEEFDEADBEEF
34394 .xword 0xDEADBEEFDEADBEEF
34395 .xword 0xDEADBEEFDEADBEEF
34396 .xword 0xDEADBEEFDEADBEEF
34397 .xword 0xDEADBEEFDEADBEEF
34398 .xword 0xDEADBEEFDEADBEEF
34399 .xword 0xDEADBEEFDEADBEEF
34400 .xword 0xDEADBEEFDEADBEEF
34401 .xword 0xDEADBEEFDEADBEEF
34402 .xword 0xDEADBEEFDEADBEEF
34403 .xword 0xDEADBEEFDEADBEEF
34404 .xword 0xDEADBEEFDEADBEEF
34405 .xword 0xDEADBEEFDEADBEEF
34406 .xword 0xDEADBEEFDEADBEEF
34407 .xword 0xDEADBEEFDEADBEEF
34408 .xword 0xDEADBEEFDEADBEEF
34409 .xword 0xDEADBEEFDEADBEEF
34410 .xword 0xDEADBEEFDEADBEEF
34411 .xword 0xDEADBEEFDEADBEEF
34412 .xword 0xDEADBEEFDEADBEEF
34413 .xword 0xDEADBEEFDEADBEEF
34414 .xword 0xDEADBEEFDEADBEEF
34415 .xword 0xDEADBEEFDEADBEEF
34416 .xword 0xDEADBEEFDEADBEEF
34417 .xword 0xDEADBEEFDEADBEEF
34418 .xword 0xDEADBEEFDEADBEEF
34419 .xword 0xDEADBEEFDEADBEEF
34420 .xword 0xDEADBEEFDEADBEEF
34421 .xword 0xDEADBEEFDEADBEEF
34422 .xword 0xDEADBEEFDEADBEEF
34423 .xword 0xDEADBEEFDEADBEEF
34424 .xword 0xDEADBEEFDEADBEEF
34425 .xword 0xDEADBEEFDEADBEEF
34426 .xword 0xDEADBEEFDEADBEEF
34427 .xword 0xDEADBEEFDEADBEEF
34428 .xword 0xDEADBEEFDEADBEEF
34429 .xword 0xDEADBEEFDEADBEEF
34430 .xword 0xDEADBEEFDEADBEEF
34431 .xword 0xDEADBEEFDEADBEEF
34432 .xword 0xDEADBEEFDEADBEEF
34433 .xword 0xDEADBEEFDEADBEEF
34434 .xword 0xDEADBEEFDEADBEEF
34435 .xword 0xDEADBEEFDEADBEEF
34436 .xword 0xDEADBEEFDEADBEEF
34437 .xword 0xDEADBEEFDEADBEEF
34438 .xword 0xDEADBEEFDEADBEEF
34439 .xword 0xDEADBEEFDEADBEEF
34440 .xword 0xDEADBEEFDEADBEEF
34441 .xword 0xDEADBEEFDEADBEEF
34442 .xword 0xDEADBEEFDEADBEEF
34443 .xword 0xDEADBEEFDEADBEEF
34444 .xword 0xDEADBEEFDEADBEEF
34445_t1_hmac_auth_key:
34446 .xword 0x44303b2f1f947415
34447 .xword 0xbb7ea624b92a6b71
34448 .xword 0x9631af8d05591c7f
34449 .xword 0xffdccb848cfd466d
34450 .xword 0x57cc6ad3d2d48520
34451 .xword 0x144df632743d1c4c
34452 .xword 0x6fb9da393e2a5afc
34453 .xword 0x6381b1755939fc78
34454 .xword 0x2a02ed5e24ec3339
34455 .xword 0x0bb5ba0fc3ffc22b
34456 .xword 0xcbd3f2c31c119cd4
34457 .xword 0x6913996d23505b7a
34458 .xword 0x994f6a67a70f9116
34459 .xword 0x872a71fa413bfc75
34460 .xword 0xb576e1a90de0483b
34461 .xword 0x948b70204e263e14
34462 .xword 0xa3bccee371a71226
34463 .xword 0x157f73d631211809
34464 .xword 0xc93024bd392484d7
34465 .xword 0x6b8f99c094b14cdc
34466 .xword 0xd613b42c669d0344
34467 .xword 0xda991d17c50eb504
34468 .xword 0xf6809518e99037d5
34469_t1_hmac_auth_iv:
34470 .xword 0xa112d9b9d08712d1
34471 .xword 0x6fb8a93e0c7265af
34472 .xword 0xb0b2673fe903dae1
34473 .xword 0xbebc61fd33e12fc7
34474 .xword 0x4b1aa0bce57e1ded
34475 .xword 0x9007e95cb2426d6a
34476 .xword 0xd249f90b026d3d74
34477 .xword 0xc7041b50f891aaed
34478 .xword 0x68bab33753096183
34479 .xword 0x8eb61a16082c8ef8
34480 .xword 0xd238a31cd6b99cff
34481 .xword 0xce61a5e2cf2ff394
34482 .xword 0xc63ec31b5fd5d655
34483 .xword 0xf5a3591ff9973b8b
34484 .xword 0x14bca6b3c89ffd8f
34485 .xword 0x3c3c3d2078604186
34486 .xword 0xa9173131927f4e7a
34487 .xword 0x0c1be1da850f8da5
34488 .xword 0x087a3b5706e8b3a2
34489 .xword 0x52bc51d1f2eaaadb
34490 .xword 0xe36880c4f5ba5561
34491 .xword 0xb8e35c8f05d4e6a5
34492 .xword 0x8a1f24072b2ee7f1
34493_t1_hmac_fas_result:
34494 .xword 0xDEADBEEFDEADBEEF
34495 .xword 0xDEADBEEFDEADBEEF
34496 .xword 0xDEADBEEFDEADBEEF
34497 .xword 0xDEADBEEFDEADBEEF
34498 .xword 0xDEADBEEFDEADBEEF
34499 .xword 0xDEADBEEFDEADBEEF
34500 .xword 0xDEADBEEFDEADBEEF
34501 .xword 0xDEADBEEFDEADBEEF
34502 .xword 0xDEADBEEFDEADBEEF
34503 .xword 0xDEADBEEFDEADBEEF
34504 .xword 0xDEADBEEFDEADBEEF
34505 .xword 0xDEADBEEFDEADBEEF
34506 .xword 0xDEADBEEFDEADBEEF
34507 .xword 0xDEADBEEFDEADBEEF
34508 .xword 0xDEADBEEFDEADBEEF
34509 .xword 0xDEADBEEFDEADBEEF
34510 .xword 0xDEADBEEFDEADBEEF
34511 .xword 0xDEADBEEFDEADBEEF
34512 .xword 0xDEADBEEFDEADBEEF
34513 .xword 0xDEADBEEFDEADBEEF
34514 .xword 0xDEADBEEFDEADBEEF
34515 .xword 0xDEADBEEFDEADBEEF
34516 .xword 0xDEADBEEFDEADBEEF
34517_t1_rc4_key_array:
34518 .xword 0xca8fddc24c961102
34519 .xword 0x71dd2f183d6696c4
34520 .xword 0xa6f3ec0faaa5efe5
34521 .xword 0x766e6a8c6ddff2b5
34522 .xword 0x8407b01d501cc440
34523 .xword 0x4e8dd5ff24c79fb0
34524 .xword 0x28b4f8c015009fb7
34525 .xword 0x58e4293b3342a011
34526 .xword 0xd9fa04aa10d94a3f
34527 .xword 0xe7647c86b2cf6cda
34528 .xword 0xbf8422931642ecd7
34529 .xword 0x67d25f6e16f9792a
34530 .xword 0x0f786df5d847fd9c
34531 .xword 0x9c367ded31910484
34532 .xword 0x9ac7c587d554f711
34533 .xword 0xc0467fb6c69afcc9
34534 .xword 0x9b4695bac9d2b434
34535 .xword 0x3ec462c1b10a2d0a
34536 .xword 0xbe5f853827bb8ff1
34537 .xword 0xb87af479be0c0861
34538 .xword 0x499d9e9d3d3d8fa9
34539 .xword 0xacb75f3e4a277b31
34540 .xword 0xbccbea21d7d55539
34541 .xword 0x5d52f96bf4c525e7
34542 .xword 0x3140b881a62cacc2
34543 .xword 0x2b4092effb928422
34544 .xword 0x62985387c33e8633
34545 .xword 0xd933c53e3bc97bae
34546 .xword 0x7e2972099b0f5161
34547 .xword 0x34cf494d6a86d3ad
34548 .xword 0x8fe6fdf1b734c85e
34549 .xword 0x4b2b13926b823a35
34550 .xword 0x17564f4eb48d54ac
34551 .xword 0xb3950b36d809ca49
34552 .xword 0xe849821276e7a3e2
34553 .xword 0xc3c83cb3dfd69e40
34554 .xword 0xda64255657e44dd5
34555 .xword 0xd22a6f579b2488a6
34556 .xword 0x9c6f0e3cca3f1778
34557 .xword 0xd7057b74195c6545
34558 .xword 0xbfbc3b17a4037ac4
34559 .xword 0xee3266c6ee0d4dc1
34560 .xword 0x393b4f6ae234b76c
34561 .xword 0xdf9424ade35e6db2
34562 .xword 0x9304c80a5f0177af
34563 .xword 0xe11017cdd42f843e
34564 .xword 0xb7f1051f04f32d54
34565 .xword 0x8932ec6fea778048
34566 .xword 0x034332e7be991975
34567 .xword 0xd106d85359bd89f3
34568 .xword 0xcc1611f5d8df2b99
34569_t1_rc4_iv_array:
34570 .xword 0xc863a5efbe600f5b
34571 .xword 0xb4d6659bb60d4743
34572 .xword 0xb9d9f8cbf1c0c3c7
34573 .xword 0x0d5e44fd15da6210
34574 .xword 0x2b7ae74a512c28e1
34575 .xword 0x83f32679eac53f71
34576 .xword 0x33da1722fab4d96c
34577 .xword 0xd32cbc0a3bb97b68
34578 .xword 0x5c86b45089de6cbe
34579 .xword 0xa58f849820602c16
34580 .xword 0x31d26bb5e4b7ee82
34581 .xword 0xffece87d293152de
34582 .xword 0x407f0aff9de699a1
34583 .xword 0xd1f402ccf74f52e1
34584 .xword 0xf3843e37471c12e1
34585 .xword 0xaf32670a9fd23f7b
34586 .xword 0xc1d9c87ce727cf17
34587 .xword 0xbfcd53f2734f3113
34588 .xword 0x7a335def5add2c34
34589 .xword 0xb56a29e537f925e1
34590 .xword 0x3ce3348b6b984033
34591 .xword 0xb70fa5dedb50909b
34592 .xword 0x3be1aaf171bfbd47
34593 .xword 0x3daa7cbec3ce7394
34594 .xword 0x4349cb923a3369c9
34595 .xword 0x33ed752c7e9256b8
34596 .xword 0x396554ba5c7160b1
34597 .xword 0x4a9d869ee5c734cd
34598 .xword 0xa7f34d56780f1202
34599 .xword 0xda57790b0b5355a7
34600 .xword 0x7ef00b33b97c13e3
34601 .xword 0xb8904959d74b5800
34602 .xword 0xe28f347361415693
34603 .xword 0x4b92fc7de4bd480a
34604 .xword 0x2930142002e13276
34605 .xword 0x0e69ce8447af4b6d
34606 .xword 0x1a956628d1ded697
34607 .xword 0xe308e79b1f60a1d8
34608 .xword 0xe133f6990fc565af
34609 .xword 0xb3141d04abdbf67a
34610 .xword 0x0e4bc53b95472354
34611 .xword 0xa023e2603316e4ca
34612 .xword 0x37addbbd568c8f79
34613 .xword 0x39fb13cbd8ac7280
34614 .xword 0xe28b82e1a434b67a
34615_t1_rc4_alignment_array:
34616 .xword 0
34617 .xword 11
34618 .xword 5
34619 .xword 5
34620 .xword 0
34621 .xword 14
34622 .xword 12
34623 .xword 11
34624 .xword 14
34625 .xword 14
34626 .xword 6
34627 .xword 8
34628 .xword 9
34629 .xword 9
34630 .xword 14
34631 .xword 4
34632 .xword 11
34633 .xword 3
34634 .xword 4
34635 .xword 12
34636 .xword 12
34637 .xword 8
34638 .xword 14
34639 .xword 9
34640 .xword 15
34641 .xword 6
34642 .xword 9
34643 .xword 0
34644 .xword 7
34645 .xword 0
34646 .xword 13
34647 .xword 0
34648 .xword 7
34649 .xword 6
34650 .xword 4
34651 .xword 15
34652 .xword 10
34653 .xword 11
34654 .xword 11
34655 .xword 9
34656 .xword 8
34657 .xword 15
34658 .xword 2
34659 .xword 6
34660 .xword 0
34661 .xword 15
34662 .xword 6
34663 .xword 12
34664 .xword 5
34665 .xword 15
34666 .xword 3
34667 .xword 2
34668 .xword 3
34669 .xword 9
34670 .xword 3
34671 .xword 14
34672 .xword 8
34673 .xword 6
34674 .xword 14
34675 .xword 7
34676 .xword 14
34677 .xword 14
34678 .xword 14
34679 .xword 6
34680 .xword 11
34681 .xword 13
34682 .xword 4
34683 .xword 15
34684 .xword 1
34685 .xword 11
34686 .xword 3
34687 .xword 4
34688 .xword 8
34689 .xword 12
34690 .xword 15
34691 .xword 7
34692 .xword 10
34693 .xword 13
34694 .xword 10
34695 .xword 7
34696 .xword 6
34697 .xword 2
34698 .xword 11
34699 .xword 2
34700 .xword 15
34701 .xword 1
34702 .xword 13
34703 .xword 15
34704 .xword 11
34705 .xword 8
34706 .xword 4
34707 .xword 5
34708 .xword 6
34709 .xword 5
34710 .xword 13
34711 .xword 12
34712 .xword 9
34713 .xword 4
34714 .xword 0
34715 .xword 5
34716 .xword 4
34717 .xword 6
34718 .xword 12
34719 .xword 7
34720 .xword 2
34721_t1_rc4_src:
34722 .xword 0xee6614bf4e5a0529
34723 .xword 0x7ec9c6a156280ce5
34724 .xword 0xa698bad28ebbe1d0
34725 .xword 0x2ef03f8cd5c1b857
34726 .xword 0xa1c4dc33c5efdd1f
34727 .xword 0x3b584078d2342483
34728 .xword 0xed60826fa67eae48
34729 .xword 0xbdaf7f21763bd4a1
34730 .xword 0x37fa4a07794d1e08
34731 .xword 0xe6284dee7ebe429f
34732 .xword 0x021d0b12ec04a719
34733 .xword 0xcd5b9d1157a6384b
34734 .xword 0xceca4b6c32ee332b
34735 .xword 0x4f51397f2104a150
34736 .xword 0x0738d96db23c4aa4
34737 .xword 0x9080d5b6a5169baa
34738 .xword 0xb721b6bc424ee639
34739 .xword 0x6379f7c402cc30c2
34740 .xword 0x28d3fed13f9d854c
34741 .xword 0xc98ba8310bc6ef06
34742 .xword 0xf9dd7201b1b52dca
34743 .xword 0xc5f3b931fa1b606a
34744 .xword 0x0de1e29a22f6e1da
34745 .xword 0x492b1f9c9fa47d1a
34746 .xword 0x3fe2990b22b5501c
34747 .xword 0x6ce43f355851e3a4
34748 .xword 0x8e7e557b2bc5b640
34749 .xword 0x7261d3054ed28a0a
34750 .xword 0x3023f0ba4d562325
34751 .xword 0xc5c3cb9609c9460d
34752 .xword 0x0248c1ab9eb143ac
34753 .xword 0x55d6df42a0a790d7
34754 .xword 0x441868f2b74e98a4
34755 .xword 0x514181cdc3021f7f
34756 .xword 0x167050a25514a213
34757 .xword 0x552b0105b921b42c
34758 .xword 0x3e962c88aee5ca8b
34759 .xword 0x54de8a03602ea33c
34760 .xword 0x1ff0b0f4abc4c412
34761 .xword 0x92907d8e38000873
34762 .xword 0x5646468ce7d82344
34763 .xword 0x7d4cb004266ad873
34764 .xword 0xf28481f2444c56b5
34765 .xword 0xe8814a7ab1faca01
34766 .xword 0x7dec3cdf9c4d2f14
34767 .xword 0x6d7129092201da99
34768 .xword 0x432645c13ee3cb37
34769 .xword 0xfecf45767e78c9ac
34770 .xword 0x079aa3309bdd1a13
34771 .xword 0x103e43276a9a13b3
34772 .xword 0xb3fcabfcc675c646
34773 .xword 0xda003b84dee663cc
34774 .xword 0x3c8fc787088ccbea
34775 .xword 0xb7d173d2704a41f0
34776 .xword 0x489328f0654e2630
34777 .xword 0xdeb3882be17af526
34778 .xword 0xaea25254200fddaf
34779 .xword 0x959f8798a2499464
34780 .xword 0x57843e9ad91bdce2
34781 .xword 0xb92883a59c290287
34782 .xword 0xc76e8aa899141c3f
34783 .xword 0x50554e5390f4d053
34784 .xword 0x2523d993a7a5c994
34785 .xword 0x65d2cb1c8dd20ed1
34786 .xword 0x800cc21184b28c42
34787 .xword 0xb023b9aba1e38b84
34788 .xword 0x5518b595ece10af5
34789 .xword 0xb7370618c9e18a45
34790 .xword 0x184b385176aa5dcb
34791 .xword 0x87ab7f44ab06dd09
34792 .xword 0xdede6abc40bd2c4b
34793 .xword 0x29642eae627373bf
34794 .xword 0x018c6fa02f1ae74b
34795 .xword 0xc48ad67d28a37a27
34796 .xword 0x9d8b3e408b580d39
34797 .xword 0xcd7ae2b5b78d1412
34798 .xword 0xfe6576097d042586
34799 .xword 0x8d2dc7becf3f435e
34800 .xword 0xb587e691ca7c818e
34801 .xword 0x78a220a9d433e2e8
34802 .xword 0xbf5d929b815a0a17
34803 .xword 0x99c4bad5ae157c7a
34804 .xword 0x490a8bdcfbf9b333
34805 .xword 0x19634a85fd85e9e5
34806 .xword 0xa56e6f207577e8c5
34807 .xword 0x0e4f0cae54568bec
34808 .xword 0xf9e33a1454c94c27
34809 .xword 0x03fbfd76a733ce0a
34810 .xword 0x5822679b4cb92c71
34811 .xword 0x78bc13b837c08472
34812 .xword 0xb1cef62820c431ce
34813 .xword 0xbc123880cd0832f4
34814 .xword 0x59e8ffa75d82290f
34815 .xword 0xc012861cfc2de97c
34816 .xword 0x8890f82b52b6e2ad
34817 .xword 0xe779e7af64138121
34818 .xword 0x655ca6c86c067f7f
34819 .xword 0xc34b4284f9e06142
34820 .xword 0x2e433a2b2ece0b29
34821 .xword 0xa06ff38ea5cad11b
34822 .xword 0x2a3f3e9c6078a348
34823 .xword 0xdfe025e54bb3d93a
34824 .xword 0x81dff0259de7312d
34825 .xword 0xc5471bd8e7b604da
34826 .xword 0x0d3809d990a94b37
34827 .xword 0x5531572be08d8577
34828 .xword 0xa0debcf85c4643d2
34829 .xword 0x9061aaefcf38d0a0
34830 .xword 0x5022a4557957d39d
34831 .xword 0x8ea8245baa6107eb
34832 .xword 0xa97a53eda6021577
34833 .xword 0xa9338e8c8c110a19
34834 .xword 0x2d81f3c82643db8f
34835 .xword 0x0ac79c90edcdd65d
34836 .xword 0x212d885f90b2603f
34837 .xword 0x6af2d3f42e6f9bed
34838 .xword 0x78b5a4df504fdd03
34839 .xword 0xa455f55713202e58
34840 .xword 0x261ae581b3f982c7
34841 .xword 0x40753c9be95cb6f0
34842 .xword 0xc66b6a6fe05c3094
34843 .xword 0xa057a6b2a05f528a
34844 .xword 0xc3d694e998fec68d
34845 .xword 0x6e8343a658fad535
34846 .xword 0x6a61ee56855232a2
34847 .xword 0x67ba9f523244acb7
34848 .xword 0xddb46a78c65f1ef1
34849 .xword 0xafe54d9280e4f4d7
34850 .xword 0x2943167151f7669d
34851 .xword 0xe966e2db78554d77
34852 .xword 0x26f904c6c58656a0
34853 .xword 0xf5d14f76c876befb
34854 .xword 0xd7e3d68163ba5dc9
34855 .xword 0xfe1b719077d039f9
34856 .xword 0xc559f852508b2e89
34857 .xword 0x93030f47db1985d6
34858 .xword 0x86492cccf0dbe820
34859 .xword 0x63122a6451847d57
34860 .xword 0x312f4e2197f7ee02
34861 .xword 0xd82c9367294a3227
34862 .xword 0x31401f1b62e380a0
34863 .xword 0x349a55c3ff3b0218
34864 .xword 0xee2642577255ac05
34865 .xword 0x44eba4803cc5e06d
34866 .xword 0xbf6b741d76cbc71a
34867 .xword 0xce8cf7c22a4d720d
34868 .xword 0xe1b19989199e89ed
34869 .xword 0xdb4f397680e4fbcc
34870 .xword 0xaf762a33276cdabe
34871 .xword 0xd07a26f65592e969
34872 .xword 0x5b27a1b2f621a4b5
34873 .xword 0x3fa36e6a753381b1
34874 .xword 0xaa0b07ee4cc235f6
34875 .xword 0xf87efa490821262d
34876 .xword 0x27ea9d349737e8f7
34877 .xword 0xbdf0c60fa98a66cf
34878 .xword 0xffcfb3f28dde07aa
34879 .xword 0x017080da1975316b
34880 .xword 0x74ef3661b95652ed
34881 .xword 0x9d51e6f09623dc30
34882 .xword 0xdca5751073fe0fff
34883 .xword 0x2796938786b9a127
34884 .xword 0x56c0e4424db0525b
34885 .xword 0x400f7b06e1f1a6b0
34886 .xword 0xf1de4a589ccf1323
34887 .xword 0x9ac7297a7e2467ac
34888 .xword 0x1bec4ce2d2a9937f
34889 .xword 0x72f90707e6112d38
34890 .xword 0xc2b8ac83a1829173
34891 .xword 0x78459da1c8ccb592
34892 .xword 0xe6aeb646a1435edc
34893 .xword 0xc83d80bfb72e4236
34894 .xword 0x5463431d4576d11b
34895 .xword 0x21b784812418ce3a
34896 .xword 0xadd7946d70f4ae88
34897 .xword 0x71cbfe47b2cb1251
34898 .xword 0x741926ac075f7fbf
34899 .xword 0x83a48f41a3a1b07c
34900 .xword 0x0534af3e7b68ccaf
34901 .xword 0x64a7ca8f61f088ac
34902 .xword 0x90a7254220ec7fee
34903 .xword 0x550eb76dd7c0d3bb
34904 .xword 0xe262c22f1e918b05
34905 .xword 0x214e30c34f00e179
34906 .xword 0x2337f382ea2a16ae
34907 .xword 0x446c01530a362d6c
34908 .xword 0xe1d968fab010a8ef
34909 .xword 0x7924e83f3ad74d75
34910 .xword 0xab149465dd3a1150
34911 .xword 0x94fecf416a8d5c2e
34912 .xword 0x2429af48924396f4
34913 .xword 0x1bd50aca5ff3876b
34914 .xword 0x29d2b90fa4092670
34915 .xword 0xa5cadb539835441e
34916 .xword 0x530054894d8af4d0
34917 .xword 0x4cf85555c574bb7f
34918 .xword 0x9f87329ff44123d0
34919 .xword 0x6f054a9e8f153699
34920 .xword 0xb94a2f68b9c1b56a
34921 .xword 0x80d7b90bd5e6b99b
34922 .xword 0xd05c3aeab089595b
34923 .xword 0xbd7107aef8da6332
34924 .xword 0xea5a012ce030064d
34925 .xword 0x36d028912262622c
34926 .xword 0x3e97ef062739dfcd
34927 .xword 0x3f03bf7509541009
34928 .xword 0x59f83bc449092712
34929 .xword 0xf9cb2c1c9570613a
34930 .xword 0xdef145b05dbfc42c
34931 .xword 0x32975ddf1323b2b1
34932 .xword 0xc823f41cfd9a5458
34933 .xword 0x781dd70d252e313a
34934 .xword 0x129f3f83f0451328
34935 .xword 0x3ed76f04e1d8bb94
34936 .xword 0x17920d79cfb74aa5
34937 .xword 0xe2bc8d8d86f2d064
34938 .xword 0x42193c736109ed66
34939 .xword 0x8e6da99c39e21d48
34940 .xword 0x7be6312c4cbfc1e9
34941 .xword 0xa9ecbb76e1ca3abf
34942 .xword 0x7f9d6bce2f7b6f65
34943 .xword 0x50f15c6ab66d619d
34944 .xword 0xa657688351b05f6b
34945 .xword 0x82aebbe92fd1ffda
34946 .xword 0xdc8bb792b14b5668
34947 .xword 0x85e0f90dc2e4feb1
34948 .xword 0x823aa16f7af47254
34949 .xword 0x040fb6c5dd4b72e6
34950 .xword 0xa379c70bc4c1b521
34951 .xword 0x3b8fbcb0f837c2f2
34952 .xword 0xde30228c19e15867
34953 .xword 0xd2b19cf105aeb53f
34954 .xword 0xe425688528abd1fa
34955 .xword 0x8b51833a03b59582
34956 .xword 0xe2ba960e6d25e6fe
34957 .xword 0xad0226eebd0294ed
34958 .xword 0x3c4ecd6286286390
34959 .xword 0xc9102d3200bf208b
34960 .xword 0x1af0f58b70f8ab1e
34961 .xword 0xa67d56ac4125c0c4
34962 .xword 0xf69d2ecccf111cf3
34963 .xword 0xddddc004013fe528
34964 .xword 0x994e8fad05c0493c
34965 .xword 0xcd3d26886a641ae5
34966 .xword 0xe113e8077e3dce8b
34967 .xword 0x942492f3965b1871
34968 .xword 0xa6f330811b36ade6
34969 .xword 0x99eda336ad8cfac4
34970 .xword 0x4d7b2788c004a533
34971 .xword 0xb62a0f4b49b4cd91
34972 .xword 0x23bbf00895823ab1
34973 .xword 0x29d37a682ebfa9b0
34974 .xword 0x65bd178d804fd9d7
34975 .xword 0x9d12a7d564bb1c3c
34976 .xword 0xcf57d76eb1f26b3f
34977 .xword 0xe42f1b0b0f730f2d
34978 .xword 0x15ae00856c6f1ee0
34979 .xword 0x431a9747750b66c8
34980 .xword 0xf360ca1d70463c21
34981 .xword 0x6bfeff3c88720069
34982 .xword 0x7fe1d2315a94387a
34983 .xword 0xb36680009416f6a0
34984 .xword 0x4ef2256f66b59c74
34985 .xword 0x64dc604bbc5dd212
34986 .xword 0x952b55cba91baa5e
34987 .xword 0x44696125babb946d
34988 .xword 0xf34552e7f5ea110c
34989 .xword 0x6ace59a293263b34
34990 .xword 0x5552ced2a7f3f297
34991 .xword 0x2ea9df472fde4e96
34992 .xword 0xb0ead3bf4dc9285e
34993 .xword 0x283edfbf3836a8f8
34994 .xword 0xaa6cee256b8f283e
34995 .xword 0xbe71963e68a21a75
34996 .xword 0xd20fb376e324e116
34997_t1_rc4_dest:
34998 .xword 0xDEADBEEFDEADBEEF
34999 .xword 0xDEADBEEFDEADBEEF
35000 .xword 0xDEADBEEFDEADBEEF
35001 .xword 0xDEADBEEFDEADBEEF
35002 .xword 0xDEADBEEFDEADBEEF
35003 .xword 0xDEADBEEFDEADBEEF
35004 .xword 0xDEADBEEFDEADBEEF
35005 .xword 0xDEADBEEFDEADBEEF
35006 .xword 0xDEADBEEFDEADBEEF
35007 .xword 0xDEADBEEFDEADBEEF
35008 .xword 0xDEADBEEFDEADBEEF
35009 .xword 0xDEADBEEFDEADBEEF
35010 .xword 0xDEADBEEFDEADBEEF
35011 .xword 0xDEADBEEFDEADBEEF
35012 .xword 0xDEADBEEFDEADBEEF
35013 .xword 0xDEADBEEFDEADBEEF
35014 .xword 0xDEADBEEFDEADBEEF
35015 .xword 0xDEADBEEFDEADBEEF
35016 .xword 0xDEADBEEFDEADBEEF
35017 .xword 0xDEADBEEFDEADBEEF
35018 .xword 0xDEADBEEFDEADBEEF
35019 .xword 0xDEADBEEFDEADBEEF
35020 .xword 0xDEADBEEFDEADBEEF
35021 .xword 0xDEADBEEFDEADBEEF
35022 .xword 0xDEADBEEFDEADBEEF
35023 .xword 0xDEADBEEFDEADBEEF
35024 .xword 0xDEADBEEFDEADBEEF
35025 .xword 0xDEADBEEFDEADBEEF
35026 .xword 0xDEADBEEFDEADBEEF
35027 .xword 0xDEADBEEFDEADBEEF
35028 .xword 0xDEADBEEFDEADBEEF
35029 .xword 0xDEADBEEFDEADBEEF
35030 .xword 0xDEADBEEFDEADBEEF
35031 .xword 0xDEADBEEFDEADBEEF
35032 .xword 0xDEADBEEFDEADBEEF
35033 .xword 0xDEADBEEFDEADBEEF
35034 .xword 0xDEADBEEFDEADBEEF
35035 .xword 0xDEADBEEFDEADBEEF
35036 .xword 0xDEADBEEFDEADBEEF
35037 .xword 0xDEADBEEFDEADBEEF
35038 .xword 0xDEADBEEFDEADBEEF
35039 .xword 0xDEADBEEFDEADBEEF
35040 .xword 0xDEADBEEFDEADBEEF
35041 .xword 0xDEADBEEFDEADBEEF
35042 .xword 0xDEADBEEFDEADBEEF
35043 .xword 0xDEADBEEFDEADBEEF
35044 .xword 0xDEADBEEFDEADBEEF
35045 .xword 0xDEADBEEFDEADBEEF
35046 .xword 0xDEADBEEFDEADBEEF
35047 .xword 0xDEADBEEFDEADBEEF
35048 .xword 0xDEADBEEFDEADBEEF
35049 .xword 0xDEADBEEFDEADBEEF
35050 .xword 0xDEADBEEFDEADBEEF
35051 .xword 0xDEADBEEFDEADBEEF
35052 .xword 0xDEADBEEFDEADBEEF
35053 .xword 0xDEADBEEFDEADBEEF
35054 .xword 0xDEADBEEFDEADBEEF
35055 .xword 0xDEADBEEFDEADBEEF
35056 .xword 0xDEADBEEFDEADBEEF
35057 .xword 0xDEADBEEFDEADBEEF
35058 .xword 0xDEADBEEFDEADBEEF
35059 .xword 0xDEADBEEFDEADBEEF
35060 .xword 0xDEADBEEFDEADBEEF
35061 .xword 0xDEADBEEFDEADBEEF
35062 .xword 0xDEADBEEFDEADBEEF
35063 .xword 0xDEADBEEFDEADBEEF
35064 .xword 0xDEADBEEFDEADBEEF
35065 .xword 0xDEADBEEFDEADBEEF
35066 .xword 0xDEADBEEFDEADBEEF
35067 .xword 0xDEADBEEFDEADBEEF
35068 .xword 0xDEADBEEFDEADBEEF
35069 .xword 0xDEADBEEFDEADBEEF
35070 .xword 0xDEADBEEFDEADBEEF
35071 .xword 0xDEADBEEFDEADBEEF
35072 .xword 0xDEADBEEFDEADBEEF
35073 .xword 0xDEADBEEFDEADBEEF
35074 .xword 0xDEADBEEFDEADBEEF
35075 .xword 0xDEADBEEFDEADBEEF
35076 .xword 0xDEADBEEFDEADBEEF
35077 .xword 0xDEADBEEFDEADBEEF
35078 .xword 0xDEADBEEFDEADBEEF
35079 .xword 0xDEADBEEFDEADBEEF
35080 .xword 0xDEADBEEFDEADBEEF
35081 .xword 0xDEADBEEFDEADBEEF
35082 .xword 0xDEADBEEFDEADBEEF
35083 .xword 0xDEADBEEFDEADBEEF
35084 .xword 0xDEADBEEFDEADBEEF
35085 .xword 0xDEADBEEFDEADBEEF
35086 .xword 0xDEADBEEFDEADBEEF
35087 .xword 0xDEADBEEFDEADBEEF
35088 .xword 0xDEADBEEFDEADBEEF
35089 .xword 0xDEADBEEFDEADBEEF
35090 .xword 0xDEADBEEFDEADBEEF
35091 .xword 0xDEADBEEFDEADBEEF
35092 .xword 0xDEADBEEFDEADBEEF
35093 .xword 0xDEADBEEFDEADBEEF
35094 .xword 0xDEADBEEFDEADBEEF
35095 .xword 0xDEADBEEFDEADBEEF
35096 .xword 0xDEADBEEFDEADBEEF
35097 .xword 0xDEADBEEFDEADBEEF
35098 .xword 0xDEADBEEFDEADBEEF
35099 .xword 0xDEADBEEFDEADBEEF
35100 .xword 0xDEADBEEFDEADBEEF
35101 .xword 0xDEADBEEFDEADBEEF
35102 .xword 0xDEADBEEFDEADBEEF
35103 .xword 0xDEADBEEFDEADBEEF
35104 .xword 0xDEADBEEFDEADBEEF
35105 .xword 0xDEADBEEFDEADBEEF
35106 .xword 0xDEADBEEFDEADBEEF
35107 .xword 0xDEADBEEFDEADBEEF
35108 .xword 0xDEADBEEFDEADBEEF
35109 .xword 0xDEADBEEFDEADBEEF
35110 .xword 0xDEADBEEFDEADBEEF
35111 .xword 0xDEADBEEFDEADBEEF
35112 .xword 0xDEADBEEFDEADBEEF
35113 .xword 0xDEADBEEFDEADBEEF
35114 .xword 0xDEADBEEFDEADBEEF
35115 .xword 0xDEADBEEFDEADBEEF
35116 .xword 0xDEADBEEFDEADBEEF
35117 .xword 0xDEADBEEFDEADBEEF
35118 .xword 0xDEADBEEFDEADBEEF
35119 .xword 0xDEADBEEFDEADBEEF
35120 .xword 0xDEADBEEFDEADBEEF
35121 .xword 0xDEADBEEFDEADBEEF
35122 .xword 0xDEADBEEFDEADBEEF
35123 .xword 0xDEADBEEFDEADBEEF
35124 .xword 0xDEADBEEFDEADBEEF
35125 .xword 0xDEADBEEFDEADBEEF
35126 .xword 0xDEADBEEFDEADBEEF
35127 .xword 0xDEADBEEFDEADBEEF
35128 .xword 0xDEADBEEFDEADBEEF
35129 .xword 0xDEADBEEFDEADBEEF
35130 .xword 0xDEADBEEFDEADBEEF
35131 .xword 0xDEADBEEFDEADBEEF
35132 .xword 0xDEADBEEFDEADBEEF
35133 .xword 0xDEADBEEFDEADBEEF
35134 .xword 0xDEADBEEFDEADBEEF
35135 .xword 0xDEADBEEFDEADBEEF
35136 .xword 0xDEADBEEFDEADBEEF
35137 .xword 0xDEADBEEFDEADBEEF
35138 .xword 0xDEADBEEFDEADBEEF
35139 .xword 0xDEADBEEFDEADBEEF
35140 .xword 0xDEADBEEFDEADBEEF
35141 .xword 0xDEADBEEFDEADBEEF
35142 .xword 0xDEADBEEFDEADBEEF
35143 .xword 0xDEADBEEFDEADBEEF
35144 .xword 0xDEADBEEFDEADBEEF
35145 .xword 0xDEADBEEFDEADBEEF
35146 .xword 0xDEADBEEFDEADBEEF
35147 .xword 0xDEADBEEFDEADBEEF
35148 .xword 0xDEADBEEFDEADBEEF
35149 .xword 0xDEADBEEFDEADBEEF
35150 .xword 0xDEADBEEFDEADBEEF
35151 .xword 0xDEADBEEFDEADBEEF
35152 .xword 0xDEADBEEFDEADBEEF
35153 .xword 0xDEADBEEFDEADBEEF
35154 .xword 0xDEADBEEFDEADBEEF
35155 .xword 0xDEADBEEFDEADBEEF
35156 .xword 0xDEADBEEFDEADBEEF
35157 .xword 0xDEADBEEFDEADBEEF
35158 .xword 0xDEADBEEFDEADBEEF
35159 .xword 0xDEADBEEFDEADBEEF
35160 .xword 0xDEADBEEFDEADBEEF
35161 .xword 0xDEADBEEFDEADBEEF
35162 .xword 0xDEADBEEFDEADBEEF
35163 .xword 0xDEADBEEFDEADBEEF
35164 .xword 0xDEADBEEFDEADBEEF
35165 .xword 0xDEADBEEFDEADBEEF
35166 .xword 0xDEADBEEFDEADBEEF
35167 .xword 0xDEADBEEFDEADBEEF
35168 .xword 0xDEADBEEFDEADBEEF
35169 .xword 0xDEADBEEFDEADBEEF
35170 .xword 0xDEADBEEFDEADBEEF
35171 .xword 0xDEADBEEFDEADBEEF
35172 .xword 0xDEADBEEFDEADBEEF
35173 .xword 0xDEADBEEFDEADBEEF
35174 .xword 0xDEADBEEFDEADBEEF
35175 .xword 0xDEADBEEFDEADBEEF
35176 .xword 0xDEADBEEFDEADBEEF
35177 .xword 0xDEADBEEFDEADBEEF
35178 .xword 0xDEADBEEFDEADBEEF
35179 .xword 0xDEADBEEFDEADBEEF
35180 .xword 0xDEADBEEFDEADBEEF
35181 .xword 0xDEADBEEFDEADBEEF
35182 .xword 0xDEADBEEFDEADBEEF
35183 .xword 0xDEADBEEFDEADBEEF
35184 .xword 0xDEADBEEFDEADBEEF
35185 .xword 0xDEADBEEFDEADBEEF
35186 .xword 0xDEADBEEFDEADBEEF
35187 .xword 0xDEADBEEFDEADBEEF
35188 .xword 0xDEADBEEFDEADBEEF
35189 .xword 0xDEADBEEFDEADBEEF
35190 .xword 0xDEADBEEFDEADBEEF
35191 .xword 0xDEADBEEFDEADBEEF
35192 .xword 0xDEADBEEFDEADBEEF
35193 .xword 0xDEADBEEFDEADBEEF
35194 .xword 0xDEADBEEFDEADBEEF
35195 .xword 0xDEADBEEFDEADBEEF
35196 .xword 0xDEADBEEFDEADBEEF
35197 .xword 0xDEADBEEFDEADBEEF
35198 .xword 0xDEADBEEFDEADBEEF
35199 .xword 0xDEADBEEFDEADBEEF
35200 .xword 0xDEADBEEFDEADBEEF
35201 .xword 0xDEADBEEFDEADBEEF
35202 .xword 0xDEADBEEFDEADBEEF
35203 .xword 0xDEADBEEFDEADBEEF
35204 .xword 0xDEADBEEFDEADBEEF
35205 .xword 0xDEADBEEFDEADBEEF
35206 .xword 0xDEADBEEFDEADBEEF
35207 .xword 0xDEADBEEFDEADBEEF
35208 .xword 0xDEADBEEFDEADBEEF
35209 .xword 0xDEADBEEFDEADBEEF
35210 .xword 0xDEADBEEFDEADBEEF
35211 .xword 0xDEADBEEFDEADBEEF
35212 .xword 0xDEADBEEFDEADBEEF
35213 .xword 0xDEADBEEFDEADBEEF
35214 .xword 0xDEADBEEFDEADBEEF
35215 .xword 0xDEADBEEFDEADBEEF
35216 .xword 0xDEADBEEFDEADBEEF
35217 .xword 0xDEADBEEFDEADBEEF
35218 .xword 0xDEADBEEFDEADBEEF
35219 .xword 0xDEADBEEFDEADBEEF
35220 .xword 0xDEADBEEFDEADBEEF
35221 .xword 0xDEADBEEFDEADBEEF
35222 .xword 0xDEADBEEFDEADBEEF
35223 .xword 0xDEADBEEFDEADBEEF
35224 .xword 0xDEADBEEFDEADBEEF
35225 .xword 0xDEADBEEFDEADBEEF
35226 .xword 0xDEADBEEFDEADBEEF
35227 .xword 0xDEADBEEFDEADBEEF
35228 .xword 0xDEADBEEFDEADBEEF
35229 .xword 0xDEADBEEFDEADBEEF
35230 .xword 0xDEADBEEFDEADBEEF
35231 .xword 0xDEADBEEFDEADBEEF
35232 .xword 0xDEADBEEFDEADBEEF
35233 .xword 0xDEADBEEFDEADBEEF
35234 .xword 0xDEADBEEFDEADBEEF
35235 .xword 0xDEADBEEFDEADBEEF
35236 .xword 0xDEADBEEFDEADBEEF
35237 .xword 0xDEADBEEFDEADBEEF
35238 .xword 0xDEADBEEFDEADBEEF
35239 .xword 0xDEADBEEFDEADBEEF
35240 .xword 0xDEADBEEFDEADBEEF
35241 .xword 0xDEADBEEFDEADBEEF
35242 .xword 0xDEADBEEFDEADBEEF
35243 .xword 0xDEADBEEFDEADBEEF
35244 .xword 0xDEADBEEFDEADBEEF
35245 .xword 0xDEADBEEFDEADBEEF
35246 .xword 0xDEADBEEFDEADBEEF
35247 .xword 0xDEADBEEFDEADBEEF
35248 .xword 0xDEADBEEFDEADBEEF
35249 .xword 0xDEADBEEFDEADBEEF
35250 .xword 0xDEADBEEFDEADBEEF
35251 .xword 0xDEADBEEFDEADBEEF
35252 .xword 0xDEADBEEFDEADBEEF
35253 .xword 0xDEADBEEFDEADBEEF
35254 .xword 0xDEADBEEFDEADBEEF
35255 .xword 0xDEADBEEFDEADBEEF
35256 .xword 0xDEADBEEFDEADBEEF
35257 .xword 0xDEADBEEFDEADBEEF
35258 .xword 0xDEADBEEFDEADBEEF
35259 .xword 0xDEADBEEFDEADBEEF
35260 .xword 0xDEADBEEFDEADBEEF
35261 .xword 0xDEADBEEFDEADBEEF
35262 .xword 0xDEADBEEFDEADBEEF
35263 .xword 0xDEADBEEFDEADBEEF
35264 .xword 0xDEADBEEFDEADBEEF
35265 .xword 0xDEADBEEFDEADBEEF
35266 .xword 0xDEADBEEFDEADBEEF
35267 .xword 0xDEADBEEFDEADBEEF
35268 .xword 0xDEADBEEFDEADBEEF
35269 .xword 0xDEADBEEFDEADBEEF
35270 .xword 0xDEADBEEFDEADBEEF
35271 .xword 0xDEADBEEFDEADBEEF
35272 .xword 0xDEADBEEFDEADBEEF
35273_t1_rc4_auth_key:
35274 .xword 0xd1be9c9a6bc10a74
35275 .xword 0x29cbb232cf93a217
35276 .xword 0xb146aff88511a268
35277 .xword 0x78f272060c245178
35278 .xword 0x860e432feacfe64d
35279 .xword 0xc0b04460be2c2556
35280 .xword 0xbc5371c6c3e3d087
35281 .xword 0xe8ce415495a7464b
35282 .xword 0xc40f6094c46c1c6f
35283 .xword 0x0bc9085be668193d
35284 .xword 0x7f0015b1ad74faeb
35285 .xword 0x27905f129293b3c2
35286 .xword 0x192979807865d9eb
35287 .xword 0x9de5f869279a1c01
35288 .xword 0x5caf62f6bdfc4acb
35289 .xword 0x5c40f9fe4243dd2e
35290 .xword 0x01aeeeb82b5e565c
35291 .xword 0x2736622551f8422e
35292 .xword 0x1c4f471f0e18a82f
35293 .xword 0x3f43c195b153d71f
35294 .xword 0x28055fe6d548bc33
35295 .xword 0x2b78840ab8a8ff4c
35296 .xword 0xb248919c8ced5466
35297_t1_rc4_auth_iv:
35298 .xword 0xe2b53295bb821425
35299 .xword 0x45bf5d5371c3db1e
35300 .xword 0x1b515a19de46c883
35301 .xword 0x1b08cb78632863a4
35302 .xword 0x8c1f34eee28e32aa
35303 .xword 0xaef98b58fff3becd
35304 .xword 0x2217a32b1f3e76ee
35305 .xword 0x669986443a40df9e
35306 .xword 0x0a7a784c3513c21a
35307 .xword 0x2f762eb40c67f81a
35308 .xword 0xabf8f9051ddb3200
35309 .xword 0xc9a3376c461a4517
35310 .xword 0x44c4a7e579475854
35311 .xword 0xe40ef1f5c9a7ce8c
35312 .xword 0xb27bbc4a5f759952
35313 .xword 0xa849545567bd60e6
35314 .xword 0xfbbc66276f3c8648
35315 .xword 0x53c0ec4a6c447b21
35316 .xword 0x70a770b3a2572391
35317 .xword 0x9fc6fda666888d26
35318 .xword 0xbfb9b150ce579365
35319 .xword 0x827bd12c8ba82713
35320 .xword 0xacf936f57fc88375
35321_t1_rc4_fas_result:
35322 .xword 0xDEADBEEFDEADBEEF
35323 .xword 0xDEADBEEFDEADBEEF
35324 .xword 0xDEADBEEFDEADBEEF
35325 .xword 0xDEADBEEFDEADBEEF
35326 .xword 0xDEADBEEFDEADBEEF
35327 .xword 0xDEADBEEFDEADBEEF
35328 .xword 0xDEADBEEFDEADBEEF
35329 .xword 0xDEADBEEFDEADBEEF
35330 .xword 0xDEADBEEFDEADBEEF
35331 .xword 0xDEADBEEFDEADBEEF
35332 .xword 0xDEADBEEFDEADBEEF
35333 .xword 0xDEADBEEFDEADBEEF
35334 .xword 0xDEADBEEFDEADBEEF
35335 .xword 0xDEADBEEFDEADBEEF
35336 .xword 0xDEADBEEFDEADBEEF
35337 .xword 0xDEADBEEFDEADBEEF
35338 .xword 0xDEADBEEFDEADBEEF
35339 .xword 0xDEADBEEFDEADBEEF
35340 .xword 0xDEADBEEFDEADBEEF
35341 .xword 0xDEADBEEFDEADBEEF
35342 .xword 0xDEADBEEFDEADBEEF
35343 .xword 0xDEADBEEFDEADBEEF
35344 .xword 0xDEADBEEFDEADBEEF
35345_t1_sslkey_key_array:
35346 .xword 0x89cea08310e8d1ad
35347 .xword 0xbb34566676ca3f8f
35348 .xword 0xd9c9e7272d381622
35349 .xword 0x0895379ff42c2d3d
35350 .xword 0xdb1ad872855e92f9
35351 .xword 0x2cd73af1098ff01f
35352 .xword 0x1a5aeb1a9fc88cc3
35353 .xword 0xf203c1c51e1a08d8
35354 .xword 0x6f2ba6d642276edc
35355 .xword 0x2241130ac5f77d8a
35356 .xword 0xc4e63c8d24a4fbea
35357 .xword 0x443b608ee2630152
35358 .xword 0x89dfd527868ff8f4
35359 .xword 0x67a2f7eb1cfc6ff7
35360 .xword 0x944154920f4dd0ec
35361 .xword 0xe3cf975cfe20e60f
35362 .xword 0x7ff3e82129b3368b
35363 .xword 0x21c6ee08121bf753
35364 .xword 0xec2a6cb5a31675e4
35365 .xword 0x12901aa623d939ed
35366 .xword 0x5c429e958e011bd3
35367 .xword 0xf9da52dc087f639d
35368 .xword 0x548697544e78d0fd
35369 .xword 0xe7f4d8544e094650
35370 .xword 0x01dce9442674dfa9
35371 .xword 0xbbe5adea2375fb57
35372 .xword 0xc39362229691f9cf
35373 .xword 0x0a58f8472d898f55
35374 .xword 0x20c8e3042781c5c2
35375 .xword 0x53123153bb9131a2
35376 .xword 0x1294b68ff41399b2
35377 .xword 0x58eb97d4f5dd0508
35378 .xword 0x71c7d3dba37f1979
35379 .xword 0x373178f8416738de
35380 .xword 0x50a2f86c0a6fbbbd
35381 .xword 0x86b33b83676e8849
35382 .xword 0x3381b3d9413a809f
35383 .xword 0xcc88f1defe7ea9e0
35384 .xword 0x9aed0db8a2286c56
35385 .xword 0x44f8137adb39fbe1
35386 .xword 0x5de2a8d5fcd43af0
35387 .xword 0xd661ddaa6cf62efd
35388 .xword 0x1684380c33033a87
35389 .xword 0x5f4fd05340ac6fd0
35390 .xword 0x309c6ac17f1249be
35391 .xword 0xffd1355f2e96be9c
35392 .xword 0x4ac9dee5fd5e07df
35393 .xword 0xe710f35df6b0d5c9
35394 .xword 0x9a4c4d3ec816d4e6
35395 .xword 0xdd59540f07b820a4
35396 .xword 0x553cb701f95e0634
35397_t1_sslkey_iv_array:
35398 .xword 0x53d48ed2ad9f17c7
35399 .xword 0xabfc48f09a5859b8
35400 .xword 0x62dba4d9f451aa06
35401 .xword 0x6f61aea14e09b95c
35402 .xword 0x6371df871cedf630
35403 .xword 0x1cd9a281542eb636
35404 .xword 0x29869a2ecd6e81d0
35405 .xword 0x7d4c2195012b9466
35406 .xword 0xceebe67142a27c7b
35407 .xword 0x1f79f84ea43a1168
35408 .xword 0x38fb4033746f96a1
35409 .xword 0x96e0590a992aa4db
35410 .xword 0xd9eea4391f69c0ee
35411 .xword 0x42d5ad6e56fb514b
35412 .xword 0x4cde0148178b6b79
35413 .xword 0xf543bddd86d6445b
35414 .xword 0xc6a104c4afea7402
35415 .xword 0x493d28a3c0ff6e8a
35416 .xword 0xcde9b5a4849fdbaa
35417 .xword 0xc4d8241a564fbde2
35418 .xword 0x245ec848ff4cf435
35419 .xword 0x2bae049649b39ab1
35420 .xword 0x4d17e9006472df64
35421 .xword 0xaf63ffc19c54c2fd
35422 .xword 0x9898ac2e15c356cc
35423 .xword 0xe3974f74ac6671df
35424 .xword 0x51dd233112d5442c
35425 .xword 0x789b1b88b713b3ee
35426 .xword 0xb7f933b8e96e4d4a
35427 .xword 0x2897f90b92ee79e9
35428 .xword 0xbf1117a845dd0b28
35429 .xword 0xeb10dc643743a83a
35430 .xword 0x519f711054cd6d10
35431 .xword 0xe9a09b93c3e200e2
35432 .xword 0xed79fea49cfc6727
35433 .xword 0xfa1a67fd8eaac726
35434 .xword 0xb5b40712ee22be05
35435 .xword 0x5b71a0090eba8bba
35436 .xword 0xebf67d558b81bbf9
35437 .xword 0x3d44117d9d2ccebe
35438 .xword 0x4743d6df22a845e8
35439 .xword 0x4f83e660ffa733a7
35440 .xword 0x909b195ba8dd1bdc
35441 .xword 0x5d60a4832fb7b35d
35442 .xword 0x0a717fafb9a6659f
35443_t1_sslkey_alignment_array:
35444 .xword 0
35445 .xword 0
35446 .xword 0
35447 .xword 0
35448 .xword 0
35449 .xword 0
35450 .xword 0
35451 .xword 0
35452 .xword 0
35453 .xword 0
35454 .xword 0
35455 .xword 0
35456 .xword 0
35457 .xword 0
35458 .xword 0
35459 .xword 0
35460 .xword 0
35461 .xword 0
35462 .xword 0
35463 .xword 0
35464 .xword 0
35465 .xword 0
35466 .xword 0
35467 .xword 0
35468 .xword 0
35469 .xword 0
35470 .xword 0
35471 .xword 0
35472 .xword 0
35473 .xword 0
35474 .xword 0
35475 .xword 0
35476 .xword 0
35477 .xword 0
35478 .xword 0
35479 .xword 0
35480 .xword 0
35481 .xword 0
35482 .xword 0
35483 .xword 0
35484 .xword 0
35485 .xword 0
35486 .xword 0
35487 .xword 0
35488 .xword 0
35489 .xword 0
35490 .xword 0
35491 .xword 0
35492 .xword 0
35493 .xword 0
35494 .xword 0
35495 .xword 0
35496 .xword 0
35497 .xword 0
35498 .xword 0
35499 .xword 0
35500 .xword 0
35501 .xword 0
35502 .xword 0
35503 .xword 0
35504 .xword 0
35505 .xword 0
35506 .xword 0
35507 .xword 0
35508 .xword 0
35509 .xword 0
35510 .xword 0
35511 .xword 0
35512 .xword 0
35513 .xword 0
35514 .xword 0
35515 .xword 0
35516 .xword 0
35517 .xword 0
35518 .xword 0
35519 .xword 0
35520 .xword 0
35521 .xword 0
35522 .xword 0
35523 .xword 0
35524 .xword 0
35525 .xword 0
35526 .xword 0
35527 .xword 0
35528 .xword 0
35529 .xword 0
35530 .xword 0
35531 .xword 0
35532 .xword 0
35533 .xword 0
35534 .xword 0
35535 .xword 0
35536 .xword 0
35537 .xword 0
35538 .xword 0
35539 .xword 0
35540 .xword 0
35541 .xword 0
35542 .xword 0
35543 .xword 0
35544 .xword 0
35545 .xword 0
35546 .xword 0
35547 .xword 0
35548 .xword 0
35549_t1_sslkey_src:
35550 .xword 0xbebb25c8cd8dfb88
35551 .xword 0xb6fae16a5b9fc01e
35552 .xword 0x9c79c405f4ca4140
35553 .xword 0x71aa672db9d5279e
35554 .xword 0x3ec0e0f53da9532f
35555 .xword 0xcdabf90d6d29cea1
35556 .xword 0xe06ce89c618c94e7
35557 .xword 0x673c2e6a87b34557
35558 .xword 0x776b41292c34d6d9
35559 .xword 0x2a98184a1d2a5eea
35560 .xword 0x71c07da9ce0a4c11
35561 .xword 0xc703626b2424812a
35562 .xword 0x7e1289a182cae064
35563 .xword 0xdcf45bf9402ec36f
35564 .xword 0x6e69846289d42800
35565 .xword 0xf3151ccacf0355d4
35566 .xword 0x2572fe890529ddd0
35567 .xword 0xd43e8d44499b320c
35568 .xword 0x7e20948e54405bed
35569 .xword 0x6f6530bea53b9ae8
35570 .xword 0xf9870d5274f633b3
35571 .xword 0x9ade4cf1f67c4320
35572 .xword 0xf27945f30833752d
35573 .xword 0xff7fd9cc1eb82094
35574 .xword 0x68262a4dbdc3dc27
35575 .xword 0xc8cce1580277cbc0
35576 .xword 0x25cbf025ccd670b8
35577 .xword 0x64f0d4d4f2764d85
35578 .xword 0x6f6f9123f27cc1b4
35579 .xword 0x7b73f94f7796e24b
35580 .xword 0x5bddc792bb9ea907
35581 .xword 0x2748cf29f8bd6acb
35582 .xword 0x91b9057ae7b6aa37
35583 .xword 0x7ea2bd9c6f221c6a
35584 .xword 0x9da145c1f3b4f32f
35585 .xword 0x52c24c39951ccd30
35586 .xword 0x38e86a3490abc5e5
35587 .xword 0xeb07b51f8d120e0c
35588 .xword 0xb4b498122e23b7c1
35589 .xword 0xc1cc5ab9cfd54a4f
35590 .xword 0xefe7116103fa5758
35591 .xword 0xb99f2b3c9a6889ac
35592 .xword 0xb81f8d106a6e94c0
35593 .xword 0xd55aaac9430c0e3b
35594 .xword 0x406a92e6e0e21aae
35595 .xword 0x27b23416ab82bc87
35596 .xword 0x48c1ede6e7f3cc30
35597 .xword 0x4da647e5dea19925
35598 .xword 0x5698965633f0e09d
35599 .xword 0xdbaf0537d4c6942e
35600 .xword 0x46eb95b133bf525c
35601 .xword 0xbffe33ff0c5f0ec9
35602 .xword 0x2221a0c732acdea0
35603 .xword 0x29245a9db4b4fcf3
35604 .xword 0x54c6ba52ceef730f
35605 .xword 0xc0eaa78add8f0b45
35606 .xword 0x1e6645b7ef790a8b
35607 .xword 0xfbe775dbda92974e
35608 .xword 0x7bb80733af55bd3e
35609 .xword 0x6cea2c17a568117f
35610 .xword 0x28810d829862e0ca
35611 .xword 0x9d32e985a80ca8f1
35612 .xword 0xfed5d4657d994dee
35613 .xword 0xb7afd9e60b735a88
35614 .xword 0x02b1d091d682d167
35615 .xword 0x3aaea2d573d7c370
35616 .xword 0xfd7372927536acd0
35617 .xword 0xf3272d7d7489bcd9
35618 .xword 0xef1b3b44a5871ead
35619 .xword 0x2c005228c43cc43d
35620 .xword 0x0b1bed852e7e6504
35621 .xword 0xde06bfa43a95596b
35622 .xword 0x1fb3c65dc30a8a17
35623 .xword 0x59dede8d46f9bcf6
35624 .xword 0x3b8efde9ddfde3fd
35625 .xword 0x3c648835dcead0eb
35626 .xword 0x687ad7aeb77124c3
35627 .xword 0x7e375b47a989283a
35628 .xword 0x71b4ff6626e54643
35629 .xword 0x90f17ee44213847a
35630 .xword 0x5c7a1481de80049d
35631 .xword 0xc250bb69007142cd
35632 .xword 0xa1d98a778eca0bdd
35633 .xword 0x9fc4a92ba5abcd1e
35634 .xword 0x29a51f600eb1698d
35635 .xword 0x59fe17f3055fd7fb
35636 .xword 0x270b9fe80908c8a1
35637 .xword 0xc20989928174b456
35638 .xword 0xe6b13794f76d27bd
35639 .xword 0x70d3163b5ae4b0b1
35640 .xword 0xa45b4c1dc53bd236
35641 .xword 0x08de5f2a8ad7a643
35642 .xword 0xbc7142f852f9b4a8
35643 .xword 0x7f461aff876470cb
35644 .xword 0x5b05f4e444cc6af9
35645 .xword 0x6bbb2cd17f64b14c
35646 .xword 0xb038feceb7524505
35647 .xword 0xec9d22fefb827788
35648 .xword 0x1e7538b48dd0647d
35649 .xword 0x5e0722c9baadcfea
35650 .xword 0x5c7aca41f5215b13
35651 .xword 0xfb5d77c8fb64790c
35652 .xword 0x920955746eeacb42
35653 .xword 0x1c8fb0bcf216c83c
35654 .xword 0x38a82fc5161e9829
35655 .xword 0x0edc2653e4597ac5
35656 .xword 0x3a3f603ed7b65a19
35657 .xword 0x1d1d9721dff1c366
35658 .xword 0xfa74134c9ff607de
35659 .xword 0x4d95f8bf242d1aef
35660 .xword 0x8d4cb22dfe3e52b7
35661 .xword 0x2bbbad1a17ea9d01
35662 .xword 0x50150f8850af2661
35663 .xword 0x2de21a6ef91cb3d3
35664 .xword 0xe82b04bc5ff5ee8a
35665 .xword 0x348e7102e847b291
35666 .xword 0x6f8c7c12f9efde1e
35667 .xword 0x8a12698c816b4d2d
35668 .xword 0x202b6ac063fc0b29
35669 .xword 0x9e59be0858f7c86f
35670 .xword 0x917e8ca3924bc60e
35671 .xword 0x518639bd5b2b1aa2
35672 .xword 0xb6f9b1d3ccc6ba6e
35673 .xword 0x77f58a5c203a5fe0
35674 .xword 0x8e58569cc776b72f
35675 .xword 0x730d6cf14919ca99
35676 .xword 0x507ccef33d13469e
35677 .xword 0xee8bce365ed4e045
35678 .xword 0x490192b1b1ba433c
35679 .xword 0x1b2c7bbf4dd64108
35680 .xword 0x6fee1d9fae32cf33
35681 .xword 0x7337dca63ed1b344
35682 .xword 0x292793f5d4596558
35683 .xword 0x614d69f87f3a1f0c
35684 .xword 0x640996980ba19cf9
35685 .xword 0x129737a0257b7975
35686 .xword 0xb6f2583db7b5841b
35687 .xword 0x47f60a4afdf43186
35688 .xword 0x2ab38ed1fff7ca31
35689 .xword 0xe5555b931f706ada
35690 .xword 0x6ca7c43671df51b3
35691 .xword 0x3bcbda1de0645a45
35692 .xword 0x297d9150d4ee9cdc
35693 .xword 0x21a7dd2413a8ccaa
35694 .xword 0x5fc9d16db753176c
35695 .xword 0xe86c5aaabb847304
35696 .xword 0xd1aacfe280be7312
35697 .xword 0x6efe60387d442f6b
35698 .xword 0x3d1be9bbfce5e317
35699 .xword 0x213bd3f93b33ff93
35700 .xword 0xff532971ef0d0306
35701 .xword 0x051569c35a41f507
35702 .xword 0x5ead985f050c7ced
35703 .xword 0xb84246a8d75ca11d
35704 .xword 0xc32a009a03d30277
35705 .xword 0x3da42de3b25bf6bb
35706 .xword 0x7ad51a3563c58e09
35707 .xword 0x4319b182856a41b4
35708 .xword 0xc340f1b21ac86d14
35709 .xword 0xcdd09a7247b7e42b
35710 .xword 0x6d621ac8548decfa
35711 .xword 0xa1c3bef9cf5ddda6
35712 .xword 0x1dea3c2121fd477f
35713 .xword 0x8d090119a2e8e904
35714 .xword 0x50127875826a871c
35715 .xword 0x2e3ff7c735499764
35716 .xword 0xb6682e0d5fec0496
35717 .xword 0xacc937813a77dba4
35718 .xword 0xaccc62b2f0cc3c47
35719 .xword 0x9384f93f0865e81e
35720 .xword 0xc7f08920c8c499be
35721 .xword 0x635c41a27b5c0fdf
35722 .xword 0x1c13bf2f54f006f5
35723 .xword 0xdcfc0243e32b3b0a
35724 .xword 0x55c917735cedfec2
35725 .xword 0xadbbb1fc2aee855c
35726 .xword 0x3e7e03b71a8cbc74
35727 .xword 0x82c001a1e5112e1e
35728 .xword 0x1b689d55bf6e6ce4
35729 .xword 0xe3da4afaaaa789da
35730 .xword 0xe6de0603886f2c4c
35731 .xword 0x111a6eda773cda6a
35732 .xword 0x54b847eb579479a4
35733 .xword 0x22833500e9c68493
35734 .xword 0x1f3f238bb4271a1e
35735 .xword 0xa13f91decc353b70
35736 .xword 0x42147749a21a1fa7
35737 .xword 0x6ea6478c4cc09be8
35738 .xword 0xe6e0c25828ba7929
35739 .xword 0xd8ddcae6ec4a94e6
35740 .xword 0x3e09e4298ec073cd
35741 .xword 0xb374fb95104e076a
35742 .xword 0x5a4e8cdf32aa576e
35743 .xword 0x864521402b3d2c2b
35744 .xword 0xdf858e42adaf67e2
35745 .xword 0xc644ae5beb89b58d
35746 .xword 0x50e93f6231e22828
35747 .xword 0xebd6c7d8ade524a9
35748 .xword 0x3a8501f1e4f63867
35749 .xword 0x22a25cc025898d03
35750 .xword 0xbf1b3d77a9bf9bf0
35751 .xword 0xa8e75a2abfa2b7c3
35752 .xword 0x2b0dbfeaa0be196e
35753 .xword 0xce4d670b90d7a277
35754 .xword 0x793d33f3c39227f3
35755 .xword 0xc14777504d65b60c
35756 .xword 0xe37f2c3852cd112d
35757 .xword 0x4ee5f7328f9363dd
35758 .xword 0x015a79e4d8c90e95
35759 .xword 0xda69c1649c430222
35760 .xword 0x7012a0ac71382a07
35761 .xword 0x52fbb8a0ef30a9cf
35762 .xword 0xf46a0b8e06af1481
35763 .xword 0x2430b5c24ac10161
35764 .xword 0xdd22a5c609bfe59b
35765 .xword 0x955df6cc7ca0b750
35766 .xword 0x0fc255dea1608df3
35767 .xword 0x495334d8517c69bb
35768 .xword 0xe6823e073b86d99b
35769 .xword 0x43c0b461c448a185
35770 .xword 0x6ef374ef21e70cb9
35771 .xword 0xa02a455da0af6e15
35772 .xword 0xe6d487bb3f242a6d
35773 .xword 0x00588626166faaf3
35774 .xword 0x3c3719ee772a7568
35775 .xword 0xde3e4e65f77c39c0
35776 .xword 0xd91a34bd2d7ea0ef
35777 .xword 0xc892b4a92616f065
35778 .xword 0x081b9c265b99576c
35779 .xword 0x7515bb101865996c
35780 .xword 0xc5d5356fce5fb381
35781 .xword 0xd24bb1a2c6ee4e16
35782 .xword 0x1dc91de194ad457e
35783 .xword 0x91610111a8b01f0f
35784 .xword 0xf285e2c83700a4ef
35785 .xword 0xa887762257f2ca85
35786 .xword 0xb84614d4feb75585
35787 .xword 0xd95dce71aee3efd3
35788 .xword 0x3b3daf7678c0383e
35789 .xword 0x2b088d47f45fd99a
35790 .xword 0x77f2525eb2d7d521
35791 .xword 0x0abf268177dd28e4
35792 .xword 0x4a7c1693fd88d9e2
35793 .xword 0xe696a5672bbf4658
35794 .xword 0xdf4896812604466d
35795 .xword 0xd4f94cd4c1b7723b
35796 .xword 0x1d6f42b0ffd106fe
35797 .xword 0x1d4f134fb5ee372b
35798 .xword 0x27e458634a463571
35799 .xword 0x55780c8550dc7bb4
35800 .xword 0x4ab35df1e7c4da48
35801 .xword 0x5256a80ee4644642
35802 .xword 0x6408971ea00dd4bf
35803 .xword 0x06beb14f0b6efbad
35804 .xword 0xbf1ee71e8e239bc1
35805 .xword 0xe76399e43c8d1a45
35806 .xword 0x282a9f6443b9127f
35807 .xword 0x63aaea00d35b79d3
35808 .xword 0x742a079b1271e6a8
35809 .xword 0xb889b6e6e777bc1a
35810 .xword 0xc78e9a78e89e848d
35811 .xword 0x80bde074bf9563c4
35812 .xword 0x52c8aec91c14a9b8
35813 .xword 0x125bce9436735c77
35814 .xword 0x291394a95c56df8e
35815 .xword 0x4c4441d9dff2dbae
35816 .xword 0x2bbd5c6ea602f9a4
35817 .xword 0x2376ebbf52441232
35818 .xword 0x205704380884a3a1
35819 .xword 0xdef8b9eaa0b5676f
35820 .xword 0x6cd40bb1811b4bf7
35821 .xword 0x63af2eae638b91db
35822 .xword 0x1e72406fcc117d05
35823 .xword 0xe29599f712f7bf60
35824 .xword 0xa6e0356c55ec6cca
35825_t1_sslkey_dest:
35826 .xword 0xDEADBEEFDEADBEEF
35827 .xword 0xDEADBEEFDEADBEEF
35828 .xword 0xDEADBEEFDEADBEEF
35829 .xword 0xDEADBEEFDEADBEEF
35830 .xword 0xDEADBEEFDEADBEEF
35831 .xword 0xDEADBEEFDEADBEEF
35832 .xword 0xDEADBEEFDEADBEEF
35833 .xword 0xDEADBEEFDEADBEEF
35834 .xword 0xDEADBEEFDEADBEEF
35835 .xword 0xDEADBEEFDEADBEEF
35836 .xword 0xDEADBEEFDEADBEEF
35837 .xword 0xDEADBEEFDEADBEEF
35838 .xword 0xDEADBEEFDEADBEEF
35839 .xword 0xDEADBEEFDEADBEEF
35840 .xword 0xDEADBEEFDEADBEEF
35841 .xword 0xDEADBEEFDEADBEEF
35842 .xword 0xDEADBEEFDEADBEEF
35843 .xword 0xDEADBEEFDEADBEEF
35844 .xword 0xDEADBEEFDEADBEEF
35845 .xword 0xDEADBEEFDEADBEEF
35846 .xword 0xDEADBEEFDEADBEEF
35847 .xword 0xDEADBEEFDEADBEEF
35848 .xword 0xDEADBEEFDEADBEEF
35849 .xword 0xDEADBEEFDEADBEEF
35850 .xword 0xDEADBEEFDEADBEEF
35851 .xword 0xDEADBEEFDEADBEEF
35852 .xword 0xDEADBEEFDEADBEEF
35853 .xword 0xDEADBEEFDEADBEEF
35854 .xword 0xDEADBEEFDEADBEEF
35855 .xword 0xDEADBEEFDEADBEEF
35856 .xword 0xDEADBEEFDEADBEEF
35857 .xword 0xDEADBEEFDEADBEEF
35858 .xword 0xDEADBEEFDEADBEEF
35859 .xword 0xDEADBEEFDEADBEEF
35860 .xword 0xDEADBEEFDEADBEEF
35861 .xword 0xDEADBEEFDEADBEEF
35862 .xword 0xDEADBEEFDEADBEEF
35863 .xword 0xDEADBEEFDEADBEEF
35864 .xword 0xDEADBEEFDEADBEEF
35865 .xword 0xDEADBEEFDEADBEEF
35866 .xword 0xDEADBEEFDEADBEEF
35867 .xword 0xDEADBEEFDEADBEEF
35868 .xword 0xDEADBEEFDEADBEEF
35869 .xword 0xDEADBEEFDEADBEEF
35870 .xword 0xDEADBEEFDEADBEEF
35871 .xword 0xDEADBEEFDEADBEEF
35872 .xword 0xDEADBEEFDEADBEEF
35873 .xword 0xDEADBEEFDEADBEEF
35874 .xword 0xDEADBEEFDEADBEEF
35875 .xword 0xDEADBEEFDEADBEEF
35876 .xword 0xDEADBEEFDEADBEEF
35877 .xword 0xDEADBEEFDEADBEEF
35878 .xword 0xDEADBEEFDEADBEEF
35879 .xword 0xDEADBEEFDEADBEEF
35880 .xword 0xDEADBEEFDEADBEEF
35881 .xword 0xDEADBEEFDEADBEEF
35882 .xword 0xDEADBEEFDEADBEEF
35883 .xword 0xDEADBEEFDEADBEEF
35884 .xword 0xDEADBEEFDEADBEEF
35885 .xword 0xDEADBEEFDEADBEEF
35886 .xword 0xDEADBEEFDEADBEEF
35887 .xword 0xDEADBEEFDEADBEEF
35888 .xword 0xDEADBEEFDEADBEEF
35889 .xword 0xDEADBEEFDEADBEEF
35890 .xword 0xDEADBEEFDEADBEEF
35891 .xword 0xDEADBEEFDEADBEEF
35892 .xword 0xDEADBEEFDEADBEEF
35893 .xword 0xDEADBEEFDEADBEEF
35894 .xword 0xDEADBEEFDEADBEEF
35895 .xword 0xDEADBEEFDEADBEEF
35896 .xword 0xDEADBEEFDEADBEEF
35897 .xword 0xDEADBEEFDEADBEEF
35898 .xword 0xDEADBEEFDEADBEEF
35899 .xword 0xDEADBEEFDEADBEEF
35900 .xword 0xDEADBEEFDEADBEEF
35901 .xword 0xDEADBEEFDEADBEEF
35902 .xword 0xDEADBEEFDEADBEEF
35903 .xword 0xDEADBEEFDEADBEEF
35904 .xword 0xDEADBEEFDEADBEEF
35905 .xword 0xDEADBEEFDEADBEEF
35906 .xword 0xDEADBEEFDEADBEEF
35907 .xword 0xDEADBEEFDEADBEEF
35908 .xword 0xDEADBEEFDEADBEEF
35909 .xword 0xDEADBEEFDEADBEEF
35910 .xword 0xDEADBEEFDEADBEEF
35911 .xword 0xDEADBEEFDEADBEEF
35912 .xword 0xDEADBEEFDEADBEEF
35913 .xword 0xDEADBEEFDEADBEEF
35914 .xword 0xDEADBEEFDEADBEEF
35915 .xword 0xDEADBEEFDEADBEEF
35916 .xword 0xDEADBEEFDEADBEEF
35917 .xword 0xDEADBEEFDEADBEEF
35918 .xword 0xDEADBEEFDEADBEEF
35919 .xword 0xDEADBEEFDEADBEEF
35920 .xword 0xDEADBEEFDEADBEEF
35921 .xword 0xDEADBEEFDEADBEEF
35922 .xword 0xDEADBEEFDEADBEEF
35923 .xword 0xDEADBEEFDEADBEEF
35924 .xword 0xDEADBEEFDEADBEEF
35925 .xword 0xDEADBEEFDEADBEEF
35926 .xword 0xDEADBEEFDEADBEEF
35927 .xword 0xDEADBEEFDEADBEEF
35928 .xword 0xDEADBEEFDEADBEEF
35929 .xword 0xDEADBEEFDEADBEEF
35930 .xword 0xDEADBEEFDEADBEEF
35931 .xword 0xDEADBEEFDEADBEEF
35932 .xword 0xDEADBEEFDEADBEEF
35933 .xword 0xDEADBEEFDEADBEEF
35934 .xword 0xDEADBEEFDEADBEEF
35935 .xword 0xDEADBEEFDEADBEEF
35936 .xword 0xDEADBEEFDEADBEEF
35937 .xword 0xDEADBEEFDEADBEEF
35938 .xword 0xDEADBEEFDEADBEEF
35939 .xword 0xDEADBEEFDEADBEEF
35940 .xword 0xDEADBEEFDEADBEEF
35941 .xword 0xDEADBEEFDEADBEEF
35942 .xword 0xDEADBEEFDEADBEEF
35943 .xword 0xDEADBEEFDEADBEEF
35944 .xword 0xDEADBEEFDEADBEEF
35945 .xword 0xDEADBEEFDEADBEEF
35946 .xword 0xDEADBEEFDEADBEEF
35947 .xword 0xDEADBEEFDEADBEEF
35948 .xword 0xDEADBEEFDEADBEEF
35949 .xword 0xDEADBEEFDEADBEEF
35950 .xword 0xDEADBEEFDEADBEEF
35951 .xword 0xDEADBEEFDEADBEEF
35952 .xword 0xDEADBEEFDEADBEEF
35953 .xword 0xDEADBEEFDEADBEEF
35954 .xword 0xDEADBEEFDEADBEEF
35955 .xword 0xDEADBEEFDEADBEEF
35956 .xword 0xDEADBEEFDEADBEEF
35957 .xword 0xDEADBEEFDEADBEEF
35958 .xword 0xDEADBEEFDEADBEEF
35959 .xword 0xDEADBEEFDEADBEEF
35960 .xword 0xDEADBEEFDEADBEEF
35961 .xword 0xDEADBEEFDEADBEEF
35962 .xword 0xDEADBEEFDEADBEEF
35963 .xword 0xDEADBEEFDEADBEEF
35964 .xword 0xDEADBEEFDEADBEEF
35965 .xword 0xDEADBEEFDEADBEEF
35966 .xword 0xDEADBEEFDEADBEEF
35967 .xword 0xDEADBEEFDEADBEEF
35968 .xword 0xDEADBEEFDEADBEEF
35969 .xword 0xDEADBEEFDEADBEEF
35970 .xword 0xDEADBEEFDEADBEEF
35971 .xword 0xDEADBEEFDEADBEEF
35972 .xword 0xDEADBEEFDEADBEEF
35973 .xword 0xDEADBEEFDEADBEEF
35974 .xword 0xDEADBEEFDEADBEEF
35975 .xword 0xDEADBEEFDEADBEEF
35976 .xword 0xDEADBEEFDEADBEEF
35977 .xword 0xDEADBEEFDEADBEEF
35978 .xword 0xDEADBEEFDEADBEEF
35979 .xword 0xDEADBEEFDEADBEEF
35980 .xword 0xDEADBEEFDEADBEEF
35981 .xword 0xDEADBEEFDEADBEEF
35982 .xword 0xDEADBEEFDEADBEEF
35983 .xword 0xDEADBEEFDEADBEEF
35984 .xword 0xDEADBEEFDEADBEEF
35985 .xword 0xDEADBEEFDEADBEEF
35986 .xword 0xDEADBEEFDEADBEEF
35987 .xword 0xDEADBEEFDEADBEEF
35988 .xword 0xDEADBEEFDEADBEEF
35989 .xword 0xDEADBEEFDEADBEEF
35990 .xword 0xDEADBEEFDEADBEEF
35991 .xword 0xDEADBEEFDEADBEEF
35992 .xword 0xDEADBEEFDEADBEEF
35993 .xword 0xDEADBEEFDEADBEEF
35994 .xword 0xDEADBEEFDEADBEEF
35995 .xword 0xDEADBEEFDEADBEEF
35996 .xword 0xDEADBEEFDEADBEEF
35997 .xword 0xDEADBEEFDEADBEEF
35998 .xword 0xDEADBEEFDEADBEEF
35999 .xword 0xDEADBEEFDEADBEEF
36000 .xword 0xDEADBEEFDEADBEEF
36001 .xword 0xDEADBEEFDEADBEEF
36002 .xword 0xDEADBEEFDEADBEEF
36003 .xword 0xDEADBEEFDEADBEEF
36004 .xword 0xDEADBEEFDEADBEEF
36005 .xword 0xDEADBEEFDEADBEEF
36006 .xword 0xDEADBEEFDEADBEEF
36007 .xword 0xDEADBEEFDEADBEEF
36008 .xword 0xDEADBEEFDEADBEEF
36009 .xword 0xDEADBEEFDEADBEEF
36010 .xword 0xDEADBEEFDEADBEEF
36011 .xword 0xDEADBEEFDEADBEEF
36012 .xword 0xDEADBEEFDEADBEEF
36013 .xword 0xDEADBEEFDEADBEEF
36014 .xword 0xDEADBEEFDEADBEEF
36015 .xword 0xDEADBEEFDEADBEEF
36016 .xword 0xDEADBEEFDEADBEEF
36017 .xword 0xDEADBEEFDEADBEEF
36018 .xword 0xDEADBEEFDEADBEEF
36019 .xword 0xDEADBEEFDEADBEEF
36020 .xword 0xDEADBEEFDEADBEEF
36021 .xword 0xDEADBEEFDEADBEEF
36022 .xword 0xDEADBEEFDEADBEEF
36023 .xword 0xDEADBEEFDEADBEEF
36024 .xword 0xDEADBEEFDEADBEEF
36025 .xword 0xDEADBEEFDEADBEEF
36026 .xword 0xDEADBEEFDEADBEEF
36027 .xword 0xDEADBEEFDEADBEEF
36028 .xword 0xDEADBEEFDEADBEEF
36029 .xword 0xDEADBEEFDEADBEEF
36030 .xword 0xDEADBEEFDEADBEEF
36031 .xword 0xDEADBEEFDEADBEEF
36032 .xword 0xDEADBEEFDEADBEEF
36033 .xword 0xDEADBEEFDEADBEEF
36034 .xword 0xDEADBEEFDEADBEEF
36035 .xword 0xDEADBEEFDEADBEEF
36036 .xword 0xDEADBEEFDEADBEEF
36037 .xword 0xDEADBEEFDEADBEEF
36038 .xword 0xDEADBEEFDEADBEEF
36039 .xword 0xDEADBEEFDEADBEEF
36040 .xword 0xDEADBEEFDEADBEEF
36041 .xword 0xDEADBEEFDEADBEEF
36042 .xword 0xDEADBEEFDEADBEEF
36043 .xword 0xDEADBEEFDEADBEEF
36044 .xword 0xDEADBEEFDEADBEEF
36045 .xword 0xDEADBEEFDEADBEEF
36046 .xword 0xDEADBEEFDEADBEEF
36047 .xword 0xDEADBEEFDEADBEEF
36048 .xword 0xDEADBEEFDEADBEEF
36049 .xword 0xDEADBEEFDEADBEEF
36050 .xword 0xDEADBEEFDEADBEEF
36051 .xword 0xDEADBEEFDEADBEEF
36052 .xword 0xDEADBEEFDEADBEEF
36053 .xword 0xDEADBEEFDEADBEEF
36054 .xword 0xDEADBEEFDEADBEEF
36055 .xword 0xDEADBEEFDEADBEEF
36056 .xword 0xDEADBEEFDEADBEEF
36057 .xword 0xDEADBEEFDEADBEEF
36058 .xword 0xDEADBEEFDEADBEEF
36059 .xword 0xDEADBEEFDEADBEEF
36060 .xword 0xDEADBEEFDEADBEEF
36061 .xword 0xDEADBEEFDEADBEEF
36062 .xword 0xDEADBEEFDEADBEEF
36063 .xword 0xDEADBEEFDEADBEEF
36064 .xword 0xDEADBEEFDEADBEEF
36065 .xword 0xDEADBEEFDEADBEEF
36066 .xword 0xDEADBEEFDEADBEEF
36067 .xword 0xDEADBEEFDEADBEEF
36068 .xword 0xDEADBEEFDEADBEEF
36069 .xword 0xDEADBEEFDEADBEEF
36070 .xword 0xDEADBEEFDEADBEEF
36071 .xword 0xDEADBEEFDEADBEEF
36072 .xword 0xDEADBEEFDEADBEEF
36073 .xword 0xDEADBEEFDEADBEEF
36074 .xword 0xDEADBEEFDEADBEEF
36075 .xword 0xDEADBEEFDEADBEEF
36076 .xword 0xDEADBEEFDEADBEEF
36077 .xword 0xDEADBEEFDEADBEEF
36078 .xword 0xDEADBEEFDEADBEEF
36079 .xword 0xDEADBEEFDEADBEEF
36080 .xword 0xDEADBEEFDEADBEEF
36081 .xword 0xDEADBEEFDEADBEEF
36082 .xword 0xDEADBEEFDEADBEEF
36083 .xword 0xDEADBEEFDEADBEEF
36084 .xword 0xDEADBEEFDEADBEEF
36085 .xword 0xDEADBEEFDEADBEEF
36086 .xword 0xDEADBEEFDEADBEEF
36087 .xword 0xDEADBEEFDEADBEEF
36088 .xword 0xDEADBEEFDEADBEEF
36089 .xword 0xDEADBEEFDEADBEEF
36090 .xword 0xDEADBEEFDEADBEEF
36091 .xword 0xDEADBEEFDEADBEEF
36092 .xword 0xDEADBEEFDEADBEEF
36093 .xword 0xDEADBEEFDEADBEEF
36094 .xword 0xDEADBEEFDEADBEEF
36095 .xword 0xDEADBEEFDEADBEEF
36096 .xword 0xDEADBEEFDEADBEEF
36097 .xword 0xDEADBEEFDEADBEEF
36098 .xword 0xDEADBEEFDEADBEEF
36099 .xword 0xDEADBEEFDEADBEEF
36100 .xword 0xDEADBEEFDEADBEEF
36101_t1_sslkey_auth_key:
36102 .xword 0x2881027c3986d50c
36103 .xword 0xb752a3e4cc620e6d
36104 .xword 0x24c8a70058874f82
36105 .xword 0x2e7f0953a864039e
36106 .xword 0xd96bbf361a145c6d
36107 .xword 0xcf0fb9b788d5db5c
36108 .xword 0x183b25ffb96eeb33
36109 .xword 0xed73f403857be2bf
36110 .xword 0x6be5a821ad7d2716
36111 .xword 0x0bb90e4fe883939b
36112 .xword 0xc183807e00464430
36113 .xword 0xe1bb00133670de14
36114 .xword 0x2f7bbb6bb6d3932b
36115 .xword 0xb8659aa49b44c870
36116 .xword 0xaa52592458fb247a
36117 .xword 0x609e076dd6668ca5
36118 .xword 0xf8c879707c619ea9
36119 .xword 0x793226b7d746dd22
36120 .xword 0xc5528ee6fb28309c
36121 .xword 0xccc74cb3c75a0a83
36122 .xword 0x5682eff2612ee5de
36123 .xword 0x0412917738192094
36124 .xword 0x6f6adba96c15bf2f
36125_t1_sslkey_auth_iv:
36126 .xword 0xaa05a299b04714cc
36127 .xword 0x5ed147276cfa18e4
36128 .xword 0xbca44749c276bab9
36129 .xword 0xef05869eec0850d7
36130 .xword 0xc4ed02a73999d842
36131 .xword 0xd3ab9f00838d5da6
36132 .xword 0x57867443a6f5d21c
36133 .xword 0xe1a90ee2b61095ae
36134 .xword 0x6dbfd8bdc50afef2
36135 .xword 0xd6071f8568b91fef
36136 .xword 0x83e61208977c2b2e
36137 .xword 0xd0a0a2e8e352898b
36138 .xword 0x5df1ea2f0ced5941
36139 .xword 0xe065917c14679495
36140 .xword 0x86636ce785baa0d5
36141 .xword 0x5a2cf280d7f47c25
36142 .xword 0x37b46119a8ded04a
36143 .xword 0xc2eb0afa5b0dd4e3
36144 .xword 0xe2f4f9f88e2b3b6d
36145 .xword 0x246d19b335acf090
36146 .xword 0xc79678b085657bb8
36147 .xword 0x6884062b2be7a1c4
36148 .xword 0x8e9be5ef16325dbc
36149_t1_sslkey_fas_result:
36150 .xword 0xDEADBEEFDEADBEEF
36151 .xword 0xDEADBEEFDEADBEEF
36152 .xword 0xDEADBEEFDEADBEEF
36153 .xword 0xDEADBEEFDEADBEEF
36154 .xword 0xDEADBEEFDEADBEEF
36155 .xword 0xDEADBEEFDEADBEEF
36156 .xword 0xDEADBEEFDEADBEEF
36157 .xword 0xDEADBEEFDEADBEEF
36158 .xword 0xDEADBEEFDEADBEEF
36159 .xword 0xDEADBEEFDEADBEEF
36160 .xword 0xDEADBEEFDEADBEEF
36161 .xword 0xDEADBEEFDEADBEEF
36162 .xword 0xDEADBEEFDEADBEEF
36163 .xword 0xDEADBEEFDEADBEEF
36164 .xword 0xDEADBEEFDEADBEEF
36165 .xword 0xDEADBEEFDEADBEEF
36166 .xword 0xDEADBEEFDEADBEEF
36167 .xword 0xDEADBEEFDEADBEEF
36168 .xword 0xDEADBEEFDEADBEEF
36169 .xword 0xDEADBEEFDEADBEEF
36170 .xword 0xDEADBEEFDEADBEEF
36171 .xword 0xDEADBEEFDEADBEEF
36172 .xword 0xDEADBEEFDEADBEEF
36173_t1_aes_toc:
36174 .xword _t1_aes_cwd_array
36175 .xword _t1_aes_src
36176 .xword _t1_aes_auth_key
36177 .xword _t1_aes_auth_iv
36178 .xword _t1_aes_fas_result
36179 .xword _t1_aes_key_array
36180 .xword _t1_aes_iv_array
36181 .xword _t1_aes_dest
36182 .xword _t1_aes_alignment_array
36183_t1_des_toc:
36184 .xword _t1_des_cwd_array
36185 .xword _t1_des_src
36186 .xword _t1_des_auth_key
36187 .xword _t1_des_auth_iv
36188 .xword _t1_des_fas_result
36189 .xword _t1_des_key_array
36190 .xword _t1_des_iv_array
36191 .xword _t1_des_dest
36192 .xword _t1_des_alignment_array
36193_t1_copy_toc:
36194 .xword _t1_copy_cwd_array
36195 .xword _t1_copy_src
36196 .xword _t1_copy_auth_key
36197 .xword _t1_copy_auth_iv
36198 .xword _t1_copy_fas_result
36199 .xword _t1_copy_key_array
36200 .xword _t1_copy_iv_array
36201 .xword _t1_copy_dest
36202 .xword _t1_copy_alignment_array
36203_t1_crc_toc:
36204 .xword _t1_crc_cwd_array
36205 .xword _t1_crc_src
36206 .xword _t1_crc_auth_key
36207 .xword _t1_crc_auth_iv
36208 .xword _t1_crc_fas_result
36209 .xword _t1_crc_key_array
36210 .xword _t1_crc_iv_array
36211 .xword _t1_crc_dest
36212 .xword _t1_crc_alignment_array
36213_t1_hash_toc:
36214 .xword _t1_hash_cwd_array
36215 .xword _t1_hash_src
36216 .xword _t1_hash_auth_key
36217 .xword _t1_hash_auth_iv
36218 .xword _t1_hash_fas_result
36219 .xword _t1_hash_key_array
36220 .xword _t1_hash_iv_array
36221 .xword _t1_hash_dest
36222 .xword _t1_hash_alignment_array
36223_t1_hmac_toc:
36224 .xword _t1_hmac_cwd_array
36225 .xword _t1_hmac_src
36226 .xword _t1_hmac_auth_key
36227 .xword _t1_hmac_auth_iv
36228 .xword _t1_hmac_fas_result
36229 .xword _t1_hmac_key_array
36230 .xword _t1_hmac_iv_array
36231 .xword _t1_hmac_dest
36232 .xword _t1_hmac_alignment_array
36233_t1_rc4_toc:
36234 .xword _t1_rc4_cwd_array
36235 .xword _t1_rc4_src
36236 .xword _t1_rc4_auth_key
36237 .xword _t1_rc4_auth_iv
36238 .xword _t1_rc4_fas_result
36239 .xword _t1_rc4_key_array
36240 .xword _t1_rc4_iv_array
36241 .xword _t1_rc4_dest
36242 .xword _t1_rc4_alignment_array
36243_t1_sslkey_toc:
36244 .xword _t1_sslkey_cwd_array
36245 .xword _t1_sslkey_src
36246 .xword _t1_sslkey_auth_key
36247 .xword _t1_sslkey_auth_iv
36248 .xword _t1_sslkey_fas_result
36249 .xword _t1_sslkey_key_array
36250 .xword _t1_sslkey_iv_array
36251 .xword _t1_sslkey_dest
36252 .xword _t1_sslkey_alignment_array
36253_t1_table_of_context2:
36254 .xword _t1_aes_toc
36255 .xword _t1_des_toc
36256 .xword _t1_copy_toc
36257 .xword _t1_crc_toc
36258 .xword _t1_hash_toc
36259 .xword _t1_hmac_toc
36260 .xword _t1_rc4_toc
36261 .xword _t1_sslkey_toc
36262
36263!# CWQ data area, set aside 512 CW's worth
36264!# 512*8*8 = 32KB
36265.align 32*1024
36266_t1_cwq_base2:
36267 .xword 0xAAAAAAAAAAAAAAA
36268 .xword 0xAAAAAAAAAAAAAAA
36269 .xword 0xAAAAAAAAAAAAAAA
36270 .xword 0xAAAAAAAAAAAAAAA
36271 .xword 0xAAAAAAAAAAAAAAA
36272 .xword 0xAAAAAAAAAAAAAAA
36273 .xword 0xAAAAAAAAAAAAAAA
36274 .xword 0xAAAAAAAAAAAAAAA
36275.align 32*1024
36276_t1_cwq_last2:
36277
36278SECTION ._t1_T_CWQ_DATA3 DATA_VA=288358400
36279attr_data {
36280 Name = ._t1_T_CWQ_DATA3
36281 hypervisor
36282}
36283 .data
36284_t1_user_data_start3:
36285_t1_scratch_area3:
36286
36287.align 16
36288_t1_spu_op_array3:
36289 .xword 4
36290 .xword 6
36291 .xword 3
36292 .xword 6
36293 .xword 1
36294 .xword 7
36295 .xword 1
36296 .xword 3
36297 .xword 2
36298 .xword 1
36299 .xword 6
36300 .xword 7
36301 .xword 7
36302 .xword 4
36303 .xword 4
36304_t1_aes_cwd_array3:
36305 .xword 0xc06100601b00003f
36306 .xword 0xc06000201000000f
36307 .xword 0x40e100a01300002f
36308 .xword 0x40e100401700000f
36309 .xword 0xc0e100401000001f
36310 .xword 0x406100c01800000f
36311 .xword 0x406000a01000000f
36312 .xword 0xc0e000201900002f
36313 .xword 0xc0e100801b00003f
36314 .xword 0x406000201800000f
36315 .xword 0x406000601500002f
36316 .xword 0x40e100c01500001f
36317 .xword 0xc0e000801700003f
36318 .xword 0x406000001b00000f
36319 .xword 0x40e100401100002f
36320_t1_des_cwd_array3:
36321 .xword 0xc0e100e008000017
36322 .xword 0xc0e000400e00000f
36323 .xword 0xc0e0008008000017
36324 .xword 0xc0e000600d000007
36325 .xword 0x406100e00d000007
36326 .xword 0xc0e000000c000007
36327 .xword 0x40e100a00e000017
36328 .xword 0x40e000200800001f
36329 .xword 0xc0e000e009000017
36330 .xword 0x406000c008000017
36331 .xword 0xc06100000800000f
36332 .xword 0xc06000600a00001f
36333 .xword 0xc06000c00a00000f
36334 .xword 0xc0e0006008000007
36335 .xword 0xc06100e00a00000f
36336_t1_copy_cwd_array3:
36337 .xword 0xa06100200000000a
36338 .xword 0x2061008000000004
36339 .xword 0x206000a00000000c
36340 .xword 0x206000a00000000c
36341 .xword 0xa06100000000000b
36342 .xword 0xa06000200000000d
36343 .xword 0xa06000200000000f
36344 .xword 0xa06100e000000009
36345 .xword 0xa060002000000006
36346 .xword 0xa06000e000000005
36347 .xword 0x206000a000000008
36348 .xword 0x2061000000000008
36349 .xword 0x2061008000000009
36350 .xword 0x206100c000000007
36351 .xword 0xa061002000000005
36352_t1_crc_cwd_array3:
36353 .xword 0xc16303a40000000f
36354 .xword 0x416001c800000009
36355 .xword 0xc16203a400000000
36356 .xword 0x4160018800000009
36357 .xword 0x4163034400000003
36358 .xword 0x4163010800000001
36359 .xword 0xc16203c400000003
36360 .xword 0x4160016800000004
36361 .xword 0x4160036400000001
36362 .xword 0xc163012800000002
36363 .xword 0xc16103640000000c
36364 .xword 0xc163010800000001
36365 .xword 0x416103a400000006
36366 .xword 0xc16301280000000b
36367_t1_hash_cwd_array3:
36368 .xword 0x4162074100000011
36369 .xword 0xc160022100000000
36370 .xword 0xc1630f6100000004
36371 .xword 0x416101e100000023
36372 .xword 0x416301210000002c
36373 .xword 0xc1620b4100000008
36374 .xword 0xc1630a8100000032
36375 .xword 0x41620e610000001d
36376 .xword 0x41601a430000003b
36377 .xword 0x416300c10000000e
36378 .xword 0xc163060100000032
36379 .xword 0x416102e10000000d
36380 .xword 0x4161022100000011
36381 .xword 0x416203610000001d
36382 .xword 0x41620ac100000033
36383_t1_hmac_cwd_array3:
36384 .xword 0xc1620345000f0031
36385 .xword 0x41630ac9000f003d
36386 .xword 0xc16108e9000f001a
36387 .xword 0xc16006ea0013002e
36388 .xword 0xc1630689000f0017
36389 .xword 0xc16203c9000f0036
36390 .xword 0x41601cc7001f0000
36391 .xword 0x41610385000f0016
36392 .xword 0x41600e29000f0001
36393 .xword 0x4162036b001f0036
36394 .xword 0x41610665000f0033
36395 .xword 0x41620c69000f0001
36396 .xword 0x41600a85000f001d
36397 .xword 0xc1600be5000f0009
36398 .xword 0xc1621dc7001f0005
36399_t1_rc4_cwd_array3:
36400 .xword 0x40e000000400000d
36401 .xword 0x40e000e000000000
36402 .xword 0x40e000400400000a
36403 .xword 0xc0e0000000000001
36404 .xword 0x40e0004004000001
36405 .xword 0xc0e000a000000007
36406 .xword 0xc0e1002000000009
36407 .xword 0x40e100a000000000
36408 .xword 0xc0e1004004000006
36409 .xword 0x40e1000004000009
36410 .xword 0xc0e1006004000005
36411 .xword 0xc0e0002004000002
36412 .xword 0xc0e000e000000004
36413 .xword 0xc0e100a000000005
36414 .xword 0x40e100400000000d
36415_t1_sslkey_cwd_array3:
36416 .xword 0x9060224000000000, 0
36417 .xword 0x1060382000000000, 0
36418 .xword 0x906034e000000000, 0
36419 .xword 0x9060046000000000, 0
36420 .xword 0x906010c000000000, 0
36421 .xword 0x1060100000000000, 0
36422 .xword 0x10601a0000000000, 0
36423 .xword 0x10601f8000000000, 0
36424 .xword 0x10600aa000000000, 0
36425 .xword 0x90600aa000000000, 0
36426 .xword 0x9060190000000000, 0
36427 .xword 0x10602ae000000000, 0
36428 .xword 0x1060034000000000, 0
36429 .xword 0x9060286000000000, 0
36430 .xword 0x10603ea000000000, 0
36431_t1_aes_key_array:
36432 .xword 0x8d2e42d77655c675
36433 .xword 0x610ae0eccba45ae9
36434 .xword 0x254616f484ae5f84
36435 .xword 0xca6009c6e2227b6e
36436 .xword 0x23bf7fda26141aba
36437 .xword 0x21544e0e8761a7b5
36438 .xword 0xbb30b06cab8a55d6
36439 .xword 0x64bafc7409c18548
36440 .xword 0xf11859fa56d5c4b3
36441 .xword 0xdfd444e2a4271611
36442 .xword 0x790ad5f665807c73
36443 .xword 0x44929b0ce3332577
36444 .xword 0x76ba274681abc0f5
36445 .xword 0x45a9f7298aaad0d6
36446 .xword 0xb25215b51d78381c
36447 .xword 0x09b4f65737000189
36448 .xword 0x85db01bb26d256d9
36449 .xword 0x9fc18872b633390a
36450 .xword 0x860c141d40ccd735
36451 .xword 0xe13c5f41e330a085
36452 .xword 0x3641da726dc111e3
36453 .xword 0x413432cd61f97e24
36454 .xword 0x94d38c6e87a5088c
36455 .xword 0xe8e11484a607b6b9
36456 .xword 0x3dcbe52de81df233
36457 .xword 0x3fb8bff11f34dcc8
36458 .xword 0xf7df2756df6e3d24
36459 .xword 0x5114f863529bbb88
36460 .xword 0x8627d63ee11ab0e3
36461 .xword 0x7d4f22e21444d134
36462 .xword 0x649181f79f83f0b8
36463 .xword 0x76dbe7cb446dd5e4
36464 .xword 0xc7c46e4e51f8d76b
36465 .xword 0x6b9766e66cd308ae
36466 .xword 0x7f7f08139ba99aac
36467 .xword 0xebe53061409edc5a
36468 .xword 0x1de98c054ef61f8d
36469 .xword 0x9c73de0a1a63fe70
36470 .xword 0xecdabc58548286f7
36471 .xword 0x04ea0095ebb052c7
36472 .xword 0x7231143ac155a447
36473 .xword 0x3ce252b95a283e18
36474 .xword 0x0e3e50d35fc9630b
36475 .xword 0x8964bc12eb14deb0
36476 .xword 0x352626d248e61d53
36477 .xword 0xcf584ae896b437da
36478 .xword 0x089a5ffbf5a81ec1
36479 .xword 0x1a3c96434f88effe
36480 .xword 0x4c368c99d709a464
36481 .xword 0xdef1d96cd24830ac
36482 .xword 0x39b711b55551a63d
36483_t1_aes_iv_array:
36484 .xword 0x71f3e0662eb4797c
36485 .xword 0x95239be8521df9b7
36486 .xword 0x56a45440dbf08994
36487 .xword 0x2b7cef5990801711
36488 .xword 0xbc3e8bfb7ee4b61c
36489 .xword 0xe02fe394074c5405
36490 .xword 0x7c47f795dfe7f25d
36491 .xword 0x27df16337d1ac2ed
36492 .xword 0x8f6d50ed356abaa1
36493 .xword 0x623f22e1bd0c0093
36494 .xword 0x7257593c94d20ef3
36495 .xword 0x42c6bd17a34bb35b
36496 .xword 0x41bb226cc93f8828
36497 .xword 0x14627c0382dc1cb6
36498 .xword 0x4f12a86c5f1c1368
36499 .xword 0x35bc61c0c02c2376
36500 .xword 0x3ab5e30d7eed7961
36501 .xword 0x9a0e67f3806cc480
36502 .xword 0x6faa214a97801b66
36503 .xword 0x0c4d8a000aba1456
36504 .xword 0x832277cec8ecc56d
36505 .xword 0xe14de2e4675cfe27
36506 .xword 0x1a53e74a4f447262
36507 .xword 0x9faae3a613364d7d
36508 .xword 0xfdc9533726d79df6
36509 .xword 0xae33dbcc8c152778
36510 .xword 0x39465deb665fe4f8
36511 .xword 0xcb628690af913b28
36512 .xword 0x12fce0fed9153755
36513 .xword 0x5d4e58f31d91dcfb
36514 .xword 0x758b0fdbc3b80637
36515 .xword 0x7bddf7822b8ef179
36516 .xword 0x7cc4bbccce78a8f8
36517 .xword 0xf722c189a151e87c
36518 .xword 0x818d70e602dfdf4f
36519 .xword 0x31f8a22d5c89ed23
36520 .xword 0xa85a027826081165
36521 .xword 0x1af3902941f80e94
36522 .xword 0x9a2cf44b59708254
36523 .xword 0x6ddbb241c6da28b7
36524 .xword 0x61bbaa24abf47303
36525 .xword 0x0d5a4def04269fbe
36526 .xword 0x76354e8910dbcb6a
36527 .xword 0x95ae7ff05b0b32ba
36528 .xword 0x7770fb7cb1c25e2b
36529_t1_aes_alignment_array:
36530 .xword 10
36531 .xword 8
36532 .xword 15
36533 .xword 3
36534 .xword 10
36535 .xword 13
36536 .xword 3
36537 .xword 10
36538 .xword 4
36539 .xword 9
36540 .xword 4
36541 .xword 4
36542 .xword 10
36543 .xword 5
36544 .xword 1
36545 .xword 3
36546 .xword 1
36547 .xword 6
36548 .xword 13
36549 .xword 2
36550 .xword 3
36551 .xword 7
36552 .xword 8
36553 .xword 8
36554 .xword 11
36555 .xword 15
36556 .xword 7
36557 .xword 12
36558 .xword 2
36559 .xword 1
36560 .xword 14
36561 .xword 5
36562 .xword 12
36563 .xword 10
36564 .xword 8
36565 .xword 1
36566 .xword 4
36567 .xword 4
36568 .xword 10
36569 .xword 15
36570 .xword 2
36571 .xword 12
36572 .xword 11
36573 .xword 7
36574 .xword 7
36575 .xword 12
36576 .xword 13
36577 .xword 9
36578 .xword 5
36579 .xword 15
36580 .xword 7
36581 .xword 4
36582 .xword 7
36583 .xword 13
36584 .xword 0
36585 .xword 2
36586 .xword 4
36587 .xword 8
36588 .xword 9
36589 .xword 6
36590 .xword 8
36591 .xword 0
36592 .xword 0
36593 .xword 4
36594 .xword 8
36595 .xword 9
36596 .xword 13
36597 .xword 10
36598 .xword 7
36599 .xword 7
36600 .xword 1
36601 .xword 0
36602 .xword 10
36603 .xword 4
36604 .xword 7
36605 .xword 4
36606 .xword 10
36607 .xword 6
36608 .xword 5
36609 .xword 14
36610 .xword 6
36611 .xword 15
36612 .xword 9
36613 .xword 11
36614 .xword 6
36615 .xword 2
36616 .xword 7
36617 .xword 14
36618 .xword 9
36619 .xword 2
36620 .xword 5
36621 .xword 3
36622 .xword 10
36623 .xword 13
36624 .xword 9
36625 .xword 8
36626 .xword 4
36627 .xword 11
36628 .xword 8
36629 .xword 11
36630 .xword 3
36631 .xword 7
36632 .xword 15
36633 .xword 15
36634 .xword 8
36635_t1_aes_src:
36636 .xword 0x85b4f507f661ca33
36637 .xword 0x5f86ebebdb083b49
36638 .xword 0xa05556b50490c414
36639 .xword 0x6593bfb3025c1f3e
36640 .xword 0x17cb8e0d61985779
36641 .xword 0x3cf82600976c9dd5
36642 .xword 0x712488fab814f25c
36643 .xword 0x88550cdb4e5889f2
36644 .xword 0xff6e21d7f3351b9b
36645 .xword 0x5491c52a4fb32108
36646 .xword 0x30a626b37d55be7f
36647 .xword 0xb079f590171f0655
36648 .xword 0xc199df0fc2efe30c
36649 .xword 0x65e9424317c89266
36650 .xword 0x99caeff747e413f7
36651 .xword 0xfb1b5f6472f1244e
36652 .xword 0x2be78fff1b7c9ec9
36653 .xword 0xd83e871694c60901
36654 .xword 0x0a3ffadf9ef5f0d6
36655 .xword 0xe0881e9df0efb5a1
36656 .xword 0x516fb6087bf87b65
36657 .xword 0xf45737a04b899f6b
36658 .xword 0x50385126ed39b69b
36659 .xword 0x71c58cb523b2c8f0
36660 .xword 0x9ddb9e3cfb3583e5
36661 .xword 0x7a92ded6ace3a98e
36662 .xword 0x97d56f2d545363bb
36663 .xword 0x25dd220f09f69ceb
36664 .xword 0x1e4c81516bc005e8
36665 .xword 0x4a6dbc7da52703e1
36666 .xword 0x3f427b87d8aed8bf
36667 .xword 0x7b2764f68d112e65
36668 .xword 0xa44ee7a4a80854ff
36669 .xword 0x69ad33fe8d05de23
36670 .xword 0xec01db894679b9a7
36671 .xword 0x32edd6ab182d66a3
36672 .xword 0x94ebfaf45d195f20
36673 .xword 0x99a70aca6747a8b7
36674 .xword 0x048f303aa51f3466
36675 .xword 0x48d144b919f52263
36676 .xword 0x190004cb59328bb9
36677 .xword 0x77a9da59bdd58af4
36678 .xword 0x353e8fd8cd09f7fd
36679 .xword 0x7ebc898400392ad6
36680 .xword 0x396758061f2b5b9a
36681 .xword 0xa2cb805d1558adad
36682 .xword 0xe9c6fcf744e72737
36683 .xword 0x4ddbc674ed8aa8f1
36684 .xword 0x74093700a611105a
36685 .xword 0xed640f22e1bbc684
36686 .xword 0x6dd6aeb568182a3f
36687 .xword 0xef57d267b2c28d01
36688 .xword 0xe2534fb3e8ef00b3
36689 .xword 0x2e18eda39426c353
36690 .xword 0x201f4a0be7b508df
36691 .xword 0x8ba5d8baaae00291
36692 .xword 0x8e75b26ee606a9ed
36693 .xword 0x36672dca5fe89330
36694 .xword 0x5e507d8df04b9856
36695 .xword 0x8211e3d0b146aab1
36696 .xword 0x843dec3349a87af5
36697 .xword 0xb3ae651e40395db6
36698 .xword 0x2fbb0c98b03657eb
36699 .xword 0x2334677c339c032e
36700 .xword 0x9cb41625811ce458
36701 .xword 0xf0754a446cde310d
36702 .xword 0x545fd44861b9d82c
36703 .xword 0x592a7620a9f06365
36704 .xword 0x88e38d8dc39e6bbe
36705 .xword 0x23efd2cb5c05b7c8
36706 .xword 0x0e0acd5960e7152e
36707 .xword 0xfdf014558e0b4a00
36708 .xword 0x9e2690015446cfc3
36709 .xword 0xbaf48b6d7e520adc
36710 .xword 0x0266f78608fd3f06
36711 .xword 0x1da45cf3826f9e80
36712 .xword 0xc77acbfdcaa6bff9
36713 .xword 0x49be81dfa1651d4c
36714 .xword 0x03c724881e4f5c9c
36715 .xword 0x7167e02eb45a5a59
36716 .xword 0x44f7d3182a89d6d9
36717 .xword 0x18ac0f3371e01476
36718 .xword 0x609d3e0839089e34
36719 .xword 0xd8950b9227565aaf
36720 .xword 0xbc66529a092dd2a0
36721 .xword 0xbd3761e356c1a38e
36722 .xword 0xf85f91f8f3ce4867
36723 .xword 0x650c99d14b8c8d23
36724 .xword 0xfb07fa224f640d5a
36725 .xword 0x5ac874a9c93db5b2
36726 .xword 0x930442f1f25737cd
36727 .xword 0xcd0744921a997ebe
36728 .xword 0x48eebc49645dd277
36729 .xword 0x15bbaae111084172
36730 .xword 0x298aa3833a1802ed
36731 .xword 0x0d6f7d8b03f3a546
36732 .xword 0xc05229eb31f50b54
36733 .xword 0x3a0702810fd4efc7
36734 .xword 0xe606c6b0d6cf794d
36735 .xword 0x02732d729b21144c
36736 .xword 0xf107d7bc753ceb93
36737 .xword 0xf04d82f6b8926f0f
36738 .xword 0x6de261154daf6b36
36739 .xword 0xe9a6bc405df9bfe6
36740 .xword 0xd0f1607a1e75803c
36741 .xword 0x3ab6bc5e8f2a001f
36742 .xword 0x97ad882d3c4fd353
36743 .xword 0x3b64bc548bc8ed0b
36744 .xword 0x2afc5eb4664b13e8
36745 .xword 0x01d4f9dd624d0260
36746 .xword 0xd1e4710df5b8e308
36747 .xword 0x6e0d61c1cab17c62
36748 .xword 0xe2f12ba4c151d0c7
36749 .xword 0xd0b28f52bb23c674
36750 .xword 0x02330c9090a6e262
36751 .xword 0x0f793beba7c2c1e3
36752 .xword 0xf2a0bd883b1316e8
36753 .xword 0xb68493e0e2331eee
36754 .xword 0x3d6bfb2c5b46d82b
36755 .xword 0xc07e69b2cdfa372e
36756 .xword 0x011bc6258f8172b6
36757 .xword 0x4ae1e5761fc43cad
36758 .xword 0xfe7fe823ae1512b4
36759 .xword 0xee3ef109bd6965f1
36760 .xword 0xa175bfc415845a37
36761 .xword 0x06f18b4c66fec919
36762 .xword 0x6ce6f1d65e17d920
36763 .xword 0xe95a3a13759c7cdb
36764 .xword 0x3e89180234a6014e
36765 .xword 0x7d8196eb60e9092d
36766 .xword 0xfa6f081d92a33ec6
36767 .xword 0x722b94325db5657e
36768 .xword 0xb77fa02177de0d0c
36769 .xword 0xa323f369783bd1c5
36770 .xword 0xe8391598cbb580d6
36771 .xword 0x1386715dae83f060
36772 .xword 0xc84688fac4719674
36773 .xword 0xea8914f2e25419a3
36774 .xword 0x9bb419283a79bf59
36775 .xword 0x46b393e1c36319e0
36776 .xword 0xe3781832036906a7
36777 .xword 0xcb3df3fc180ae71d
36778 .xword 0x9e5eec424028c399
36779 .xword 0xdf6cdf7572f44498
36780 .xword 0xe88ce69706846e23
36781 .xword 0x3ef00cb16fe88fee
36782 .xword 0xb4541ec171b3303c
36783 .xword 0xac7da0a882aad804
36784 .xword 0xedda1ac6105035c6
36785 .xword 0x3ef8150ec3d9cdb9
36786 .xword 0x2cb49f44ba751b7e
36787 .xword 0xaf52dd17d3547cbd
36788 .xword 0x023d773e9268cf4c
36789 .xword 0x55ed4a593986e543
36790 .xword 0xf41c3436d05f3f3c
36791 .xword 0x423c0bef765d7446
36792 .xword 0x610f00b0f3cb90fb
36793 .xword 0x25e07c306055634f
36794 .xword 0x0ff09ca6a8da42c9
36795 .xword 0x595f5c603b6e5840
36796 .xword 0x5172d0842f3604de
36797 .xword 0xbfcea4901da601d5
36798 .xword 0xf5b80f4f47cea320
36799 .xword 0x3ce24db813747d27
36800 .xword 0xfd94e11c5662c7b7
36801 .xword 0x992443080b1a1799
36802 .xword 0xb750b9c1da7502f9
36803 .xword 0xf6f1fc2db52a52d1
36804 .xword 0xb45f62413d8849d0
36805 .xword 0x3b4bdc300dd91a5c
36806 .xword 0x9eca9977c1262a66
36807 .xword 0xedf210fa7253ae16
36808 .xword 0xa8d820f2b0a6909b
36809 .xword 0xb323a6a60b0dfb42
36810 .xword 0xabb91538074aa150
36811 .xword 0x5c3a52321b1ad509
36812 .xword 0x5c3f2fcd827176f2
36813 .xword 0x87ab44d6bb1fc777
36814 .xword 0x7ed39503e6e2fab4
36815 .xword 0x3fe2fce78acb39b6
36816 .xword 0x8ce538f30fc7fb13
36817 .xword 0xc2bf5e5b7b593f0f
36818 .xword 0xad2be3cf73a34b5b
36819 .xword 0x01ff30bc1785024f
36820 .xword 0xf44cb2e5b0b5136b
36821 .xword 0x73173e0616352150
36822 .xword 0x19c097109427284d
36823 .xword 0x21914550d4804ee6
36824 .xword 0x77c44457a3a303e9
36825 .xword 0xb08143bdf3d35d06
36826 .xword 0x663fa739b83d6840
36827 .xword 0xc65f99459745941d
36828 .xword 0x20dab70209ebecde
36829 .xword 0x6bef6f84ba931cba
36830 .xword 0x6a11517778167ca7
36831 .xword 0x6465887303436bf3
36832 .xword 0x4d2c97e3ee54ffd0
36833 .xword 0x1b6e7ee0846311d8
36834 .xword 0x218185384f66d022
36835 .xword 0xf07da63cefba353f
36836 .xword 0xb9689c5848775bd5
36837 .xword 0x921dda101586a46e
36838 .xword 0xcecfec1134259412
36839 .xword 0xc9eb6471fc8654ee
36840 .xword 0x44b65075f48ff4c7
36841 .xword 0xbc834be90772b4de
36842 .xword 0x76391f5ec87a2e6d
36843 .xword 0x42d2e81b468f1236
36844 .xword 0x5beda1187ca7248e
36845 .xword 0x994a7ad51091df22
36846 .xword 0x39c192d383fa61c1
36847 .xword 0xc88fae7705c255e0
36848 .xword 0x84fac41914d98106
36849 .xword 0xb08950937b5a812b
36850 .xword 0xff55d47e1adea808
36851 .xword 0xfb6c43d146cb90be
36852 .xword 0x99bee6391c4785f0
36853 .xword 0x11f590590e666e74
36854 .xword 0xfe7da1506d93668d
36855 .xword 0xb21044a1321d5a14
36856 .xword 0x28b914fe8839e07d
36857 .xword 0x86199b58a3ee11b3
36858 .xword 0xb89fd7b9fb830e61
36859 .xword 0x8633ac9270b5e195
36860 .xword 0x0f897bbb205c4fdb
36861 .xword 0xd534d21a1fba4d6f
36862 .xword 0xdd6791cf03833eb6
36863 .xword 0xb4621074822e5ba2
36864 .xword 0x6c77538f86e075d8
36865 .xword 0xd4df8dd8424a26eb
36866 .xword 0x6153559cc6e43d3f
36867 .xword 0xb43121d37d06dfa0
36868 .xword 0x59e4f9adc5046157
36869 .xword 0x72f18b3d49f0da64
36870 .xword 0xa19d2746dff41da9
36871 .xword 0x05dde86ed8f47325
36872 .xword 0x6ed85f292b5894fb
36873 .xword 0x908f9fcb029d4e99
36874 .xword 0xa71ec95e7de7dd58
36875 .xword 0xb2eebb914ac0b54c
36876 .xword 0xbcc60a69c84a6a5a
36877 .xword 0x477577fa665854ea
36878 .xword 0xdde82e0b6182e3b5
36879 .xword 0x0f8b31645573e291
36880 .xword 0x70c03278d410a169
36881 .xword 0x35044011488b01db
36882 .xword 0xb53bd1b1ef48e9dd
36883 .xword 0x9a73d6d84354a764
36884 .xword 0xd9274c08e05dd42f
36885 .xword 0x4278aed62bc9dadb
36886 .xword 0x669b56bb8b75c244
36887 .xword 0x0f30716f36f2b06a
36888 .xword 0xe8e503361a32d9fd
36889 .xword 0xb3f58df05d3764db
36890 .xword 0xa4c7a5f344773b23
36891 .xword 0x4ac204bd2640ab40
36892 .xword 0x68b80ba3c4fcdb40
36893 .xword 0x0946e47d1ff3260e
36894 .xword 0xb4249baf1a19f4ec
36895 .xword 0xdcfff940c0a47d05
36896 .xword 0xf7e76ab22b0d862f
36897 .xword 0x0ad241c4bc76ea67
36898 .xword 0x4cb9ca736237302e
36899 .xword 0xa575bb860998b705
36900 .xword 0x18097c356fc1b163
36901 .xword 0xd37f27c820a4cb1c
36902 .xword 0xe82e6a2f01104a0f
36903 .xword 0x8076eaa14eced8f4
36904 .xword 0x1fdf0d95c5015617
36905 .xword 0x78a1e6eaf2d72c63
36906 .xword 0x00ee26eaa712f021
36907 .xword 0xdf38de000b353f1e
36908 .xword 0x402846c9b4c19d88
36909 .xword 0x69ca332f3f2af795
36910 .xword 0x61c22b2aee0c8d7c
36911_t1_aes_dest:
36912 .xword 0xDEADBEEFDEADBEEF
36913 .xword 0xDEADBEEFDEADBEEF
36914 .xword 0xDEADBEEFDEADBEEF
36915 .xword 0xDEADBEEFDEADBEEF
36916 .xword 0xDEADBEEFDEADBEEF
36917 .xword 0xDEADBEEFDEADBEEF
36918 .xword 0xDEADBEEFDEADBEEF
36919 .xword 0xDEADBEEFDEADBEEF
36920 .xword 0xDEADBEEFDEADBEEF
36921 .xword 0xDEADBEEFDEADBEEF
36922 .xword 0xDEADBEEFDEADBEEF
36923 .xword 0xDEADBEEFDEADBEEF
36924 .xword 0xDEADBEEFDEADBEEF
36925 .xword 0xDEADBEEFDEADBEEF
36926 .xword 0xDEADBEEFDEADBEEF
36927 .xword 0xDEADBEEFDEADBEEF
36928 .xword 0xDEADBEEFDEADBEEF
36929 .xword 0xDEADBEEFDEADBEEF
36930 .xword 0xDEADBEEFDEADBEEF
36931 .xword 0xDEADBEEFDEADBEEF
36932 .xword 0xDEADBEEFDEADBEEF
36933 .xword 0xDEADBEEFDEADBEEF
36934 .xword 0xDEADBEEFDEADBEEF
36935 .xword 0xDEADBEEFDEADBEEF
36936 .xword 0xDEADBEEFDEADBEEF
36937 .xword 0xDEADBEEFDEADBEEF
36938 .xword 0xDEADBEEFDEADBEEF
36939 .xword 0xDEADBEEFDEADBEEF
36940 .xword 0xDEADBEEFDEADBEEF
36941 .xword 0xDEADBEEFDEADBEEF
36942 .xword 0xDEADBEEFDEADBEEF
36943 .xword 0xDEADBEEFDEADBEEF
36944 .xword 0xDEADBEEFDEADBEEF
36945 .xword 0xDEADBEEFDEADBEEF
36946 .xword 0xDEADBEEFDEADBEEF
36947 .xword 0xDEADBEEFDEADBEEF
36948 .xword 0xDEADBEEFDEADBEEF
36949 .xword 0xDEADBEEFDEADBEEF
36950 .xword 0xDEADBEEFDEADBEEF
36951 .xword 0xDEADBEEFDEADBEEF
36952 .xword 0xDEADBEEFDEADBEEF
36953 .xword 0xDEADBEEFDEADBEEF
36954 .xword 0xDEADBEEFDEADBEEF
36955 .xword 0xDEADBEEFDEADBEEF
36956 .xword 0xDEADBEEFDEADBEEF
36957 .xword 0xDEADBEEFDEADBEEF
36958 .xword 0xDEADBEEFDEADBEEF
36959 .xword 0xDEADBEEFDEADBEEF
36960 .xword 0xDEADBEEFDEADBEEF
36961 .xword 0xDEADBEEFDEADBEEF
36962 .xword 0xDEADBEEFDEADBEEF
36963 .xword 0xDEADBEEFDEADBEEF
36964 .xword 0xDEADBEEFDEADBEEF
36965 .xword 0xDEADBEEFDEADBEEF
36966 .xword 0xDEADBEEFDEADBEEF
36967 .xword 0xDEADBEEFDEADBEEF
36968 .xword 0xDEADBEEFDEADBEEF
36969 .xword 0xDEADBEEFDEADBEEF
36970 .xword 0xDEADBEEFDEADBEEF
36971 .xword 0xDEADBEEFDEADBEEF
36972 .xword 0xDEADBEEFDEADBEEF
36973 .xword 0xDEADBEEFDEADBEEF
36974 .xword 0xDEADBEEFDEADBEEF
36975 .xword 0xDEADBEEFDEADBEEF
36976 .xword 0xDEADBEEFDEADBEEF
36977 .xword 0xDEADBEEFDEADBEEF
36978 .xword 0xDEADBEEFDEADBEEF
36979 .xword 0xDEADBEEFDEADBEEF
36980 .xword 0xDEADBEEFDEADBEEF
36981 .xword 0xDEADBEEFDEADBEEF
36982 .xword 0xDEADBEEFDEADBEEF
36983 .xword 0xDEADBEEFDEADBEEF
36984 .xword 0xDEADBEEFDEADBEEF
36985 .xword 0xDEADBEEFDEADBEEF
36986 .xword 0xDEADBEEFDEADBEEF
36987 .xword 0xDEADBEEFDEADBEEF
36988 .xword 0xDEADBEEFDEADBEEF
36989 .xword 0xDEADBEEFDEADBEEF
36990 .xword 0xDEADBEEFDEADBEEF
36991 .xword 0xDEADBEEFDEADBEEF
36992 .xword 0xDEADBEEFDEADBEEF
36993 .xword 0xDEADBEEFDEADBEEF
36994 .xword 0xDEADBEEFDEADBEEF
36995 .xword 0xDEADBEEFDEADBEEF
36996 .xword 0xDEADBEEFDEADBEEF
36997 .xword 0xDEADBEEFDEADBEEF
36998 .xword 0xDEADBEEFDEADBEEF
36999 .xword 0xDEADBEEFDEADBEEF
37000 .xword 0xDEADBEEFDEADBEEF
37001 .xword 0xDEADBEEFDEADBEEF
37002 .xword 0xDEADBEEFDEADBEEF
37003 .xword 0xDEADBEEFDEADBEEF
37004 .xword 0xDEADBEEFDEADBEEF
37005 .xword 0xDEADBEEFDEADBEEF
37006 .xword 0xDEADBEEFDEADBEEF
37007 .xword 0xDEADBEEFDEADBEEF
37008 .xword 0xDEADBEEFDEADBEEF
37009 .xword 0xDEADBEEFDEADBEEF
37010 .xword 0xDEADBEEFDEADBEEF
37011 .xword 0xDEADBEEFDEADBEEF
37012 .xword 0xDEADBEEFDEADBEEF
37013 .xword 0xDEADBEEFDEADBEEF
37014 .xword 0xDEADBEEFDEADBEEF
37015 .xword 0xDEADBEEFDEADBEEF
37016 .xword 0xDEADBEEFDEADBEEF
37017 .xword 0xDEADBEEFDEADBEEF
37018 .xword 0xDEADBEEFDEADBEEF
37019 .xword 0xDEADBEEFDEADBEEF
37020 .xword 0xDEADBEEFDEADBEEF
37021 .xword 0xDEADBEEFDEADBEEF
37022 .xword 0xDEADBEEFDEADBEEF
37023 .xword 0xDEADBEEFDEADBEEF
37024 .xword 0xDEADBEEFDEADBEEF
37025 .xword 0xDEADBEEFDEADBEEF
37026 .xword 0xDEADBEEFDEADBEEF
37027 .xword 0xDEADBEEFDEADBEEF
37028 .xword 0xDEADBEEFDEADBEEF
37029 .xword 0xDEADBEEFDEADBEEF
37030 .xword 0xDEADBEEFDEADBEEF
37031 .xword 0xDEADBEEFDEADBEEF
37032 .xword 0xDEADBEEFDEADBEEF
37033 .xword 0xDEADBEEFDEADBEEF
37034 .xword 0xDEADBEEFDEADBEEF
37035 .xword 0xDEADBEEFDEADBEEF
37036 .xword 0xDEADBEEFDEADBEEF
37037 .xword 0xDEADBEEFDEADBEEF
37038 .xword 0xDEADBEEFDEADBEEF
37039 .xword 0xDEADBEEFDEADBEEF
37040 .xword 0xDEADBEEFDEADBEEF
37041 .xword 0xDEADBEEFDEADBEEF
37042 .xword 0xDEADBEEFDEADBEEF
37043 .xword 0xDEADBEEFDEADBEEF
37044 .xword 0xDEADBEEFDEADBEEF
37045 .xword 0xDEADBEEFDEADBEEF
37046 .xword 0xDEADBEEFDEADBEEF
37047 .xword 0xDEADBEEFDEADBEEF
37048 .xword 0xDEADBEEFDEADBEEF
37049 .xword 0xDEADBEEFDEADBEEF
37050 .xword 0xDEADBEEFDEADBEEF
37051 .xword 0xDEADBEEFDEADBEEF
37052 .xword 0xDEADBEEFDEADBEEF
37053 .xword 0xDEADBEEFDEADBEEF
37054 .xword 0xDEADBEEFDEADBEEF
37055 .xword 0xDEADBEEFDEADBEEF
37056 .xword 0xDEADBEEFDEADBEEF
37057 .xword 0xDEADBEEFDEADBEEF
37058 .xword 0xDEADBEEFDEADBEEF
37059 .xword 0xDEADBEEFDEADBEEF
37060 .xword 0xDEADBEEFDEADBEEF
37061 .xword 0xDEADBEEFDEADBEEF
37062 .xword 0xDEADBEEFDEADBEEF
37063 .xword 0xDEADBEEFDEADBEEF
37064 .xword 0xDEADBEEFDEADBEEF
37065 .xword 0xDEADBEEFDEADBEEF
37066 .xword 0xDEADBEEFDEADBEEF
37067 .xword 0xDEADBEEFDEADBEEF
37068 .xword 0xDEADBEEFDEADBEEF
37069 .xword 0xDEADBEEFDEADBEEF
37070 .xword 0xDEADBEEFDEADBEEF
37071 .xword 0xDEADBEEFDEADBEEF
37072 .xword 0xDEADBEEFDEADBEEF
37073 .xword 0xDEADBEEFDEADBEEF
37074 .xword 0xDEADBEEFDEADBEEF
37075 .xword 0xDEADBEEFDEADBEEF
37076 .xword 0xDEADBEEFDEADBEEF
37077 .xword 0xDEADBEEFDEADBEEF
37078 .xword 0xDEADBEEFDEADBEEF
37079 .xword 0xDEADBEEFDEADBEEF
37080 .xword 0xDEADBEEFDEADBEEF
37081 .xword 0xDEADBEEFDEADBEEF
37082 .xword 0xDEADBEEFDEADBEEF
37083 .xword 0xDEADBEEFDEADBEEF
37084 .xword 0xDEADBEEFDEADBEEF
37085 .xword 0xDEADBEEFDEADBEEF
37086 .xword 0xDEADBEEFDEADBEEF
37087 .xword 0xDEADBEEFDEADBEEF
37088 .xword 0xDEADBEEFDEADBEEF
37089 .xword 0xDEADBEEFDEADBEEF
37090 .xword 0xDEADBEEFDEADBEEF
37091 .xword 0xDEADBEEFDEADBEEF
37092 .xword 0xDEADBEEFDEADBEEF
37093 .xword 0xDEADBEEFDEADBEEF
37094 .xword 0xDEADBEEFDEADBEEF
37095 .xword 0xDEADBEEFDEADBEEF
37096 .xword 0xDEADBEEFDEADBEEF
37097 .xword 0xDEADBEEFDEADBEEF
37098 .xword 0xDEADBEEFDEADBEEF
37099 .xword 0xDEADBEEFDEADBEEF
37100 .xword 0xDEADBEEFDEADBEEF
37101 .xword 0xDEADBEEFDEADBEEF
37102 .xword 0xDEADBEEFDEADBEEF
37103 .xword 0xDEADBEEFDEADBEEF
37104 .xword 0xDEADBEEFDEADBEEF
37105 .xword 0xDEADBEEFDEADBEEF
37106 .xword 0xDEADBEEFDEADBEEF
37107 .xword 0xDEADBEEFDEADBEEF
37108 .xword 0xDEADBEEFDEADBEEF
37109 .xword 0xDEADBEEFDEADBEEF
37110 .xword 0xDEADBEEFDEADBEEF
37111 .xword 0xDEADBEEFDEADBEEF
37112 .xword 0xDEADBEEFDEADBEEF
37113 .xword 0xDEADBEEFDEADBEEF
37114 .xword 0xDEADBEEFDEADBEEF
37115 .xword 0xDEADBEEFDEADBEEF
37116 .xword 0xDEADBEEFDEADBEEF
37117 .xword 0xDEADBEEFDEADBEEF
37118 .xword 0xDEADBEEFDEADBEEF
37119 .xword 0xDEADBEEFDEADBEEF
37120 .xword 0xDEADBEEFDEADBEEF
37121 .xword 0xDEADBEEFDEADBEEF
37122 .xword 0xDEADBEEFDEADBEEF
37123 .xword 0xDEADBEEFDEADBEEF
37124 .xword 0xDEADBEEFDEADBEEF
37125 .xword 0xDEADBEEFDEADBEEF
37126 .xword 0xDEADBEEFDEADBEEF
37127 .xword 0xDEADBEEFDEADBEEF
37128 .xword 0xDEADBEEFDEADBEEF
37129 .xword 0xDEADBEEFDEADBEEF
37130 .xword 0xDEADBEEFDEADBEEF
37131 .xword 0xDEADBEEFDEADBEEF
37132 .xword 0xDEADBEEFDEADBEEF
37133 .xword 0xDEADBEEFDEADBEEF
37134 .xword 0xDEADBEEFDEADBEEF
37135 .xword 0xDEADBEEFDEADBEEF
37136 .xword 0xDEADBEEFDEADBEEF
37137 .xword 0xDEADBEEFDEADBEEF
37138 .xword 0xDEADBEEFDEADBEEF
37139 .xword 0xDEADBEEFDEADBEEF
37140 .xword 0xDEADBEEFDEADBEEF
37141 .xword 0xDEADBEEFDEADBEEF
37142 .xword 0xDEADBEEFDEADBEEF
37143 .xword 0xDEADBEEFDEADBEEF
37144 .xword 0xDEADBEEFDEADBEEF
37145 .xword 0xDEADBEEFDEADBEEF
37146 .xword 0xDEADBEEFDEADBEEF
37147 .xword 0xDEADBEEFDEADBEEF
37148 .xword 0xDEADBEEFDEADBEEF
37149 .xword 0xDEADBEEFDEADBEEF
37150 .xword 0xDEADBEEFDEADBEEF
37151 .xword 0xDEADBEEFDEADBEEF
37152 .xword 0xDEADBEEFDEADBEEF
37153 .xword 0xDEADBEEFDEADBEEF
37154 .xword 0xDEADBEEFDEADBEEF
37155 .xword 0xDEADBEEFDEADBEEF
37156 .xword 0xDEADBEEFDEADBEEF
37157 .xword 0xDEADBEEFDEADBEEF
37158 .xword 0xDEADBEEFDEADBEEF
37159 .xword 0xDEADBEEFDEADBEEF
37160 .xword 0xDEADBEEFDEADBEEF
37161 .xword 0xDEADBEEFDEADBEEF
37162 .xword 0xDEADBEEFDEADBEEF
37163 .xword 0xDEADBEEFDEADBEEF
37164 .xword 0xDEADBEEFDEADBEEF
37165 .xword 0xDEADBEEFDEADBEEF
37166 .xword 0xDEADBEEFDEADBEEF
37167 .xword 0xDEADBEEFDEADBEEF
37168 .xword 0xDEADBEEFDEADBEEF
37169 .xword 0xDEADBEEFDEADBEEF
37170 .xword 0xDEADBEEFDEADBEEF
37171 .xword 0xDEADBEEFDEADBEEF
37172 .xword 0xDEADBEEFDEADBEEF
37173 .xword 0xDEADBEEFDEADBEEF
37174 .xword 0xDEADBEEFDEADBEEF
37175 .xword 0xDEADBEEFDEADBEEF
37176 .xword 0xDEADBEEFDEADBEEF
37177 .xword 0xDEADBEEFDEADBEEF
37178 .xword 0xDEADBEEFDEADBEEF
37179 .xword 0xDEADBEEFDEADBEEF
37180 .xword 0xDEADBEEFDEADBEEF
37181 .xword 0xDEADBEEFDEADBEEF
37182 .xword 0xDEADBEEFDEADBEEF
37183 .xword 0xDEADBEEFDEADBEEF
37184 .xword 0xDEADBEEFDEADBEEF
37185 .xword 0xDEADBEEFDEADBEEF
37186 .xword 0xDEADBEEFDEADBEEF
37187_t1_aes_auth_key:
37188 .xword 0x27d392a0861e76fe
37189 .xword 0x163615a9406fe285
37190 .xword 0x6f847aca3369017c
37191 .xword 0x0c1eac1eaf2d0127
37192 .xword 0xd0698b9406c2860f
37193 .xword 0xfcf57a79f5200df3
37194 .xword 0x3d4c35bcddf108c6
37195 .xword 0x8f8d1805d23a227f
37196 .xword 0x62d135a5d03035ea
37197 .xword 0x0dc5ff37d1545571
37198 .xword 0x3d362b813278896a
37199 .xword 0xd7816dd1f4759a21
37200 .xword 0xbe26317e79a9fc1f
37201 .xword 0x59f340b19e181996
37202 .xword 0xd3e9ae8022f9b084
37203 .xword 0xce37c8891662e07d
37204 .xword 0xc5231030972a6a39
37205 .xword 0x568167f473f6480c
37206 .xword 0x00f46e0622c35d80
37207 .xword 0x0bd16057c81bea45
37208 .xword 0x6bc17383241a7995
37209 .xword 0xade9e6886711003a
37210 .xword 0xb97589abfa667b50
37211_t1_aes_auth_iv:
37212 .xword 0xfd26de641f6f98e0
37213 .xword 0x197096a18c3c3cb1
37214 .xword 0x7e40e5ec0cd08a73
37215 .xword 0xe12a1c533aba2bc3
37216 .xword 0x12dd041492c786f1
37217 .xword 0x6a03dff4a89bc554
37218 .xword 0x280ab5b6d8695cc0
37219 .xword 0x4dd65f9555351b71
37220 .xword 0x08fb400ec65d16e8
37221 .xword 0x943888e431b3939d
37222 .xword 0x0708caf3fc4c7c41
37223 .xword 0x1cee523f863ccb16
37224 .xword 0xaaac8991484a0be4
37225 .xword 0x4081703d0c00737c
37226 .xword 0xf9fdfe8b9351d07a
37227 .xword 0xb1ad26ff85270b6d
37228 .xword 0x2b7236ddef1487b5
37229 .xword 0x3132900cae6712bb
37230 .xword 0xa1a1e99dd3b81029
37231 .xword 0xdf10eb0105459f2d
37232 .xword 0xfeb267837bbd9b8d
37233 .xword 0xb8484f385ef3c3a8
37234 .xword 0x1073b1b11e8d7b13
37235_t1_aes_fas_result:
37236 .xword 0xDEADBEEFDEADBEEF
37237 .xword 0xDEADBEEFDEADBEEF
37238 .xword 0xDEADBEEFDEADBEEF
37239 .xword 0xDEADBEEFDEADBEEF
37240 .xword 0xDEADBEEFDEADBEEF
37241 .xword 0xDEADBEEFDEADBEEF
37242 .xword 0xDEADBEEFDEADBEEF
37243 .xword 0xDEADBEEFDEADBEEF
37244 .xword 0xDEADBEEFDEADBEEF
37245 .xword 0xDEADBEEFDEADBEEF
37246 .xword 0xDEADBEEFDEADBEEF
37247 .xword 0xDEADBEEFDEADBEEF
37248 .xword 0xDEADBEEFDEADBEEF
37249 .xword 0xDEADBEEFDEADBEEF
37250 .xword 0xDEADBEEFDEADBEEF
37251 .xword 0xDEADBEEFDEADBEEF
37252 .xword 0xDEADBEEFDEADBEEF
37253 .xword 0xDEADBEEFDEADBEEF
37254 .xword 0xDEADBEEFDEADBEEF
37255 .xword 0xDEADBEEFDEADBEEF
37256 .xword 0xDEADBEEFDEADBEEF
37257 .xword 0xDEADBEEFDEADBEEF
37258 .xword 0xDEADBEEFDEADBEEF
37259_t1_des_key_array:
37260 .xword 0x9d9dedc068444dd8
37261 .xword 0x416c44f8f3137834
37262 .xword 0xe5cc0b42f22938a8
37263 .xword 0x83c89c9544de1b74
37264 .xword 0xdc186c9e1a617b47
37265 .xword 0xc18f5e4eec1469ee
37266 .xword 0xb61c5330bd983ebb
37267 .xword 0x65a73b332c68e84d
37268 .xword 0x23da33ced6eb6242
37269 .xword 0xf96be4bc139f394b
37270 .xword 0x6c86146455527149
37271 .xword 0x0cf646203e8b44b3
37272 .xword 0xbb4d4ef2984b4dc7
37273 .xword 0xc91efb765ed976ca
37274 .xword 0xaad0ef0f3d4fa9ea
37275 .xword 0xbf8d9cfcce26bee2
37276 .xword 0x7e5737a4fa496be1
37277 .xword 0x19326f114d3aa71b
37278 .xword 0x8491dcc7863c89f0
37279 .xword 0xe25553f224f18982
37280 .xword 0xc8fc9366526bdfa0
37281 .xword 0x14216fd7485067d3
37282 .xword 0x76054b26a53b071a
37283 .xword 0xae86120b1423a508
37284 .xword 0x442f898f9b23f2dc
37285 .xword 0x24f08cb33b9bbb48
37286 .xword 0x560af0cdf5b3e00d
37287 .xword 0x2e68055c66796627
37288 .xword 0xb05d144ec62a8671
37289 .xword 0xe844a1e7862bfb46
37290 .xword 0x05f0861e134918f1
37291 .xword 0x6f4fbe0c21f664b6
37292 .xword 0x682f8dee13efc0b8
37293 .xword 0x6aed67367c8f66e1
37294 .xword 0x8d54f13d6ec79a61
37295 .xword 0x997c7a8d0b3047c1
37296 .xword 0xa6e94c2ed73a0c69
37297 .xword 0x54ff14b63b2a533f
37298 .xword 0x76882070f91d8871
37299 .xword 0x13b0b0ea3a377b53
37300 .xword 0x2ed66619666752d4
37301 .xword 0x9317bbe5237ba27c
37302 .xword 0xf2b93e7350d21d6a
37303 .xword 0xc7d82cebe8dddac3
37304 .xword 0x37855cf6815f993b
37305 .xword 0x10f8c864bf35705e
37306 .xword 0xa6fe9c88fc2a5aee
37307 .xword 0xc2b3071486eddfe6
37308 .xword 0x4632bfab06680b54
37309 .xword 0x56a7550a2e1d45bb
37310 .xword 0x6051fdb3ac2d7ec0
37311_t1_des_iv_array:
37312 .xword 0x89c17b593ce59dcf
37313 .xword 0x2ea1e447c7e52633
37314 .xword 0x59cbdf323d30e592
37315 .xword 0x42c0a14a0f930366
37316 .xword 0x2eef586c0f2de15b
37317 .xword 0x4a14f3fd76a46ffe
37318 .xword 0xb38faa74cd324142
37319 .xword 0x9b6a3069fd26db3a
37320 .xword 0x9aec3eb8333b803b
37321 .xword 0x463e767a98e206a8
37322 .xword 0x8b2875742e69d6f6
37323 .xword 0x95ef82ad8755a6ac
37324 .xword 0xceb2170065a4a5d9
37325 .xword 0xb1355e0ca9d2f0ec
37326 .xword 0x241de53e169b0f9c
37327 .xword 0xfcee83c584503eee
37328 .xword 0x7eeffaadcde11694
37329 .xword 0xe338030fd9fb83c2
37330 .xword 0x743946672d9d9795
37331 .xword 0xe94b3c6ea0faf622
37332 .xword 0x13363b609dde4b5e
37333 .xword 0xdc722e4ea7b0bd76
37334 .xword 0x23b28f654380ef8c
37335 .xword 0x97d8bd813331732a
37336 .xword 0x62ce91c32d040482
37337 .xword 0x254192e054390893
37338 .xword 0x4bdb11a8dbe34860
37339 .xword 0xdf9a9a225db3e797
37340 .xword 0x233709957d49dae5
37341 .xword 0xcaedd3eb728ba919
37342 .xword 0xa160b62b55bd609a
37343 .xword 0x696c039ee1b84990
37344 .xword 0xd66b85af24580a0b
37345 .xword 0x126afb0283ea9e6a
37346 .xword 0xf267e9418e201c4c
37347 .xword 0x41b6b21153d19f42
37348 .xword 0x598d5cdf23fad12f
37349 .xword 0x40ee9fe0b53cc7d6
37350 .xword 0x21b5c15cb29cbc79
37351 .xword 0x986831cdbf90418c
37352 .xword 0xdcea27a3992313a6
37353 .xword 0xf987a45a0a010235
37354 .xword 0x8a467174c5395163
37355 .xword 0x54de79c2e5a12671
37356 .xword 0xdcd6b6d105b3369d
37357_t1_des_alignment_array:
37358 .xword 13
37359 .xword 2
37360 .xword 3
37361 .xword 10
37362 .xword 3
37363 .xword 2
37364 .xword 13
37365 .xword 14
37366 .xword 3
37367 .xword 7
37368 .xword 8
37369 .xword 13
37370 .xword 10
37371 .xword 13
37372 .xword 6
37373 .xword 1
37374 .xword 4
37375 .xword 1
37376 .xword 15
37377 .xword 1
37378 .xword 2
37379 .xword 6
37380 .xword 7
37381 .xword 1
37382 .xword 15
37383 .xword 0
37384 .xword 13
37385 .xword 12
37386 .xword 6
37387 .xword 4
37388 .xword 14
37389 .xword 15
37390 .xword 9
37391 .xword 7
37392 .xword 1
37393 .xword 13
37394 .xword 11
37395 .xword 11
37396 .xword 5
37397 .xword 3
37398 .xword 10
37399 .xword 10
37400 .xword 3
37401 .xword 4
37402 .xword 3
37403 .xword 4
37404 .xword 12
37405 .xword 7
37406 .xword 4
37407 .xword 9
37408 .xword 9
37409 .xword 10
37410 .xword 2
37411 .xword 0
37412 .xword 8
37413 .xword 5
37414 .xword 8
37415 .xword 5
37416 .xword 6
37417 .xword 2
37418 .xword 15
37419 .xword 1
37420 .xword 12
37421 .xword 15
37422 .xword 12
37423 .xword 14
37424 .xword 2
37425 .xword 13
37426 .xword 9
37427 .xword 6
37428 .xword 6
37429 .xword 2
37430 .xword 1
37431 .xword 9
37432 .xword 14
37433 .xword 10
37434 .xword 7
37435 .xword 9
37436 .xword 3
37437 .xword 11
37438 .xword 6
37439 .xword 10
37440 .xword 3
37441 .xword 6
37442 .xword 2
37443 .xword 13
37444 .xword 11
37445 .xword 13
37446 .xword 3
37447 .xword 5
37448 .xword 0
37449 .xword 6
37450 .xword 0
37451 .xword 10
37452 .xword 1
37453 .xword 9
37454 .xword 12
37455 .xword 3
37456 .xword 3
37457 .xword 8
37458 .xword 4
37459 .xword 6
37460 .xword 0
37461 .xword 13
37462 .xword 3
37463_t1_des_src:
37464 .xword 0x53cfa6831d72077d
37465 .xword 0xef459c3a53d441d4
37466 .xword 0xbfff153651b84a34
37467 .xword 0x0d1725e73c44246e
37468 .xword 0x882354e1c92c4d67
37469 .xword 0x0e2ee34f2439bcf2
37470 .xword 0x0b50fefdb666ab74
37471 .xword 0x43646982a0f4b5bb
37472 .xword 0x93138b8c0a75a9cb
37473 .xword 0x143bd69474c18fab
37474 .xword 0x2d6799fcf2c9e642
37475 .xword 0x556c6fc9013d50df
37476 .xword 0xd6678dc3d9e0e79b
37477 .xword 0xb6f6747838e24a00
37478 .xword 0xb3e151bf6859e4de
37479 .xword 0x0d24678ae33da4d3
37480 .xword 0x154b960da73bd646
37481 .xword 0x6be86514101c0dab
37482 .xword 0xd2e6820d61179092
37483 .xword 0x6b1a92186b8086bc
37484 .xword 0x91aafa2023f1dd26
37485 .xword 0x0fd9c7f8660a5b2a
37486 .xword 0x87214ab55899038b
37487 .xword 0x2aa83b7b5e775418
37488 .xword 0xd71be3539821b729
37489 .xword 0xc61d39d72d6926aa
37490 .xword 0x153090429e904f72
37491 .xword 0x2ed3652a9abedcfe
37492 .xword 0x352cfa0a6ef5eb7a
37493 .xword 0x4e90e4db83f7c96c
37494 .xword 0x6d6b6221be0fb9dc
37495 .xword 0x52cd1db0454ce8ca
37496 .xword 0x5900135e044600f5
37497 .xword 0xf76ced58d2a997e9
37498 .xword 0xf43901a6faed2a0e
37499 .xword 0x63abadd4af8b3bb2
37500 .xword 0xf8d71f46e7d83ab3
37501 .xword 0x70183aa72e958956
37502 .xword 0x30807b35ee409395
37503 .xword 0xc957817608210d23
37504 .xword 0x3c97940ffa9936be
37505 .xword 0xea5b054dc93192ad
37506 .xword 0x8ea0c9565290e5da
37507 .xword 0x3fd37d7a71915567
37508 .xword 0xa6e6d675b8daf859
37509 .xword 0x923c2086efdfec37
37510 .xword 0xdd0970200a93f042
37511 .xword 0x731637bc6f9d5f28
37512 .xword 0x3846a477f1aa5698
37513 .xword 0x195fcf7a2e522ff0
37514 .xword 0x95174f77204a37b0
37515 .xword 0xb6b4eeb36df44fba
37516 .xword 0xa9fbe069631ad485
37517 .xword 0xc1494ae7d1b3c724
37518 .xword 0x75c89664d9deae82
37519 .xword 0x988eba9ddc995721
37520 .xword 0x725a2698b8f8bb8d
37521 .xword 0x39dfeddea70118f5
37522 .xword 0xffed5945f14506d3
37523 .xword 0x6343e51bb016dd5c
37524 .xword 0x9f4cc27fdf46d6d0
37525 .xword 0xa42e3e27756aaadf
37526 .xword 0x3752596d415c8215
37527 .xword 0xdd87c80f2d712033
37528 .xword 0x197f5b101befb875
37529 .xword 0xed04ef52b2ef65be
37530 .xword 0x4a024b5d07281bfa
37531 .xword 0x8a84c13289021a0d
37532 .xword 0x49850b99c3c1704b
37533 .xword 0xdf86432c15823bfb
37534 .xword 0x610a6ea67bb8cc2e
37535 .xword 0xfa1a16e8476e8999
37536 .xword 0x6e84781c271096ec
37537 .xword 0x87166bd4a139a8b7
37538 .xword 0x885c1c5f6210f843
37539 .xword 0xe1cbc113b83de0fa
37540 .xword 0x1db3e0c1287d5c5c
37541 .xword 0x6351e3180fd1cac9
37542 .xword 0x1e0a12e9e8a7ef1a
37543 .xword 0x0518a0a88cfdce3d
37544 .xword 0xcb0ead47cf9c3ba2
37545 .xword 0xd976688e1cbbf569
37546 .xword 0x8c1c945faddb0ea2
37547 .xword 0x451dd16fe7eee342
37548 .xword 0xbc2c8036b352a266
37549 .xword 0xe6187ce1c6827e3f
37550 .xword 0x84bd0c09c7ef6aed
37551 .xword 0xe0197fd8ae5ba7eb
37552 .xword 0xb32b3ce1dec56361
37553 .xword 0x0578a5ccb50598fa
37554 .xword 0x9ab7e092591d504a
37555 .xword 0x0989942b4ff86616
37556 .xword 0x6bd2db097e69ff6e
37557 .xword 0x417ccf8add13fd6c
37558 .xword 0x7ba02c1c8c318f1c
37559 .xword 0x6fe1ee5b496e21b0
37560 .xword 0xf4dc0532843f9693
37561 .xword 0x69c9f1c7ae82e11b
37562 .xword 0xf05f97d0e9bc8669
37563 .xword 0x37fa1918bb651552
37564 .xword 0x5a985787e0834a00
37565 .xword 0x304dcee96c119042
37566 .xword 0x461b1f4ebb86cc73
37567 .xword 0xddc55bd0b2f980f9
37568 .xword 0x21f70b2662460f81
37569 .xword 0x1126eae5ec580b52
37570 .xword 0x3599475fbdcb3988
37571 .xword 0xe52db34ab06246c0
37572 .xword 0x0547261005b30f54
37573 .xword 0x14b6748ffbd07930
37574 .xword 0x2440d1c40eb521fe
37575 .xword 0xb7748965de7b7822
37576 .xword 0xadd6bebf60c55c42
37577 .xword 0x007c02b6e209d5b9
37578 .xword 0x24fd07c95220ca40
37579 .xword 0x7dcac6c259d05d15
37580 .xword 0x7df00d42102674f8
37581 .xword 0xed169a0bc04a08e6
37582 .xword 0xb468f4fc303ff02f
37583 .xword 0x633f00e38227c520
37584 .xword 0x1bb91dfbee357b91
37585 .xword 0xd8db6440a56ba2bd
37586 .xword 0x8be8ee5c19e290d6
37587 .xword 0x4bb6c899fec6fe47
37588 .xword 0xcf64460cbe425a88
37589 .xword 0x9d8ae2a8e44a066f
37590 .xword 0x1acc464648cca470
37591 .xword 0x93928a06c361f554
37592 .xword 0xf23d0437201c0374
37593 .xword 0xdc393f2393e1f756
37594 .xword 0x0509d958684934f7
37595 .xword 0x713f09c0d32772f7
37596 .xword 0x8cfdb68d21ffa060
37597 .xword 0x7389f47546163c03
37598 .xword 0xc80d3006bf225c2f
37599 .xword 0x61f67294b244a663
37600 .xword 0x23c3e78295cc6574
37601 .xword 0xb7afae263b569b08
37602 .xword 0xb85b0be2a1660b78
37603 .xword 0x52ef802862721bd3
37604 .xword 0xf723f11e3d6d193d
37605 .xword 0xc817e70504deeb4a
37606 .xword 0xf4347be93a82e766
37607 .xword 0x9fc3b989a73a2cd3
37608 .xword 0xc6009acda57005f9
37609 .xword 0x94e2ac7d9f49dd12
37610 .xword 0xb1200c717fb2322d
37611 .xword 0xd5a4c7252dd79ebe
37612 .xword 0xdeea638f72f02d11
37613 .xword 0x5d222c3597d1593c
37614 .xword 0x497c016726ea7d7e
37615 .xword 0x00c608b72eec0399
37616 .xword 0x3c02db2b11c334f1
37617 .xword 0xed75eca7e7d4bbd8
37618 .xword 0xa36fb3ed2a105ef6
37619 .xword 0x61f011c33f3654e9
37620 .xword 0x39fa7c6947c53a03
37621 .xword 0xd83252562f2e7dda
37622 .xword 0xa238eae0898071cc
37623 .xword 0x88c068bf9d2032ce
37624 .xword 0x106bee661ec1d3e0
37625 .xword 0xb27ecd01eff986a0
37626 .xword 0x33c43905b2b5ea73
37627 .xword 0x1c73f673fb4fa5a4
37628 .xword 0xf4ae51533678e673
37629 .xword 0x5c1a06f891e07433
37630 .xword 0xa491c78f5d223260
37631 .xword 0xf8bdb1ba838920eb
37632 .xword 0x7d9ec9ade6ae4b1e
37633 .xword 0xc83e753ddb2acd67
37634 .xword 0x8d33c343dd3ec09a
37635 .xword 0x9ba8fecd72a275de
37636 .xword 0xb4425d346d90886b
37637 .xword 0x36abef41cd683f2f
37638 .xword 0xc70f6058e2d263dd
37639 .xword 0x3f1319984807bdfd
37640 .xword 0x3b80700fd2e3fb00
37641 .xword 0xfe902ee1f2e04735
37642 .xword 0x57883ab5b6358818
37643 .xword 0xd3b0ba6f744d9847
37644 .xword 0x06c257eb616ad0c8
37645 .xword 0x414de0698006bfe9
37646 .xword 0xd164b843029506c6
37647 .xword 0x5b13c6170c1703af
37648 .xword 0x8824bccced120670
37649 .xword 0xe9c4c719c58e30ac
37650 .xword 0xf057f50e7ed5c561
37651 .xword 0x1b1eae4bce914fe1
37652 .xword 0xfd991f071d47d5a8
37653 .xword 0xf10b6f3b8874ebe4
37654 .xword 0x9e8155c3067c29ae
37655 .xword 0x98bc351ac112e1ae
37656 .xword 0x55cefd069dd037b4
37657 .xword 0xc39610ec85c78319
37658 .xword 0x36781d5ddcc65f4c
37659 .xword 0xefc347563e940631
37660 .xword 0x28935d6ffd758470
37661 .xword 0xb97f081389fab3bd
37662 .xword 0xf6920c3d52970917
37663 .xword 0x361f2667ab5b1d1a
37664 .xword 0x8d0ccfc5e1a85ebd
37665 .xword 0xc9b5f65ba70ff8f7
37666 .xword 0x77cd1e3ac7058cf1
37667 .xword 0xf7e4eec3745d12fb
37668 .xword 0x95d59b891ef2a4a0
37669 .xword 0x5a5f20ee74e5ddfe
37670 .xword 0x71805cf9a2b56256
37671 .xword 0xf917516a6875bfc8
37672 .xword 0xa0b0b2c0c45e590e
37673 .xword 0x4ef47ceb8cf2e379
37674 .xword 0xb737b0ce3a1a55b8
37675 .xword 0xe7ae0e2f13f5aaa8
37676 .xword 0x70d6ffedb0ad80dc
37677 .xword 0xa2c0cfdae97a3e00
37678 .xword 0x0e4bafcceac04c1f
37679 .xword 0xeac4c3f4f0f0a1bd
37680 .xword 0x0a3894c3db304bbc
37681 .xword 0xc565c3e01c32f24c
37682 .xword 0x6077f5eae4bfde1f
37683 .xword 0x1a175a9903c30902
37684 .xword 0x0f4fca0ee4bbef8c
37685 .xword 0x383b0f9bd1b075c5
37686 .xword 0x65032eacc36ef2ee
37687 .xword 0x65f192f05fb6e0a2
37688 .xword 0xc9e79c108f2121e1
37689 .xword 0x6aec0ba552294afc
37690 .xword 0xb2315caae457a84f
37691 .xword 0x29948c202045e658
37692 .xword 0x797fb0b3321964e6
37693 .xword 0x6bee44cb400d5161
37694 .xword 0x35759ab977761778
37695 .xword 0x1f2b69252c01c355
37696 .xword 0xd1726a37b5fed8d5
37697 .xword 0x203341ac7c9af90e
37698 .xword 0x85a7732ebc231453
37699 .xword 0x86d97d58a434d3a0
37700 .xword 0x8475c9a7eebc7812
37701 .xword 0x949c28f18a508fc9
37702 .xword 0x59d0fb0c4873e45e
37703 .xword 0xa2f78b46b4a6790b
37704 .xword 0x1bea94e5254afb07
37705 .xword 0xa0530c7764cde2a3
37706 .xword 0x5fee608038a6ec67
37707 .xword 0xdec8ab9345d70e74
37708 .xword 0xab5b2881d468b01d
37709 .xword 0x552c335f6a8133e4
37710 .xword 0x0db254b26722eaa2
37711 .xword 0xd4e8b31981517209
37712 .xword 0x2a45ccdb936dc8f0
37713 .xword 0xbbf0eab9aa0b0020
37714 .xword 0xbce7516f948baebd
37715 .xword 0x45857adc61234257
37716 .xword 0x416f8d140d15e421
37717 .xword 0x7c8fff4eece5049d
37718 .xword 0x892292671948a1ad
37719 .xword 0xc9f2048f053fdc81
37720 .xword 0x9a59e7fd0b702e3a
37721 .xword 0x7f315e5f24b0c179
37722 .xword 0x87d5858e4dac8ebb
37723 .xword 0x9b03c88080583986
37724 .xword 0x477d7a0a83286fdc
37725 .xword 0x5eefbfd064d6f727
37726 .xword 0x1ab58d437d8b1368
37727 .xword 0x840319cfab8c02b6
37728 .xword 0x1be80753068dc681
37729 .xword 0x0b7eebb5739fc8fd
37730 .xword 0xce3b7337e64ad37f
37731 .xword 0xd32a3c85405afa8c
37732 .xword 0xdbdb36f6774c6008
37733 .xword 0xe96c57f8f2463856
37734 .xword 0x1b9fe272d218d399
37735 .xword 0x20e03892bb5e452e
37736 .xword 0x43c6805272298159
37737 .xword 0x8c99a26e94e68251
37738 .xword 0x2a92c3476f96c052
37739_t1_des_dest:
37740 .xword 0xDEADBEEFDEADBEEF
37741 .xword 0xDEADBEEFDEADBEEF
37742 .xword 0xDEADBEEFDEADBEEF
37743 .xword 0xDEADBEEFDEADBEEF
37744 .xword 0xDEADBEEFDEADBEEF
37745 .xword 0xDEADBEEFDEADBEEF
37746 .xword 0xDEADBEEFDEADBEEF
37747 .xword 0xDEADBEEFDEADBEEF
37748 .xword 0xDEADBEEFDEADBEEF
37749 .xword 0xDEADBEEFDEADBEEF
37750 .xword 0xDEADBEEFDEADBEEF
37751 .xword 0xDEADBEEFDEADBEEF
37752 .xword 0xDEADBEEFDEADBEEF
37753 .xword 0xDEADBEEFDEADBEEF
37754 .xword 0xDEADBEEFDEADBEEF
37755 .xword 0xDEADBEEFDEADBEEF
37756 .xword 0xDEADBEEFDEADBEEF
37757 .xword 0xDEADBEEFDEADBEEF
37758 .xword 0xDEADBEEFDEADBEEF
37759 .xword 0xDEADBEEFDEADBEEF
37760 .xword 0xDEADBEEFDEADBEEF
37761 .xword 0xDEADBEEFDEADBEEF
37762 .xword 0xDEADBEEFDEADBEEF
37763 .xword 0xDEADBEEFDEADBEEF
37764 .xword 0xDEADBEEFDEADBEEF
37765 .xword 0xDEADBEEFDEADBEEF
37766 .xword 0xDEADBEEFDEADBEEF
37767 .xword 0xDEADBEEFDEADBEEF
37768 .xword 0xDEADBEEFDEADBEEF
37769 .xword 0xDEADBEEFDEADBEEF
37770 .xword 0xDEADBEEFDEADBEEF
37771 .xword 0xDEADBEEFDEADBEEF
37772 .xword 0xDEADBEEFDEADBEEF
37773 .xword 0xDEADBEEFDEADBEEF
37774 .xword 0xDEADBEEFDEADBEEF
37775 .xword 0xDEADBEEFDEADBEEF
37776 .xword 0xDEADBEEFDEADBEEF
37777 .xword 0xDEADBEEFDEADBEEF
37778 .xword 0xDEADBEEFDEADBEEF
37779 .xword 0xDEADBEEFDEADBEEF
37780 .xword 0xDEADBEEFDEADBEEF
37781 .xword 0xDEADBEEFDEADBEEF
37782 .xword 0xDEADBEEFDEADBEEF
37783 .xword 0xDEADBEEFDEADBEEF
37784 .xword 0xDEADBEEFDEADBEEF
37785 .xword 0xDEADBEEFDEADBEEF
37786 .xword 0xDEADBEEFDEADBEEF
37787 .xword 0xDEADBEEFDEADBEEF
37788 .xword 0xDEADBEEFDEADBEEF
37789 .xword 0xDEADBEEFDEADBEEF
37790 .xword 0xDEADBEEFDEADBEEF
37791 .xword 0xDEADBEEFDEADBEEF
37792 .xword 0xDEADBEEFDEADBEEF
37793 .xword 0xDEADBEEFDEADBEEF
37794 .xword 0xDEADBEEFDEADBEEF
37795 .xword 0xDEADBEEFDEADBEEF
37796 .xword 0xDEADBEEFDEADBEEF
37797 .xword 0xDEADBEEFDEADBEEF
37798 .xword 0xDEADBEEFDEADBEEF
37799 .xword 0xDEADBEEFDEADBEEF
37800 .xword 0xDEADBEEFDEADBEEF
37801 .xword 0xDEADBEEFDEADBEEF
37802 .xword 0xDEADBEEFDEADBEEF
37803 .xword 0xDEADBEEFDEADBEEF
37804 .xword 0xDEADBEEFDEADBEEF
37805 .xword 0xDEADBEEFDEADBEEF
37806 .xword 0xDEADBEEFDEADBEEF
37807 .xword 0xDEADBEEFDEADBEEF
37808 .xword 0xDEADBEEFDEADBEEF
37809 .xword 0xDEADBEEFDEADBEEF
37810 .xword 0xDEADBEEFDEADBEEF
37811 .xword 0xDEADBEEFDEADBEEF
37812 .xword 0xDEADBEEFDEADBEEF
37813 .xword 0xDEADBEEFDEADBEEF
37814 .xword 0xDEADBEEFDEADBEEF
37815 .xword 0xDEADBEEFDEADBEEF
37816 .xword 0xDEADBEEFDEADBEEF
37817 .xword 0xDEADBEEFDEADBEEF
37818 .xword 0xDEADBEEFDEADBEEF
37819 .xword 0xDEADBEEFDEADBEEF
37820 .xword 0xDEADBEEFDEADBEEF
37821 .xword 0xDEADBEEFDEADBEEF
37822 .xword 0xDEADBEEFDEADBEEF
37823 .xword 0xDEADBEEFDEADBEEF
37824 .xword 0xDEADBEEFDEADBEEF
37825 .xword 0xDEADBEEFDEADBEEF
37826 .xword 0xDEADBEEFDEADBEEF
37827 .xword 0xDEADBEEFDEADBEEF
37828 .xword 0xDEADBEEFDEADBEEF
37829 .xword 0xDEADBEEFDEADBEEF
37830 .xword 0xDEADBEEFDEADBEEF
37831 .xword 0xDEADBEEFDEADBEEF
37832 .xword 0xDEADBEEFDEADBEEF
37833 .xword 0xDEADBEEFDEADBEEF
37834 .xword 0xDEADBEEFDEADBEEF
37835 .xword 0xDEADBEEFDEADBEEF
37836 .xword 0xDEADBEEFDEADBEEF
37837 .xword 0xDEADBEEFDEADBEEF
37838 .xword 0xDEADBEEFDEADBEEF
37839 .xword 0xDEADBEEFDEADBEEF
37840 .xword 0xDEADBEEFDEADBEEF
37841 .xword 0xDEADBEEFDEADBEEF
37842 .xword 0xDEADBEEFDEADBEEF
37843 .xword 0xDEADBEEFDEADBEEF
37844 .xword 0xDEADBEEFDEADBEEF
37845 .xword 0xDEADBEEFDEADBEEF
37846 .xword 0xDEADBEEFDEADBEEF
37847 .xword 0xDEADBEEFDEADBEEF
37848 .xword 0xDEADBEEFDEADBEEF
37849 .xword 0xDEADBEEFDEADBEEF
37850 .xword 0xDEADBEEFDEADBEEF
37851 .xword 0xDEADBEEFDEADBEEF
37852 .xword 0xDEADBEEFDEADBEEF
37853 .xword 0xDEADBEEFDEADBEEF
37854 .xword 0xDEADBEEFDEADBEEF
37855 .xword 0xDEADBEEFDEADBEEF
37856 .xword 0xDEADBEEFDEADBEEF
37857 .xword 0xDEADBEEFDEADBEEF
37858 .xword 0xDEADBEEFDEADBEEF
37859 .xword 0xDEADBEEFDEADBEEF
37860 .xword 0xDEADBEEFDEADBEEF
37861 .xword 0xDEADBEEFDEADBEEF
37862 .xword 0xDEADBEEFDEADBEEF
37863 .xword 0xDEADBEEFDEADBEEF
37864 .xword 0xDEADBEEFDEADBEEF
37865 .xword 0xDEADBEEFDEADBEEF
37866 .xword 0xDEADBEEFDEADBEEF
37867 .xword 0xDEADBEEFDEADBEEF
37868 .xword 0xDEADBEEFDEADBEEF
37869 .xword 0xDEADBEEFDEADBEEF
37870 .xword 0xDEADBEEFDEADBEEF
37871 .xword 0xDEADBEEFDEADBEEF
37872 .xword 0xDEADBEEFDEADBEEF
37873 .xword 0xDEADBEEFDEADBEEF
37874 .xword 0xDEADBEEFDEADBEEF
37875 .xword 0xDEADBEEFDEADBEEF
37876 .xword 0xDEADBEEFDEADBEEF
37877 .xword 0xDEADBEEFDEADBEEF
37878 .xword 0xDEADBEEFDEADBEEF
37879 .xword 0xDEADBEEFDEADBEEF
37880 .xword 0xDEADBEEFDEADBEEF
37881 .xword 0xDEADBEEFDEADBEEF
37882 .xword 0xDEADBEEFDEADBEEF
37883 .xword 0xDEADBEEFDEADBEEF
37884 .xword 0xDEADBEEFDEADBEEF
37885 .xword 0xDEADBEEFDEADBEEF
37886 .xword 0xDEADBEEFDEADBEEF
37887 .xword 0xDEADBEEFDEADBEEF
37888 .xword 0xDEADBEEFDEADBEEF
37889 .xword 0xDEADBEEFDEADBEEF
37890 .xword 0xDEADBEEFDEADBEEF
37891 .xword 0xDEADBEEFDEADBEEF
37892 .xword 0xDEADBEEFDEADBEEF
37893 .xword 0xDEADBEEFDEADBEEF
37894 .xword 0xDEADBEEFDEADBEEF
37895 .xword 0xDEADBEEFDEADBEEF
37896 .xword 0xDEADBEEFDEADBEEF
37897 .xword 0xDEADBEEFDEADBEEF
37898 .xword 0xDEADBEEFDEADBEEF
37899 .xword 0xDEADBEEFDEADBEEF
37900 .xword 0xDEADBEEFDEADBEEF
37901 .xword 0xDEADBEEFDEADBEEF
37902 .xword 0xDEADBEEFDEADBEEF
37903 .xword 0xDEADBEEFDEADBEEF
37904 .xword 0xDEADBEEFDEADBEEF
37905 .xword 0xDEADBEEFDEADBEEF
37906 .xword 0xDEADBEEFDEADBEEF
37907 .xword 0xDEADBEEFDEADBEEF
37908 .xword 0xDEADBEEFDEADBEEF
37909 .xword 0xDEADBEEFDEADBEEF
37910 .xword 0xDEADBEEFDEADBEEF
37911 .xword 0xDEADBEEFDEADBEEF
37912 .xword 0xDEADBEEFDEADBEEF
37913 .xword 0xDEADBEEFDEADBEEF
37914 .xword 0xDEADBEEFDEADBEEF
37915 .xword 0xDEADBEEFDEADBEEF
37916 .xword 0xDEADBEEFDEADBEEF
37917 .xword 0xDEADBEEFDEADBEEF
37918 .xword 0xDEADBEEFDEADBEEF
37919 .xword 0xDEADBEEFDEADBEEF
37920 .xword 0xDEADBEEFDEADBEEF
37921 .xword 0xDEADBEEFDEADBEEF
37922 .xword 0xDEADBEEFDEADBEEF
37923 .xword 0xDEADBEEFDEADBEEF
37924 .xword 0xDEADBEEFDEADBEEF
37925 .xword 0xDEADBEEFDEADBEEF
37926 .xword 0xDEADBEEFDEADBEEF
37927 .xword 0xDEADBEEFDEADBEEF
37928 .xword 0xDEADBEEFDEADBEEF
37929 .xword 0xDEADBEEFDEADBEEF
37930 .xword 0xDEADBEEFDEADBEEF
37931 .xword 0xDEADBEEFDEADBEEF
37932 .xword 0xDEADBEEFDEADBEEF
37933 .xword 0xDEADBEEFDEADBEEF
37934 .xword 0xDEADBEEFDEADBEEF
37935 .xword 0xDEADBEEFDEADBEEF
37936 .xword 0xDEADBEEFDEADBEEF
37937 .xword 0xDEADBEEFDEADBEEF
37938 .xword 0xDEADBEEFDEADBEEF
37939 .xword 0xDEADBEEFDEADBEEF
37940 .xword 0xDEADBEEFDEADBEEF
37941 .xword 0xDEADBEEFDEADBEEF
37942 .xword 0xDEADBEEFDEADBEEF
37943 .xword 0xDEADBEEFDEADBEEF
37944 .xword 0xDEADBEEFDEADBEEF
37945 .xword 0xDEADBEEFDEADBEEF
37946 .xword 0xDEADBEEFDEADBEEF
37947 .xword 0xDEADBEEFDEADBEEF
37948 .xword 0xDEADBEEFDEADBEEF
37949 .xword 0xDEADBEEFDEADBEEF
37950 .xword 0xDEADBEEFDEADBEEF
37951 .xword 0xDEADBEEFDEADBEEF
37952 .xword 0xDEADBEEFDEADBEEF
37953 .xword 0xDEADBEEFDEADBEEF
37954 .xword 0xDEADBEEFDEADBEEF
37955 .xword 0xDEADBEEFDEADBEEF
37956 .xword 0xDEADBEEFDEADBEEF
37957 .xword 0xDEADBEEFDEADBEEF
37958 .xword 0xDEADBEEFDEADBEEF
37959 .xword 0xDEADBEEFDEADBEEF
37960 .xword 0xDEADBEEFDEADBEEF
37961 .xword 0xDEADBEEFDEADBEEF
37962 .xword 0xDEADBEEFDEADBEEF
37963 .xword 0xDEADBEEFDEADBEEF
37964 .xword 0xDEADBEEFDEADBEEF
37965 .xword 0xDEADBEEFDEADBEEF
37966 .xword 0xDEADBEEFDEADBEEF
37967 .xword 0xDEADBEEFDEADBEEF
37968 .xword 0xDEADBEEFDEADBEEF
37969 .xword 0xDEADBEEFDEADBEEF
37970 .xword 0xDEADBEEFDEADBEEF
37971 .xword 0xDEADBEEFDEADBEEF
37972 .xword 0xDEADBEEFDEADBEEF
37973 .xword 0xDEADBEEFDEADBEEF
37974 .xword 0xDEADBEEFDEADBEEF
37975 .xword 0xDEADBEEFDEADBEEF
37976 .xword 0xDEADBEEFDEADBEEF
37977 .xword 0xDEADBEEFDEADBEEF
37978 .xword 0xDEADBEEFDEADBEEF
37979 .xword 0xDEADBEEFDEADBEEF
37980 .xword 0xDEADBEEFDEADBEEF
37981 .xword 0xDEADBEEFDEADBEEF
37982 .xword 0xDEADBEEFDEADBEEF
37983 .xword 0xDEADBEEFDEADBEEF
37984 .xword 0xDEADBEEFDEADBEEF
37985 .xword 0xDEADBEEFDEADBEEF
37986 .xword 0xDEADBEEFDEADBEEF
37987 .xword 0xDEADBEEFDEADBEEF
37988 .xword 0xDEADBEEFDEADBEEF
37989 .xword 0xDEADBEEFDEADBEEF
37990 .xword 0xDEADBEEFDEADBEEF
37991 .xword 0xDEADBEEFDEADBEEF
37992 .xword 0xDEADBEEFDEADBEEF
37993 .xword 0xDEADBEEFDEADBEEF
37994 .xword 0xDEADBEEFDEADBEEF
37995 .xword 0xDEADBEEFDEADBEEF
37996 .xword 0xDEADBEEFDEADBEEF
37997 .xword 0xDEADBEEFDEADBEEF
37998 .xword 0xDEADBEEFDEADBEEF
37999 .xword 0xDEADBEEFDEADBEEF
38000 .xword 0xDEADBEEFDEADBEEF
38001 .xword 0xDEADBEEFDEADBEEF
38002 .xword 0xDEADBEEFDEADBEEF
38003 .xword 0xDEADBEEFDEADBEEF
38004 .xword 0xDEADBEEFDEADBEEF
38005 .xword 0xDEADBEEFDEADBEEF
38006 .xword 0xDEADBEEFDEADBEEF
38007 .xword 0xDEADBEEFDEADBEEF
38008 .xword 0xDEADBEEFDEADBEEF
38009 .xword 0xDEADBEEFDEADBEEF
38010 .xword 0xDEADBEEFDEADBEEF
38011 .xword 0xDEADBEEFDEADBEEF
38012 .xword 0xDEADBEEFDEADBEEF
38013 .xword 0xDEADBEEFDEADBEEF
38014 .xword 0xDEADBEEFDEADBEEF
38015_t1_des_auth_key:
38016 .xword 0xad4131fa5667d271
38017 .xword 0xefa324eb1601d5a6
38018 .xword 0x4fbf0c1f7bb84bdf
38019 .xword 0x8c4e120ac876a10b
38020 .xword 0x0b00518ddd9668c9
38021 .xword 0xd7cf4432144560c9
38022 .xword 0x3f7513264c299c3b
38023 .xword 0x97ec0ea322699ebb
38024 .xword 0xc4ebbb9ccf9710f8
38025 .xword 0x5d28e4b29b76a627
38026 .xword 0x15a299ba19a58b80
38027 .xword 0xc73a39e01b10f7c8
38028 .xword 0xfff127a8940c6ae5
38029 .xword 0xdd70aec9bb1df8ec
38030 .xword 0x49590ba2bc9e5078
38031 .xword 0x1898976315d4968f
38032 .xword 0xd691c9393bad49ab
38033 .xword 0xfdd0fc94af07330f
38034 .xword 0xe3137ac2bbbc8456
38035 .xword 0x6197175ee0cba5ba
38036 .xword 0xb4ce0af62a96f38f
38037 .xword 0x92fd6c75e8843e05
38038 .xword 0x757ecaeb40028055
38039_t1_des_auth_iv:
38040 .xword 0x077e94e597d9aa59
38041 .xword 0x0f62b6635fb4e392
38042 .xword 0xa210e7d708396bbb
38043 .xword 0x1485630a06059e87
38044 .xword 0x93feb2e47e10f2be
38045 .xword 0x58856c149b37b2fb
38046 .xword 0x30040fe640edecb7
38047 .xword 0xa905d7f72a72d2d9
38048 .xword 0xf554bd3aca923bdb
38049 .xword 0x9ed132e444343939
38050 .xword 0x592752f32f999cd4
38051 .xword 0x596eb1197c1ea7ce
38052 .xword 0x10b3bff706937cf5
38053 .xword 0xd0a4fc2efe4fccba
38054 .xword 0x908cfd5f14263345
38055 .xword 0xd2e2458ef7d6e351
38056 .xword 0x5b6257675c55c80f
38057 .xword 0xc4a6b12e91962de7
38058 .xword 0x9d828b60f49e9c49
38059 .xword 0xa50c8031046ba4bb
38060 .xword 0x463f83617e1daa25
38061 .xword 0xe6d23922e7a0b5bb
38062 .xword 0x694e01ad84d6fd62
38063_t1_des_fas_result:
38064 .xword 0xDEADBEEFDEADBEEF
38065 .xword 0xDEADBEEFDEADBEEF
38066 .xword 0xDEADBEEFDEADBEEF
38067 .xword 0xDEADBEEFDEADBEEF
38068 .xword 0xDEADBEEFDEADBEEF
38069 .xword 0xDEADBEEFDEADBEEF
38070 .xword 0xDEADBEEFDEADBEEF
38071 .xword 0xDEADBEEFDEADBEEF
38072 .xword 0xDEADBEEFDEADBEEF
38073 .xword 0xDEADBEEFDEADBEEF
38074 .xword 0xDEADBEEFDEADBEEF
38075 .xword 0xDEADBEEFDEADBEEF
38076 .xword 0xDEADBEEFDEADBEEF
38077 .xword 0xDEADBEEFDEADBEEF
38078 .xword 0xDEADBEEFDEADBEEF
38079 .xword 0xDEADBEEFDEADBEEF
38080 .xword 0xDEADBEEFDEADBEEF
38081 .xword 0xDEADBEEFDEADBEEF
38082 .xword 0xDEADBEEFDEADBEEF
38083 .xword 0xDEADBEEFDEADBEEF
38084 .xword 0xDEADBEEFDEADBEEF
38085 .xword 0xDEADBEEFDEADBEEF
38086 .xword 0xDEADBEEFDEADBEEF
38087_t1_copy_key_array:
38088 .xword 0x61e3ab61c5a95f8a
38089 .xword 0x0430ad020b3fd79c
38090 .xword 0x7c2cc5efcc737b70
38091 .xword 0x5cc2df23fa4b1cf6
38092 .xword 0x750ec3d2e06c54ac
38093 .xword 0x2cb9a3e8c2d53037
38094 .xword 0x8aa0c223c4791172
38095 .xword 0x6c12596e2d45c3dc
38096 .xword 0xd79b96713c38104f
38097 .xword 0xd460f030ffb20b4b
38098 .xword 0x8391e051c6cda6ab
38099 .xword 0x075286f746c6ca22
38100 .xword 0x189579c78624a8d2
38101 .xword 0xb8b2d81d44b6e253
38102 .xword 0x50e98e6c3da4896b
38103 .xword 0xb978183121ce406d
38104 .xword 0x5867785c1e603cd3
38105 .xword 0x088c801b408e4298
38106 .xword 0xd6cab378e8460308
38107 .xword 0xb11acfe2442b792d
38108 .xword 0x2c67a840df5c2631
38109 .xword 0x592a9c94bed361e9
38110 .xword 0x6fb15dc60a917615
38111 .xword 0xfae342a0ed29009e
38112 .xword 0x3df7c15d363dc40e
38113 .xword 0x7d338183813de255
38114 .xword 0x37be1671badc7e7a
38115 .xword 0x8941f87972f7bca5
38116 .xword 0x2fb131b3f2aea055
38117 .xword 0x9714fb1177ce1581
38118 .xword 0xdef042d3aa5a67b1
38119 .xword 0x4b92ddd0f038f945
38120 .xword 0x1f894afa26d4c8cf
38121 .xword 0xb8922edbe1fc332e
38122 .xword 0x5b2206086e4f3140
38123 .xword 0xbe83cea7c8b15548
38124 .xword 0xef74efc1c79191bf
38125 .xword 0x21b5952eecdeff88
38126 .xword 0x4ca33ca4dbfdd906
38127 .xword 0xe7a8f4590754d08b
38128 .xword 0x3c7eeaa06fa15fd8
38129 .xword 0x104cc3a6ce7d6f2a
38130 .xword 0xc193ce599147d196
38131 .xword 0x861ecb9cf601ef13
38132 .xword 0xca1a87d8def764bb
38133 .xword 0x0eaa05cf00ec3f2f
38134 .xword 0xb9dbd73c2284b0c1
38135 .xword 0x12cc371c1b7f472d
38136 .xword 0x3a272f1fbd3f34ce
38137 .xword 0xcd43ad7e8dfb54fd
38138 .xword 0x5159884e04d41947
38139_t1_copy_iv_array:
38140 .xword 0xc7a3197a636d2cd1
38141 .xword 0x43b2985ca0fcbaf6
38142 .xword 0xd2c8e189d378a263
38143 .xword 0x67ff988dd47453df
38144 .xword 0xf2db6119356fb9eb
38145 .xword 0x3b9c703ea57fd744
38146 .xword 0xb312ef544fbaccb6
38147 .xword 0xad26030d46e30a64
38148 .xword 0x6bc31b72d3985eae
38149 .xword 0xad461d278e902382
38150 .xword 0x2de682bb460f7bc6
38151 .xword 0xd1a0b6a2320fa4f8
38152 .xword 0x48f1db9b857617fa
38153 .xword 0x7b5dda98edaed0c0
38154 .xword 0xa684c37adea5c781
38155 .xword 0x07d3b03e433ee485
38156 .xword 0x4bc2b13c5cbc6dca
38157 .xword 0xce4fdac30acf8d43
38158 .xword 0xecd88a94411e51fb
38159 .xword 0xfbf24ae247779651
38160 .xword 0xd707127cc645ed0f
38161 .xword 0x1c4df39573f406bf
38162 .xword 0xa7e791780dba0fc5
38163 .xword 0x53e317c93dc989ff
38164 .xword 0xee7ec7bd96903f66
38165 .xword 0xbc85797d818a7ab4
38166 .xword 0x2c803362924295e6
38167 .xword 0xffadb2bb669334ca
38168 .xword 0xba9b34a20b7a101a
38169 .xword 0x8ab052663061f00d
38170 .xword 0x13a6d85c61d17476
38171 .xword 0xa9046be7189a3e5f
38172 .xword 0x5053bd06bd14f6dd
38173 .xword 0x6a9ac88f6c2cffea
38174 .xword 0x200fdefdbb3f78dd
38175 .xword 0xf6d1404ae2367fff
38176 .xword 0x3267f1a1d98c7352
38177 .xword 0x641e35c634d3c639
38178 .xword 0xb78d994cfb99d6af
38179 .xword 0xd42e98b6f5d925f3
38180 .xword 0x2b8d833a9257d5e3
38181 .xword 0x47dc0e5621c44570
38182 .xword 0x223536c214797663
38183 .xword 0x41e67b83796adab1
38184 .xword 0x5515b867f5db6622
38185_t1_copy_alignment_array:
38186 .xword 12
38187 .xword 14
38188 .xword 3
38189 .xword 1
38190 .xword 0
38191 .xword 3
38192 .xword 5
38193 .xword 13
38194 .xword 13
38195 .xword 0
38196 .xword 3
38197 .xword 14
38198 .xword 14
38199 .xword 8
38200 .xword 4
38201 .xword 15
38202 .xword 6
38203 .xword 13
38204 .xword 8
38205 .xword 0
38206 .xword 0
38207 .xword 8
38208 .xword 15
38209 .xword 6
38210 .xword 1
38211 .xword 3
38212 .xword 14
38213 .xword 4
38214 .xword 1
38215 .xword 2
38216 .xword 0
38217 .xword 15
38218 .xword 2
38219 .xword 14
38220 .xword 3
38221 .xword 5
38222 .xword 15
38223 .xword 0
38224 .xword 4
38225 .xword 8
38226 .xword 7
38227 .xword 14
38228 .xword 13
38229 .xword 2
38230 .xword 3
38231 .xword 14
38232 .xword 8
38233 .xword 11
38234 .xword 15
38235 .xword 10
38236 .xword 3
38237 .xword 6
38238 .xword 14
38239 .xword 5
38240 .xword 8
38241 .xword 2
38242 .xword 12
38243 .xword 0
38244 .xword 12
38245 .xword 15
38246 .xword 14
38247 .xword 14
38248 .xword 6
38249 .xword 11
38250 .xword 7
38251 .xword 14
38252 .xword 11
38253 .xword 9
38254 .xword 15
38255 .xword 4
38256 .xword 2
38257 .xword 5
38258 .xword 6
38259 .xword 1
38260 .xword 14
38261 .xword 6
38262 .xword 11
38263 .xword 15
38264 .xword 2
38265 .xword 11
38266 .xword 1
38267 .xword 10
38268 .xword 9
38269 .xword 5
38270 .xword 7
38271 .xword 0
38272 .xword 5
38273 .xword 2
38274 .xword 6
38275 .xword 10
38276 .xword 5
38277 .xword 9
38278 .xword 10
38279 .xword 1
38280 .xword 10
38281 .xword 2
38282 .xword 10
38283 .xword 14
38284 .xword 8
38285 .xword 6
38286 .xword 15
38287 .xword 6
38288 .xword 14
38289 .xword 9
38290 .xword 9
38291_t1_copy_src:
38292 .xword 0xd58c75e73732c2e7
38293 .xword 0x4babfc1a9b59b5cc
38294 .xword 0x4ddbed1be098eefb
38295 .xword 0x8b46a563480e2cca
38296 .xword 0x6ddb7aa2bad48a9c
38297 .xword 0x82679d6ee5bbd5f7
38298 .xword 0x821a1f85bd0db2f9
38299 .xword 0x5651352085cbc1df
38300 .xword 0xf4c5a124841cc9ca
38301 .xword 0x0d78cb6e0d6c7c11
38302 .xword 0x0fd328aa5f89161b
38303 .xword 0xa8624135a29edb42
38304 .xword 0x20e88865e14735a1
38305 .xword 0x87b8ff74c23aa610
38306 .xword 0x9dde5c23c47fd796
38307 .xword 0xdafa0cb303ab93e2
38308 .xword 0x881149d8702d69f4
38309 .xword 0x4b617b1398b1c14e
38310 .xword 0xcf2a6cad2d6f6fe2
38311 .xword 0x2c18ace1fb5a2aab
38312 .xword 0xa4ae98f8f8a4ae1e
38313 .xword 0x6eb9aff574a5bec1
38314 .xword 0x71f4c13d9ab5d752
38315 .xword 0x20e7a1d3bfe61f81
38316 .xword 0x07aa7903b233239f
38317 .xword 0xfa3c047019c67714
38318 .xword 0x0b2fadcfe8a23e9c
38319 .xword 0x328b5d679128eab7
38320 .xword 0x05d9978e26a6d2b7
38321 .xword 0xaa681086c024efdb
38322 .xword 0x90bc876fe295579d
38323 .xword 0x338dd0651ca1c575
38324 .xword 0xc2f5941f13e72708
38325 .xword 0xc7946979579cb1c2
38326 .xword 0x10d0353fb391b204
38327 .xword 0x3eb151ff31bb7487
38328 .xword 0x6e512c0e1ae5b858
38329 .xword 0xe0e6dee0da8a2f41
38330 .xword 0x177595f02d893c7d
38331 .xword 0x0ea3e6c4d6727bba
38332 .xword 0xf0bc1b86091d1140
38333 .xword 0x3a758a4bb13d6d46
38334 .xword 0x73d4eb39c1e705cc
38335 .xword 0x174bc73b041b5aff
38336 .xword 0xfcfa739d0cf31888
38337 .xword 0x153bda33bd9ddf7e
38338 .xword 0x48c490742ec1b46d
38339 .xword 0x66e74a8c27a27b2e
38340 .xword 0xcbd9235b61be69ad
38341 .xword 0x12c86a20ab0030f0
38342 .xword 0x6c1f6929c659d9a3
38343 .xword 0x75f00e35c65aa16c
38344 .xword 0x69e98d80935e97a2
38345 .xword 0x85a2688e9875cea9
38346 .xword 0x75650c5aa29b5379
38347 .xword 0x06a75060122d0c37
38348 .xword 0x9528117d78567fdc
38349 .xword 0x8722ab3ee7e0b0e2
38350 .xword 0xe6cde83f22a8c08a
38351 .xword 0x16115d91dfa07bd7
38352 .xword 0x442b7879c9c6fa4a
38353 .xword 0x373754372a4aa7f6
38354 .xword 0xef6281eeba759599
38355 .xword 0xbd97d86f1775654a
38356 .xword 0xf721ac9cd7bcb30f
38357 .xword 0x54ba2c0cf5dcb9a0
38358 .xword 0x632839b53a99ace4
38359 .xword 0xba464ef8cbe957ea
38360 .xword 0xca215eb33867c06d
38361 .xword 0x6d881591d385bb61
38362 .xword 0x86c775fdd83388b4
38363 .xword 0x8d67cd95002d7420
38364 .xword 0x7d69ba74c908bc7e
38365 .xword 0x60e28cf12f66b033
38366 .xword 0x025d2932e72847df
38367 .xword 0x8fcb5434ef51c209
38368 .xword 0xcc1d474ff8f79b8a
38369 .xword 0x7ca7034328bb92e7
38370 .xword 0xa074b5e0fc53ec91
38371 .xword 0x20a48bd6300cc5a3
38372 .xword 0xedefc22930a2530d
38373 .xword 0x8ffaf4fc7ffd2fe9
38374 .xword 0x5d172a3e10b3ce40
38375 .xword 0xcc698fc8b7c5f5fa
38376 .xword 0x47a51c22e93de730
38377 .xword 0xbe6a2f3005ce8265
38378 .xword 0x38b11c4633d61346
38379 .xword 0x0943ab70d61706b1
38380 .xword 0xb6fa09479433e6ca
38381 .xword 0x6e56bd66044b2d00
38382 .xword 0x90d9e680efd2711b
38383 .xword 0xd811e68d443e7b9c
38384 .xword 0x65154d35f33e9e2b
38385 .xword 0xdf44689f8875a037
38386 .xword 0xcdd47251cfa4e1d2
38387 .xword 0xcc788ae6e4c87735
38388 .xword 0xc77a3c3a1668a46e
38389 .xword 0x347adf854193a72a
38390 .xword 0x35c4bba91106528b
38391 .xword 0xb492ab446f2ab812
38392 .xword 0x3798ea1fbfca3eeb
38393 .xword 0x1a5506392aa4dd61
38394 .xword 0xfc40de299d2c1b0d
38395 .xword 0x6ae0382a0a3f4fe1
38396 .xword 0xbbe6f4a27ed538dc
38397 .xword 0xe0ac940636b3d00f
38398 .xword 0xc7b4466c1d2c44ef
38399 .xword 0xd6d06df3b994ade7
38400 .xword 0x6a04fe5c827b2183
38401 .xword 0x161548d47fa73a8c
38402 .xword 0x05ee3b3ac188204c
38403 .xword 0x427ae9dedba63d54
38404 .xword 0x48a4467affa9f8fd
38405 .xword 0x84a9096d4a2ef1ee
38406 .xword 0x77ac9022eeb65ddb
38407 .xword 0x8c92c6beaf3ba822
38408 .xword 0xdc55cc74294a7c28
38409 .xword 0xd2f9dbaf8842bdd6
38410 .xword 0x36e69b16544b97cf
38411 .xword 0x05fe24ecd24eda59
38412 .xword 0xb7a7dbd42e824f98
38413 .xword 0xcfa0febb6dfa8f21
38414 .xword 0x50b0f17bf74af01c
38415 .xword 0x4c5df0a8f1cf874c
38416 .xword 0x17eda840412fdd72
38417 .xword 0xfbaa3c13f3aa447d
38418 .xword 0x42c3d6cd354b503e
38419 .xword 0xda76b6dec9a53609
38420 .xword 0x0437fdb49afd8783
38421 .xword 0x7e9c7b7e619bff03
38422 .xword 0xb4bdc5a793ea7f6f
38423 .xword 0xed77300086fd5490
38424 .xword 0x2b759d4cb2b9ed82
38425 .xword 0x442e969508802c3c
38426 .xword 0x455c379212c90f4f
38427 .xword 0xeaf15b24ebb5816e
38428 .xword 0xcc870b77ed39eb9c
38429 .xword 0x6f4bbf2565e04bd0
38430 .xword 0x4bf92d980e96b4d6
38431 .xword 0x0d848b0b5f72062c
38432 .xword 0xa27169a6252b7fcd
38433 .xword 0xd44e5998703196c9
38434 .xword 0xc9f3602a469724b7
38435 .xword 0x3e86001408fa2cad
38436 .xword 0x6e9524aa98ac2ee7
38437 .xword 0x86e3c089a6e1959c
38438 .xword 0x608e3e0c82ebec4d
38439 .xword 0x470fc72e8cd46620
38440 .xword 0xc1f2a0c610d2e426
38441 .xword 0x8cde857cf771750d
38442 .xword 0x0b80a729c2cd07db
38443 .xword 0x4c23fd9a9b1ab6a0
38444 .xword 0x68cd8265c7c9d218
38445 .xword 0x279189c6e12b9532
38446 .xword 0xb9002db7d17b7aac
38447 .xword 0xdb19e5186ad94cf2
38448 .xword 0xf9a6592e61acb65a
38449 .xword 0x947d1b0a32e27806
38450 .xword 0xad0957af82ec508b
38451 .xword 0x7f16e7b2c75a769c
38452 .xword 0xd6b1e01f92f6ebb3
38453 .xword 0xb6c0c49647196097
38454 .xword 0xf9958e5ffbb2df39
38455 .xword 0x1ad84abb0169ae6d
38456 .xword 0xf166640e6c2ea3d5
38457 .xword 0xc41e4c9abfcc1ad8
38458 .xword 0x043c8f849f47f0f6
38459 .xword 0xfbf9d96f8b753f5a
38460 .xword 0xe37fea49b215cbf0
38461 .xword 0xc20a2121f042a538
38462 .xword 0x60950ee03e4027d5
38463 .xword 0x7f32fe37dccdf412
38464 .xword 0x4712489a88fcdaf8
38465 .xword 0xace8932124e5e650
38466 .xword 0xfece9edcb0d512ca
38467 .xword 0x646fd00e6c689805
38468 .xword 0xe9c0abb6955728dd
38469 .xword 0x701145c50f8451bb
38470 .xword 0x0c26fd9af1b2093c
38471 .xword 0xf022a46c1477adb2
38472 .xword 0xa5f41df811f64e42
38473 .xword 0x86211c887123531c
38474 .xword 0x5cfd988a774f4fb6
38475 .xword 0x25ded6bf1e274735
38476 .xword 0x35b47a048c1fac97
38477 .xword 0x3276885ad4e2d22c
38478 .xword 0xbb4634752e61f985
38479 .xword 0x7d1f5dccebb6e860
38480 .xword 0x25dcfb128cc84d01
38481 .xword 0xf045daaaa30af1af
38482 .xword 0xf01e711d0761dfe1
38483 .xword 0xfa40c6725dbec099
38484 .xword 0xd3207b45c356b4c6
38485 .xword 0x894b813ce24affee
38486 .xword 0xad15b87c474bc60d
38487 .xword 0xc1d637538789211f
38488 .xword 0xff4814c5836c2dce
38489 .xword 0x76c83d435c605b08
38490 .xword 0xa766541cceddb914
38491 .xword 0x03a9db02a60c0ead
38492 .xword 0x8364aee7020b7a2c
38493 .xword 0x5a9c0d8dff54a0ec
38494 .xword 0x4028c28695e8d240
38495 .xword 0xf0897c445c0d7217
38496 .xword 0xa83bac3743d4902b
38497 .xword 0x3ec1c15d95e23fcc
38498 .xword 0x7008b8c0d8f7da38
38499 .xword 0x0d169d3dae47885a
38500 .xword 0x6b749e0433270dba
38501 .xword 0x08df746f156c8d8d
38502 .xword 0x69906fb775bef19b
38503 .xword 0x208f69cdd3f0f7f9
38504 .xword 0xea63901ec9144fc7
38505 .xword 0xe1344da0e7e50b13
38506 .xword 0xa5463c7f64c9ad13
38507 .xword 0xfa91030b6a133747
38508 .xword 0x1ea1c140d04e7ba7
38509 .xword 0x93d5c115700ecab3
38510 .xword 0xd27fdd318410c15c
38511 .xword 0xbe2c21aa98a7ee21
38512 .xword 0x7e2638f4e95266e3
38513 .xword 0x2eb60c0f320ce7b8
38514 .xword 0x40d3fa8e84be3bde
38515 .xword 0xeca1f7b96576b1ef
38516 .xword 0x4cb914035a58f381
38517 .xword 0x0959e1b6de26fdd7
38518 .xword 0x345151291d0d303b
38519 .xword 0xbb2289d0efbbd408
38520 .xword 0x827973e68a0550f8
38521 .xword 0x17fed43a06989a1c
38522 .xword 0xfa7cd552ca7d271a
38523 .xword 0x9939a17fa4968a93
38524 .xword 0x9b636ba0df012ec3
38525 .xword 0x5b8823ae8a55f1a2
38526 .xword 0x9c9fad0414c8b4ca
38527 .xword 0x7b46f6ecec7cdd71
38528 .xword 0x8ec511f92fc96a0a
38529 .xword 0x8b4548e9b31ec358
38530 .xword 0x4d79dc5ea7481dbc
38531 .xword 0x6a8bb5b2cd51904d
38532 .xword 0xfee69f96b6ee0eb4
38533 .xword 0xa3a4b3d94e2f5e5b
38534 .xword 0xdb9d16b0b5422787
38535 .xword 0xaf8d4719f5572f91
38536 .xword 0xfb6d1e9eadbce576
38537 .xword 0x587a0e4e81812892
38538 .xword 0xf9463d12d89e7792
38539 .xword 0xb42fc0b9d86cdee5
38540 .xword 0x93b4e120e2d89a50
38541 .xword 0xb4e4d7dd5df4ad03
38542 .xword 0xc11c0c6bb4072f74
38543 .xword 0x784cb9f943b11350
38544 .xword 0x0a0e3a985ebb099b
38545 .xword 0xf5f1662369e785d5
38546 .xword 0x02d5bf4b8fc0f2c8
38547 .xword 0x7bd86bf32c3f74e5
38548 .xword 0x7e56c88240d339d1
38549 .xword 0x5d328c49581de168
38550 .xword 0xe1d3660260a30613
38551 .xword 0x30de3876c37bc89c
38552 .xword 0x700db76912e7ab4c
38553 .xword 0xfe48d49534aade88
38554 .xword 0x274cc6a81b211e6b
38555 .xword 0xb59756b6270b2c65
38556 .xword 0xbeccf46d30c4a638
38557 .xword 0x6694c0c5e60587a7
38558 .xword 0x39986906d54bd92c
38559 .xword 0xe221e4a176b5b35c
38560 .xword 0x98cc3fb471e74e05
38561 .xword 0x86cce97d517063c8
38562 .xword 0x645252498ca5db0a
38563 .xword 0x69d97a4913676f67
38564 .xword 0x4f3db0e38aef4995
38565 .xword 0xd15cfaa570301b20
38566 .xword 0x11f94f7cdc392690
38567_t1_copy_dest:
38568 .xword 0xDEADBEEFDEADBEEF
38569 .xword 0xDEADBEEFDEADBEEF
38570 .xword 0xDEADBEEFDEADBEEF
38571 .xword 0xDEADBEEFDEADBEEF
38572 .xword 0xDEADBEEFDEADBEEF
38573 .xword 0xDEADBEEFDEADBEEF
38574 .xword 0xDEADBEEFDEADBEEF
38575 .xword 0xDEADBEEFDEADBEEF
38576 .xword 0xDEADBEEFDEADBEEF
38577 .xword 0xDEADBEEFDEADBEEF
38578 .xword 0xDEADBEEFDEADBEEF
38579 .xword 0xDEADBEEFDEADBEEF
38580 .xword 0xDEADBEEFDEADBEEF
38581 .xword 0xDEADBEEFDEADBEEF
38582 .xword 0xDEADBEEFDEADBEEF
38583 .xword 0xDEADBEEFDEADBEEF
38584 .xword 0xDEADBEEFDEADBEEF
38585 .xword 0xDEADBEEFDEADBEEF
38586 .xword 0xDEADBEEFDEADBEEF
38587 .xword 0xDEADBEEFDEADBEEF
38588 .xword 0xDEADBEEFDEADBEEF
38589 .xword 0xDEADBEEFDEADBEEF
38590 .xword 0xDEADBEEFDEADBEEF
38591 .xword 0xDEADBEEFDEADBEEF
38592 .xword 0xDEADBEEFDEADBEEF
38593 .xword 0xDEADBEEFDEADBEEF
38594 .xword 0xDEADBEEFDEADBEEF
38595 .xword 0xDEADBEEFDEADBEEF
38596 .xword 0xDEADBEEFDEADBEEF
38597 .xword 0xDEADBEEFDEADBEEF
38598 .xword 0xDEADBEEFDEADBEEF
38599 .xword 0xDEADBEEFDEADBEEF
38600 .xword 0xDEADBEEFDEADBEEF
38601 .xword 0xDEADBEEFDEADBEEF
38602 .xword 0xDEADBEEFDEADBEEF
38603 .xword 0xDEADBEEFDEADBEEF
38604 .xword 0xDEADBEEFDEADBEEF
38605 .xword 0xDEADBEEFDEADBEEF
38606 .xword 0xDEADBEEFDEADBEEF
38607 .xword 0xDEADBEEFDEADBEEF
38608 .xword 0xDEADBEEFDEADBEEF
38609 .xword 0xDEADBEEFDEADBEEF
38610 .xword 0xDEADBEEFDEADBEEF
38611 .xword 0xDEADBEEFDEADBEEF
38612 .xword 0xDEADBEEFDEADBEEF
38613 .xword 0xDEADBEEFDEADBEEF
38614 .xword 0xDEADBEEFDEADBEEF
38615 .xword 0xDEADBEEFDEADBEEF
38616 .xword 0xDEADBEEFDEADBEEF
38617 .xword 0xDEADBEEFDEADBEEF
38618 .xword 0xDEADBEEFDEADBEEF
38619 .xword 0xDEADBEEFDEADBEEF
38620 .xword 0xDEADBEEFDEADBEEF
38621 .xword 0xDEADBEEFDEADBEEF
38622 .xword 0xDEADBEEFDEADBEEF
38623 .xword 0xDEADBEEFDEADBEEF
38624 .xword 0xDEADBEEFDEADBEEF
38625 .xword 0xDEADBEEFDEADBEEF
38626 .xword 0xDEADBEEFDEADBEEF
38627 .xword 0xDEADBEEFDEADBEEF
38628 .xword 0xDEADBEEFDEADBEEF
38629 .xword 0xDEADBEEFDEADBEEF
38630 .xword 0xDEADBEEFDEADBEEF
38631 .xword 0xDEADBEEFDEADBEEF
38632 .xword 0xDEADBEEFDEADBEEF
38633 .xword 0xDEADBEEFDEADBEEF
38634 .xword 0xDEADBEEFDEADBEEF
38635 .xword 0xDEADBEEFDEADBEEF
38636 .xword 0xDEADBEEFDEADBEEF
38637 .xword 0xDEADBEEFDEADBEEF
38638 .xword 0xDEADBEEFDEADBEEF
38639 .xword 0xDEADBEEFDEADBEEF
38640 .xword 0xDEADBEEFDEADBEEF
38641 .xword 0xDEADBEEFDEADBEEF
38642 .xword 0xDEADBEEFDEADBEEF
38643 .xword 0xDEADBEEFDEADBEEF
38644 .xword 0xDEADBEEFDEADBEEF
38645 .xword 0xDEADBEEFDEADBEEF
38646 .xword 0xDEADBEEFDEADBEEF
38647 .xword 0xDEADBEEFDEADBEEF
38648 .xword 0xDEADBEEFDEADBEEF
38649 .xword 0xDEADBEEFDEADBEEF
38650 .xword 0xDEADBEEFDEADBEEF
38651 .xword 0xDEADBEEFDEADBEEF
38652 .xword 0xDEADBEEFDEADBEEF
38653 .xword 0xDEADBEEFDEADBEEF
38654 .xword 0xDEADBEEFDEADBEEF
38655 .xword 0xDEADBEEFDEADBEEF
38656 .xword 0xDEADBEEFDEADBEEF
38657 .xword 0xDEADBEEFDEADBEEF
38658 .xword 0xDEADBEEFDEADBEEF
38659 .xword 0xDEADBEEFDEADBEEF
38660 .xword 0xDEADBEEFDEADBEEF
38661 .xword 0xDEADBEEFDEADBEEF
38662 .xword 0xDEADBEEFDEADBEEF
38663 .xword 0xDEADBEEFDEADBEEF
38664 .xword 0xDEADBEEFDEADBEEF
38665 .xword 0xDEADBEEFDEADBEEF
38666 .xword 0xDEADBEEFDEADBEEF
38667 .xword 0xDEADBEEFDEADBEEF
38668 .xword 0xDEADBEEFDEADBEEF
38669 .xword 0xDEADBEEFDEADBEEF
38670 .xword 0xDEADBEEFDEADBEEF
38671 .xword 0xDEADBEEFDEADBEEF
38672 .xword 0xDEADBEEFDEADBEEF
38673 .xword 0xDEADBEEFDEADBEEF
38674 .xword 0xDEADBEEFDEADBEEF
38675 .xword 0xDEADBEEFDEADBEEF
38676 .xword 0xDEADBEEFDEADBEEF
38677 .xword 0xDEADBEEFDEADBEEF
38678 .xword 0xDEADBEEFDEADBEEF
38679 .xword 0xDEADBEEFDEADBEEF
38680 .xword 0xDEADBEEFDEADBEEF
38681 .xword 0xDEADBEEFDEADBEEF
38682 .xword 0xDEADBEEFDEADBEEF
38683 .xword 0xDEADBEEFDEADBEEF
38684 .xword 0xDEADBEEFDEADBEEF
38685 .xword 0xDEADBEEFDEADBEEF
38686 .xword 0xDEADBEEFDEADBEEF
38687 .xword 0xDEADBEEFDEADBEEF
38688 .xword 0xDEADBEEFDEADBEEF
38689 .xword 0xDEADBEEFDEADBEEF
38690 .xword 0xDEADBEEFDEADBEEF
38691 .xword 0xDEADBEEFDEADBEEF
38692 .xword 0xDEADBEEFDEADBEEF
38693 .xword 0xDEADBEEFDEADBEEF
38694 .xword 0xDEADBEEFDEADBEEF
38695 .xword 0xDEADBEEFDEADBEEF
38696 .xword 0xDEADBEEFDEADBEEF
38697 .xword 0xDEADBEEFDEADBEEF
38698 .xword 0xDEADBEEFDEADBEEF
38699 .xword 0xDEADBEEFDEADBEEF
38700 .xword 0xDEADBEEFDEADBEEF
38701 .xword 0xDEADBEEFDEADBEEF
38702 .xword 0xDEADBEEFDEADBEEF
38703 .xword 0xDEADBEEFDEADBEEF
38704 .xword 0xDEADBEEFDEADBEEF
38705 .xword 0xDEADBEEFDEADBEEF
38706 .xword 0xDEADBEEFDEADBEEF
38707 .xword 0xDEADBEEFDEADBEEF
38708 .xword 0xDEADBEEFDEADBEEF
38709 .xword 0xDEADBEEFDEADBEEF
38710 .xword 0xDEADBEEFDEADBEEF
38711 .xword 0xDEADBEEFDEADBEEF
38712 .xword 0xDEADBEEFDEADBEEF
38713 .xword 0xDEADBEEFDEADBEEF
38714 .xword 0xDEADBEEFDEADBEEF
38715 .xword 0xDEADBEEFDEADBEEF
38716 .xword 0xDEADBEEFDEADBEEF
38717 .xword 0xDEADBEEFDEADBEEF
38718 .xword 0xDEADBEEFDEADBEEF
38719 .xword 0xDEADBEEFDEADBEEF
38720 .xword 0xDEADBEEFDEADBEEF
38721 .xword 0xDEADBEEFDEADBEEF
38722 .xword 0xDEADBEEFDEADBEEF
38723 .xword 0xDEADBEEFDEADBEEF
38724 .xword 0xDEADBEEFDEADBEEF
38725 .xword 0xDEADBEEFDEADBEEF
38726 .xword 0xDEADBEEFDEADBEEF
38727 .xword 0xDEADBEEFDEADBEEF
38728 .xword 0xDEADBEEFDEADBEEF
38729 .xword 0xDEADBEEFDEADBEEF
38730 .xword 0xDEADBEEFDEADBEEF
38731 .xword 0xDEADBEEFDEADBEEF
38732 .xword 0xDEADBEEFDEADBEEF
38733 .xword 0xDEADBEEFDEADBEEF
38734 .xword 0xDEADBEEFDEADBEEF
38735 .xword 0xDEADBEEFDEADBEEF
38736 .xword 0xDEADBEEFDEADBEEF
38737 .xword 0xDEADBEEFDEADBEEF
38738 .xword 0xDEADBEEFDEADBEEF
38739 .xword 0xDEADBEEFDEADBEEF
38740 .xword 0xDEADBEEFDEADBEEF
38741 .xword 0xDEADBEEFDEADBEEF
38742 .xword 0xDEADBEEFDEADBEEF
38743 .xword 0xDEADBEEFDEADBEEF
38744 .xword 0xDEADBEEFDEADBEEF
38745 .xword 0xDEADBEEFDEADBEEF
38746 .xword 0xDEADBEEFDEADBEEF
38747 .xword 0xDEADBEEFDEADBEEF
38748 .xword 0xDEADBEEFDEADBEEF
38749 .xword 0xDEADBEEFDEADBEEF
38750 .xword 0xDEADBEEFDEADBEEF
38751 .xword 0xDEADBEEFDEADBEEF
38752 .xword 0xDEADBEEFDEADBEEF
38753 .xword 0xDEADBEEFDEADBEEF
38754 .xword 0xDEADBEEFDEADBEEF
38755 .xword 0xDEADBEEFDEADBEEF
38756 .xword 0xDEADBEEFDEADBEEF
38757 .xword 0xDEADBEEFDEADBEEF
38758 .xword 0xDEADBEEFDEADBEEF
38759 .xword 0xDEADBEEFDEADBEEF
38760 .xword 0xDEADBEEFDEADBEEF
38761 .xword 0xDEADBEEFDEADBEEF
38762 .xword 0xDEADBEEFDEADBEEF
38763 .xword 0xDEADBEEFDEADBEEF
38764 .xword 0xDEADBEEFDEADBEEF
38765 .xword 0xDEADBEEFDEADBEEF
38766 .xword 0xDEADBEEFDEADBEEF
38767 .xword 0xDEADBEEFDEADBEEF
38768 .xword 0xDEADBEEFDEADBEEF
38769 .xword 0xDEADBEEFDEADBEEF
38770 .xword 0xDEADBEEFDEADBEEF
38771 .xword 0xDEADBEEFDEADBEEF
38772 .xword 0xDEADBEEFDEADBEEF
38773 .xword 0xDEADBEEFDEADBEEF
38774 .xword 0xDEADBEEFDEADBEEF
38775 .xword 0xDEADBEEFDEADBEEF
38776 .xword 0xDEADBEEFDEADBEEF
38777 .xword 0xDEADBEEFDEADBEEF
38778 .xword 0xDEADBEEFDEADBEEF
38779 .xword 0xDEADBEEFDEADBEEF
38780 .xword 0xDEADBEEFDEADBEEF
38781 .xword 0xDEADBEEFDEADBEEF
38782 .xword 0xDEADBEEFDEADBEEF
38783 .xword 0xDEADBEEFDEADBEEF
38784 .xword 0xDEADBEEFDEADBEEF
38785 .xword 0xDEADBEEFDEADBEEF
38786 .xword 0xDEADBEEFDEADBEEF
38787 .xword 0xDEADBEEFDEADBEEF
38788 .xword 0xDEADBEEFDEADBEEF
38789 .xword 0xDEADBEEFDEADBEEF
38790 .xword 0xDEADBEEFDEADBEEF
38791 .xword 0xDEADBEEFDEADBEEF
38792 .xword 0xDEADBEEFDEADBEEF
38793 .xword 0xDEADBEEFDEADBEEF
38794 .xword 0xDEADBEEFDEADBEEF
38795 .xword 0xDEADBEEFDEADBEEF
38796 .xword 0xDEADBEEFDEADBEEF
38797 .xword 0xDEADBEEFDEADBEEF
38798 .xword 0xDEADBEEFDEADBEEF
38799 .xword 0xDEADBEEFDEADBEEF
38800 .xword 0xDEADBEEFDEADBEEF
38801 .xword 0xDEADBEEFDEADBEEF
38802 .xword 0xDEADBEEFDEADBEEF
38803 .xword 0xDEADBEEFDEADBEEF
38804 .xword 0xDEADBEEFDEADBEEF
38805 .xword 0xDEADBEEFDEADBEEF
38806 .xword 0xDEADBEEFDEADBEEF
38807 .xword 0xDEADBEEFDEADBEEF
38808 .xword 0xDEADBEEFDEADBEEF
38809 .xword 0xDEADBEEFDEADBEEF
38810 .xword 0xDEADBEEFDEADBEEF
38811 .xword 0xDEADBEEFDEADBEEF
38812 .xword 0xDEADBEEFDEADBEEF
38813 .xword 0xDEADBEEFDEADBEEF
38814 .xword 0xDEADBEEFDEADBEEF
38815 .xword 0xDEADBEEFDEADBEEF
38816 .xword 0xDEADBEEFDEADBEEF
38817 .xword 0xDEADBEEFDEADBEEF
38818 .xword 0xDEADBEEFDEADBEEF
38819 .xword 0xDEADBEEFDEADBEEF
38820 .xword 0xDEADBEEFDEADBEEF
38821 .xword 0xDEADBEEFDEADBEEF
38822 .xword 0xDEADBEEFDEADBEEF
38823 .xword 0xDEADBEEFDEADBEEF
38824 .xword 0xDEADBEEFDEADBEEF
38825 .xword 0xDEADBEEFDEADBEEF
38826 .xword 0xDEADBEEFDEADBEEF
38827 .xword 0xDEADBEEFDEADBEEF
38828 .xword 0xDEADBEEFDEADBEEF
38829 .xword 0xDEADBEEFDEADBEEF
38830 .xword 0xDEADBEEFDEADBEEF
38831 .xword 0xDEADBEEFDEADBEEF
38832 .xword 0xDEADBEEFDEADBEEF
38833 .xword 0xDEADBEEFDEADBEEF
38834 .xword 0xDEADBEEFDEADBEEF
38835 .xword 0xDEADBEEFDEADBEEF
38836 .xword 0xDEADBEEFDEADBEEF
38837 .xword 0xDEADBEEFDEADBEEF
38838 .xword 0xDEADBEEFDEADBEEF
38839 .xword 0xDEADBEEFDEADBEEF
38840 .xword 0xDEADBEEFDEADBEEF
38841 .xword 0xDEADBEEFDEADBEEF
38842 .xword 0xDEADBEEFDEADBEEF
38843_t1_copy_auth_key:
38844 .xword 0x117b00b1dd5c201e
38845 .xword 0xd28fb43747dfd7a7
38846 .xword 0xa6841158844d2ec5
38847 .xword 0xe76f3dbd90278bc6
38848 .xword 0x78cc3cbb42fddde2
38849 .xword 0xdbe82973b31dfaa6
38850 .xword 0x191ceccf5e64004e
38851 .xword 0x706cea5467d1508c
38852 .xword 0xe9f030199fe4fa3a
38853 .xword 0x1c064c20ff04c2f0
38854 .xword 0x27248872977f73fd
38855 .xword 0xc399a1d949a3c549
38856 .xword 0xeff4f4280d80c4bf
38857 .xword 0x60ce2363eabaf139
38858 .xword 0x79c9645a4aaa87fd
38859 .xword 0x88bcbf71a3b99986
38860 .xword 0x480c264c5bb2864c
38861 .xword 0x99b7faa60029d30d
38862 .xword 0xbd9b28940f302e7d
38863 .xword 0x3f15ac84911a99fe
38864 .xword 0x1c211b9a9fb82c40
38865 .xword 0x711505d8d0441f91
38866 .xword 0x69e763cb8c0f6064
38867_t1_copy_auth_iv:
38868 .xword 0x29ac2c2de4c3de01
38869 .xword 0x310040327ffad6b6
38870 .xword 0x0cc15b1c0996b032
38871 .xword 0x76e3cb3a6c2add4d
38872 .xword 0x122ca367b9442071
38873 .xword 0x600b246e75cdf261
38874 .xword 0xa324774a7bd0e069
38875 .xword 0xc1b021f10c47f418
38876 .xword 0x3281d789acc13d2d
38877 .xword 0x1c085411def68cfc
38878 .xword 0xf7784811516799c4
38879 .xword 0x4f980e7c37875832
38880 .xword 0xa4824232d94dcbc3
38881 .xword 0x2a27c6997331b178
38882 .xword 0x66bb93a2b45fdccf
38883 .xword 0xb302b0079d9ef7a1
38884 .xword 0xb268856ff91e7b78
38885 .xword 0x1a545184d548c62c
38886 .xword 0x024ec65239066c37
38887 .xword 0xacfa5855ef1a231b
38888 .xword 0x52c71ff1808cd966
38889 .xword 0xd3b4bbfeb9385e5d
38890 .xword 0x9892bb3f27f974be
38891_t1_copy_fas_result:
38892 .xword 0xDEADBEEFDEADBEEF
38893 .xword 0xDEADBEEFDEADBEEF
38894 .xword 0xDEADBEEFDEADBEEF
38895 .xword 0xDEADBEEFDEADBEEF
38896 .xword 0xDEADBEEFDEADBEEF
38897 .xword 0xDEADBEEFDEADBEEF
38898 .xword 0xDEADBEEFDEADBEEF
38899 .xword 0xDEADBEEFDEADBEEF
38900 .xword 0xDEADBEEFDEADBEEF
38901 .xword 0xDEADBEEFDEADBEEF
38902 .xword 0xDEADBEEFDEADBEEF
38903 .xword 0xDEADBEEFDEADBEEF
38904 .xword 0xDEADBEEFDEADBEEF
38905 .xword 0xDEADBEEFDEADBEEF
38906 .xword 0xDEADBEEFDEADBEEF
38907 .xword 0xDEADBEEFDEADBEEF
38908 .xword 0xDEADBEEFDEADBEEF
38909 .xword 0xDEADBEEFDEADBEEF
38910 .xword 0xDEADBEEFDEADBEEF
38911 .xword 0xDEADBEEFDEADBEEF
38912 .xword 0xDEADBEEFDEADBEEF
38913 .xword 0xDEADBEEFDEADBEEF
38914 .xword 0xDEADBEEFDEADBEEF
38915_t1_crc_key_array:
38916 .xword 0xafa8fea35afb670b
38917 .xword 0xbe10408a408ebb3b
38918 .xword 0xccf7b67c9cb50092
38919 .xword 0x4d36435fc5a569d9
38920 .xword 0x4899437240d54d3a
38921 .xword 0x6d38185c2d3e6bbc
38922 .xword 0x03c391e0051ebc6e
38923 .xword 0xd86606301ba5e436
38924 .xword 0xc0e7d07ac4f681ba
38925 .xword 0x34391e18649e7e11
38926 .xword 0xd3b82e12c05913bc
38927 .xword 0x13eaa7c32ace727b
38928 .xword 0x14a01e85899e1e10
38929 .xword 0x9495cca830b762aa
38930 .xword 0x2ca1695af92f8106
38931 .xword 0x9b2bc1e88dc3079b
38932 .xword 0x0a518e8d2cccf2b0
38933 .xword 0xa56b597300d0ef4e
38934 .xword 0xce34c57de56d083c
38935 .xword 0x1ca904b5fe42ca10
38936 .xword 0x52d56dd4764f3889
38937 .xword 0xf2080842ca7ccfc1
38938 .xword 0x47b2f755331f5663
38939 .xword 0xf7a066340af734aa
38940 .xword 0x76a06b6853f2bf51
38941 .xword 0xd2853eb2425f39ae
38942 .xword 0x56620ade3f239696
38943 .xword 0x548bc48f08654792
38944 .xword 0x6bb41caa1c214cf6
38945 .xword 0x16beca38908212ba
38946 .xword 0x94c721f10521a685
38947 .xword 0x94b2d61a1ed33183
38948 .xword 0x0a16da4193b90f43
38949 .xword 0x8a8d76d7741f9982
38950 .xword 0x239a8271c463fdee
38951 .xword 0x8f1f546629ac79a7
38952 .xword 0xfeea9845bd8265a2
38953 .xword 0x8e287c0f0e39ca53
38954 .xword 0x58809a507039f296
38955 .xword 0x29a87e2e561bb549
38956 .xword 0x3fd30623b754ccf2
38957 .xword 0xc467a888d51b7a51
38958 .xword 0x4e6abb2ee99699cf
38959 .xword 0x105ab4d978e0ec03
38960 .xword 0x4c369185ad53d193
38961 .xword 0xd54c656a0d497470
38962 .xword 0x69502c9801fe2ed3
38963 .xword 0x28a1ff284e599938
38964 .xword 0x20273c19822c257e
38965 .xword 0x4a568a8725ad01d1
38966 .xword 0x7aec3a8785e62f03
38967_t1_crc_iv_array:
38968 .xword 0xf58c7f0c829ce3b8
38969 .xword 0xe9b90b5c01c146c1
38970 .xword 0xca8957c06b6af1a9
38971 .xword 0x98232043e0b811f8
38972 .xword 0x1b26ef2dfe939b8c
38973 .xword 0xe0a9faf9be32bd02
38974 .xword 0x09e8fc7115d7343e
38975 .xword 0x3a836862d0d896e2
38976 .xword 0xabfdb9c15f268cc9
38977 .xword 0x4380bd54d3f720d2
38978 .xword 0xb7ccb951bc0eef9f
38979 .xword 0x1dbf9861290e4e72
38980 .xword 0x80e19e2813a37cf0
38981 .xword 0xec1953780813cefb
38982 .xword 0xc510827cc2abce24
38983 .xword 0x76c16d9330f5b502
38984 .xword 0x03fc2cb1486916b5
38985 .xword 0xa407de24a51d8510
38986 .xword 0x4d38c603ebbfcfda
38987 .xword 0xa0698373f58dbed1
38988 .xword 0x4f9fd259288caf21
38989 .xword 0x9141df4e4c1436f9
38990 .xword 0x9e9102af06345c85
38991 .xword 0x0a7a1a8b3620e833
38992 .xword 0x144cb6c364c87e05
38993 .xword 0xb1d54836237e312e
38994 .xword 0xff8782ec8274fff7
38995 .xword 0xa530cdc1e549cc52
38996 .xword 0x73f22285f94a643b
38997 .xword 0xa0d29eccdc5605d4
38998 .xword 0x8fd128613f6efade
38999 .xword 0x1df7276a6476cbd3
39000 .xword 0x509b407ace157a3a
39001 .xword 0x69d088fb37c64b1c
39002 .xword 0x83ba8a49acb21b46
39003 .xword 0x3f167678944777f0
39004 .xword 0xe520d557496efdba
39005 .xword 0x3f95c50710360901
39006 .xword 0x6da097bb6ee17428
39007 .xword 0xea3c724884fdd44d
39008 .xword 0xa67c789bfbcff982
39009 .xword 0x9f1d2b576a92b1bd
39010 .xword 0x1a97ebd4d26a0c32
39011 .xword 0x28196f0d98fc1a3d
39012 .xword 0x3492d1947d077f9d
39013_t1_crc_alignment_array:
39014 .xword 2
39015 .xword 15
39016 .xword 8
39017 .xword 7
39018 .xword 5
39019 .xword 9
39020 .xword 3
39021 .xword 1
39022 .xword 3
39023 .xword 4
39024 .xword 4
39025 .xword 12
39026 .xword 1
39027 .xword 11
39028 .xword 3
39029 .xword 13
39030 .xword 1
39031 .xword 2
39032 .xword 1
39033 .xword 4
39034 .xword 0
39035 .xword 0
39036 .xword 0
39037 .xword 9
39038 .xword 14
39039 .xword 9
39040 .xword 6
39041 .xword 0
39042 .xword 7
39043 .xword 13
39044 .xword 11
39045 .xword 13
39046 .xword 13
39047 .xword 4
39048 .xword 6
39049 .xword 2
39050 .xword 15
39051 .xword 8
39052 .xword 7
39053 .xword 4
39054 .xword 2
39055 .xword 14
39056 .xword 15
39057 .xword 12
39058 .xword 15
39059 .xword 12
39060 .xword 10
39061 .xword 7
39062 .xword 4
39063 .xword 15
39064 .xword 2
39065 .xword 1
39066 .xword 0
39067 .xword 2
39068 .xword 6
39069 .xword 10
39070 .xword 9
39071 .xword 6
39072 .xword 9
39073 .xword 3
39074 .xword 5
39075 .xword 8
39076 .xword 9
39077 .xword 0
39078 .xword 13
39079 .xword 9
39080 .xword 12
39081 .xword 4
39082 .xword 14
39083 .xword 2
39084 .xword 11
39085 .xword 15
39086 .xword 6
39087 .xword 2
39088 .xword 15
39089 .xword 9
39090 .xword 9
39091 .xword 6
39092 .xword 8
39093 .xword 4
39094 .xword 11
39095 .xword 10
39096 .xword 11
39097 .xword 7
39098 .xword 4
39099 .xword 1
39100 .xword 0
39101 .xword 9
39102 .xword 8
39103 .xword 15
39104 .xword 11
39105 .xword 6
39106 .xword 3
39107 .xword 5
39108 .xword 7
39109 .xword 11
39110 .xword 1
39111 .xword 1
39112 .xword 9
39113 .xword 6
39114 .xword 14
39115 .xword 5
39116 .xword 4
39117 .xword 12
39118 .xword 8
39119_t1_crc_src:
39120 .xword 0x4e31923465ad9a54
39121 .xword 0xa3840296ed143982
39122 .xword 0x1a255a584dbbc210
39123 .xword 0xd13e0a2d0b23c0c8
39124 .xword 0x2a13f977c2cc5752
39125 .xword 0x2a625e08621caa14
39126 .xword 0x550fbcec88ce5a5e
39127 .xword 0xc77c4c79c48602af
39128 .xword 0x3d61d51a73cfa0df
39129 .xword 0x67e4a699c46c2ff1
39130 .xword 0xd8e039637e948558
39131 .xword 0x45086f0829e84a90
39132 .xword 0x4fabdaf759db9cb8
39133 .xword 0x05e5fa1035512c63
39134 .xword 0x993070a43710ca6e
39135 .xword 0xd1c25b63933c11b9
39136 .xword 0x45703ee4c1ad1179
39137 .xword 0x4a1ee3634d038929
39138 .xword 0x205104c4645d961f
39139 .xword 0x1d4439f91979ce8c
39140 .xword 0xdb07f1fbd37dc12b
39141 .xword 0x2280328b89e32d5c
39142 .xword 0x6a262e5c5481d10d
39143 .xword 0x748af65377ee40dc
39144 .xword 0x75b32672fa8eba89
39145 .xword 0xc8cb5e39717ce689
39146 .xword 0x215e29831e6d2a49
39147 .xword 0x2ebdd47784eeb747
39148 .xword 0x22b1bd04524fe07c
39149 .xword 0x6cd7174e38f54cb0
39150 .xword 0xcf4c3bbfcf796551
39151 .xword 0x06f128b924c2f227
39152 .xword 0x5da2dae81338c41b
39153 .xword 0xc0f81a1092f7968e
39154 .xword 0x29ae3695c0346761
39155 .xword 0xf63b8e5b2951dfd9
39156 .xword 0x07fec0b729c26dd6
39157 .xword 0x125224ef17a3ff88
39158 .xword 0x0d94c37149d4b974
39159 .xword 0xad5c297413968e21
39160 .xword 0x7cab6d2ec664c14f
39161 .xword 0x1755f032237c0d09
39162 .xword 0xd1f58868966e60fd
39163 .xword 0xad311e91f5929aec
39164 .xword 0x495f0286904ce8be
39165 .xword 0x591fad911202c2ed
39166 .xword 0x735ea338cf390e11
39167 .xword 0x07cffd4e481d1b1c
39168 .xword 0xf97648e040f59750
39169 .xword 0x23355080ce3ff982
39170 .xword 0x08ae605e3ed8e13e
39171 .xword 0x88dd2dc395a513ec
39172 .xword 0xe8ea03a5bdab539f
39173 .xword 0xb0f3ecfc13587746
39174 .xword 0xf541c00ef1ba16b2
39175 .xword 0xcde214ebf1741ce0
39176 .xword 0x64f511452b42eeb5
39177 .xword 0x61e47e6596d8309e
39178 .xword 0x38b777c80dcb3898
39179 .xword 0xfac568cdd1394cde
39180 .xword 0x7b3711aa5e6ca667
39181 .xword 0x17dfed15888fd504
39182 .xword 0x4b1ad78a210c416f
39183 .xword 0x9b49e0d59892397c
39184 .xword 0xc64bdf4f12cfd259
39185 .xword 0xfda6ad3b47f97aa9
39186 .xword 0xbe1c955166fe65d7
39187 .xword 0x416684bf4a7388ce
39188 .xword 0x2cf5d8ed38c2d00b
39189 .xword 0xd483721b4f16035e
39190 .xword 0x16f7e151b0f444d4
39191 .xword 0x8c4b7798b2da94ce
39192 .xword 0x6e849b8f65a4ac66
39193 .xword 0x348aea61cccdd74c
39194 .xword 0x277f88b2e6da81ad
39195 .xword 0xa6146386f9e85a4e
39196 .xword 0xfc046751f1ea7498
39197 .xword 0xc64b138613c79705
39198 .xword 0x7ecd0a0887b767ad
39199 .xword 0x3d1c1358170232e2
39200 .xword 0xa230cf35d74dee2e
39201 .xword 0xdfa29f754198efe2
39202 .xword 0x19ad52bf1a55883b
39203 .xword 0xadbb2e34ad40710b
39204 .xword 0x3c405793f449b151
39205 .xword 0x534986f203b1d245
39206 .xword 0xb306c82743e001f0
39207 .xword 0x60b4a192d79b71e6
39208 .xword 0xe3816b0343382475
39209 .xword 0xb5412f9c3025abfb
39210 .xword 0x5d2fb32bd62beb9a
39211 .xword 0x4e108814ae105999
39212 .xword 0x3a3b70606fe62fb8
39213 .xword 0x2737afa507958a85
39214 .xword 0xc44395250f4ac0d4
39215 .xword 0xdc961e8402f5db04
39216 .xword 0x12dceb83d2153d8c
39217 .xword 0xf097304517f47ecc
39218 .xword 0x96c8cab07c17a0ee
39219 .xword 0xe8c2e345701db48f
39220 .xword 0xa628136b1144d619
39221 .xword 0xb206cac18df9327b
39222 .xword 0xfa433ed79bffbf16
39223 .xword 0xc907e85ba261b56e
39224 .xword 0x189ac585e684e465
39225 .xword 0x9a82bc52cb015416
39226 .xword 0x6053a41f7865fc8d
39227 .xword 0x13537d65984704ed
39228 .xword 0x428c66adbdfecab8
39229 .xword 0x1d9fbc8ba0e736a5
39230 .xword 0x69ba8e00a742158c
39231 .xword 0x2830d13311dff25d
39232 .xword 0x23aaf2e396e7c19b
39233 .xword 0x8080cfa184c6e412
39234 .xword 0x9c40e8f65cfd1ed9
39235 .xword 0xe38461695d5fbabb
39236 .xword 0x3aa83fdbdf6c0322
39237 .xword 0x13b718683f8bee52
39238 .xword 0x092c856626323ef9
39239 .xword 0x81166bd1ffb9d4ad
39240 .xword 0xa758b0a62fe556e0
39241 .xword 0x57703f1252cd1e6c
39242 .xword 0xdd5a2cd1f3061f83
39243 .xword 0x7fc6fdf57c576708
39244 .xword 0x9d1d99b4caea111c
39245 .xword 0x700c89b49c781aa6
39246 .xword 0x3f7049899fcef9b4
39247 .xword 0xce981e9ef3a932de
39248 .xword 0x761fb074801e011d
39249 .xword 0x791b1787ae099310
39250 .xword 0x197ef0b35601008f
39251 .xword 0x70575dc3230e1ae1
39252 .xword 0x9ba318997b0f75af
39253 .xword 0xa08f8085e6e4f249
39254 .xword 0xf1f0eff30c850ddd
39255 .xword 0x394812a83c0d304a
39256 .xword 0xedca5247e31b4f7e
39257 .xword 0xf1ad689b0c873b86
39258 .xword 0xc511e86f0d64e360
39259 .xword 0x210ecc0a5a3cec84
39260 .xword 0xfd1784ea32776bfc
39261 .xword 0x31b049cda711c833
39262 .xword 0x4f60b177be579773
39263 .xword 0x17e9fbbe0ac6c64f
39264 .xword 0xa700a9254218f2d8
39265 .xword 0x59efe50bc126da89
39266 .xword 0x02192afce210ef24
39267 .xword 0x45d7d11d978e85ec
39268 .xword 0xda8a91ac911a4bea
39269 .xword 0x606d46d3ef948939
39270 .xword 0x284509c73a83dbdf
39271 .xword 0xcfa469c000cf8ea7
39272 .xword 0xa9cb3ccb515c4b03
39273 .xword 0x62decbfbaebca895
39274 .xword 0xeff74a883a5c9429
39275 .xword 0x7309442963c0e324
39276 .xword 0xdff52c3d4550b6fb
39277 .xword 0x528c374e582602ac
39278 .xword 0x6c4b8e7e86531256
39279 .xword 0x81b222d024ac1421
39280 .xword 0x08a3b23df036c6dc
39281 .xword 0xbc7c12c9650e4949
39282 .xword 0x947934a3397e47ef
39283 .xword 0xba970edcf2ba553c
39284 .xword 0x13151fce140d2344
39285 .xword 0x23223df5900a5dad
39286 .xword 0x20ead0ab271d2384
39287 .xword 0xb7852c8c197011ae
39288 .xword 0xb9ad85d285582229
39289 .xword 0x5c6aadb641a0af6c
39290 .xword 0xd652178fd2b7a136
39291 .xword 0xd6c1f088893588cc
39292 .xword 0x14a45c07242ee21b
39293 .xword 0x79c81c55bb7a055f
39294 .xword 0x8f1d486a0b42bf77
39295 .xword 0xf7a03d50def1fce7
39296 .xword 0x44ae202314ec6022
39297 .xword 0xeb5bab60ebd610c7
39298 .xword 0xbbf0dc781f2accbd
39299 .xword 0x054e5177b2dee608
39300 .xword 0x1fb2577ae4ab9960
39301 .xword 0x86199cd71ca2aecb
39302 .xword 0x400b5282d7ea8a1e
39303 .xword 0x259d87c348fe9aed
39304 .xword 0xe6af55677a5bbafd
39305 .xword 0xf2f8385f9f893856
39306 .xword 0x677ee009c1cb6847
39307 .xword 0x4247d7a9d3fcafb8
39308 .xword 0x7d19d70936161506
39309 .xword 0xea57b7a454160e93
39310 .xword 0x0647ae4ddce80a96
39311 .xword 0x0e5c2181a655d6ab
39312 .xword 0xf10c4a4ec17b2b0c
39313 .xword 0xb1ef6891b305fe4e
39314 .xword 0x8adfe0c3970f7c5f
39315 .xword 0x78454385724bdc1d
39316 .xword 0xd7077ff83181aeac
39317 .xword 0x214b8870ac85e32f
39318 .xword 0x12657df901751f2b
39319 .xword 0x42acb2289d1813c4
39320 .xword 0x0b5a43ee16db913c
39321 .xword 0x95f4ec04cf66b725
39322 .xword 0x6e3dc635584cff8e
39323 .xword 0x67a56d6a25438cad
39324 .xword 0xfa7c4c8f5241ff31
39325 .xword 0xed8a8a2befb80328
39326 .xword 0xd7d0b23585228bac
39327 .xword 0xb67c6bf572429cd9
39328 .xword 0xbae222ace22c0fca
39329 .xword 0x490fc910fe8286b5
39330 .xword 0x13a5bf2a20047258
39331 .xword 0x692cc402252bf67e
39332 .xword 0x4ddada37bf54e36a
39333 .xword 0xe6c55c9fe6e17d52
39334 .xword 0xf4170d031b727d68
39335 .xword 0xf8196903e5045aba
39336 .xword 0x1033b47b146e0d13
39337 .xword 0xf4c23e7b089cceea
39338 .xword 0x608d25b2ab6db615
39339 .xword 0x075adddd46d320c8
39340 .xword 0xdeb9f45ee1e859a0
39341 .xword 0x67d30e6f51a8a51b
39342 .xword 0x644710ad7e3ac634
39343 .xword 0x3f55e8e1b7e61ce9
39344 .xword 0xdc888c871c05150e
39345 .xword 0x05a746c166ef72cc
39346 .xword 0xbf877d1a1f5c9ead
39347 .xword 0xaba2a1dc1d37a547
39348 .xword 0xc9e7ead796b37e4d
39349 .xword 0x19c64bd9a81eb32d
39350 .xword 0xb9aaaacbb5344778
39351 .xword 0x846b48d4447030e4
39352 .xword 0xb8ffc305c5bd256c
39353 .xword 0x4c409a17dafce978
39354 .xword 0x578c1101f2b37790
39355 .xword 0x44e98f478d449219
39356 .xword 0x315fc078af7787ec
39357 .xword 0x53da31b3e3ee1b94
39358 .xword 0x71242a37bd25d940
39359 .xword 0x33aba87a3ff3e4de
39360 .xword 0x1584560ca49dbc11
39361 .xword 0x8285acd753a6fe3d
39362 .xword 0x7b1646305e2d10f0
39363 .xword 0xc05e592a16ece8de
39364 .xword 0x2f5052f8dbeceb31
39365 .xword 0xa554a628c09728a3
39366 .xword 0x30f0792e47d5f540
39367 .xword 0x5c65c9fac5be0cb3
39368 .xword 0x1651a36f0e78f9f9
39369 .xword 0x47b94d047006ce88
39370 .xword 0xd73b2613c44059b0
39371 .xword 0x2337251bc9e51105
39372 .xword 0x4dd1197b0a4f2e10
39373 .xword 0x43e1b5f5f0f09781
39374 .xword 0x344ed80280b379a8
39375 .xword 0xf38e161151eabb2f
39376 .xword 0xfae0240441c446d2
39377 .xword 0xa81e47a2ec7d3500
39378 .xword 0x6c1b26ef3d6e33ce
39379 .xword 0x1d0d1593ba69927e
39380 .xword 0x1fa6d5f10356fdb1
39381 .xword 0x6801587c1795181a
39382 .xword 0xeda143339a05a30f
39383 .xword 0x9df4746de2e580f2
39384 .xword 0x651e4ab647d59e9d
39385 .xword 0xd6edb9f08f400b60
39386 .xword 0x86682c0ae052202a
39387 .xword 0xc1ef570dcbb5ceb8
39388 .xword 0x8a501c3896b92b25
39389 .xword 0xf63ca81ab50c9d84
39390 .xword 0x8805c342b2dbab22
39391 .xword 0xe813746e499ecda0
39392 .xword 0xa62658b00b2a2c62
39393 .xword 0x10a1d904f0fa6599
39394 .xword 0xf5900426df546b78
39395_t1_crc_dest:
39396 .xword 0xDEADBEEFDEADBEEF
39397 .xword 0xDEADBEEFDEADBEEF
39398 .xword 0xDEADBEEFDEADBEEF
39399 .xword 0xDEADBEEFDEADBEEF
39400 .xword 0xDEADBEEFDEADBEEF
39401 .xword 0xDEADBEEFDEADBEEF
39402 .xword 0xDEADBEEFDEADBEEF
39403 .xword 0xDEADBEEFDEADBEEF
39404 .xword 0xDEADBEEFDEADBEEF
39405 .xword 0xDEADBEEFDEADBEEF
39406 .xword 0xDEADBEEFDEADBEEF
39407 .xword 0xDEADBEEFDEADBEEF
39408 .xword 0xDEADBEEFDEADBEEF
39409 .xword 0xDEADBEEFDEADBEEF
39410 .xword 0xDEADBEEFDEADBEEF
39411 .xword 0xDEADBEEFDEADBEEF
39412 .xword 0xDEADBEEFDEADBEEF
39413 .xword 0xDEADBEEFDEADBEEF
39414 .xword 0xDEADBEEFDEADBEEF
39415 .xword 0xDEADBEEFDEADBEEF
39416 .xword 0xDEADBEEFDEADBEEF
39417 .xword 0xDEADBEEFDEADBEEF
39418 .xword 0xDEADBEEFDEADBEEF
39419 .xword 0xDEADBEEFDEADBEEF
39420 .xword 0xDEADBEEFDEADBEEF
39421 .xword 0xDEADBEEFDEADBEEF
39422 .xword 0xDEADBEEFDEADBEEF
39423 .xword 0xDEADBEEFDEADBEEF
39424 .xword 0xDEADBEEFDEADBEEF
39425 .xword 0xDEADBEEFDEADBEEF
39426 .xword 0xDEADBEEFDEADBEEF
39427 .xword 0xDEADBEEFDEADBEEF
39428 .xword 0xDEADBEEFDEADBEEF
39429 .xword 0xDEADBEEFDEADBEEF
39430 .xword 0xDEADBEEFDEADBEEF
39431 .xword 0xDEADBEEFDEADBEEF
39432 .xword 0xDEADBEEFDEADBEEF
39433 .xword 0xDEADBEEFDEADBEEF
39434 .xword 0xDEADBEEFDEADBEEF
39435 .xword 0xDEADBEEFDEADBEEF
39436 .xword 0xDEADBEEFDEADBEEF
39437 .xword 0xDEADBEEFDEADBEEF
39438 .xword 0xDEADBEEFDEADBEEF
39439 .xword 0xDEADBEEFDEADBEEF
39440 .xword 0xDEADBEEFDEADBEEF
39441 .xword 0xDEADBEEFDEADBEEF
39442 .xword 0xDEADBEEFDEADBEEF
39443 .xword 0xDEADBEEFDEADBEEF
39444 .xword 0xDEADBEEFDEADBEEF
39445 .xword 0xDEADBEEFDEADBEEF
39446 .xword 0xDEADBEEFDEADBEEF
39447 .xword 0xDEADBEEFDEADBEEF
39448 .xword 0xDEADBEEFDEADBEEF
39449 .xword 0xDEADBEEFDEADBEEF
39450 .xword 0xDEADBEEFDEADBEEF
39451 .xword 0xDEADBEEFDEADBEEF
39452 .xword 0xDEADBEEFDEADBEEF
39453 .xword 0xDEADBEEFDEADBEEF
39454 .xword 0xDEADBEEFDEADBEEF
39455 .xword 0xDEADBEEFDEADBEEF
39456 .xword 0xDEADBEEFDEADBEEF
39457 .xword 0xDEADBEEFDEADBEEF
39458 .xword 0xDEADBEEFDEADBEEF
39459 .xword 0xDEADBEEFDEADBEEF
39460 .xword 0xDEADBEEFDEADBEEF
39461 .xword 0xDEADBEEFDEADBEEF
39462 .xword 0xDEADBEEFDEADBEEF
39463 .xword 0xDEADBEEFDEADBEEF
39464 .xword 0xDEADBEEFDEADBEEF
39465 .xword 0xDEADBEEFDEADBEEF
39466 .xword 0xDEADBEEFDEADBEEF
39467 .xword 0xDEADBEEFDEADBEEF
39468 .xword 0xDEADBEEFDEADBEEF
39469 .xword 0xDEADBEEFDEADBEEF
39470 .xword 0xDEADBEEFDEADBEEF
39471 .xword 0xDEADBEEFDEADBEEF
39472 .xword 0xDEADBEEFDEADBEEF
39473 .xword 0xDEADBEEFDEADBEEF
39474 .xword 0xDEADBEEFDEADBEEF
39475 .xword 0xDEADBEEFDEADBEEF
39476 .xword 0xDEADBEEFDEADBEEF
39477 .xword 0xDEADBEEFDEADBEEF
39478 .xword 0xDEADBEEFDEADBEEF
39479 .xword 0xDEADBEEFDEADBEEF
39480 .xword 0xDEADBEEFDEADBEEF
39481 .xword 0xDEADBEEFDEADBEEF
39482 .xword 0xDEADBEEFDEADBEEF
39483 .xword 0xDEADBEEFDEADBEEF
39484 .xword 0xDEADBEEFDEADBEEF
39485 .xword 0xDEADBEEFDEADBEEF
39486 .xword 0xDEADBEEFDEADBEEF
39487 .xword 0xDEADBEEFDEADBEEF
39488 .xword 0xDEADBEEFDEADBEEF
39489 .xword 0xDEADBEEFDEADBEEF
39490 .xword 0xDEADBEEFDEADBEEF
39491 .xword 0xDEADBEEFDEADBEEF
39492 .xword 0xDEADBEEFDEADBEEF
39493 .xword 0xDEADBEEFDEADBEEF
39494 .xword 0xDEADBEEFDEADBEEF
39495 .xword 0xDEADBEEFDEADBEEF
39496 .xword 0xDEADBEEFDEADBEEF
39497 .xword 0xDEADBEEFDEADBEEF
39498 .xword 0xDEADBEEFDEADBEEF
39499 .xword 0xDEADBEEFDEADBEEF
39500 .xword 0xDEADBEEFDEADBEEF
39501 .xword 0xDEADBEEFDEADBEEF
39502 .xword 0xDEADBEEFDEADBEEF
39503 .xword 0xDEADBEEFDEADBEEF
39504 .xword 0xDEADBEEFDEADBEEF
39505 .xword 0xDEADBEEFDEADBEEF
39506 .xword 0xDEADBEEFDEADBEEF
39507 .xword 0xDEADBEEFDEADBEEF
39508 .xword 0xDEADBEEFDEADBEEF
39509 .xword 0xDEADBEEFDEADBEEF
39510 .xword 0xDEADBEEFDEADBEEF
39511 .xword 0xDEADBEEFDEADBEEF
39512 .xword 0xDEADBEEFDEADBEEF
39513 .xword 0xDEADBEEFDEADBEEF
39514 .xword 0xDEADBEEFDEADBEEF
39515 .xword 0xDEADBEEFDEADBEEF
39516 .xword 0xDEADBEEFDEADBEEF
39517 .xword 0xDEADBEEFDEADBEEF
39518 .xword 0xDEADBEEFDEADBEEF
39519 .xword 0xDEADBEEFDEADBEEF
39520 .xword 0xDEADBEEFDEADBEEF
39521 .xword 0xDEADBEEFDEADBEEF
39522 .xword 0xDEADBEEFDEADBEEF
39523 .xword 0xDEADBEEFDEADBEEF
39524 .xword 0xDEADBEEFDEADBEEF
39525 .xword 0xDEADBEEFDEADBEEF
39526 .xword 0xDEADBEEFDEADBEEF
39527 .xword 0xDEADBEEFDEADBEEF
39528 .xword 0xDEADBEEFDEADBEEF
39529 .xword 0xDEADBEEFDEADBEEF
39530 .xword 0xDEADBEEFDEADBEEF
39531 .xword 0xDEADBEEFDEADBEEF
39532 .xword 0xDEADBEEFDEADBEEF
39533 .xword 0xDEADBEEFDEADBEEF
39534 .xword 0xDEADBEEFDEADBEEF
39535 .xword 0xDEADBEEFDEADBEEF
39536 .xword 0xDEADBEEFDEADBEEF
39537 .xword 0xDEADBEEFDEADBEEF
39538 .xword 0xDEADBEEFDEADBEEF
39539 .xword 0xDEADBEEFDEADBEEF
39540 .xword 0xDEADBEEFDEADBEEF
39541 .xword 0xDEADBEEFDEADBEEF
39542 .xword 0xDEADBEEFDEADBEEF
39543 .xword 0xDEADBEEFDEADBEEF
39544 .xword 0xDEADBEEFDEADBEEF
39545 .xword 0xDEADBEEFDEADBEEF
39546 .xword 0xDEADBEEFDEADBEEF
39547 .xword 0xDEADBEEFDEADBEEF
39548 .xword 0xDEADBEEFDEADBEEF
39549 .xword 0xDEADBEEFDEADBEEF
39550 .xword 0xDEADBEEFDEADBEEF
39551 .xword 0xDEADBEEFDEADBEEF
39552 .xword 0xDEADBEEFDEADBEEF
39553 .xword 0xDEADBEEFDEADBEEF
39554 .xword 0xDEADBEEFDEADBEEF
39555 .xword 0xDEADBEEFDEADBEEF
39556 .xword 0xDEADBEEFDEADBEEF
39557 .xword 0xDEADBEEFDEADBEEF
39558 .xword 0xDEADBEEFDEADBEEF
39559 .xword 0xDEADBEEFDEADBEEF
39560 .xword 0xDEADBEEFDEADBEEF
39561 .xword 0xDEADBEEFDEADBEEF
39562 .xword 0xDEADBEEFDEADBEEF
39563 .xword 0xDEADBEEFDEADBEEF
39564 .xword 0xDEADBEEFDEADBEEF
39565 .xword 0xDEADBEEFDEADBEEF
39566 .xword 0xDEADBEEFDEADBEEF
39567 .xword 0xDEADBEEFDEADBEEF
39568 .xword 0xDEADBEEFDEADBEEF
39569 .xword 0xDEADBEEFDEADBEEF
39570 .xword 0xDEADBEEFDEADBEEF
39571 .xword 0xDEADBEEFDEADBEEF
39572 .xword 0xDEADBEEFDEADBEEF
39573 .xword 0xDEADBEEFDEADBEEF
39574 .xword 0xDEADBEEFDEADBEEF
39575 .xword 0xDEADBEEFDEADBEEF
39576 .xword 0xDEADBEEFDEADBEEF
39577 .xword 0xDEADBEEFDEADBEEF
39578 .xword 0xDEADBEEFDEADBEEF
39579 .xword 0xDEADBEEFDEADBEEF
39580 .xword 0xDEADBEEFDEADBEEF
39581 .xword 0xDEADBEEFDEADBEEF
39582 .xword 0xDEADBEEFDEADBEEF
39583 .xword 0xDEADBEEFDEADBEEF
39584 .xword 0xDEADBEEFDEADBEEF
39585 .xword 0xDEADBEEFDEADBEEF
39586 .xword 0xDEADBEEFDEADBEEF
39587 .xword 0xDEADBEEFDEADBEEF
39588 .xword 0xDEADBEEFDEADBEEF
39589 .xword 0xDEADBEEFDEADBEEF
39590 .xword 0xDEADBEEFDEADBEEF
39591 .xword 0xDEADBEEFDEADBEEF
39592 .xword 0xDEADBEEFDEADBEEF
39593 .xword 0xDEADBEEFDEADBEEF
39594 .xword 0xDEADBEEFDEADBEEF
39595 .xword 0xDEADBEEFDEADBEEF
39596 .xword 0xDEADBEEFDEADBEEF
39597 .xword 0xDEADBEEFDEADBEEF
39598 .xword 0xDEADBEEFDEADBEEF
39599 .xword 0xDEADBEEFDEADBEEF
39600 .xword 0xDEADBEEFDEADBEEF
39601 .xword 0xDEADBEEFDEADBEEF
39602 .xword 0xDEADBEEFDEADBEEF
39603 .xword 0xDEADBEEFDEADBEEF
39604 .xword 0xDEADBEEFDEADBEEF
39605 .xword 0xDEADBEEFDEADBEEF
39606 .xword 0xDEADBEEFDEADBEEF
39607 .xword 0xDEADBEEFDEADBEEF
39608 .xword 0xDEADBEEFDEADBEEF
39609 .xword 0xDEADBEEFDEADBEEF
39610 .xword 0xDEADBEEFDEADBEEF
39611 .xword 0xDEADBEEFDEADBEEF
39612 .xword 0xDEADBEEFDEADBEEF
39613 .xword 0xDEADBEEFDEADBEEF
39614 .xword 0xDEADBEEFDEADBEEF
39615 .xword 0xDEADBEEFDEADBEEF
39616 .xword 0xDEADBEEFDEADBEEF
39617 .xword 0xDEADBEEFDEADBEEF
39618 .xword 0xDEADBEEFDEADBEEF
39619 .xword 0xDEADBEEFDEADBEEF
39620 .xword 0xDEADBEEFDEADBEEF
39621 .xword 0xDEADBEEFDEADBEEF
39622 .xword 0xDEADBEEFDEADBEEF
39623 .xword 0xDEADBEEFDEADBEEF
39624 .xword 0xDEADBEEFDEADBEEF
39625 .xword 0xDEADBEEFDEADBEEF
39626 .xword 0xDEADBEEFDEADBEEF
39627 .xword 0xDEADBEEFDEADBEEF
39628 .xword 0xDEADBEEFDEADBEEF
39629 .xword 0xDEADBEEFDEADBEEF
39630 .xword 0xDEADBEEFDEADBEEF
39631 .xword 0xDEADBEEFDEADBEEF
39632 .xword 0xDEADBEEFDEADBEEF
39633 .xword 0xDEADBEEFDEADBEEF
39634 .xword 0xDEADBEEFDEADBEEF
39635 .xword 0xDEADBEEFDEADBEEF
39636 .xword 0xDEADBEEFDEADBEEF
39637 .xword 0xDEADBEEFDEADBEEF
39638 .xword 0xDEADBEEFDEADBEEF
39639 .xword 0xDEADBEEFDEADBEEF
39640 .xword 0xDEADBEEFDEADBEEF
39641 .xword 0xDEADBEEFDEADBEEF
39642 .xword 0xDEADBEEFDEADBEEF
39643 .xword 0xDEADBEEFDEADBEEF
39644 .xword 0xDEADBEEFDEADBEEF
39645 .xword 0xDEADBEEFDEADBEEF
39646 .xword 0xDEADBEEFDEADBEEF
39647 .xword 0xDEADBEEFDEADBEEF
39648 .xword 0xDEADBEEFDEADBEEF
39649 .xword 0xDEADBEEFDEADBEEF
39650 .xword 0xDEADBEEFDEADBEEF
39651 .xword 0xDEADBEEFDEADBEEF
39652 .xword 0xDEADBEEFDEADBEEF
39653 .xword 0xDEADBEEFDEADBEEF
39654 .xword 0xDEADBEEFDEADBEEF
39655 .xword 0xDEADBEEFDEADBEEF
39656 .xword 0xDEADBEEFDEADBEEF
39657 .xword 0xDEADBEEFDEADBEEF
39658 .xword 0xDEADBEEFDEADBEEF
39659 .xword 0xDEADBEEFDEADBEEF
39660 .xword 0xDEADBEEFDEADBEEF
39661 .xword 0xDEADBEEFDEADBEEF
39662 .xword 0xDEADBEEFDEADBEEF
39663 .xword 0xDEADBEEFDEADBEEF
39664 .xword 0xDEADBEEFDEADBEEF
39665 .xword 0xDEADBEEFDEADBEEF
39666 .xword 0xDEADBEEFDEADBEEF
39667 .xword 0xDEADBEEFDEADBEEF
39668 .xword 0xDEADBEEFDEADBEEF
39669 .xword 0xDEADBEEFDEADBEEF
39670 .xword 0xDEADBEEFDEADBEEF
39671_t1_crc_auth_key:
39672 .xword 0xa1c7c55aa3f86f6a
39673 .xword 0xf2cb3eacfbab83e1
39674 .xword 0xf809b6733297a2ad
39675 .xword 0x170cc06ab7215714
39676 .xword 0x6c88dee319ac15a5
39677 .xword 0xf07539b2425311e6
39678 .xword 0x8bbbd5d7e58e4d5f
39679 .xword 0x522613e9090ef738
39680 .xword 0xba344497ee63a18f
39681 .xword 0xf9acc0925a2110b8
39682 .xword 0x58f41bd2f3ec3a1a
39683 .xword 0xba4fe7ea5031e986
39684 .xword 0x866a8dbf3dc7f040
39685 .xword 0xeded477a5e5c007b
39686 .xword 0xeb2e60e3ed7da0b0
39687 .xword 0x6f9c5bcd1959bebf
39688 .xword 0x017daf00a7cf1f76
39689 .xword 0x0baf294beded86ea
39690 .xword 0x8c540ecc0bb5b3ce
39691 .xword 0xc30231c386428f22
39692 .xword 0xfb86ad9d808c9e61
39693 .xword 0xd91041032b4731c7
39694 .xword 0x0679cadfe698e732
39695_t1_crc_auth_iv:
39696 .xword 0x3c06e8fac1443fec
39697 .xword 0x0774f9ca4695da2a
39698 .xword 0xb86e1674c62f40c8
39699 .xword 0x71983fe8cdfeed83
39700 .xword 0x449f7d1798474c29
39701 .xword 0xeb5b3940b9a12e5f
39702 .xword 0x5eaba88fc71ef35e
39703 .xword 0xb77530665a88f003
39704 .xword 0x046a39538d308129
39705 .xword 0x6764cc65d57e6e21
39706 .xword 0x39b8b6006c462ae1
39707 .xword 0x68192c03c9c9a7ba
39708 .xword 0x90cefbbb729acc82
39709 .xword 0xcdb4e417a514ac1b
39710 .xword 0x3636be5e6ba5e923
39711 .xword 0xba945e68cb02885c
39712 .xword 0x5e1235c755492152
39713 .xword 0x376d4a873b84fe6e
39714 .xword 0xf72c5e97c527a70c
39715 .xword 0x3cf59aeec9b4a8a8
39716 .xword 0x190b0a172b006485
39717 .xword 0xae6ce022baadcd93
39718 .xword 0xa1f0da6e6915c59b
39719_t1_crc_fas_result:
39720 .xword 0xDEADBEEFDEADBEEF
39721 .xword 0xDEADBEEFDEADBEEF
39722 .xword 0xDEADBEEFDEADBEEF
39723 .xword 0xDEADBEEFDEADBEEF
39724 .xword 0xDEADBEEFDEADBEEF
39725 .xword 0xDEADBEEFDEADBEEF
39726 .xword 0xDEADBEEFDEADBEEF
39727 .xword 0xDEADBEEFDEADBEEF
39728 .xword 0xDEADBEEFDEADBEEF
39729 .xword 0xDEADBEEFDEADBEEF
39730 .xword 0xDEADBEEFDEADBEEF
39731 .xword 0xDEADBEEFDEADBEEF
39732 .xword 0xDEADBEEFDEADBEEF
39733 .xword 0xDEADBEEFDEADBEEF
39734 .xword 0xDEADBEEFDEADBEEF
39735 .xword 0xDEADBEEFDEADBEEF
39736 .xword 0xDEADBEEFDEADBEEF
39737 .xword 0xDEADBEEFDEADBEEF
39738 .xword 0xDEADBEEFDEADBEEF
39739 .xword 0xDEADBEEFDEADBEEF
39740 .xword 0xDEADBEEFDEADBEEF
39741 .xword 0xDEADBEEFDEADBEEF
39742 .xword 0xDEADBEEFDEADBEEF
39743_t1_hash_key_array:
39744 .xword 0xe5142b9232dbf6c1
39745 .xword 0x3f47c35e7e90b42d
39746 .xword 0xf01d7b642e808f9f
39747 .xword 0xdb751fcf6fb4ccd6
39748 .xword 0xfbd0a5ec7f51903d
39749 .xword 0x4f53c8c7b0ccde42
39750 .xword 0x415bb64be95f29e1
39751 .xword 0x822c138d3df330c5
39752 .xword 0xd0f10f2e8720c462
39753 .xword 0xdcf02f99875a2808
39754 .xword 0xdcd1d4665f0fef5c
39755 .xword 0xc8481ce271efbb67
39756 .xword 0xf0e895b06dd7fdf1
39757 .xword 0x84fd960079971563
39758 .xword 0xfa2ddd3ceae85d29
39759 .xword 0xab95838cb107b3a5
39760 .xword 0x9fc7c2c751deb4f9
39761 .xword 0xacc390b0454dcc3d
39762 .xword 0xb4a294f257e7a7cf
39763 .xword 0x315ebac05b4fa976
39764 .xword 0xfea3dba92d5040ce
39765 .xword 0xbf2f8d8b43fce4f4
39766 .xword 0x70a10f9985db2a50
39767 .xword 0xb007201fa2e95074
39768 .xword 0x5133e4510738e692
39769 .xword 0xf845e5d9b6d0594e
39770 .xword 0xf9e2bc7a3e126839
39771 .xword 0xf191d93c06d55725
39772 .xword 0xc327c455da11f563
39773 .xword 0xbe3b4399c33cce64
39774 .xword 0xba5e36fcd565be72
39775 .xword 0x8fd2fa5059163831
39776 .xword 0x928ecfcb64ec7f5f
39777 .xword 0x5cbebb6adc4d27c7
39778 .xword 0x220dbfc36d7e158d
39779 .xword 0xe27d673b7a8d8764
39780 .xword 0x3ccec228731a4981
39781 .xword 0xd53d82023a0c9628
39782 .xword 0x940c8817dec95552
39783 .xword 0x18987abcdb5eb4b4
39784 .xword 0x06de0a4be651797d
39785 .xword 0xf2d774a91eb121e6
39786 .xword 0xc7b7137f4f078cda
39787 .xword 0xa922f2fad7b56b34
39788 .xword 0x54cf8dce34b0fdef
39789 .xword 0x3c805ea8e0426530
39790 .xword 0xae448658f3bc9a8f
39791 .xword 0xebff3b0f298a364e
39792 .xword 0xa9e2b3de8f93ae18
39793 .xword 0x96c4169276ca0612
39794 .xword 0xd437db066ca0f5b6
39795_t1_hash_iv_array:
39796 .xword 0xdc8f7b9f789eee4d
39797 .xword 0x7ef161768d444454
39798 .xword 0x5090be78ca609d59
39799 .xword 0xe45985e090010449
39800 .xword 0x7bd613c9cd5b35da
39801 .xword 0x78920b1310f07af2
39802 .xword 0x01d8e4438855beee
39803 .xword 0x1ba60697b50f9588
39804 .xword 0xd72813929eaf46c0
39805 .xword 0x2897b904046d2833
39806 .xword 0xe55a8c2047d16ea3
39807 .xword 0xeaff25e54e387c45
39808 .xword 0x8a3445b1dfdaff67
39809 .xword 0xa4e4a568a7554f84
39810 .xword 0xc2e8f8e5ef9fc517
39811 .xword 0x6fa4f628ea1cd667
39812 .xword 0xda1805e980b1fd9f
39813 .xword 0x880641e590254b77
39814 .xword 0xe7b0fe5773d1c88d
39815 .xword 0x6db24e4318489eb9
39816 .xword 0x2df277cc835e7754
39817 .xword 0x734764ced3e92b7b
39818 .xword 0xf0f5066be330c9a8
39819 .xword 0x11bf0e7ddf6fb85b
39820 .xword 0xde6b318c6d72baa1
39821 .xword 0x682788357ab9e804
39822 .xword 0x80482904381d09fe
39823 .xword 0xd2d50295ca1264ec
39824 .xword 0xb0c72223111ba41f
39825 .xword 0x208a6f4cf2a5a2e7
39826 .xword 0x18ec3d84d6e689c1
39827 .xword 0xf9341935a7f67d88
39828 .xword 0x4eb5193b6165f4ba
39829 .xword 0xfe86eac5c2fca8bd
39830 .xword 0xbdaff48e4f364a22
39831 .xword 0x73640bce376804f2
39832 .xword 0xbb52630dfab97363
39833 .xword 0x46f18846a5c56309
39834 .xword 0xacae11a322547905
39835 .xword 0xeb5b17b2618925ac
39836 .xword 0x673d3bbb4b85a3e2
39837 .xword 0x377980b3bb942b48
39838 .xword 0x1047b50d8edc5967
39839 .xword 0x737ede8d493077c9
39840 .xword 0xf1de3d7b11d6d5ca
39841_t1_hash_alignment_array:
39842 .xword 15
39843 .xword 11
39844 .xword 11
39845 .xword 0
39846 .xword 14
39847 .xword 3
39848 .xword 0
39849 .xword 6
39850 .xword 0
39851 .xword 4
39852 .xword 1
39853 .xword 2
39854 .xword 10
39855 .xword 13
39856 .xword 12
39857 .xword 5
39858 .xword 9
39859 .xword 14
39860 .xword 0
39861 .xword 12
39862 .xword 10
39863 .xword 12
39864 .xword 14
39865 .xword 10
39866 .xword 5
39867 .xword 4
39868 .xword 10
39869 .xword 4
39870 .xword 11
39871 .xword 3
39872 .xword 2
39873 .xword 8
39874 .xword 5
39875 .xword 11
39876 .xword 3
39877 .xword 5
39878 .xword 13
39879 .xword 12
39880 .xword 13
39881 .xword 0
39882 .xword 4
39883 .xword 4
39884 .xword 6
39885 .xword 11
39886 .xword 13
39887 .xword 14
39888 .xword 8
39889 .xword 6
39890 .xword 0
39891 .xword 7
39892 .xword 12
39893 .xword 14
39894 .xword 9
39895 .xword 2
39896 .xword 11
39897 .xword 0
39898 .xword 3
39899 .xword 1
39900 .xword 15
39901 .xword 7
39902 .xword 12
39903 .xword 11
39904 .xword 14
39905 .xword 3
39906 .xword 7
39907 .xword 5
39908 .xword 7
39909 .xword 10
39910 .xword 7
39911 .xword 5
39912 .xword 7
39913 .xword 13
39914 .xword 8
39915 .xword 1
39916 .xword 7
39917 .xword 10
39918 .xword 7
39919 .xword 14
39920 .xword 7
39921 .xword 11
39922 .xword 10
39923 .xword 1
39924 .xword 4
39925 .xword 1
39926 .xword 10
39927 .xword 6
39928 .xword 2
39929 .xword 2
39930 .xword 5
39931 .xword 5
39932 .xword 5
39933 .xword 12
39934 .xword 6
39935 .xword 8
39936 .xword 11
39937 .xword 10
39938 .xword 1
39939 .xword 10
39940 .xword 8
39941 .xword 8
39942 .xword 0
39943 .xword 1
39944 .xword 3
39945 .xword 14
39946 .xword 3
39947_t1_hash_src:
39948 .xword 0xbfa65db92c3fc96f
39949 .xword 0x6248cb9fda2f7df7
39950 .xword 0x8c3d95a7ca0e71bd
39951 .xword 0x246fda3ed5a3d6cc
39952 .xword 0x606fe8caeb84d5ae
39953 .xword 0xbefe6f934002cac1
39954 .xword 0x8044965f93f97f15
39955 .xword 0x64586f2a0978e6c0
39956 .xword 0x10175a156bd0954d
39957 .xword 0x732262076ea5eafa
39958 .xword 0xc86d0129736a22e6
39959 .xword 0x8dc13833308ceed2
39960 .xword 0x8fbc0884ae2c193c
39961 .xword 0x4dd30b8cb2415bc3
39962 .xword 0xe3c1f78337e811bf
39963 .xword 0x7cf0b5ac68a23433
39964 .xword 0xe64e49a2ac2a9ba0
39965 .xword 0x99d33f8337086889
39966 .xword 0xa0f4af57dd89adfb
39967 .xword 0x87f897d2a3699bd6
39968 .xword 0x71ba2227054113e4
39969 .xword 0x0eb01817e407a47a
39970 .xword 0x5ef311da32a407b1
39971 .xword 0x3fe792fb6d20a4ea
39972 .xword 0xf6b2cf3979b7e5a9
39973 .xword 0x7d62c8b5e5ab4763
39974 .xword 0xab163077417a92ab
39975 .xword 0xd57d8a4062045310
39976 .xword 0xfc42eca7d04f3cdc
39977 .xword 0xc97acf4fc82e861b
39978 .xword 0xf1a74290c60762a3
39979 .xword 0x96b16a4eaef2e6f1
39980 .xword 0x6b9ee96f1b797217
39981 .xword 0x8fbb6bdba7f3a6f8
39982 .xword 0x39ebf784ddc000e5
39983 .xword 0x2fb19b0c6a6887b1
39984 .xword 0xacf7934e436e96f9
39985 .xword 0x51c626c20f9f991c
39986 .xword 0x4020b9ec61b1265f
39987 .xword 0x55694d5d0ab53aca
39988 .xword 0x3cca5195dd63d671
39989 .xword 0xa67e258640ec6ed1
39990 .xword 0xd054985ad82ee19d
39991 .xword 0x615cdeb6b73e5b1f
39992 .xword 0x2674ac93cea23056
39993 .xword 0x69cedea85c261459
39994 .xword 0x1e671d03abd0bfe9
39995 .xword 0x35840583de96c4fd
39996 .xword 0xed89131e70a18391
39997 .xword 0xd9d4b03db19c76e4
39998 .xword 0xff136d401c6668d9
39999 .xword 0x0e18aa1725b9115b
40000 .xword 0xd7e815d7ff2b1511
40001 .xword 0x74ee89cfc104a055
40002 .xword 0xb5f5e1221abcd50b
40003 .xword 0xb5675efb3cd4db63
40004 .xword 0xdeaf3b27bd739f94
40005 .xword 0x2792872a439659cc
40006 .xword 0xc738e3cfacbd9697
40007 .xword 0xb221cd6459d5066b
40008 .xword 0x83c307b913e483b0
40009 .xword 0x761535b015d3e3e1
40010 .xword 0x8a841e0adbd02fb6
40011 .xword 0x0f190d9293c9fd75
40012 .xword 0x6b17b19aac0e4a6e
40013 .xword 0x239a6d14f4a3b9d8
40014 .xword 0xc0291ff8169d5245
40015 .xword 0xda0929caf3a3cdd0
40016 .xword 0x00632b37e615449f
40017 .xword 0x5f9678facf42a17c
40018 .xword 0x073018355923f146
40019 .xword 0x0192bdc422740deb
40020 .xword 0x21a3b2c0230e19f2
40021 .xword 0x8fbd01400c73cd5b
40022 .xword 0x35699dfa69bb1377
40023 .xword 0x3b6675d597fed761
40024 .xword 0x0308321d3ffb2ea4
40025 .xword 0xf83a60c0e354f5fd
40026 .xword 0xb7d3ae07316ace0f
40027 .xword 0xf901677263d47f7c
40028 .xword 0x50a529722939f1c3
40029 .xword 0x51a8511fdc8e6d13
40030 .xword 0x8eb33d3faf1ef131
40031 .xword 0x1c2a07cf76528bbd
40032 .xword 0x5f8f4bc672038186
40033 .xword 0xf8e20077b91e3590
40034 .xword 0x26a793b3f9ba71ca
40035 .xword 0x6e4bd5a5a38bacf5
40036 .xword 0x94e9544a997ba2f7
40037 .xword 0x1d23a259472645c7
40038 .xword 0x917f29509857e190
40039 .xword 0x9b3daa949ec728b9
40040 .xword 0x0c32055432bb2c05
40041 .xword 0x553e01c3603b1ee3
40042 .xword 0x945408bbfab026cb
40043 .xword 0xade899a453774a3e
40044 .xword 0x426594bf76ac70f7
40045 .xword 0x7a5617eab5bbde16
40046 .xword 0x5b3247113cf2a7c6
40047 .xword 0x1a0e7532c777fd46
40048 .xword 0xc23793e7e43493bb
40049 .xword 0x53024c670b2c4e1e
40050 .xword 0x0d7e87575acace55
40051 .xword 0x54b82021e01012f4
40052 .xword 0xc609b605e24329f5
40053 .xword 0xcf7f3ffc21d835b5
40054 .xword 0x125cf569bafdc639
40055 .xword 0x0da5b6383457759c
40056 .xword 0x4deab8c056b07d27
40057 .xword 0x2a037aff50f46886
40058 .xword 0x3cdb85c9ebb1a93f
40059 .xword 0xaebe5024dfa5e817
40060 .xword 0xf8fcf077ee333ab7
40061 .xword 0xb04438c0cb044128
40062 .xword 0x516abbb50e844310
40063 .xword 0xf5f18c6b0fb01e8a
40064 .xword 0xed3ca3971859db71
40065 .xword 0x166b160d360fee99
40066 .xword 0x963e71bc65fbb875
40067 .xword 0x3543d0cd58159940
40068 .xword 0x16d1682f89e70342
40069 .xword 0x002e6bfacc2d7cdd
40070 .xword 0xbe63dc15086d4499
40071 .xword 0xa26090f0671c2adb
40072 .xword 0x107059fa965a138f
40073 .xword 0xcecad2cfd664fc37
40074 .xword 0xfe26e0d079220107
40075 .xword 0x19a64c93305ab4a4
40076 .xword 0x51945e0b8a1b61d8
40077 .xword 0xeeaff97d8af18631
40078 .xword 0x1e86d3a5395b92da
40079 .xword 0xcf5392afbe5d78f4
40080 .xword 0x1c671597e4cf1140
40081 .xword 0xa712bb43080c947a
40082 .xword 0x67d176f111605f97
40083 .xword 0x280703f36708f6f7
40084 .xword 0xd6ecafce138cc0b6
40085 .xword 0x2aef30ba1e49ade4
40086 .xword 0xe7e03f9897069aa5
40087 .xword 0x6c3070e7c5ff4825
40088 .xword 0xd27535f8585dfe9a
40089 .xword 0xe00d3b9b1fb9dbb8
40090 .xword 0xe1d4acef8f8243c9
40091 .xword 0x82a79fe1a50bf6be
40092 .xword 0xbb8424aba836a2f2
40093 .xword 0x4c98befccfe9f95a
40094 .xword 0x6be72b8b5fb7a7cb
40095 .xword 0x6c1a5ae5d0318c07
40096 .xword 0x6dc6b40837c4642b
40097 .xword 0x44a510eeaabc49cb
40098 .xword 0x681232af67ef1e6a
40099 .xword 0xa044a8065045bfcb
40100 .xword 0x9258dd3b2ce876f6
40101 .xword 0x6fd7d0418dd567ce
40102 .xword 0xd3402939295dc913
40103 .xword 0x9b4d726ed90cc0d5
40104 .xword 0x1a97cc0d1ce14267
40105 .xword 0x942074d652af9fd5
40106 .xword 0x13c39c3a3468a1ee
40107 .xword 0x2e248ada9aa53c5e
40108 .xword 0xd0e3478f1c62d672
40109 .xword 0x480245568e669bba
40110 .xword 0x894dcd89b17a5f9d
40111 .xword 0x4c3ddb8c2df3fcf4
40112 .xword 0xf0839a6692e997d8
40113 .xword 0x5cdafa04f3d887eb
40114 .xword 0x7459865b27b82b37
40115 .xword 0x9e78c7f1d23e80de
40116 .xword 0x02964d0637b5238c
40117 .xword 0x8bd06f8f3840a707
40118 .xword 0xd56ff11d5b9cbffc
40119 .xword 0x1bde2b96fc9a9523
40120 .xword 0xb20db189672d63cd
40121 .xword 0x7a1c14cf1d716047
40122 .xword 0x5b31a7b3afb11515
40123 .xword 0x9d14b7e6c4d93e7e
40124 .xword 0x6a0127ca37640dd2
40125 .xword 0xe40f31e930351abe
40126 .xword 0xfe72f4e5a6490cc1
40127 .xword 0xa6113da96a837dce
40128 .xword 0x3ce2fa27623cf125
40129 .xword 0x7e8f5281c17e9906
40130 .xword 0xd2f7273196dd93da
40131 .xword 0x7509557e06c75a21
40132 .xword 0xad12e3154aee93e5
40133 .xword 0x71eda21f2e1abcba
40134 .xword 0xc11625ffb4580996
40135 .xword 0x16646211bcd87621
40136 .xword 0x52f1f455bd9717d8
40137 .xword 0x3114a710d23a0af4
40138 .xword 0x72c18ccf4b4bf0fc
40139 .xword 0xd1d16d6431732a7d
40140 .xword 0xc234fd88c51635d2
40141 .xword 0x1fa22420f26031df
40142 .xword 0x33a55c63cba6a0d6
40143 .xword 0x66f4c444778b40a2
40144 .xword 0xaf63f1b9c9c35b67
40145 .xword 0xf9ed5ba6f271bdda
40146 .xword 0xff3633762cbb7d97
40147 .xword 0x1223df2ec40c64f9
40148 .xword 0xaf294692070ecefa
40149 .xword 0x77af7ee4780e1751
40150 .xword 0x029c4df92e63d3c9
40151 .xword 0x11a8a2420d18f93d
40152 .xword 0x62d051ebd4c99a3f
40153 .xword 0x9200f1a61f53d03b
40154 .xword 0x6f76fcb0e1a85d0a
40155 .xword 0xa9c4ef13710c12cd
40156 .xword 0x9920232a3e5b6e0a
40157 .xword 0x2013b38a1f58853e
40158 .xword 0xc6ba067e0f9200d9
40159 .xword 0xddc795df4a9e50dd
40160 .xword 0x9725e7fb9947585e
40161 .xword 0x0f4501cea4db524a
40162 .xword 0x24804371dccb3013
40163 .xword 0xd80e18963df7282c
40164 .xword 0x0dbbe07f8bafef7a
40165 .xword 0x23188db0845eb981
40166 .xword 0xeeb0499be5283bf7
40167 .xword 0xbe599d520eea37de
40168 .xword 0xe24da4f790da5fcd
40169 .xword 0xf685386823054586
40170 .xword 0x23c752fcee021a70
40171 .xword 0xaab6a16b478f5ccd
40172 .xword 0x409edc612acf2eca
40173 .xword 0x3ce7fb7145320993
40174 .xword 0xe5ba22ba12d4b399
40175 .xword 0xc247d6843fae3ea1
40176 .xword 0xac75f28bbe5d5cc6
40177 .xword 0x9020d2ce469909d5
40178 .xword 0x69964de41f024a82
40179 .xword 0x16cec474ab91d6b9
40180 .xword 0x46b9d8130753a3e4
40181 .xword 0x3cf81b0437c624bf
40182 .xword 0xe4c71cb67bbcc31d
40183 .xword 0xb70683f76202412f
40184 .xword 0x7fa65b24337ecb76
40185 .xword 0xe5d5df5e2294d342
40186 .xword 0xa3a1ba3ac1804b90
40187 .xword 0xacbe1e088e885ef8
40188 .xword 0x74f2d133ec972b41
40189 .xword 0xd58a08fd3c0b3247
40190 .xword 0xdfe29ee2982f9b00
40191 .xword 0x2fef4cb6031f1c30
40192 .xword 0x3d75bfe47b6bfb15
40193 .xword 0xd8d69bd694b7c95b
40194 .xword 0xe41a23c4450060fc
40195 .xword 0xf9933192307b6e42
40196 .xword 0xe23cda5dea95f181
40197 .xword 0x50f2a19b471dfec9
40198 .xword 0xdef4d24666709be0
40199 .xword 0xa27dfdf69e139463
40200 .xword 0x175facead271f41c
40201 .xword 0xb5a26e731e8147eb
40202 .xword 0x42941a388455b340
40203 .xword 0x3574479f922afcac
40204 .xword 0x52fdabc0e147e96d
40205 .xword 0x675548446d8c2550
40206 .xword 0x56d3317066eb8b34
40207 .xword 0xd504cf8b6c2fb7a4
40208 .xword 0x9b02f37aa477f176
40209 .xword 0x8bb5d8db80b88546
40210 .xword 0x6090e93f2b5ac2d5
40211 .xword 0x1550f6a1bb843576
40212 .xword 0x60b6c8f423300f1a
40213 .xword 0xc47343b26c54225b
40214 .xword 0xe40369f2183df5fd
40215 .xword 0x734b6235ee93cc95
40216 .xword 0xb0dc1b44882075d1
40217 .xword 0xd88b18267e095e67
40218 .xword 0x1904c85f13fefa44
40219 .xword 0x0f3cf38c0503cc6d
40220 .xword 0x55dae0ded9a50add
40221 .xword 0x6e2bb1fd2b41955b
40222 .xword 0xf20a4c03fc3924f1
40223_t1_hash_dest:
40224 .xword 0xDEADBEEFDEADBEEF
40225 .xword 0xDEADBEEFDEADBEEF
40226 .xword 0xDEADBEEFDEADBEEF
40227 .xword 0xDEADBEEFDEADBEEF
40228 .xword 0xDEADBEEFDEADBEEF
40229 .xword 0xDEADBEEFDEADBEEF
40230 .xword 0xDEADBEEFDEADBEEF
40231 .xword 0xDEADBEEFDEADBEEF
40232 .xword 0xDEADBEEFDEADBEEF
40233 .xword 0xDEADBEEFDEADBEEF
40234 .xword 0xDEADBEEFDEADBEEF
40235 .xword 0xDEADBEEFDEADBEEF
40236 .xword 0xDEADBEEFDEADBEEF
40237 .xword 0xDEADBEEFDEADBEEF
40238 .xword 0xDEADBEEFDEADBEEF
40239 .xword 0xDEADBEEFDEADBEEF
40240 .xword 0xDEADBEEFDEADBEEF
40241 .xword 0xDEADBEEFDEADBEEF
40242 .xword 0xDEADBEEFDEADBEEF
40243 .xword 0xDEADBEEFDEADBEEF
40244 .xword 0xDEADBEEFDEADBEEF
40245 .xword 0xDEADBEEFDEADBEEF
40246 .xword 0xDEADBEEFDEADBEEF
40247 .xword 0xDEADBEEFDEADBEEF
40248 .xword 0xDEADBEEFDEADBEEF
40249 .xword 0xDEADBEEFDEADBEEF
40250 .xword 0xDEADBEEFDEADBEEF
40251 .xword 0xDEADBEEFDEADBEEF
40252 .xword 0xDEADBEEFDEADBEEF
40253 .xword 0xDEADBEEFDEADBEEF
40254 .xword 0xDEADBEEFDEADBEEF
40255 .xword 0xDEADBEEFDEADBEEF
40256 .xword 0xDEADBEEFDEADBEEF
40257 .xword 0xDEADBEEFDEADBEEF
40258 .xword 0xDEADBEEFDEADBEEF
40259 .xword 0xDEADBEEFDEADBEEF
40260 .xword 0xDEADBEEFDEADBEEF
40261 .xword 0xDEADBEEFDEADBEEF
40262 .xword 0xDEADBEEFDEADBEEF
40263 .xword 0xDEADBEEFDEADBEEF
40264 .xword 0xDEADBEEFDEADBEEF
40265 .xword 0xDEADBEEFDEADBEEF
40266 .xword 0xDEADBEEFDEADBEEF
40267 .xword 0xDEADBEEFDEADBEEF
40268 .xword 0xDEADBEEFDEADBEEF
40269 .xword 0xDEADBEEFDEADBEEF
40270 .xword 0xDEADBEEFDEADBEEF
40271 .xword 0xDEADBEEFDEADBEEF
40272 .xword 0xDEADBEEFDEADBEEF
40273 .xword 0xDEADBEEFDEADBEEF
40274 .xword 0xDEADBEEFDEADBEEF
40275 .xword 0xDEADBEEFDEADBEEF
40276 .xword 0xDEADBEEFDEADBEEF
40277 .xword 0xDEADBEEFDEADBEEF
40278 .xword 0xDEADBEEFDEADBEEF
40279 .xword 0xDEADBEEFDEADBEEF
40280 .xword 0xDEADBEEFDEADBEEF
40281 .xword 0xDEADBEEFDEADBEEF
40282 .xword 0xDEADBEEFDEADBEEF
40283 .xword 0xDEADBEEFDEADBEEF
40284 .xword 0xDEADBEEFDEADBEEF
40285 .xword 0xDEADBEEFDEADBEEF
40286 .xword 0xDEADBEEFDEADBEEF
40287 .xword 0xDEADBEEFDEADBEEF
40288 .xword 0xDEADBEEFDEADBEEF
40289 .xword 0xDEADBEEFDEADBEEF
40290 .xword 0xDEADBEEFDEADBEEF
40291 .xword 0xDEADBEEFDEADBEEF
40292 .xword 0xDEADBEEFDEADBEEF
40293 .xword 0xDEADBEEFDEADBEEF
40294 .xword 0xDEADBEEFDEADBEEF
40295 .xword 0xDEADBEEFDEADBEEF
40296 .xword 0xDEADBEEFDEADBEEF
40297 .xword 0xDEADBEEFDEADBEEF
40298 .xword 0xDEADBEEFDEADBEEF
40299 .xword 0xDEADBEEFDEADBEEF
40300 .xword 0xDEADBEEFDEADBEEF
40301 .xword 0xDEADBEEFDEADBEEF
40302 .xword 0xDEADBEEFDEADBEEF
40303 .xword 0xDEADBEEFDEADBEEF
40304 .xword 0xDEADBEEFDEADBEEF
40305 .xword 0xDEADBEEFDEADBEEF
40306 .xword 0xDEADBEEFDEADBEEF
40307 .xword 0xDEADBEEFDEADBEEF
40308 .xword 0xDEADBEEFDEADBEEF
40309 .xword 0xDEADBEEFDEADBEEF
40310 .xword 0xDEADBEEFDEADBEEF
40311 .xword 0xDEADBEEFDEADBEEF
40312 .xword 0xDEADBEEFDEADBEEF
40313 .xword 0xDEADBEEFDEADBEEF
40314 .xword 0xDEADBEEFDEADBEEF
40315 .xword 0xDEADBEEFDEADBEEF
40316 .xword 0xDEADBEEFDEADBEEF
40317 .xword 0xDEADBEEFDEADBEEF
40318 .xword 0xDEADBEEFDEADBEEF
40319 .xword 0xDEADBEEFDEADBEEF
40320 .xword 0xDEADBEEFDEADBEEF
40321 .xword 0xDEADBEEFDEADBEEF
40322 .xword 0xDEADBEEFDEADBEEF
40323 .xword 0xDEADBEEFDEADBEEF
40324 .xword 0xDEADBEEFDEADBEEF
40325 .xword 0xDEADBEEFDEADBEEF
40326 .xword 0xDEADBEEFDEADBEEF
40327 .xword 0xDEADBEEFDEADBEEF
40328 .xword 0xDEADBEEFDEADBEEF
40329 .xword 0xDEADBEEFDEADBEEF
40330 .xword 0xDEADBEEFDEADBEEF
40331 .xword 0xDEADBEEFDEADBEEF
40332 .xword 0xDEADBEEFDEADBEEF
40333 .xword 0xDEADBEEFDEADBEEF
40334 .xword 0xDEADBEEFDEADBEEF
40335 .xword 0xDEADBEEFDEADBEEF
40336 .xword 0xDEADBEEFDEADBEEF
40337 .xword 0xDEADBEEFDEADBEEF
40338 .xword 0xDEADBEEFDEADBEEF
40339 .xword 0xDEADBEEFDEADBEEF
40340 .xword 0xDEADBEEFDEADBEEF
40341 .xword 0xDEADBEEFDEADBEEF
40342 .xword 0xDEADBEEFDEADBEEF
40343 .xword 0xDEADBEEFDEADBEEF
40344 .xword 0xDEADBEEFDEADBEEF
40345 .xword 0xDEADBEEFDEADBEEF
40346 .xword 0xDEADBEEFDEADBEEF
40347 .xword 0xDEADBEEFDEADBEEF
40348 .xword 0xDEADBEEFDEADBEEF
40349 .xword 0xDEADBEEFDEADBEEF
40350 .xword 0xDEADBEEFDEADBEEF
40351 .xword 0xDEADBEEFDEADBEEF
40352 .xword 0xDEADBEEFDEADBEEF
40353 .xword 0xDEADBEEFDEADBEEF
40354 .xword 0xDEADBEEFDEADBEEF
40355 .xword 0xDEADBEEFDEADBEEF
40356 .xword 0xDEADBEEFDEADBEEF
40357 .xword 0xDEADBEEFDEADBEEF
40358 .xword 0xDEADBEEFDEADBEEF
40359 .xword 0xDEADBEEFDEADBEEF
40360 .xword 0xDEADBEEFDEADBEEF
40361 .xword 0xDEADBEEFDEADBEEF
40362 .xword 0xDEADBEEFDEADBEEF
40363 .xword 0xDEADBEEFDEADBEEF
40364 .xword 0xDEADBEEFDEADBEEF
40365 .xword 0xDEADBEEFDEADBEEF
40366 .xword 0xDEADBEEFDEADBEEF
40367 .xword 0xDEADBEEFDEADBEEF
40368 .xword 0xDEADBEEFDEADBEEF
40369 .xword 0xDEADBEEFDEADBEEF
40370 .xword 0xDEADBEEFDEADBEEF
40371 .xword 0xDEADBEEFDEADBEEF
40372 .xword 0xDEADBEEFDEADBEEF
40373 .xword 0xDEADBEEFDEADBEEF
40374 .xword 0xDEADBEEFDEADBEEF
40375 .xword 0xDEADBEEFDEADBEEF
40376 .xword 0xDEADBEEFDEADBEEF
40377 .xword 0xDEADBEEFDEADBEEF
40378 .xword 0xDEADBEEFDEADBEEF
40379 .xword 0xDEADBEEFDEADBEEF
40380 .xword 0xDEADBEEFDEADBEEF
40381 .xword 0xDEADBEEFDEADBEEF
40382 .xword 0xDEADBEEFDEADBEEF
40383 .xword 0xDEADBEEFDEADBEEF
40384 .xword 0xDEADBEEFDEADBEEF
40385 .xword 0xDEADBEEFDEADBEEF
40386 .xword 0xDEADBEEFDEADBEEF
40387 .xword 0xDEADBEEFDEADBEEF
40388 .xword 0xDEADBEEFDEADBEEF
40389 .xword 0xDEADBEEFDEADBEEF
40390 .xword 0xDEADBEEFDEADBEEF
40391 .xword 0xDEADBEEFDEADBEEF
40392 .xword 0xDEADBEEFDEADBEEF
40393 .xword 0xDEADBEEFDEADBEEF
40394 .xword 0xDEADBEEFDEADBEEF
40395 .xword 0xDEADBEEFDEADBEEF
40396 .xword 0xDEADBEEFDEADBEEF
40397 .xword 0xDEADBEEFDEADBEEF
40398 .xword 0xDEADBEEFDEADBEEF
40399 .xword 0xDEADBEEFDEADBEEF
40400 .xword 0xDEADBEEFDEADBEEF
40401 .xword 0xDEADBEEFDEADBEEF
40402 .xword 0xDEADBEEFDEADBEEF
40403 .xword 0xDEADBEEFDEADBEEF
40404 .xword 0xDEADBEEFDEADBEEF
40405 .xword 0xDEADBEEFDEADBEEF
40406 .xword 0xDEADBEEFDEADBEEF
40407 .xword 0xDEADBEEFDEADBEEF
40408 .xword 0xDEADBEEFDEADBEEF
40409 .xword 0xDEADBEEFDEADBEEF
40410 .xword 0xDEADBEEFDEADBEEF
40411 .xword 0xDEADBEEFDEADBEEF
40412 .xword 0xDEADBEEFDEADBEEF
40413 .xword 0xDEADBEEFDEADBEEF
40414 .xword 0xDEADBEEFDEADBEEF
40415 .xword 0xDEADBEEFDEADBEEF
40416 .xword 0xDEADBEEFDEADBEEF
40417 .xword 0xDEADBEEFDEADBEEF
40418 .xword 0xDEADBEEFDEADBEEF
40419 .xword 0xDEADBEEFDEADBEEF
40420 .xword 0xDEADBEEFDEADBEEF
40421 .xword 0xDEADBEEFDEADBEEF
40422 .xword 0xDEADBEEFDEADBEEF
40423 .xword 0xDEADBEEFDEADBEEF
40424 .xword 0xDEADBEEFDEADBEEF
40425 .xword 0xDEADBEEFDEADBEEF
40426 .xword 0xDEADBEEFDEADBEEF
40427 .xword 0xDEADBEEFDEADBEEF
40428 .xword 0xDEADBEEFDEADBEEF
40429 .xword 0xDEADBEEFDEADBEEF
40430 .xword 0xDEADBEEFDEADBEEF
40431 .xword 0xDEADBEEFDEADBEEF
40432 .xword 0xDEADBEEFDEADBEEF
40433 .xword 0xDEADBEEFDEADBEEF
40434 .xword 0xDEADBEEFDEADBEEF
40435 .xword 0xDEADBEEFDEADBEEF
40436 .xword 0xDEADBEEFDEADBEEF
40437 .xword 0xDEADBEEFDEADBEEF
40438 .xword 0xDEADBEEFDEADBEEF
40439 .xword 0xDEADBEEFDEADBEEF
40440 .xword 0xDEADBEEFDEADBEEF
40441 .xword 0xDEADBEEFDEADBEEF
40442 .xword 0xDEADBEEFDEADBEEF
40443 .xword 0xDEADBEEFDEADBEEF
40444 .xword 0xDEADBEEFDEADBEEF
40445 .xword 0xDEADBEEFDEADBEEF
40446 .xword 0xDEADBEEFDEADBEEF
40447 .xword 0xDEADBEEFDEADBEEF
40448 .xword 0xDEADBEEFDEADBEEF
40449 .xword 0xDEADBEEFDEADBEEF
40450 .xword 0xDEADBEEFDEADBEEF
40451 .xword 0xDEADBEEFDEADBEEF
40452 .xword 0xDEADBEEFDEADBEEF
40453 .xword 0xDEADBEEFDEADBEEF
40454 .xword 0xDEADBEEFDEADBEEF
40455 .xword 0xDEADBEEFDEADBEEF
40456 .xword 0xDEADBEEFDEADBEEF
40457 .xword 0xDEADBEEFDEADBEEF
40458 .xword 0xDEADBEEFDEADBEEF
40459 .xword 0xDEADBEEFDEADBEEF
40460 .xword 0xDEADBEEFDEADBEEF
40461 .xword 0xDEADBEEFDEADBEEF
40462 .xword 0xDEADBEEFDEADBEEF
40463 .xword 0xDEADBEEFDEADBEEF
40464 .xword 0xDEADBEEFDEADBEEF
40465 .xword 0xDEADBEEFDEADBEEF
40466 .xword 0xDEADBEEFDEADBEEF
40467 .xword 0xDEADBEEFDEADBEEF
40468 .xword 0xDEADBEEFDEADBEEF
40469 .xword 0xDEADBEEFDEADBEEF
40470 .xword 0xDEADBEEFDEADBEEF
40471 .xword 0xDEADBEEFDEADBEEF
40472 .xword 0xDEADBEEFDEADBEEF
40473 .xword 0xDEADBEEFDEADBEEF
40474 .xword 0xDEADBEEFDEADBEEF
40475 .xword 0xDEADBEEFDEADBEEF
40476 .xword 0xDEADBEEFDEADBEEF
40477 .xword 0xDEADBEEFDEADBEEF
40478 .xword 0xDEADBEEFDEADBEEF
40479 .xword 0xDEADBEEFDEADBEEF
40480 .xword 0xDEADBEEFDEADBEEF
40481 .xword 0xDEADBEEFDEADBEEF
40482 .xword 0xDEADBEEFDEADBEEF
40483 .xword 0xDEADBEEFDEADBEEF
40484 .xword 0xDEADBEEFDEADBEEF
40485 .xword 0xDEADBEEFDEADBEEF
40486 .xword 0xDEADBEEFDEADBEEF
40487 .xword 0xDEADBEEFDEADBEEF
40488 .xword 0xDEADBEEFDEADBEEF
40489 .xword 0xDEADBEEFDEADBEEF
40490 .xword 0xDEADBEEFDEADBEEF
40491 .xword 0xDEADBEEFDEADBEEF
40492 .xword 0xDEADBEEFDEADBEEF
40493 .xword 0xDEADBEEFDEADBEEF
40494 .xword 0xDEADBEEFDEADBEEF
40495 .xword 0xDEADBEEFDEADBEEF
40496 .xword 0xDEADBEEFDEADBEEF
40497 .xword 0xDEADBEEFDEADBEEF
40498 .xword 0xDEADBEEFDEADBEEF
40499_t1_hash_auth_key:
40500 .xword 0xc669d0fc4816747e
40501 .xword 0xb3cdcc8e1ebc3bc1
40502 .xword 0xde365e898a0daf8e
40503 .xword 0xbb0b44948c5921df
40504 .xword 0x5bf866f7c72b353a
40505 .xword 0x1e4d190f09fee850
40506 .xword 0x6a16cec0fdebea4b
40507 .xword 0x31eb89d60170d0e9
40508 .xword 0xe4366b4c7af8e850
40509 .xword 0xeefd4b4755030152
40510 .xword 0x09c0ae0c622b90c5
40511 .xword 0x5b8a41b523e98a14
40512 .xword 0xf9d3dac35f36c5fc
40513 .xword 0xdf5f20179524afc7
40514 .xword 0xe495b6081a9a2ec6
40515 .xword 0x438b473807cd00bf
40516 .xword 0x936e60def80b66ef
40517 .xword 0x2bd29d2f7b301190
40518 .xword 0x58a903de9e26a053
40519 .xword 0x3c56437aeb7625a5
40520 .xword 0xa5452fb7096cdb49
40521 .xword 0x27706d7ae1fd2423
40522 .xword 0x52477251af842135
40523_t1_hash_auth_iv:
40524 .xword 0xad2f7d70255e6730
40525 .xword 0xfd66b5f32f321a86
40526 .xword 0x6e7aa772e1244d6e
40527 .xword 0xec86b9c8d692290b
40528 .xword 0x22df8a6814942844
40529 .xword 0xcae7b44252638d1d
40530 .xword 0xce8bf61455fcbd0f
40531 .xword 0xadf096b308ebb743
40532 .xword 0x3b32fe3aea7f68ee
40533 .xword 0x5bfc082f92df74fc
40534 .xword 0xad1ef1ac762ee996
40535 .xword 0x00f8cf13f638dd1b
40536 .xword 0xc90a9a03476c3fa5
40537 .xword 0x033c70185b001f21
40538 .xword 0xfece09e80499f2b3
40539 .xword 0x971ab0005f77346b
40540 .xword 0x382275720d85d63c
40541 .xword 0x4f19d2985e32880e
40542 .xword 0xf52acce28970ae50
40543 .xword 0xcf073c197fec3ace
40544 .xword 0x55b3354e76f1d003
40545 .xword 0x838aae6a89bb22c0
40546 .xword 0xd339e81558ee71c2
40547_t1_hash_fas_result:
40548 .xword 0xDEADBEEFDEADBEEF
40549 .xword 0xDEADBEEFDEADBEEF
40550 .xword 0xDEADBEEFDEADBEEF
40551 .xword 0xDEADBEEFDEADBEEF
40552 .xword 0xDEADBEEFDEADBEEF
40553 .xword 0xDEADBEEFDEADBEEF
40554 .xword 0xDEADBEEFDEADBEEF
40555 .xword 0xDEADBEEFDEADBEEF
40556 .xword 0xDEADBEEFDEADBEEF
40557 .xword 0xDEADBEEFDEADBEEF
40558 .xword 0xDEADBEEFDEADBEEF
40559 .xword 0xDEADBEEFDEADBEEF
40560 .xword 0xDEADBEEFDEADBEEF
40561 .xword 0xDEADBEEFDEADBEEF
40562 .xword 0xDEADBEEFDEADBEEF
40563 .xword 0xDEADBEEFDEADBEEF
40564 .xword 0xDEADBEEFDEADBEEF
40565 .xword 0xDEADBEEFDEADBEEF
40566 .xword 0xDEADBEEFDEADBEEF
40567 .xword 0xDEADBEEFDEADBEEF
40568 .xword 0xDEADBEEFDEADBEEF
40569 .xword 0xDEADBEEFDEADBEEF
40570 .xword 0xDEADBEEFDEADBEEF
40571_t1_hmac_key_array:
40572 .xword 0x550d98811997617d
40573 .xword 0xc7766c793a595056
40574 .xword 0x6477f93b9120702d
40575 .xword 0x774d94cd39f89f2d
40576 .xword 0x20ee5238c6bd449e
40577 .xword 0xab7d18e6a8f77f97
40578 .xword 0xde22328d83857cce
40579 .xword 0x6e5627480e19fb3a
40580 .xword 0x50f0861cb8026aff
40581 .xword 0x170b1456f7a3d6de
40582 .xword 0xcba9b6425fd2f5de
40583 .xword 0x31f6e20d852190e7
40584 .xword 0xcb62d4f541f99a53
40585 .xword 0xb4a1c1c10c7f24c8
40586 .xword 0x474b18ed2385d09f
40587 .xword 0x18af2a6f5d082d70
40588 .xword 0xf25e9fb0d317120c
40589 .xword 0x09f3f070fdea6f2b
40590 .xword 0xcfe2fb1e5d3b62a1
40591 .xword 0x3d167523cdf545a1
40592 .xword 0x5af18dc71df76c2f
40593 .xword 0xaef6a468b82ae14c
40594 .xword 0x6630f4675279930d
40595 .xword 0xa25cfd6d98bbe6a3
40596 .xword 0x664290ce3aa57ed3
40597 .xword 0x82410dd053874dce
40598 .xword 0x802a050a7a0b5e2c
40599 .xword 0x6a98d3145c3cc902
40600 .xword 0x417da136dd36856c
40601 .xword 0x1eefd1596e87b4fa
40602 .xword 0xb614d900f03a9300
40603 .xword 0xa9f79ae556e821e1
40604 .xword 0x866cb48f80c51fa1
40605 .xword 0x2a63d9c2ad8e43c4
40606 .xword 0xe141c0653b144052
40607 .xword 0x1fe578f23c0188ac
40608 .xword 0xd9a48bead2bdca1e
40609 .xword 0x69bcd81ba5b02327
40610 .xword 0xcf13b10e467bcd9d
40611 .xword 0x89c3accd6fb1c7ed
40612 .xword 0x0e22f0131fcff066
40613 .xword 0x22e1efbdd2bd016d
40614 .xword 0x866a78294277532b
40615 .xword 0xb41970e7e7cc2337
40616 .xword 0x22bd6db939da1ca1
40617 .xword 0xa471966335ee6930
40618 .xword 0x55a90875016d23ef
40619 .xword 0x6666f3b94891e20b
40620 .xword 0x3f70299e22b243ef
40621 .xword 0xbda48c79617ff837
40622 .xword 0x20154ad3e1fce104
40623_t1_hmac_iv_array:
40624 .xword 0xa07660395140ee03
40625 .xword 0x16c8e2e1d43ea0a0
40626 .xword 0xe3a653a2ea6fba04
40627 .xword 0x7b06b4aac0a6e3bb
40628 .xword 0xfce4e56e008719bf
40629 .xword 0x8b52da79735a67e8
40630 .xword 0xd024292500318e69
40631 .xword 0x6795f42225d7de0d
40632 .xword 0xc0a6f1934a893af0
40633 .xword 0xad1f497392a416b5
40634 .xword 0x111600410d5f4ec4
40635 .xword 0x4eb9944161ead89e
40636 .xword 0xbdccfbabca63a20c
40637 .xword 0x7d4e785fce49be26
40638 .xword 0x601cd0106dcd6d01
40639 .xword 0x5d90f4b2d7b932cf
40640 .xword 0x98cdc9f3b9f61134
40641 .xword 0x34e9db29cc6101a2
40642 .xword 0x94da121d99c936a2
40643 .xword 0x9360a7db1c8ec7c3
40644 .xword 0xae7d67f514af8a28
40645 .xword 0x414423fae0dc142f
40646 .xword 0xa6e5d6467405234c
40647 .xword 0x8e0fbf2f23c2c07b
40648 .xword 0xa71d0a693721e55f
40649 .xword 0x0ad3b3584c00a64a
40650 .xword 0x289a793823e3645a
40651 .xword 0x9309cbf7bbcabd62
40652 .xword 0x8896b4fd69b55cce
40653 .xword 0xfc41e80b2d1939dd
40654 .xword 0xf14ed86f9c6d8eab
40655 .xword 0xbb4d59150fa6ef0d
40656 .xword 0xffa0c35663fa685d
40657 .xword 0x03c400642d6a1bda
40658 .xword 0xec42673a872b2e2f
40659 .xword 0x2b2f6bd523f3aa88
40660 .xword 0x7100a63371f4e0e7
40661 .xword 0x6baf15a6af0544e3
40662 .xword 0xe87710eb4bb9bde7
40663 .xword 0x316795dad491b0cf
40664 .xword 0xb7c8744f603df026
40665 .xword 0x5bf0574b693c9d40
40666 .xword 0x792624a8ef213b2c
40667 .xword 0x72c64f99b0238014
40668 .xword 0x2f7da8cd3406ca4c
40669_t1_hmac_alignment_array:
40670 .xword 4
40671 .xword 11
40672 .xword 4
40673 .xword 14
40674 .xword 6
40675 .xword 5
40676 .xword 13
40677 .xword 2
40678 .xword 1
40679 .xword 12
40680 .xword 3
40681 .xword 10
40682 .xword 11
40683 .xword 1
40684 .xword 6
40685 .xword 6
40686 .xword 13
40687 .xword 12
40688 .xword 12
40689 .xword 6
40690 .xword 10
40691 .xword 7
40692 .xword 7
40693 .xword 10
40694 .xword 11
40695 .xword 10
40696 .xword 1
40697 .xword 13
40698 .xword 1
40699 .xword 4
40700 .xword 6
40701 .xword 8
40702 .xword 11
40703 .xword 1
40704 .xword 0
40705 .xword 5
40706 .xword 2
40707 .xword 13
40708 .xword 6
40709 .xword 4
40710 .xword 12
40711 .xword 5
40712 .xword 0
40713 .xword 14
40714 .xword 8
40715 .xword 0
40716 .xword 0
40717 .xword 8
40718 .xword 9
40719 .xword 15
40720 .xword 7
40721 .xword 7
40722 .xword 13
40723 .xword 15
40724 .xword 7
40725 .xword 13
40726 .xword 10
40727 .xword 8
40728 .xword 9
40729 .xword 7
40730 .xword 3
40731 .xword 6
40732 .xword 12
40733 .xword 11
40734 .xword 12
40735 .xword 15
40736 .xword 5
40737 .xword 2
40738 .xword 5
40739 .xword 4
40740 .xword 14
40741 .xword 15
40742 .xword 12
40743 .xword 13
40744 .xword 0
40745 .xword 9
40746 .xword 10
40747 .xword 11
40748 .xword 5
40749 .xword 8
40750 .xword 7
40751 .xword 11
40752 .xword 6
40753 .xword 14
40754 .xword 15
40755 .xword 6
40756 .xword 2
40757 .xword 13
40758 .xword 3
40759 .xword 3
40760 .xword 4
40761 .xword 3
40762 .xword 14
40763 .xword 10
40764 .xword 7
40765 .xword 8
40766 .xword 10
40767 .xword 10
40768 .xword 0
40769 .xword 1
40770 .xword 4
40771 .xword 9
40772 .xword 3
40773 .xword 15
40774 .xword 13
40775_t1_hmac_src:
40776 .xword 0x9f4b35f4a2c11b45
40777 .xword 0x53695116baac460d
40778 .xword 0x370303326ca6445e
40779 .xword 0x82e086a7b5a4ff56
40780 .xword 0xf7676c50d0d94672
40781 .xword 0xb4c67c639000a421
40782 .xword 0x99dde293305ef9bb
40783 .xword 0x9e22675f75166040
40784 .xword 0x335b5c02e42c0b9d
40785 .xword 0xe2d6f0adf41b5f4c
40786 .xword 0xb6d2a7ac68d9bd90
40787 .xword 0xb3c09b0bcb30c225
40788 .xword 0x51775bc30e056c31
40789 .xword 0x76f91cdda83b9f31
40790 .xword 0x2f65f3cea24f5b6d
40791 .xword 0x49c5d84fbc131787
40792 .xword 0x75e193c78dbd9ec3
40793 .xword 0x05a827c6c854edad
40794 .xword 0x9cdd88f2fa832cc0
40795 .xword 0xb4f878f722b5031c
40796 .xword 0x225b4323767db2ee
40797 .xword 0x8961da35855992b6
40798 .xword 0xf02e00997f500924
40799 .xword 0xc8b0e32ddf703630
40800 .xword 0xc491082b24990e41
40801 .xword 0x3a24c5a0ee304812
40802 .xword 0x484cc83ec5fbba43
40803 .xword 0xbf8c4cd1531141ee
40804 .xword 0x1117c7bc081644f4
40805 .xword 0x5e808825e483f401
40806 .xword 0xbbc7246272d9d161
40807 .xword 0xa9ab52aacc8f0c5c
40808 .xword 0x037e2ebb85b20aef
40809 .xword 0x0930caa82b34799e
40810 .xword 0xfc2ad46e395aa801
40811 .xword 0x932fca1102a4560e
40812 .xword 0xd3b6555ad1d58b90
40813 .xword 0x336d0d0c14999302
40814 .xword 0x871b91713991d941
40815 .xword 0x5e1ef96cb40dd8f2
40816 .xword 0x5a4b5f56ce6dbe53
40817 .xword 0x5d2fd159ef22596c
40818 .xword 0x146a22aa5603e38a
40819 .xword 0x5ef0d42e57fd8c73
40820 .xword 0xe9066e24f2abfc6d
40821 .xword 0x4cf2e7655f7725fd
40822 .xword 0x65e53cf7eb385fdf
40823 .xword 0x1b709c6b33cd0721
40824 .xword 0x0c057fafc62814b4
40825 .xword 0xc933e188b745c451
40826 .xword 0x5857ed924fdbd973
40827 .xword 0x344c8e9dbebb8ec7
40828 .xword 0x34aea0dbe18ddcf7
40829 .xword 0x4c7737708bccbc67
40830 .xword 0x4ac74b428abb6fe4
40831 .xword 0xb2cdf4263b22b355
40832 .xword 0x66a29ae3e3975ba1
40833 .xword 0x79306649bfb4bd16
40834 .xword 0x22b602733b23f6fc
40835 .xword 0xeaef0973ba404e89
40836 .xword 0xbce914542ef823be
40837 .xword 0xa236025b4b90a2b6
40838 .xword 0x32de9a3823085af4
40839 .xword 0xec4c8ab3bcc40e43
40840 .xword 0xc5b29a79bb83871b
40841 .xword 0x0b8331d5a6977975
40842 .xword 0x18e33e8119109895
40843 .xword 0xe2bd5fed5bbc1d0e
40844 .xword 0xd6bb6e193db4a5aa
40845 .xword 0x5a60a0d67ec043df
40846 .xword 0x6a8a451b579ba2a0
40847 .xword 0x1e4c2b72f98cd004
40848 .xword 0xb6171c6e580c748b
40849 .xword 0x93c3aa8a31b3d3f1
40850 .xword 0x367ed2f6e527034d
40851 .xword 0xbee34c5bf2c4413d
40852 .xword 0x92819d9d03bc65b2
40853 .xword 0xccfbac14fa53dc2a
40854 .xword 0xd63f20d161a55bcb
40855 .xword 0x1fa213ecdae2077d
40856 .xword 0xb65163b9bc7a910b
40857 .xword 0x30c58f81163c0c43
40858 .xword 0x54cd22cae27d8b37
40859 .xword 0xe59fc3b541794989
40860 .xword 0xaf2fc803bf40dfad
40861 .xword 0x25ef548eb06bd663
40862 .xword 0x5060deecad80e7ac
40863 .xword 0x55b64958d1d44361
40864 .xword 0xb5d4d3d2a061503d
40865 .xword 0xe66bb5baeab7f970
40866 .xword 0xbb0a4e5e924ea367
40867 .xword 0x08391e7b893d675a
40868 .xword 0x14e87c46eca93599
40869 .xword 0x357f51c3654a93ac
40870 .xword 0x0ef20db48c8d81b8
40871 .xword 0xebd1d272c4bf5722
40872 .xword 0x04a2203739059b2c
40873 .xword 0x697c48968453c646
40874 .xword 0x2621fc123ecef5b3
40875 .xword 0x689f498bb58985e3
40876 .xword 0x2f5dedb671e10a6e
40877 .xword 0x2136df6eefc0d1f9
40878 .xword 0x19fb48149b1c903f
40879 .xword 0x516a1dee917b5899
40880 .xword 0x372b057d27bf9078
40881 .xword 0xb9374775cd399c0b
40882 .xword 0xe66457233ea35a80
40883 .xword 0xa0eed40a5015a249
40884 .xword 0xbd9a2a087da4ed1b
40885 .xword 0x39f753afba2750c7
40886 .xword 0x99f968f32e80d732
40887 .xword 0x67c6b89430ba2789
40888 .xword 0x0653c1eeb8163aaa
40889 .xword 0x8b4692a45688ebe4
40890 .xword 0x631bfa06190e6207
40891 .xword 0xec4dd56ab38ca5f3
40892 .xword 0xaa97692df64cc5bc
40893 .xword 0x61c71116be168a47
40894 .xword 0xb4bae40cd5ba56e2
40895 .xword 0x8323751f4d172bec
40896 .xword 0x37e92416314dd104
40897 .xword 0xb7e8d638e89ff50e
40898 .xword 0xf3075b3522b3c233
40899 .xword 0x984ed11bb727402c
40900 .xword 0xc19e11c71eeacb85
40901 .xword 0x9fde9b16378fd9fd
40902 .xword 0x054bf6aa77888c73
40903 .xword 0x19bc6232927b1869
40904 .xword 0x72dc6483e15769ea
40905 .xword 0x5712884738047749
40906 .xword 0x81de7dfbcacebf41
40907 .xword 0x04226495ce50c6a1
40908 .xword 0xd223be832478649a
40909 .xword 0x2ac6e855c6f457dc
40910 .xword 0x70001a46141f80bd
40911 .xword 0x7c801dcc1e75ead2
40912 .xword 0x306d71fb76aa56f9
40913 .xword 0x4ec7bfed300e7a7b
40914 .xword 0x1551b56b205e718e
40915 .xword 0xd0b04c2ccdb3f54f
40916 .xword 0x5e27533822039c4a
40917 .xword 0x9c3b094deb76f2bc
40918 .xword 0x543df92e1e195fea
40919 .xword 0xaeef1ec3adbc5bfa
40920 .xword 0xa84a3a78e5a40fb5
40921 .xword 0x1384ed534e2822b0
40922 .xword 0x514b1f7cb338413a
40923 .xword 0xc4dc5269d28deff6
40924 .xword 0x34a1c8e1812160d5
40925 .xword 0x9cdbeaa7bb40d9c9
40926 .xword 0x9836b26f2ce613f2
40927 .xword 0xf3f725f4bd037607
40928 .xword 0xede47ae646dc29c7
40929 .xword 0x3b33e6578658885b
40930 .xword 0x11290c70a70a0ed0
40931 .xword 0xa19b346bdb184167
40932 .xword 0x0fd01670e0abef27
40933 .xword 0x1bdc92b688682938
40934 .xword 0x7cc2c10914297183
40935 .xword 0x002116ad9b9e8f26
40936 .xword 0x2ada1f1342060d63
40937 .xword 0x266edba2b9b76bd6
40938 .xword 0x2d21cc7f9f5de6c5
40939 .xword 0xee1f55e06ad49799
40940 .xword 0xfb4ac973ea7cf8fb
40941 .xword 0x39f0e7f949cfa0d7
40942 .xword 0x9da8175ac92890fe
40943 .xword 0x183dd92f4750d4f8
40944 .xword 0xc19de2802544dab4
40945 .xword 0x893a5fbecdaba454
40946 .xword 0x5fb3d94cfdd71449
40947 .xword 0xe8965a6f2eae940f
40948 .xword 0x25305b8e71605e9f
40949 .xword 0x0d89f5c3ef175247
40950 .xword 0x48d5a31f41ed7d98
40951 .xword 0x5742011914740e9e
40952 .xword 0x6176be9a2e81d667
40953 .xword 0xe78058300efc5c6f
40954 .xword 0xb3dda7b69bec03e1
40955 .xword 0xf246587b7a4b2822
40956 .xword 0x04131577cbb59b7c
40957 .xword 0xe8b475982c55d086
40958 .xword 0xb3f7d4418ed8628e
40959 .xword 0xf98749672780809d
40960 .xword 0x16fa59239c04afb7
40961 .xword 0x86a4a16d7309efa8
40962 .xword 0x293972f1fd24262b
40963 .xword 0x587b84a8bae873b1
40964 .xword 0xcb71509b2bcfb89b
40965 .xword 0xc15fdaf5ebd75bfe
40966 .xword 0xea3bb014d3a9a1a4
40967 .xword 0x72cc5a0653e073bd
40968 .xword 0x04091506fe090b8c
40969 .xword 0x260762d6baea0983
40970 .xword 0x037e6a216e983281
40971 .xword 0xd0e63c3b429b6f68
40972 .xword 0x11c60d2f83339d36
40973 .xword 0x9b84325e99279062
40974 .xword 0x1c77d501d8cccaa4
40975 .xword 0x04a6c21855eeec8f
40976 .xword 0x4e32c16d647a2739
40977 .xword 0x6d17cf117c6058cf
40978 .xword 0xcfa3383f3ca85b29
40979 .xword 0x7e131fea3c669f67
40980 .xword 0x522067016bd13d73
40981 .xword 0x010bbc93ba420b6c
40982 .xword 0x6ea8e4aab1b7a40b
40983 .xword 0x0070529ee1389ba6
40984 .xword 0xd522a9281972d501
40985 .xword 0x93c15f42b5ebe114
40986 .xword 0x9883f1e17f9a6dd3
40987 .xword 0x285d76ce1e9bdc98
40988 .xword 0x60482e2a41d9cd0d
40989 .xword 0xc4a1fa4949aaf116
40990 .xword 0x86f84bf190c534a2
40991 .xword 0x0db39a8d7091d1f3
40992 .xword 0x71f76135e2e3428f
40993 .xword 0x02a3696c92770747
40994 .xword 0x062ce3b42c16a413
40995 .xword 0x493402ec982d0ba7
40996 .xword 0xca80dfa4abdd8550
40997 .xword 0x0d57507917998627
40998 .xword 0x16f2ac3773974fb6
40999 .xword 0xedf1a9e16654d1bd
41000 .xword 0xf8e4c9720d353e17
41001 .xword 0x92f30530068bae00
41002 .xword 0x5d279eb29d266093
41003 .xword 0xe2e07d2e617d1674
41004 .xword 0xf54b7b9325c11eb8
41005 .xword 0xe2ec3086c7aa686c
41006 .xword 0x969635cf1dda99a2
41007 .xword 0x9b9128b36bd3ce6c
41008 .xword 0x2021f03f73214e1d
41009 .xword 0x288a8806e2ad2531
41010 .xword 0xbef426a3ab2aa385
41011 .xword 0x834e6b0708a45d8b
41012 .xword 0x4bb93034ab401f98
41013 .xword 0xf85cf57cde27b434
41014 .xword 0x1b6e74e22d8575e9
41015 .xword 0xad444402b0ce69ab
41016 .xword 0xafd869da2620450d
41017 .xword 0x138e2e67950487ac
41018 .xword 0x4578d50180442146
41019 .xword 0x877bc661136823e5
41020 .xword 0x6dad4e3c9813954d
41021 .xword 0xc1c6655d6c88e008
41022 .xword 0x2fa1774f9571838e
41023 .xword 0xd1b40d71d6399ee6
41024 .xword 0x87db009c06ccbb65
41025 .xword 0xacb08fb50e97ae67
41026 .xword 0xb51cbb215430e749
41027 .xword 0xe00e4b0f6adc7926
41028 .xword 0xdd051b259446c590
41029 .xword 0xc6502a1dffbca8de
41030 .xword 0x2ce3ada339b956fb
41031 .xword 0x354c1fc3715ebd9b
41032 .xword 0x47ece775793bbfa6
41033 .xword 0x35629742b88b5d0e
41034 .xword 0x7eaeba912dc136f8
41035 .xword 0xa7fadd3e961cf9c0
41036 .xword 0x5f509d61c912f626
41037 .xword 0x4e675ff012b2466b
41038 .xword 0xf8340403733511af
41039 .xword 0x21619b94d021d5e4
41040 .xword 0xeb9d682d9cb876c1
41041 .xword 0x6e412f26f76bf320
41042 .xword 0xab189bdb9aeef0b8
41043 .xword 0xc1c443781f55389d
41044 .xword 0x727f3c91d841d124
41045 .xword 0x1b466d41648734da
41046 .xword 0x2b49076e19b959a0
41047 .xword 0xd722d37687996574
41048 .xword 0x50a3f4a6c8dfe1fa
41049 .xword 0x7d5fe05d1500c884
41050 .xword 0xb3d55e0377d534e8
41051_t1_hmac_dest:
41052 .xword 0xDEADBEEFDEADBEEF
41053 .xword 0xDEADBEEFDEADBEEF
41054 .xword 0xDEADBEEFDEADBEEF
41055 .xword 0xDEADBEEFDEADBEEF
41056 .xword 0xDEADBEEFDEADBEEF
41057 .xword 0xDEADBEEFDEADBEEF
41058 .xword 0xDEADBEEFDEADBEEF
41059 .xword 0xDEADBEEFDEADBEEF
41060 .xword 0xDEADBEEFDEADBEEF
41061 .xword 0xDEADBEEFDEADBEEF
41062 .xword 0xDEADBEEFDEADBEEF
41063 .xword 0xDEADBEEFDEADBEEF
41064 .xword 0xDEADBEEFDEADBEEF
41065 .xword 0xDEADBEEFDEADBEEF
41066 .xword 0xDEADBEEFDEADBEEF
41067 .xword 0xDEADBEEFDEADBEEF
41068 .xword 0xDEADBEEFDEADBEEF
41069 .xword 0xDEADBEEFDEADBEEF
41070 .xword 0xDEADBEEFDEADBEEF
41071 .xword 0xDEADBEEFDEADBEEF
41072 .xword 0xDEADBEEFDEADBEEF
41073 .xword 0xDEADBEEFDEADBEEF
41074 .xword 0xDEADBEEFDEADBEEF
41075 .xword 0xDEADBEEFDEADBEEF
41076 .xword 0xDEADBEEFDEADBEEF
41077 .xword 0xDEADBEEFDEADBEEF
41078 .xword 0xDEADBEEFDEADBEEF
41079 .xword 0xDEADBEEFDEADBEEF
41080 .xword 0xDEADBEEFDEADBEEF
41081 .xword 0xDEADBEEFDEADBEEF
41082 .xword 0xDEADBEEFDEADBEEF
41083 .xword 0xDEADBEEFDEADBEEF
41084 .xword 0xDEADBEEFDEADBEEF
41085 .xword 0xDEADBEEFDEADBEEF
41086 .xword 0xDEADBEEFDEADBEEF
41087 .xword 0xDEADBEEFDEADBEEF
41088 .xword 0xDEADBEEFDEADBEEF
41089 .xword 0xDEADBEEFDEADBEEF
41090 .xword 0xDEADBEEFDEADBEEF
41091 .xword 0xDEADBEEFDEADBEEF
41092 .xword 0xDEADBEEFDEADBEEF
41093 .xword 0xDEADBEEFDEADBEEF
41094 .xword 0xDEADBEEFDEADBEEF
41095 .xword 0xDEADBEEFDEADBEEF
41096 .xword 0xDEADBEEFDEADBEEF
41097 .xword 0xDEADBEEFDEADBEEF
41098 .xword 0xDEADBEEFDEADBEEF
41099 .xword 0xDEADBEEFDEADBEEF
41100 .xword 0xDEADBEEFDEADBEEF
41101 .xword 0xDEADBEEFDEADBEEF
41102 .xword 0xDEADBEEFDEADBEEF
41103 .xword 0xDEADBEEFDEADBEEF
41104 .xword 0xDEADBEEFDEADBEEF
41105 .xword 0xDEADBEEFDEADBEEF
41106 .xword 0xDEADBEEFDEADBEEF
41107 .xword 0xDEADBEEFDEADBEEF
41108 .xword 0xDEADBEEFDEADBEEF
41109 .xword 0xDEADBEEFDEADBEEF
41110 .xword 0xDEADBEEFDEADBEEF
41111 .xword 0xDEADBEEFDEADBEEF
41112 .xword 0xDEADBEEFDEADBEEF
41113 .xword 0xDEADBEEFDEADBEEF
41114 .xword 0xDEADBEEFDEADBEEF
41115 .xword 0xDEADBEEFDEADBEEF
41116 .xword 0xDEADBEEFDEADBEEF
41117 .xword 0xDEADBEEFDEADBEEF
41118 .xword 0xDEADBEEFDEADBEEF
41119 .xword 0xDEADBEEFDEADBEEF
41120 .xword 0xDEADBEEFDEADBEEF
41121 .xword 0xDEADBEEFDEADBEEF
41122 .xword 0xDEADBEEFDEADBEEF
41123 .xword 0xDEADBEEFDEADBEEF
41124 .xword 0xDEADBEEFDEADBEEF
41125 .xword 0xDEADBEEFDEADBEEF
41126 .xword 0xDEADBEEFDEADBEEF
41127 .xword 0xDEADBEEFDEADBEEF
41128 .xword 0xDEADBEEFDEADBEEF
41129 .xword 0xDEADBEEFDEADBEEF
41130 .xword 0xDEADBEEFDEADBEEF
41131 .xword 0xDEADBEEFDEADBEEF
41132 .xword 0xDEADBEEFDEADBEEF
41133 .xword 0xDEADBEEFDEADBEEF
41134 .xword 0xDEADBEEFDEADBEEF
41135 .xword 0xDEADBEEFDEADBEEF
41136 .xword 0xDEADBEEFDEADBEEF
41137 .xword 0xDEADBEEFDEADBEEF
41138 .xword 0xDEADBEEFDEADBEEF
41139 .xword 0xDEADBEEFDEADBEEF
41140 .xword 0xDEADBEEFDEADBEEF
41141 .xword 0xDEADBEEFDEADBEEF
41142 .xword 0xDEADBEEFDEADBEEF
41143 .xword 0xDEADBEEFDEADBEEF
41144 .xword 0xDEADBEEFDEADBEEF
41145 .xword 0xDEADBEEFDEADBEEF
41146 .xword 0xDEADBEEFDEADBEEF
41147 .xword 0xDEADBEEFDEADBEEF
41148 .xword 0xDEADBEEFDEADBEEF
41149 .xword 0xDEADBEEFDEADBEEF
41150 .xword 0xDEADBEEFDEADBEEF
41151 .xword 0xDEADBEEFDEADBEEF
41152 .xword 0xDEADBEEFDEADBEEF
41153 .xword 0xDEADBEEFDEADBEEF
41154 .xword 0xDEADBEEFDEADBEEF
41155 .xword 0xDEADBEEFDEADBEEF
41156 .xword 0xDEADBEEFDEADBEEF
41157 .xword 0xDEADBEEFDEADBEEF
41158 .xword 0xDEADBEEFDEADBEEF
41159 .xword 0xDEADBEEFDEADBEEF
41160 .xword 0xDEADBEEFDEADBEEF
41161 .xword 0xDEADBEEFDEADBEEF
41162 .xword 0xDEADBEEFDEADBEEF
41163 .xword 0xDEADBEEFDEADBEEF
41164 .xword 0xDEADBEEFDEADBEEF
41165 .xword 0xDEADBEEFDEADBEEF
41166 .xword 0xDEADBEEFDEADBEEF
41167 .xword 0xDEADBEEFDEADBEEF
41168 .xword 0xDEADBEEFDEADBEEF
41169 .xword 0xDEADBEEFDEADBEEF
41170 .xword 0xDEADBEEFDEADBEEF
41171 .xword 0xDEADBEEFDEADBEEF
41172 .xword 0xDEADBEEFDEADBEEF
41173 .xword 0xDEADBEEFDEADBEEF
41174 .xword 0xDEADBEEFDEADBEEF
41175 .xword 0xDEADBEEFDEADBEEF
41176 .xword 0xDEADBEEFDEADBEEF
41177 .xword 0xDEADBEEFDEADBEEF
41178 .xword 0xDEADBEEFDEADBEEF
41179 .xword 0xDEADBEEFDEADBEEF
41180 .xword 0xDEADBEEFDEADBEEF
41181 .xword 0xDEADBEEFDEADBEEF
41182 .xword 0xDEADBEEFDEADBEEF
41183 .xword 0xDEADBEEFDEADBEEF
41184 .xword 0xDEADBEEFDEADBEEF
41185 .xword 0xDEADBEEFDEADBEEF
41186 .xword 0xDEADBEEFDEADBEEF
41187 .xword 0xDEADBEEFDEADBEEF
41188 .xword 0xDEADBEEFDEADBEEF
41189 .xword 0xDEADBEEFDEADBEEF
41190 .xword 0xDEADBEEFDEADBEEF
41191 .xword 0xDEADBEEFDEADBEEF
41192 .xword 0xDEADBEEFDEADBEEF
41193 .xword 0xDEADBEEFDEADBEEF
41194 .xword 0xDEADBEEFDEADBEEF
41195 .xword 0xDEADBEEFDEADBEEF
41196 .xword 0xDEADBEEFDEADBEEF
41197 .xword 0xDEADBEEFDEADBEEF
41198 .xword 0xDEADBEEFDEADBEEF
41199 .xword 0xDEADBEEFDEADBEEF
41200 .xword 0xDEADBEEFDEADBEEF
41201 .xword 0xDEADBEEFDEADBEEF
41202 .xword 0xDEADBEEFDEADBEEF
41203 .xword 0xDEADBEEFDEADBEEF
41204 .xword 0xDEADBEEFDEADBEEF
41205 .xword 0xDEADBEEFDEADBEEF
41206 .xword 0xDEADBEEFDEADBEEF
41207 .xword 0xDEADBEEFDEADBEEF
41208 .xword 0xDEADBEEFDEADBEEF
41209 .xword 0xDEADBEEFDEADBEEF
41210 .xword 0xDEADBEEFDEADBEEF
41211 .xword 0xDEADBEEFDEADBEEF
41212 .xword 0xDEADBEEFDEADBEEF
41213 .xword 0xDEADBEEFDEADBEEF
41214 .xword 0xDEADBEEFDEADBEEF
41215 .xword 0xDEADBEEFDEADBEEF
41216 .xword 0xDEADBEEFDEADBEEF
41217 .xword 0xDEADBEEFDEADBEEF
41218 .xword 0xDEADBEEFDEADBEEF
41219 .xword 0xDEADBEEFDEADBEEF
41220 .xword 0xDEADBEEFDEADBEEF
41221 .xword 0xDEADBEEFDEADBEEF
41222 .xword 0xDEADBEEFDEADBEEF
41223 .xword 0xDEADBEEFDEADBEEF
41224 .xword 0xDEADBEEFDEADBEEF
41225 .xword 0xDEADBEEFDEADBEEF
41226 .xword 0xDEADBEEFDEADBEEF
41227 .xword 0xDEADBEEFDEADBEEF
41228 .xword 0xDEADBEEFDEADBEEF
41229 .xword 0xDEADBEEFDEADBEEF
41230 .xword 0xDEADBEEFDEADBEEF
41231 .xword 0xDEADBEEFDEADBEEF
41232 .xword 0xDEADBEEFDEADBEEF
41233 .xword 0xDEADBEEFDEADBEEF
41234 .xword 0xDEADBEEFDEADBEEF
41235 .xword 0xDEADBEEFDEADBEEF
41236 .xword 0xDEADBEEFDEADBEEF
41237 .xword 0xDEADBEEFDEADBEEF
41238 .xword 0xDEADBEEFDEADBEEF
41239 .xword 0xDEADBEEFDEADBEEF
41240 .xword 0xDEADBEEFDEADBEEF
41241 .xword 0xDEADBEEFDEADBEEF
41242 .xword 0xDEADBEEFDEADBEEF
41243 .xword 0xDEADBEEFDEADBEEF
41244 .xword 0xDEADBEEFDEADBEEF
41245 .xword 0xDEADBEEFDEADBEEF
41246 .xword 0xDEADBEEFDEADBEEF
41247 .xword 0xDEADBEEFDEADBEEF
41248 .xword 0xDEADBEEFDEADBEEF
41249 .xword 0xDEADBEEFDEADBEEF
41250 .xword 0xDEADBEEFDEADBEEF
41251 .xword 0xDEADBEEFDEADBEEF
41252 .xword 0xDEADBEEFDEADBEEF
41253 .xword 0xDEADBEEFDEADBEEF
41254 .xword 0xDEADBEEFDEADBEEF
41255 .xword 0xDEADBEEFDEADBEEF
41256 .xword 0xDEADBEEFDEADBEEF
41257 .xword 0xDEADBEEFDEADBEEF
41258 .xword 0xDEADBEEFDEADBEEF
41259 .xword 0xDEADBEEFDEADBEEF
41260 .xword 0xDEADBEEFDEADBEEF
41261 .xword 0xDEADBEEFDEADBEEF
41262 .xword 0xDEADBEEFDEADBEEF
41263 .xword 0xDEADBEEFDEADBEEF
41264 .xword 0xDEADBEEFDEADBEEF
41265 .xword 0xDEADBEEFDEADBEEF
41266 .xword 0xDEADBEEFDEADBEEF
41267 .xword 0xDEADBEEFDEADBEEF
41268 .xword 0xDEADBEEFDEADBEEF
41269 .xword 0xDEADBEEFDEADBEEF
41270 .xword 0xDEADBEEFDEADBEEF
41271 .xword 0xDEADBEEFDEADBEEF
41272 .xword 0xDEADBEEFDEADBEEF
41273 .xword 0xDEADBEEFDEADBEEF
41274 .xword 0xDEADBEEFDEADBEEF
41275 .xword 0xDEADBEEFDEADBEEF
41276 .xword 0xDEADBEEFDEADBEEF
41277 .xword 0xDEADBEEFDEADBEEF
41278 .xword 0xDEADBEEFDEADBEEF
41279 .xword 0xDEADBEEFDEADBEEF
41280 .xword 0xDEADBEEFDEADBEEF
41281 .xword 0xDEADBEEFDEADBEEF
41282 .xword 0xDEADBEEFDEADBEEF
41283 .xword 0xDEADBEEFDEADBEEF
41284 .xword 0xDEADBEEFDEADBEEF
41285 .xword 0xDEADBEEFDEADBEEF
41286 .xword 0xDEADBEEFDEADBEEF
41287 .xword 0xDEADBEEFDEADBEEF
41288 .xword 0xDEADBEEFDEADBEEF
41289 .xword 0xDEADBEEFDEADBEEF
41290 .xword 0xDEADBEEFDEADBEEF
41291 .xword 0xDEADBEEFDEADBEEF
41292 .xword 0xDEADBEEFDEADBEEF
41293 .xword 0xDEADBEEFDEADBEEF
41294 .xword 0xDEADBEEFDEADBEEF
41295 .xword 0xDEADBEEFDEADBEEF
41296 .xword 0xDEADBEEFDEADBEEF
41297 .xword 0xDEADBEEFDEADBEEF
41298 .xword 0xDEADBEEFDEADBEEF
41299 .xword 0xDEADBEEFDEADBEEF
41300 .xword 0xDEADBEEFDEADBEEF
41301 .xword 0xDEADBEEFDEADBEEF
41302 .xword 0xDEADBEEFDEADBEEF
41303 .xword 0xDEADBEEFDEADBEEF
41304 .xword 0xDEADBEEFDEADBEEF
41305 .xword 0xDEADBEEFDEADBEEF
41306 .xword 0xDEADBEEFDEADBEEF
41307 .xword 0xDEADBEEFDEADBEEF
41308 .xword 0xDEADBEEFDEADBEEF
41309 .xword 0xDEADBEEFDEADBEEF
41310 .xword 0xDEADBEEFDEADBEEF
41311 .xword 0xDEADBEEFDEADBEEF
41312 .xword 0xDEADBEEFDEADBEEF
41313 .xword 0xDEADBEEFDEADBEEF
41314 .xword 0xDEADBEEFDEADBEEF
41315 .xword 0xDEADBEEFDEADBEEF
41316 .xword 0xDEADBEEFDEADBEEF
41317 .xword 0xDEADBEEFDEADBEEF
41318 .xword 0xDEADBEEFDEADBEEF
41319 .xword 0xDEADBEEFDEADBEEF
41320 .xword 0xDEADBEEFDEADBEEF
41321 .xword 0xDEADBEEFDEADBEEF
41322 .xword 0xDEADBEEFDEADBEEF
41323 .xword 0xDEADBEEFDEADBEEF
41324 .xword 0xDEADBEEFDEADBEEF
41325 .xword 0xDEADBEEFDEADBEEF
41326 .xword 0xDEADBEEFDEADBEEF
41327_t1_hmac_auth_key:
41328 .xword 0x7e8d6eaefd7f0500
41329 .xword 0x547cbad295c56605
41330 .xword 0x35dc881e85dd44fc
41331 .xword 0x7384c2c18db5f467
41332 .xword 0x14cbdbbf42844f1b
41333 .xword 0xf368df50079ec9db
41334 .xword 0xfc9b651d784f5ede
41335 .xword 0x3738cce3d68f67d5
41336 .xword 0x9de60e1e422da13b
41337 .xword 0x123e4575b790ac70
41338 .xword 0x510704f0f607f64a
41339 .xword 0xd2b75a80c4cf447b
41340 .xword 0x2fd44c206478c5b9
41341 .xword 0x3acc7ccb1b50e6cc
41342 .xword 0x7d8f89f9d4258a98
41343 .xword 0x4bebb3bdb6ff8e3a
41344 .xword 0xeb5a0e2a9cb1fac3
41345 .xword 0xba6e11d243e0c0d7
41346 .xword 0xb07b1bf1d79f900e
41347 .xword 0x36ddf5c76fca010d
41348 .xword 0x23458ebecb72db2a
41349 .xword 0x8c79cca2e34c3c83
41350 .xword 0x8857157aefa10571
41351_t1_hmac_auth_iv:
41352 .xword 0x552578e06965e28c
41353 .xword 0xed58e1fda3407cec
41354 .xword 0x5290772ef0f90c0f
41355 .xword 0xc46e330fcf9595a9
41356 .xword 0xc724a39e21fff9ab
41357 .xword 0x633451e90547c4b3
41358 .xword 0xafed5a8c57ee427a
41359 .xword 0x59e83d9c09a72b86
41360 .xword 0x4e0ff057934a9861
41361 .xword 0xd082e1f1a4f00ac6
41362 .xword 0xa10b21552beeffed
41363 .xword 0xe3f0a56bbec06f90
41364 .xword 0x482729736ed05118
41365 .xword 0x91e2d4792b492f1b
41366 .xword 0xb4cf443946237b82
41367 .xword 0x9f8802bdaee25937
41368 .xword 0xc744adcda7536ffb
41369 .xword 0xdee5b80772ce0434
41370 .xword 0x0f8e2adf4e7b52f3
41371 .xword 0x655bc0557b1a03c4
41372 .xword 0xd24a7f0c06762d3d
41373 .xword 0x88498c77b19aafce
41374 .xword 0x14f1b5e2bc103219
41375_t1_hmac_fas_result:
41376 .xword 0xDEADBEEFDEADBEEF
41377 .xword 0xDEADBEEFDEADBEEF
41378 .xword 0xDEADBEEFDEADBEEF
41379 .xword 0xDEADBEEFDEADBEEF
41380 .xword 0xDEADBEEFDEADBEEF
41381 .xword 0xDEADBEEFDEADBEEF
41382 .xword 0xDEADBEEFDEADBEEF
41383 .xword 0xDEADBEEFDEADBEEF
41384 .xword 0xDEADBEEFDEADBEEF
41385 .xword 0xDEADBEEFDEADBEEF
41386 .xword 0xDEADBEEFDEADBEEF
41387 .xword 0xDEADBEEFDEADBEEF
41388 .xword 0xDEADBEEFDEADBEEF
41389 .xword 0xDEADBEEFDEADBEEF
41390 .xword 0xDEADBEEFDEADBEEF
41391 .xword 0xDEADBEEFDEADBEEF
41392 .xword 0xDEADBEEFDEADBEEF
41393 .xword 0xDEADBEEFDEADBEEF
41394 .xword 0xDEADBEEFDEADBEEF
41395 .xword 0xDEADBEEFDEADBEEF
41396 .xword 0xDEADBEEFDEADBEEF
41397 .xword 0xDEADBEEFDEADBEEF
41398 .xword 0xDEADBEEFDEADBEEF
41399_t1_rc4_key_array:
41400 .xword 0x28204ac8e2904499
41401 .xword 0x0e67866940404b0c
41402 .xword 0xd5464bf842fc22f2
41403 .xword 0xcc16094d3d67d377
41404 .xword 0x5ed34d5e3c2d47c0
41405 .xword 0xafdb5c3c07af816e
41406 .xword 0x2f6a2916b782469b
41407 .xword 0x5810b8a776fa3262
41408 .xword 0xfa5926738e7b0b8d
41409 .xword 0x14a0687bc2e4d034
41410 .xword 0xb2e1b78f5b562d67
41411 .xword 0xca360df3888fbee2
41412 .xword 0xb5b1b1cfa9b8b816
41413 .xword 0xa0091902db170992
41414 .xword 0xdace694fca73e941
41415 .xword 0x31dbf84260a73650
41416 .xword 0xc33dcbe5aba35cec
41417 .xword 0x0613e3d6f6744491
41418 .xword 0x5924e07ffcea732c
41419 .xword 0x4f30c0a8311399ed
41420 .xword 0x9711e04e2b39dcfb
41421 .xword 0x18a2ab3f90974325
41422 .xword 0x0f936e8296adcd6c
41423 .xword 0x9eda91ebb0ffc8ac
41424 .xword 0x2c1401c2a53f02b0
41425 .xword 0xf2281bc8b99bc6bf
41426 .xword 0x49374847fb2801a2
41427 .xword 0xfc99c3471d9991fe
41428 .xword 0xd48671dccda8c41a
41429 .xword 0x9c556e77695ff977
41430 .xword 0x209c0f724360b664
41431 .xword 0x49bdaa3c379e3b5e
41432 .xword 0x4b8d2375dae724cd
41433 .xword 0x7306803152ef7435
41434 .xword 0x55edd22b97150456
41435 .xword 0x80334d3e7e93a112
41436 .xword 0x0cc35c31285cdc81
41437 .xword 0x4f165d165f4f637a
41438 .xword 0x2367640ae3d1f79b
41439 .xword 0x44f8909243e84633
41440 .xword 0x9328eaa7510cdc45
41441 .xword 0x7f863da069de9f43
41442 .xword 0x27cca6c4d2c0ab93
41443 .xword 0x203bb7998ca70be7
41444 .xword 0xa15bdad646cd9b17
41445 .xword 0x71db05f76da98a33
41446 .xword 0x1d630b5e67c59896
41447 .xword 0xda1990d832e33d9d
41448 .xword 0xafb060188b6b83a6
41449 .xword 0x7b8f755cb274277b
41450 .xword 0x093be42e1d5453a4
41451_t1_rc4_iv_array:
41452 .xword 0x21dca15cb7383c11
41453 .xword 0x80d7eefe70a2b2b3
41454 .xword 0x2d7b5a983b60af4c
41455 .xword 0xd94e9cb050ec363f
41456 .xword 0xe1ddedbd580faa6f
41457 .xword 0x48ad3278f44335de
41458 .xword 0x629b31ec89c4fd80
41459 .xword 0x208beb124ee23010
41460 .xword 0x0db0b1070cf9d56e
41461 .xword 0x12cef91d94ec6568
41462 .xword 0x03ce52710d692286
41463 .xword 0x8aa044539f25f2b3
41464 .xword 0x296a9526123477d1
41465 .xword 0x7907d0c0bfd29391
41466 .xword 0xa5fde097118da9cb
41467 .xword 0xded8a527b58b2b66
41468 .xword 0x63683711b7b3e50f
41469 .xword 0x8c96f8a31b6ac6f6
41470 .xword 0xb9bcd195f03c55c5
41471 .xword 0x4d393237003618d5
41472 .xword 0xd42e1dbd6ea4469c
41473 .xword 0xb1ee904c31373e57
41474 .xword 0xae3986567d6924f7
41475 .xword 0x763305280a54901b
41476 .xword 0x45c018102a11d11d
41477 .xword 0xfbbb9296e1a62f4f
41478 .xword 0x80f5e00683446620
41479 .xword 0xcf0d436c59eef24b
41480 .xword 0xcf227461efe9d55c
41481 .xword 0x9acc11a42aaa6d2f
41482 .xword 0x19b0fbba34eb8a49
41483 .xword 0xf62498956a69aa9f
41484 .xword 0xc5b5bcccc4d4fd40
41485 .xword 0x708712aeee51d867
41486 .xword 0xf4dda6316d012387
41487 .xword 0xbfb1c0ed1c1ff035
41488 .xword 0xdff648a6e43e1ff2
41489 .xword 0x536a25c0e66eb968
41490 .xword 0xab94ca3ae81ac128
41491 .xword 0x703a47258b0ea7c7
41492 .xword 0x07b928dd5547c68b
41493 .xword 0xd33f2d35ac9f08d6
41494 .xword 0x1edb7640635674a5
41495 .xword 0x47f9a1556fc75f6a
41496 .xword 0x50079d46d4d944ba
41497_t1_rc4_alignment_array:
41498 .xword 2
41499 .xword 3
41500 .xword 2
41501 .xword 8
41502 .xword 3
41503 .xword 2
41504 .xword 3
41505 .xword 13
41506 .xword 0
41507 .xword 12
41508 .xword 6
41509 .xword 5
41510 .xword 14
41511 .xword 9
41512 .xword 11
41513 .xword 13
41514 .xword 0
41515 .xword 5
41516 .xword 9
41517 .xword 6
41518 .xword 9
41519 .xword 2
41520 .xword 4
41521 .xword 5
41522 .xword 8
41523 .xword 11
41524 .xword 15
41525 .xword 14
41526 .xword 9
41527 .xword 5
41528 .xword 14
41529 .xword 6
41530 .xword 10
41531 .xword 1
41532 .xword 14
41533 .xword 9
41534 .xword 10
41535 .xword 1
41536 .xword 8
41537 .xword 6
41538 .xword 6
41539 .xword 3
41540 .xword 15
41541 .xword 6
41542 .xword 8
41543 .xword 7
41544 .xword 5
41545 .xword 9
41546 .xword 0
41547 .xword 4
41548 .xword 3
41549 .xword 6
41550 .xword 11
41551 .xword 11
41552 .xword 11
41553 .xword 3
41554 .xword 6
41555 .xword 13
41556 .xword 11
41557 .xword 3
41558 .xword 7
41559 .xword 11
41560 .xword 13
41561 .xword 10
41562 .xword 4
41563 .xword 0
41564 .xword 13
41565 .xword 4
41566 .xword 9
41567 .xword 13
41568 .xword 0
41569 .xword 0
41570 .xword 5
41571 .xword 13
41572 .xword 5
41573 .xword 8
41574 .xword 11
41575 .xword 9
41576 .xword 3
41577 .xword 13
41578 .xword 10
41579 .xword 0
41580 .xword 15
41581 .xword 0
41582 .xword 12
41583 .xword 5
41584 .xword 8
41585 .xword 0
41586 .xword 10
41587 .xword 0
41588 .xword 9
41589 .xword 5
41590 .xword 9
41591 .xword 3
41592 .xword 7
41593 .xword 1
41594 .xword 3
41595 .xword 10
41596 .xword 8
41597 .xword 11
41598 .xword 8
41599 .xword 4
41600 .xword 14
41601 .xword 9
41602 .xword 10
41603_t1_rc4_src:
41604 .xword 0xf93717cfaba51a48
41605 .xword 0x06e2e92a074768c2
41606 .xword 0x05283952d119c855
41607 .xword 0x3f437485c88dcbc1
41608 .xword 0xf3575727255e447c
41609 .xword 0x2775efefbe6507a1
41610 .xword 0x4bcfae04aa9b58da
41611 .xword 0xe9e84fc14036038e
41612 .xword 0x7f18c9d35b013561
41613 .xword 0x5fa7591d4b1c7497
41614 .xword 0x70f1cdd75ef69678
41615 .xword 0xabb50d0f85a90302
41616 .xword 0xc02185565caa0929
41617 .xword 0x38b63e9709d135e0
41618 .xword 0xb6796fd9f70b139f
41619 .xword 0xd75372efe54f6c5a
41620 .xword 0x14dd22b3e27a3cef
41621 .xword 0xb4972f9cdf3b7d45
41622 .xword 0x902e14de21831200
41623 .xword 0x3fd2c1c5bc5be4de
41624 .xword 0x8b3c88612ebc4007
41625 .xword 0xfe4a26003d588b11
41626 .xword 0x4fd31ec411b1227e
41627 .xword 0x654e32c7b5770d87
41628 .xword 0x156b802f43d297e2
41629 .xword 0xd7984d176018d1ab
41630 .xword 0x145c5c032ad6d158
41631 .xword 0x9756c6509a57a0d3
41632 .xword 0x26bda835c2cf2916
41633 .xword 0xcdd2c7655df56269
41634 .xword 0x9363389836d55a1b
41635 .xword 0x8fe8a96e5164e50e
41636 .xword 0xf956315bc09a4fbb
41637 .xword 0xa06f8fa4ec299169
41638 .xword 0x1cb0570707dd805a
41639 .xword 0x8922e411e28f01cd
41640 .xword 0x7fa8706714b68bd0
41641 .xword 0x30964bbbe483b76c
41642 .xword 0x91ccfcb82dba2a2d
41643 .xword 0x4952375ebe52519f
41644 .xword 0x439391eb80789617
41645 .xword 0x43eb4037403f6e06
41646 .xword 0x94dbc3757c75377a
41647 .xword 0x2162d52afc43c102
41648 .xword 0xf8642d35abaad788
41649 .xword 0x83c3d2b4ddfc514e
41650 .xword 0xe94e1e7ae1b60b9a
41651 .xword 0x5d4a56de3e68d0ed
41652 .xword 0xd42aef987cee4e9b
41653 .xword 0xb07fe092dcf35407
41654 .xword 0xbd2bbac2f865b928
41655 .xword 0x662a753f66951e7f
41656 .xword 0x30590a6630ef0bec
41657 .xword 0x2b2569246f2793b3
41658 .xword 0xd168273bb4806f4d
41659 .xword 0xbd5d0b4c48eba257
41660 .xword 0x9e3e0eea63cbc930
41661 .xword 0x41bdf8b5acf17224
41662 .xword 0x10fa2e05049a9879
41663 .xword 0x34da86708190704b
41664 .xword 0xb41f73e90e0b0299
41665 .xword 0xca4ec9e41a7cc05c
41666 .xword 0x8a52995cec028e71
41667 .xword 0xfa2aaeeceb5969b0
41668 .xword 0x53391bfc54065dc4
41669 .xword 0xfda39b7053d44aa7
41670 .xword 0x1e41b0bf63701b1a
41671 .xword 0x99e9bd006192f19a
41672 .xword 0xbf1f45c16949c745
41673 .xword 0x9b44b3eb58f66a81
41674 .xword 0x653ccbc7c9a8f3c2
41675 .xword 0x45b62d820c1dea77
41676 .xword 0x0467c8f0b68779b3
41677 .xword 0x93a0a77dc36a66d6
41678 .xword 0x9dec7b36c6062652
41679 .xword 0x3a87cbfb40d4794c
41680 .xword 0xfc849b03f77e73b1
41681 .xword 0xa800504dbb59f081
41682 .xword 0x512e80d603d3419e
41683 .xword 0xf9eaeffe16bc698b
41684 .xword 0xba16843979c4ae0b
41685 .xword 0x55965cb1e3f3979b
41686 .xword 0x3ec5bccfcdef979c
41687 .xword 0x6f394130c5a9bf89
41688 .xword 0xfe54ab939bb6d931
41689 .xword 0xab68290aff50e26c
41690 .xword 0x990a03c8ab580b28
41691 .xword 0x7c63996038923363
41692 .xword 0xfaf02bd6d7af943c
41693 .xword 0x502976a283aab4a7
41694 .xword 0xba5feaa15d7676f7
41695 .xword 0x3ce9e29d2d452aa3
41696 .xword 0x93bf8be24bb6937e
41697 .xword 0x9073d4950917631a
41698 .xword 0x75aa7a8c941fb2ad
41699 .xword 0x43f9f8bd1b337947
41700 .xword 0x03b5c9d1f51ca986
41701 .xword 0x467e86cb22229c6b
41702 .xword 0x6062cd8a178abb5b
41703 .xword 0x06e5118952c39685
41704 .xword 0xf8ee9aedbe40e5d9
41705 .xword 0xfbffea24d998b1d6
41706 .xword 0x0812ec8c6b7bee6f
41707 .xword 0x7b560a6ee5dbb292
41708 .xword 0xe5881ac28b7372aa
41709 .xword 0x8f1d716b6d6a2e3d
41710 .xword 0x11553f22e6b9575c
41711 .xword 0xf9c1b1795cc27795
41712 .xword 0x43f1787d4abe1bd9
41713 .xword 0xa048c302f9d34829
41714 .xword 0xf04b2c78ef0d61db
41715 .xword 0x5269f9fd12005de1
41716 .xword 0x3028702ce356d06b
41717 .xword 0xa7e0e97f34050ca6
41718 .xword 0x62325e92342de026
41719 .xword 0xdf4e02e66b6ada3e
41720 .xword 0x022358ecc9ea781a
41721 .xword 0x045dcfd457dabd46
41722 .xword 0x41a8e2de6d4c80e1
41723 .xword 0x5ace1ab979ffadf0
41724 .xword 0xfc0267853aa62cd8
41725 .xword 0x041e5e2d991bf915
41726 .xword 0x06c95be01edc9b34
41727 .xword 0x76f37bb8ae4af27c
41728 .xword 0x9d0e8731b23fc93c
41729 .xword 0xd4740ed184cb6c59
41730 .xword 0x71bb32656fe91929
41731 .xword 0x0d94e7ceb57ae2d8
41732 .xword 0x50164efd3326755c
41733 .xword 0xb32f22756484aaca
41734 .xword 0x832d576ce6e4d912
41735 .xword 0x050374663dc35a3b
41736 .xword 0x36f0ce01d16115aa
41737 .xword 0x64bc2099f8307b29
41738 .xword 0xba66847e904608f0
41739 .xword 0xcd511aafc61687b5
41740 .xword 0x3cd4244f46f77c39
41741 .xword 0x1d46d57bff60e261
41742 .xword 0x4b8b427d26454608
41743 .xword 0x9eb7c2f6f6a01a39
41744 .xword 0x6d013f2bcb4307e0
41745 .xword 0xa711c5addb49ffb1
41746 .xword 0xd2a489bb5fb749e1
41747 .xword 0xb9d64589ad51d844
41748 .xword 0xc3d5c41a804206db
41749 .xword 0x2a6dca7881381327
41750 .xword 0x92dca17a2ff44401
41751 .xword 0x91b8f5728da694fa
41752 .xword 0x77d20a3c44338d74
41753 .xword 0x133dcf4e2448da06
41754 .xword 0x1699c6e399b6c9d2
41755 .xword 0x03a70157af0ee6fa
41756 .xword 0xb8ff1c94ffb6227a
41757 .xword 0x2a4396d4decf7b44
41758 .xword 0xe5da926d89d88503
41759 .xword 0xcb60f5ad88a81990
41760 .xword 0x69e4a1997fffa138
41761 .xword 0x9c1ffae273f4f618
41762 .xword 0xad6630c7419ce7dc
41763 .xword 0xa8a45d88e850781f
41764 .xword 0x0f647236449999dd
41765 .xword 0xc6bb9466e9a206e0
41766 .xword 0xb42c541f9a1b696c
41767 .xword 0x4f6999844df552bb
41768 .xword 0x731db32e2a48d874
41769 .xword 0x41ce0dcea96db22d
41770 .xword 0xed1fbbb34a7454bd
41771 .xword 0x0b6320a858ea6c7c
41772 .xword 0x99d13a8a4c4f665a
41773 .xword 0xe8f496dafe44ea60
41774 .xword 0x6522a4dabddcb5e6
41775 .xword 0x04bb0d61154d4748
41776 .xword 0x665954915a46890b
41777 .xword 0xeed03f476f367664
41778 .xword 0x07103a2af2769e56
41779 .xword 0xd858a45a1f8a83b4
41780 .xword 0x4acb398f6c2c844b
41781 .xword 0x433bc87cd452b81e
41782 .xword 0x879fc86e711b5636
41783 .xword 0x60c8feaf6e9db126
41784 .xword 0xfe91d3308563cf38
41785 .xword 0xd769daa81c51ef56
41786 .xword 0x994332b053bd9a73
41787 .xword 0xaef947d180e39bda
41788 .xword 0x18ac0995f85c5687
41789 .xword 0x575cc817f5631378
41790 .xword 0x4c1e51b36edb75c5
41791 .xword 0x6a916caab93a3d31
41792 .xword 0x755d037ef6b77350
41793 .xword 0x5e06eb112eb6a8dc
41794 .xword 0x3de5e5fcaa0309a6
41795 .xword 0x5178e4a845cd4e41
41796 .xword 0xac60b8ab1de0d937
41797 .xword 0xb90e173707b362b5
41798 .xword 0x9f723c8654e109ff
41799 .xword 0x608eb1e15b986cae
41800 .xword 0x2648055ba9e7f8b4
41801 .xword 0xa0ac7ed1d38204c7
41802 .xword 0x3e9ffd75ad49e0cf
41803 .xword 0x45708b39a20bb60b
41804 .xword 0x3d738a4365293cd9
41805 .xword 0x7b5a078e123f4ea9
41806 .xword 0x649fd7524f286926
41807 .xword 0xeeeab58a5e1ccfd1
41808 .xword 0xe79eedbd894c9e56
41809 .xword 0xf2139641a16e1c44
41810 .xword 0x1da73c215af1d28c
41811 .xword 0xed06a329db61c765
41812 .xword 0x98a7992d0215f3b9
41813 .xword 0x2fca4bd7bc1bd599
41814 .xword 0x23a4fec162b8c44b
41815 .xword 0xc01202458052f80d
41816 .xword 0xcb05c459546a1122
41817 .xword 0x79545bf0cfcaf8ea
41818 .xword 0xe29dd300f68eb006
41819 .xword 0x655b77c661fc06b0
41820 .xword 0x58ebfa9a1a8de579
41821 .xword 0xabd3c4bf6ffe49fb
41822 .xword 0x63d282130f350b5a
41823 .xword 0xea8d408794a026c4
41824 .xword 0xebcd33f96fd86b4a
41825 .xword 0xa9e5e7da8f765b1b
41826 .xword 0x54eef8a98a1aa359
41827 .xword 0x9b895bb5fa7d82b2
41828 .xword 0xaf9289e4293cc104
41829 .xword 0xb3b88f365a538af7
41830 .xword 0xfe6a905c520c342a
41831 .xword 0xf13eb1db3d332438
41832 .xword 0x49c24233a8710a35
41833 .xword 0xda120990837d1488
41834 .xword 0x0b4c322fbbaf77c4
41835 .xword 0x50ef8b6cadc3122b
41836 .xword 0x9264491c23df0323
41837 .xword 0x1d741567bebf5313
41838 .xword 0xa964e9549c1808fa
41839 .xword 0x020d456279bdfce7
41840 .xword 0xc7557cbcc0665842
41841 .xword 0x10d2127f6289e395
41842 .xword 0x711891fb66e49846
41843 .xword 0xc42a7da777887712
41844 .xword 0x1a257ba85d6680c2
41845 .xword 0x9a035307e75c108d
41846 .xword 0xd7042f3e7d65847d
41847 .xword 0x70f176048bd0f41b
41848 .xword 0x6504e37f7cb77a0a
41849 .xword 0xba31f81261ffc15f
41850 .xword 0xf74e126a93dfc960
41851 .xword 0x7a31961bf924feef
41852 .xword 0xc342d40ebb4d7f8b
41853 .xword 0x12abf6478661aea1
41854 .xword 0xe480e3515116d80d
41855 .xword 0x685565cace315220
41856 .xword 0x7094701d8882cab9
41857 .xword 0x71a88e38787b0dae
41858 .xword 0x362d03c066174301
41859 .xword 0x66517427a88588de
41860 .xword 0x4cb4bd9daae67b63
41861 .xword 0xdfe402e6295e9875
41862 .xword 0x9eb306e86dfb74cc
41863 .xword 0x374a94145c43c8d3
41864 .xword 0xf7595624bcdc88dc
41865 .xword 0x75893eb6a2ec2d36
41866 .xword 0x550db60f613457e9
41867 .xword 0x8af6d0b44bdeadd7
41868 .xword 0xff92e9782089858d
41869 .xword 0x58d85dcbbdcb8074
41870 .xword 0x82b93ae7ee9c9376
41871 .xword 0x6ed390187e050cd1
41872 .xword 0xc1aedf200d2808dd
41873 .xword 0xeb42ff611eda1513
41874 .xword 0xfec3d0c62d016557
41875 .xword 0xbef2708e214f50b3
41876 .xword 0x31c83ed4ab9f9088
41877 .xword 0xf23e37013a7a96bf
41878 .xword 0xf48086debec9f596
41879_t1_rc4_dest:
41880 .xword 0xDEADBEEFDEADBEEF
41881 .xword 0xDEADBEEFDEADBEEF
41882 .xword 0xDEADBEEFDEADBEEF
41883 .xword 0xDEADBEEFDEADBEEF
41884 .xword 0xDEADBEEFDEADBEEF
41885 .xword 0xDEADBEEFDEADBEEF
41886 .xword 0xDEADBEEFDEADBEEF
41887 .xword 0xDEADBEEFDEADBEEF
41888 .xword 0xDEADBEEFDEADBEEF
41889 .xword 0xDEADBEEFDEADBEEF
41890 .xword 0xDEADBEEFDEADBEEF
41891 .xword 0xDEADBEEFDEADBEEF
41892 .xword 0xDEADBEEFDEADBEEF
41893 .xword 0xDEADBEEFDEADBEEF
41894 .xword 0xDEADBEEFDEADBEEF
41895 .xword 0xDEADBEEFDEADBEEF
41896 .xword 0xDEADBEEFDEADBEEF
41897 .xword 0xDEADBEEFDEADBEEF
41898 .xword 0xDEADBEEFDEADBEEF
41899 .xword 0xDEADBEEFDEADBEEF
41900 .xword 0xDEADBEEFDEADBEEF
41901 .xword 0xDEADBEEFDEADBEEF
41902 .xword 0xDEADBEEFDEADBEEF
41903 .xword 0xDEADBEEFDEADBEEF
41904 .xword 0xDEADBEEFDEADBEEF
41905 .xword 0xDEADBEEFDEADBEEF
41906 .xword 0xDEADBEEFDEADBEEF
41907 .xword 0xDEADBEEFDEADBEEF
41908 .xword 0xDEADBEEFDEADBEEF
41909 .xword 0xDEADBEEFDEADBEEF
41910 .xword 0xDEADBEEFDEADBEEF
41911 .xword 0xDEADBEEFDEADBEEF
41912 .xword 0xDEADBEEFDEADBEEF
41913 .xword 0xDEADBEEFDEADBEEF
41914 .xword 0xDEADBEEFDEADBEEF
41915 .xword 0xDEADBEEFDEADBEEF
41916 .xword 0xDEADBEEFDEADBEEF
41917 .xword 0xDEADBEEFDEADBEEF
41918 .xword 0xDEADBEEFDEADBEEF
41919 .xword 0xDEADBEEFDEADBEEF
41920 .xword 0xDEADBEEFDEADBEEF
41921 .xword 0xDEADBEEFDEADBEEF
41922 .xword 0xDEADBEEFDEADBEEF
41923 .xword 0xDEADBEEFDEADBEEF
41924 .xword 0xDEADBEEFDEADBEEF
41925 .xword 0xDEADBEEFDEADBEEF
41926 .xword 0xDEADBEEFDEADBEEF
41927 .xword 0xDEADBEEFDEADBEEF
41928 .xword 0xDEADBEEFDEADBEEF
41929 .xword 0xDEADBEEFDEADBEEF
41930 .xword 0xDEADBEEFDEADBEEF
41931 .xword 0xDEADBEEFDEADBEEF
41932 .xword 0xDEADBEEFDEADBEEF
41933 .xword 0xDEADBEEFDEADBEEF
41934 .xword 0xDEADBEEFDEADBEEF
41935 .xword 0xDEADBEEFDEADBEEF
41936 .xword 0xDEADBEEFDEADBEEF
41937 .xword 0xDEADBEEFDEADBEEF
41938 .xword 0xDEADBEEFDEADBEEF
41939 .xword 0xDEADBEEFDEADBEEF
41940 .xword 0xDEADBEEFDEADBEEF
41941 .xword 0xDEADBEEFDEADBEEF
41942 .xword 0xDEADBEEFDEADBEEF
41943 .xword 0xDEADBEEFDEADBEEF
41944 .xword 0xDEADBEEFDEADBEEF
41945 .xword 0xDEADBEEFDEADBEEF
41946 .xword 0xDEADBEEFDEADBEEF
41947 .xword 0xDEADBEEFDEADBEEF
41948 .xword 0xDEADBEEFDEADBEEF
41949 .xword 0xDEADBEEFDEADBEEF
41950 .xword 0xDEADBEEFDEADBEEF
41951 .xword 0xDEADBEEFDEADBEEF
41952 .xword 0xDEADBEEFDEADBEEF
41953 .xword 0xDEADBEEFDEADBEEF
41954 .xword 0xDEADBEEFDEADBEEF
41955 .xword 0xDEADBEEFDEADBEEF
41956 .xword 0xDEADBEEFDEADBEEF
41957 .xword 0xDEADBEEFDEADBEEF
41958 .xword 0xDEADBEEFDEADBEEF
41959 .xword 0xDEADBEEFDEADBEEF
41960 .xword 0xDEADBEEFDEADBEEF
41961 .xword 0xDEADBEEFDEADBEEF
41962 .xword 0xDEADBEEFDEADBEEF
41963 .xword 0xDEADBEEFDEADBEEF
41964 .xword 0xDEADBEEFDEADBEEF
41965 .xword 0xDEADBEEFDEADBEEF
41966 .xword 0xDEADBEEFDEADBEEF
41967 .xword 0xDEADBEEFDEADBEEF
41968 .xword 0xDEADBEEFDEADBEEF
41969 .xword 0xDEADBEEFDEADBEEF
41970 .xword 0xDEADBEEFDEADBEEF
41971 .xword 0xDEADBEEFDEADBEEF
41972 .xword 0xDEADBEEFDEADBEEF
41973 .xword 0xDEADBEEFDEADBEEF
41974 .xword 0xDEADBEEFDEADBEEF
41975 .xword 0xDEADBEEFDEADBEEF
41976 .xword 0xDEADBEEFDEADBEEF
41977 .xword 0xDEADBEEFDEADBEEF
41978 .xword 0xDEADBEEFDEADBEEF
41979 .xword 0xDEADBEEFDEADBEEF
41980 .xword 0xDEADBEEFDEADBEEF
41981 .xword 0xDEADBEEFDEADBEEF
41982 .xword 0xDEADBEEFDEADBEEF
41983 .xword 0xDEADBEEFDEADBEEF
41984 .xword 0xDEADBEEFDEADBEEF
41985 .xword 0xDEADBEEFDEADBEEF
41986 .xword 0xDEADBEEFDEADBEEF
41987 .xword 0xDEADBEEFDEADBEEF
41988 .xword 0xDEADBEEFDEADBEEF
41989 .xword 0xDEADBEEFDEADBEEF
41990 .xword 0xDEADBEEFDEADBEEF
41991 .xword 0xDEADBEEFDEADBEEF
41992 .xword 0xDEADBEEFDEADBEEF
41993 .xword 0xDEADBEEFDEADBEEF
41994 .xword 0xDEADBEEFDEADBEEF
41995 .xword 0xDEADBEEFDEADBEEF
41996 .xword 0xDEADBEEFDEADBEEF
41997 .xword 0xDEADBEEFDEADBEEF
41998 .xword 0xDEADBEEFDEADBEEF
41999 .xword 0xDEADBEEFDEADBEEF
42000 .xword 0xDEADBEEFDEADBEEF
42001 .xword 0xDEADBEEFDEADBEEF
42002 .xword 0xDEADBEEFDEADBEEF
42003 .xword 0xDEADBEEFDEADBEEF
42004 .xword 0xDEADBEEFDEADBEEF
42005 .xword 0xDEADBEEFDEADBEEF
42006 .xword 0xDEADBEEFDEADBEEF
42007 .xword 0xDEADBEEFDEADBEEF
42008 .xword 0xDEADBEEFDEADBEEF
42009 .xword 0xDEADBEEFDEADBEEF
42010 .xword 0xDEADBEEFDEADBEEF
42011 .xword 0xDEADBEEFDEADBEEF
42012 .xword 0xDEADBEEFDEADBEEF
42013 .xword 0xDEADBEEFDEADBEEF
42014 .xword 0xDEADBEEFDEADBEEF
42015 .xword 0xDEADBEEFDEADBEEF
42016 .xword 0xDEADBEEFDEADBEEF
42017 .xword 0xDEADBEEFDEADBEEF
42018 .xword 0xDEADBEEFDEADBEEF
42019 .xword 0xDEADBEEFDEADBEEF
42020 .xword 0xDEADBEEFDEADBEEF
42021 .xword 0xDEADBEEFDEADBEEF
42022 .xword 0xDEADBEEFDEADBEEF
42023 .xword 0xDEADBEEFDEADBEEF
42024 .xword 0xDEADBEEFDEADBEEF
42025 .xword 0xDEADBEEFDEADBEEF
42026 .xword 0xDEADBEEFDEADBEEF
42027 .xword 0xDEADBEEFDEADBEEF
42028 .xword 0xDEADBEEFDEADBEEF
42029 .xword 0xDEADBEEFDEADBEEF
42030 .xword 0xDEADBEEFDEADBEEF
42031 .xword 0xDEADBEEFDEADBEEF
42032 .xword 0xDEADBEEFDEADBEEF
42033 .xword 0xDEADBEEFDEADBEEF
42034 .xword 0xDEADBEEFDEADBEEF
42035 .xword 0xDEADBEEFDEADBEEF
42036 .xword 0xDEADBEEFDEADBEEF
42037 .xword 0xDEADBEEFDEADBEEF
42038 .xword 0xDEADBEEFDEADBEEF
42039 .xword 0xDEADBEEFDEADBEEF
42040 .xword 0xDEADBEEFDEADBEEF
42041 .xword 0xDEADBEEFDEADBEEF
42042 .xword 0xDEADBEEFDEADBEEF
42043 .xword 0xDEADBEEFDEADBEEF
42044 .xword 0xDEADBEEFDEADBEEF
42045 .xword 0xDEADBEEFDEADBEEF
42046 .xword 0xDEADBEEFDEADBEEF
42047 .xword 0xDEADBEEFDEADBEEF
42048 .xword 0xDEADBEEFDEADBEEF
42049 .xword 0xDEADBEEFDEADBEEF
42050 .xword 0xDEADBEEFDEADBEEF
42051 .xword 0xDEADBEEFDEADBEEF
42052 .xword 0xDEADBEEFDEADBEEF
42053 .xword 0xDEADBEEFDEADBEEF
42054 .xword 0xDEADBEEFDEADBEEF
42055 .xword 0xDEADBEEFDEADBEEF
42056 .xword 0xDEADBEEFDEADBEEF
42057 .xword 0xDEADBEEFDEADBEEF
42058 .xword 0xDEADBEEFDEADBEEF
42059 .xword 0xDEADBEEFDEADBEEF
42060 .xword 0xDEADBEEFDEADBEEF
42061 .xword 0xDEADBEEFDEADBEEF
42062 .xword 0xDEADBEEFDEADBEEF
42063 .xword 0xDEADBEEFDEADBEEF
42064 .xword 0xDEADBEEFDEADBEEF
42065 .xword 0xDEADBEEFDEADBEEF
42066 .xword 0xDEADBEEFDEADBEEF
42067 .xword 0xDEADBEEFDEADBEEF
42068 .xword 0xDEADBEEFDEADBEEF
42069 .xword 0xDEADBEEFDEADBEEF
42070 .xword 0xDEADBEEFDEADBEEF
42071 .xword 0xDEADBEEFDEADBEEF
42072 .xword 0xDEADBEEFDEADBEEF
42073 .xword 0xDEADBEEFDEADBEEF
42074 .xword 0xDEADBEEFDEADBEEF
42075 .xword 0xDEADBEEFDEADBEEF
42076 .xword 0xDEADBEEFDEADBEEF
42077 .xword 0xDEADBEEFDEADBEEF
42078 .xword 0xDEADBEEFDEADBEEF
42079 .xword 0xDEADBEEFDEADBEEF
42080 .xword 0xDEADBEEFDEADBEEF
42081 .xword 0xDEADBEEFDEADBEEF
42082 .xword 0xDEADBEEFDEADBEEF
42083 .xword 0xDEADBEEFDEADBEEF
42084 .xword 0xDEADBEEFDEADBEEF
42085 .xword 0xDEADBEEFDEADBEEF
42086 .xword 0xDEADBEEFDEADBEEF
42087 .xword 0xDEADBEEFDEADBEEF
42088 .xword 0xDEADBEEFDEADBEEF
42089 .xword 0xDEADBEEFDEADBEEF
42090 .xword 0xDEADBEEFDEADBEEF
42091 .xword 0xDEADBEEFDEADBEEF
42092 .xword 0xDEADBEEFDEADBEEF
42093 .xword 0xDEADBEEFDEADBEEF
42094 .xword 0xDEADBEEFDEADBEEF
42095 .xword 0xDEADBEEFDEADBEEF
42096 .xword 0xDEADBEEFDEADBEEF
42097 .xword 0xDEADBEEFDEADBEEF
42098 .xword 0xDEADBEEFDEADBEEF
42099 .xword 0xDEADBEEFDEADBEEF
42100 .xword 0xDEADBEEFDEADBEEF
42101 .xword 0xDEADBEEFDEADBEEF
42102 .xword 0xDEADBEEFDEADBEEF
42103 .xword 0xDEADBEEFDEADBEEF
42104 .xword 0xDEADBEEFDEADBEEF
42105 .xword 0xDEADBEEFDEADBEEF
42106 .xword 0xDEADBEEFDEADBEEF
42107 .xword 0xDEADBEEFDEADBEEF
42108 .xword 0xDEADBEEFDEADBEEF
42109 .xword 0xDEADBEEFDEADBEEF
42110 .xword 0xDEADBEEFDEADBEEF
42111 .xword 0xDEADBEEFDEADBEEF
42112 .xword 0xDEADBEEFDEADBEEF
42113 .xword 0xDEADBEEFDEADBEEF
42114 .xword 0xDEADBEEFDEADBEEF
42115 .xword 0xDEADBEEFDEADBEEF
42116 .xword 0xDEADBEEFDEADBEEF
42117 .xword 0xDEADBEEFDEADBEEF
42118 .xword 0xDEADBEEFDEADBEEF
42119 .xword 0xDEADBEEFDEADBEEF
42120 .xword 0xDEADBEEFDEADBEEF
42121 .xword 0xDEADBEEFDEADBEEF
42122 .xword 0xDEADBEEFDEADBEEF
42123 .xword 0xDEADBEEFDEADBEEF
42124 .xword 0xDEADBEEFDEADBEEF
42125 .xword 0xDEADBEEFDEADBEEF
42126 .xword 0xDEADBEEFDEADBEEF
42127 .xword 0xDEADBEEFDEADBEEF
42128 .xword 0xDEADBEEFDEADBEEF
42129 .xword 0xDEADBEEFDEADBEEF
42130 .xword 0xDEADBEEFDEADBEEF
42131 .xword 0xDEADBEEFDEADBEEF
42132 .xword 0xDEADBEEFDEADBEEF
42133 .xword 0xDEADBEEFDEADBEEF
42134 .xword 0xDEADBEEFDEADBEEF
42135 .xword 0xDEADBEEFDEADBEEF
42136 .xword 0xDEADBEEFDEADBEEF
42137 .xword 0xDEADBEEFDEADBEEF
42138 .xword 0xDEADBEEFDEADBEEF
42139 .xword 0xDEADBEEFDEADBEEF
42140 .xword 0xDEADBEEFDEADBEEF
42141 .xword 0xDEADBEEFDEADBEEF
42142 .xword 0xDEADBEEFDEADBEEF
42143 .xword 0xDEADBEEFDEADBEEF
42144 .xword 0xDEADBEEFDEADBEEF
42145 .xword 0xDEADBEEFDEADBEEF
42146 .xword 0xDEADBEEFDEADBEEF
42147 .xword 0xDEADBEEFDEADBEEF
42148 .xword 0xDEADBEEFDEADBEEF
42149 .xword 0xDEADBEEFDEADBEEF
42150 .xword 0xDEADBEEFDEADBEEF
42151 .xword 0xDEADBEEFDEADBEEF
42152 .xword 0xDEADBEEFDEADBEEF
42153 .xword 0xDEADBEEFDEADBEEF
42154 .xword 0xDEADBEEFDEADBEEF
42155_t1_rc4_auth_key:
42156 .xword 0xb205bb77026aaee3
42157 .xword 0xc875498cd7925ebb
42158 .xword 0x5f7c9beea3313789
42159 .xword 0xb06b8b6b10854fc8
42160 .xword 0x90bb818973ba95bd
42161 .xword 0x47d30378e5d15b4e
42162 .xword 0x7bd5d5a5fd7116b1
42163 .xword 0x8016bb1cba912adf
42164 .xword 0x2092642c0aaebb68
42165 .xword 0x7479d0951dd8615d
42166 .xword 0xd447c02c58ab5fb1
42167 .xword 0xb216dd1c78d8e947
42168 .xword 0xed880920a8f45431
42169 .xword 0x847bb4d2237e02e2
42170 .xword 0x3357bcf762a2d4c5
42171 .xword 0xab27b99655f0f994
42172 .xword 0x60fd0c089e6a27d4
42173 .xword 0x32d1e5801ffa6d8e
42174 .xword 0xdccfb2a2ac3c5a20
42175 .xword 0x7de47a9169c60f84
42176 .xword 0x6f3a7741f98e89fe
42177 .xword 0xf5c2c8e7d648ddd4
42178 .xword 0x7985c888cad4fb38
42179_t1_rc4_auth_iv:
42180 .xword 0x1a49df1839c9843c
42181 .xword 0x89a3723c5169a67b
42182 .xword 0x3f23c08ade0a8c64
42183 .xword 0x968aa9e77ae0b220
42184 .xword 0xd04462a47a3775f7
42185 .xword 0x24c7080997c476ca
42186 .xword 0x4ff769f43a66ad12
42187 .xword 0x4eac754d08461526
42188 .xword 0x9273fbe6b5fbbeff
42189 .xword 0x141fd00625df9c20
42190 .xword 0x0e4288693caccabb
42191 .xword 0xdef8b19325cc5b3d
42192 .xword 0x8fa62f44477fbe51
42193 .xword 0xd854bd26e8f0e1a2
42194 .xword 0xb59d25ceae03dc57
42195 .xword 0xa2e5b0fd93a5daec
42196 .xword 0x16de0fb11b5c40d1
42197 .xword 0xb9c7a8da18c410a5
42198 .xword 0x286911f3810dfc36
42199 .xword 0x1a38a1ba28892fea
42200 .xword 0x236aefbb986e7812
42201 .xword 0x3fd28deb8f7f7c89
42202 .xword 0x6a4cf3226260b97b
42203_t1_rc4_fas_result:
42204 .xword 0xDEADBEEFDEADBEEF
42205 .xword 0xDEADBEEFDEADBEEF
42206 .xword 0xDEADBEEFDEADBEEF
42207 .xword 0xDEADBEEFDEADBEEF
42208 .xword 0xDEADBEEFDEADBEEF
42209 .xword 0xDEADBEEFDEADBEEF
42210 .xword 0xDEADBEEFDEADBEEF
42211 .xword 0xDEADBEEFDEADBEEF
42212 .xword 0xDEADBEEFDEADBEEF
42213 .xword 0xDEADBEEFDEADBEEF
42214 .xword 0xDEADBEEFDEADBEEF
42215 .xword 0xDEADBEEFDEADBEEF
42216 .xword 0xDEADBEEFDEADBEEF
42217 .xword 0xDEADBEEFDEADBEEF
42218 .xword 0xDEADBEEFDEADBEEF
42219 .xword 0xDEADBEEFDEADBEEF
42220 .xword 0xDEADBEEFDEADBEEF
42221 .xword 0xDEADBEEFDEADBEEF
42222 .xword 0xDEADBEEFDEADBEEF
42223 .xword 0xDEADBEEFDEADBEEF
42224 .xword 0xDEADBEEFDEADBEEF
42225 .xword 0xDEADBEEFDEADBEEF
42226 .xword 0xDEADBEEFDEADBEEF
42227_t1_sslkey_key_array:
42228 .xword 0xc8942216dc53c1f6
42229 .xword 0xb0ea5e7b738a1cf0
42230 .xword 0x54188cd2bf0b56e7
42231 .xword 0x562279e0c44b5213
42232 .xword 0x5c853509e95a53ec
42233 .xword 0xf9790606d7b641e1
42234 .xword 0x249025c68c37ff11
42235 .xword 0x2ad21a1ca78098bb
42236 .xword 0x0b188a1f272df34b
42237 .xword 0x693b0e4b1126349c
42238 .xword 0xfbfc5c3e6167cb13
42239 .xword 0x1c25e8ca0d6ba99c
42240 .xword 0xf96ea745954027d2
42241 .xword 0x68f4356f044201ae
42242 .xword 0x4baa1e2355057e38
42243 .xword 0xf76f36f3359d6235
42244 .xword 0xa0ee2c832bc5897d
42245 .xword 0x7289feda8891beb2
42246 .xword 0x3af76bf83bb164b7
42247 .xword 0x684d7bc5467be897
42248 .xword 0x0d4d32cec66c8aa8
42249 .xword 0xe0159ee4b681b2d3
42250 .xword 0x92a260e0d2f58519
42251 .xword 0xe86f3307e8eae7c1
42252 .xword 0x537424e4f54c27e0
42253 .xword 0x4d159ede8124065c
42254 .xword 0x643b1666db23cb97
42255 .xword 0x8e259a371de0adaf
42256 .xword 0x442bc01344910a68
42257 .xword 0x7c16be6eeea688e5
42258 .xword 0xc661f720111dcb8a
42259 .xword 0x2cc4b682a6440628
42260 .xword 0x3a22a5702bd16d36
42261 .xword 0x40c7d46fb159a6b5
42262 .xword 0x08ad9471bb8cb294
42263 .xword 0x33f169b3a817a240
42264 .xword 0xf7ffedd123248ebe
42265 .xword 0xa502c26d7abb80ef
42266 .xword 0xae8798be3b6b66cf
42267 .xword 0xec73c375c9b4d5fb
42268 .xword 0x6d33e96c32a35ce7
42269 .xword 0x4a09cb0905bb7b6d
42270 .xword 0x90de2b66003e265d
42271 .xword 0x5842d28ed68bffe2
42272 .xword 0x494bb20d5f8c0131
42273 .xword 0x9d6afcaf63a24976
42274 .xword 0xab022b4b12721190
42275 .xword 0x996f41df9032c769
42276 .xword 0xc2577ffe24a2750e
42277 .xword 0x8829e88a1768441e
42278 .xword 0x221d6e79e8a8a07b
42279_t1_sslkey_iv_array:
42280 .xword 0x99259efc813df84e
42281 .xword 0x460d09b511dc12f2
42282 .xword 0xa5745d4ab16b86e7
42283 .xword 0x78cf80b14d75f931
42284 .xword 0x98a5572b38ad688d
42285 .xword 0x80496f7b005d64e5
42286 .xword 0x62d73ac75901b973
42287 .xword 0x9d26f1bf99ce5441
42288 .xword 0x48044f8e7e02eaf4
42289 .xword 0x2af8b6541f8189f1
42290 .xword 0x329f0bca1ddf2780
42291 .xword 0x62ffda434c9419c4
42292 .xword 0x58071978a5346fce
42293 .xword 0x7b0f4a88a57d0a99
42294 .xword 0x5ef3d44399753b53
42295 .xword 0xfb23e51f2692310f
42296 .xword 0xab0832257f7d9b7a
42297 .xword 0xd8ff2c9b9adba213
42298 .xword 0xe3959cad243112fb
42299 .xword 0x47f077501253929f
42300 .xword 0x5f920e90ac34a69b
42301 .xword 0x0db2b9b4a0d5664c
42302 .xword 0xd65a352f0e11b550
42303 .xword 0x00a0fe4871591955
42304 .xword 0xaa1be412b00d3585
42305 .xword 0x542b1b590f9850dc
42306 .xword 0x8c4afb1322f0fa3e
42307 .xword 0x7db385507f26117c
42308 .xword 0x3a47e63e01aa0912
42309 .xword 0x679860f95db050f1
42310 .xword 0xb6a5c60690d45475
42311 .xword 0x8b7e06aee8b47c81
42312 .xword 0x177599e9ca56b670
42313 .xword 0x7ca201328ad09c14
42314 .xword 0xb795bdbe6d2b1f90
42315 .xword 0x1a7fcf98447a4ff8
42316 .xword 0xc7934d2b73be2d25
42317 .xword 0xb61b56de443c5ace
42318 .xword 0x21f50cb53d614bd5
42319 .xword 0xdeebf02182babe14
42320 .xword 0x9797e0bca8ef242d
42321 .xword 0xe5a7852af4207d12
42322 .xword 0x1b42a11793d182cb
42323 .xword 0xd3833e6c00e2a6b4
42324 .xword 0x794c53c4a34794a5
42325_t1_sslkey_alignment_array:
42326 .xword 0
42327 .xword 0
42328 .xword 0
42329 .xword 0
42330 .xword 0
42331 .xword 0
42332 .xword 0
42333 .xword 0
42334 .xword 0
42335 .xword 0
42336 .xword 0
42337 .xword 0
42338 .xword 0
42339 .xword 0
42340 .xword 0
42341 .xword 0
42342 .xword 0
42343 .xword 0
42344 .xword 0
42345 .xword 0
42346 .xword 0
42347 .xword 0
42348 .xword 0
42349 .xword 0
42350 .xword 0
42351 .xword 0
42352 .xword 0
42353 .xword 0
42354 .xword 0
42355 .xword 0
42356 .xword 0
42357 .xword 0
42358 .xword 0
42359 .xword 0
42360 .xword 0
42361 .xword 0
42362 .xword 0
42363 .xword 0
42364 .xword 0
42365 .xword 0
42366 .xword 0
42367 .xword 0
42368 .xword 0
42369 .xword 0
42370 .xword 0
42371 .xword 0
42372 .xword 0
42373 .xword 0
42374 .xword 0
42375 .xword 0
42376 .xword 0
42377 .xword 0
42378 .xword 0
42379 .xword 0
42380 .xword 0
42381 .xword 0
42382 .xword 0
42383 .xword 0
42384 .xword 0
42385 .xword 0
42386 .xword 0
42387 .xword 0
42388 .xword 0
42389 .xword 0
42390 .xword 0
42391 .xword 0
42392 .xword 0
42393 .xword 0
42394 .xword 0
42395 .xword 0
42396 .xword 0
42397 .xword 0
42398 .xword 0
42399 .xword 0
42400 .xword 0
42401 .xword 0
42402 .xword 0
42403 .xword 0
42404 .xword 0
42405 .xword 0
42406 .xword 0
42407 .xword 0
42408 .xword 0
42409 .xword 0
42410 .xword 0
42411 .xword 0
42412 .xword 0
42413 .xword 0
42414 .xword 0
42415 .xword 0
42416 .xword 0
42417 .xword 0
42418 .xword 0
42419 .xword 0
42420 .xword 0
42421 .xword 0
42422 .xword 0
42423 .xword 0
42424 .xword 0
42425 .xword 0
42426 .xword 0
42427 .xword 0
42428 .xword 0
42429 .xword 0
42430 .xword 0
42431_t1_sslkey_src:
42432 .xword 0x2c299dff6794944e
42433 .xword 0xf251019c63768029
42434 .xword 0xe8e516986bc9f7a1
42435 .xword 0x2fc40d5f42cfa123
42436 .xword 0xf21f07b88d0fe128
42437 .xword 0x747fe1d160d8c3ae
42438 .xword 0xe6b6da551cad18cc
42439 .xword 0x0e7df96c18ee5c08
42440 .xword 0x4860f41f9bce72b3
42441 .xword 0x41c4f7ff898ef3c0
42442 .xword 0x5ee375ca27230947
42443 .xword 0xc88d08c431f240ce
42444 .xword 0x041ebe6f0906a0c8
42445 .xword 0x4d918ca8e431db0c
42446 .xword 0xa31696adc0b8eb43
42447 .xword 0x87ebbcda49095a9c
42448 .xword 0x6960bf97b3962ca0
42449 .xword 0xcf95b5b05b57c4dc
42450 .xword 0xbae25b5e28646c39
42451 .xword 0x82947f67db47dd6c
42452 .xword 0xe040c7b45038f192
42453 .xword 0xeba785bde4079942
42454 .xword 0x18961d532821bcb9
42455 .xword 0xd54cdab0f84108ca
42456 .xword 0x0d7c86d3b1596b4c
42457 .xword 0x5f992c86c6f787af
42458 .xword 0xda33278dc50e29f5
42459 .xword 0x8950149580fb1a8b
42460 .xword 0x6c81f75d6fc86c43
42461 .xword 0xf2c0b502cc7465d3
42462 .xword 0x104a973bf133cb18
42463 .xword 0x31dd0e3295e803b8
42464 .xword 0x933c726730948f28
42465 .xword 0x7bfa30d1455cfc18
42466 .xword 0x2b5b4744d34d2eff
42467 .xword 0x47a8795650fb1078
42468 .xword 0xd218f4e46e3aaf60
42469 .xword 0x2c391736f706fb16
42470 .xword 0xd882d8880c71c292
42471 .xword 0x732fc05af191003c
42472 .xword 0x9218d402f9255883
42473 .xword 0xd271b70675247d14
42474 .xword 0xba3228f2ed55af83
42475 .xword 0xd57cd6996d5eae46
42476 .xword 0x79fcd86107a15026
42477 .xword 0x68dd8b7bc52c3e52
42478 .xword 0xa295dcb13dcef37e
42479 .xword 0x16e2dd707842b457
42480 .xword 0xa70339bd2a3fab46
42481 .xword 0x93b5310aecbb7e6c
42482 .xword 0x07a028c2aab0a83d
42483 .xword 0xe88569cb882e5ce4
42484 .xword 0xed15e3f3518362b0
42485 .xword 0x1ca0ff2ec1b52d1d
42486 .xword 0xaa1c38145be4b531
42487 .xword 0x6e95587d13416dd5
42488 .xword 0xea96ff9ceefb1ab5
42489 .xword 0x3b27692ad9905828
42490 .xword 0xa920f955cb0add58
42491 .xword 0x4cc68f0b5c454669
42492 .xword 0x6aeb887c826fb89c
42493 .xword 0x9913c4cf748d8091
42494 .xword 0x9826219ca8f6a1bd
42495 .xword 0x5c6a7708eb0c8d55
42496 .xword 0x7ac01109226af074
42497 .xword 0x7174fc957e9b8df0
42498 .xword 0x2d66eb8a742432af
42499 .xword 0xaab85b0b8d64d3a4
42500 .xword 0xfeb78a8e2acfcaa7
42501 .xword 0xa4864551db273bb6
42502 .xword 0x92918a77f2acc4d9
42503 .xword 0x6dc47db3dd709121
42504 .xword 0x68bb9782d64c4e75
42505 .xword 0x7952d3df9b06649b
42506 .xword 0x8be85d1e49f58fea
42507 .xword 0x64ebbe9bbd185421
42508 .xword 0x55a0ad875750007b
42509 .xword 0xff3ea0c32671ecfc
42510 .xword 0x34a8de7fc3e5c503
42511 .xword 0x3a70421b6d267b05
42512 .xword 0xe6f5a4a07e80e700
42513 .xword 0x26b549082e9c0439
42514 .xword 0x72c6c7b854860633
42515 .xword 0x1485762a21544ef1
42516 .xword 0x8906f21f39d568d1
42517 .xword 0xac5f7847a577d79f
42518 .xword 0xe169265b3d322c41
42519 .xword 0x19ad2f7a63783068
42520 .xword 0x59779152ea00827e
42521 .xword 0x66be2f0897cc08e0
42522 .xword 0xf63417b0819a2fca
42523 .xword 0xd1d758d820ffbff7
42524 .xword 0x3e67a8d96f22a6b1
42525 .xword 0xad05c53c0f2c8549
42526 .xword 0x81ca030fbab5fd6f
42527 .xword 0x102b2bfb68f88066
42528 .xword 0xe7c6ba3609feb9d9
42529 .xword 0xe4e5c5b349a2c1d1
42530 .xword 0xc13b3994636bb771
42531 .xword 0xb984cb3a91aae8c7
42532 .xword 0x95798faa41be3138
42533 .xword 0x740cc81f37e31099
42534 .xword 0xbbaeeb5bcddc86ff
42535 .xword 0xb727b957b411f869
42536 .xword 0xfc3196ff08434bc0
42537 .xword 0xfc1c9e03bb9d2556
42538 .xword 0xb86cf70525773ea1
42539 .xword 0xc949c7ea1dafb2d0
42540 .xword 0x2d77bffd546c3ef2
42541 .xword 0xf67e0addc1f18f63
42542 .xword 0xbe25cf8ef50109af
42543 .xword 0x3914d77b1299f463
42544 .xword 0x50d3632b274fec6f
42545 .xword 0x8a0fe0ddc9407e82
42546 .xword 0xac7f3f4377d919cd
42547 .xword 0x7d34f2d547494be1
42548 .xword 0x89f9c9a00e4420b5
42549 .xword 0x006e5b40c88472df
42550 .xword 0xc46e9b8aeafbf922
42551 .xword 0x657d556a3798c0ca
42552 .xword 0x9c3dab815cfb151c
42553 .xword 0xd81a3435fd5427e7
42554 .xword 0x5d1287259d0395bb
42555 .xword 0xc7b3aa01e06ce2e1
42556 .xword 0x24e78931891b0cac
42557 .xword 0xaf674344b495a6ca
42558 .xword 0xf13477200045ccdb
42559 .xword 0xdc297f8528cdf044
42560 .xword 0x2470df0acec9eec2
42561 .xword 0x90a2d293b5e4ce50
42562 .xword 0xd472173995b5c2d2
42563 .xword 0xa641091838e8194d
42564 .xword 0xcb2cf7d0f2ccce2b
42565 .xword 0xeda6e65c43e816d1
42566 .xword 0x8b617c905edeb6c6
42567 .xword 0x54e6767c3de71908
42568 .xword 0xfcafa6b5e3651138
42569 .xword 0x81955ed4deff735c
42570 .xword 0xb5882d8db7e6b65a
42571 .xword 0x26b3fe91595ba73f
42572 .xword 0x10bef52e8969d0e8
42573 .xword 0xaf69b12a36514788
42574 .xword 0xad266700eaebb5c3
42575 .xword 0xb36887bb77ce591e
42576 .xword 0x4b4711a4e8c79107
42577 .xword 0xcac1e27ed7f7d068
42578 .xword 0x6715924c9603f162
42579 .xword 0xc5c0626f4e9207c3
42580 .xword 0xf43ced5b73d0046f
42581 .xword 0x2fe9b6c0658b5f2c
42582 .xword 0x2750d040fafab10e
42583 .xword 0x0810ba61040165ad
42584 .xword 0x6f5f42d6b12e6241
42585 .xword 0xb96e56fa77518288
42586 .xword 0x863ed3dcbef80845
42587 .xword 0xe34678f49eeab138
42588 .xword 0xb4a53f29cb7d5112
42589 .xword 0x003f979704a7bf19
42590 .xword 0xd4a1b8f5a22d408e
42591 .xword 0xe173a343dc6376c0
42592 .xword 0x48c8964c62d26cd2
42593 .xword 0xd0ea9b1a2e405d3c
42594 .xword 0x46584bf3e5a866fa
42595 .xword 0x37cce905d1d3cf53
42596 .xword 0x195061e1c80d1516
42597 .xword 0x85fed472ad4d8543
42598 .xword 0x2413ea9e6d1d4df5
42599 .xword 0x8b5fda858f95b87a
42600 .xword 0xf8600d98653fe737
42601 .xword 0x8ed21e6f096c758e
42602 .xword 0x811113569a3ba580
42603 .xword 0x254cb4b14d0b751e
42604 .xword 0x410048aed09b0489
42605 .xword 0xfe37b4c651ac306a
42606 .xword 0xa19c4fb5ef95070d
42607 .xword 0x4240ffbc1856a2fc
42608 .xword 0xf079fd2a7bd2917b
42609 .xword 0x481ac060663128ee
42610 .xword 0x38e07a6cc31c6a6a
42611 .xword 0xf039f7382ac90a5a
42612 .xword 0xfb306947d0ec78b1
42613 .xword 0xe87534dec0f9a3c8
42614 .xword 0xbfaef3d27e029d2a
42615 .xword 0x7dea898a9bac089e
42616 .xword 0x37976839a20e8ee5
42617 .xword 0xec7bbf22998f623b
42618 .xword 0x7bf5c8534f2f3270
42619 .xword 0xbaec333dc65b9999
42620 .xword 0xd1dfb4d699a61599
42621 .xword 0x4678048d8111e598
42622 .xword 0xe672e2f48279d56e
42623 .xword 0x5385c327489f341a
42624 .xword 0x07a14442f0deaf32
42625 .xword 0x3969b3cd00f7797a
42626 .xword 0x661705065c7ef6cb
42627 .xword 0xa3a56bbed730eac1
42628 .xword 0xaa1e8abcc9ed8767
42629 .xword 0x2ca2703e32f011bc
42630 .xword 0x9e4a56d3123dfe20
42631 .xword 0x53d4732362358da6
42632 .xword 0x7ba890e9cdbb5734
42633 .xword 0x018bfdc2f55bf5f0
42634 .xword 0x91c68b66a83c1aba
42635 .xword 0xe87542e1b4dcd0bd
42636 .xword 0xe385c11cfae0d388
42637 .xword 0x657904a85ee9ed9e
42638 .xword 0x185593ecd95480a8
42639 .xword 0x7beccab61d6e924b
42640 .xword 0x48d33bf0c9dcfc57
42641 .xword 0x065ba38eda8db3ea
42642 .xword 0xf4c3cb61025138ec
42643 .xword 0x3314a67c39bc024d
42644 .xword 0x879504b8409347cb
42645 .xword 0x620280e6bd7bfec2
42646 .xword 0x79448688755bc9c1
42647 .xword 0x2f7d6e26a1a4d2cf
42648 .xword 0xf781203b2f9dcdb6
42649 .xword 0xd5465f5c8247842f
42650 .xword 0x6c9315dae658de38
42651 .xword 0x8446ba6cc4ddf75c
42652 .xword 0x70c4e603a52d66bb
42653 .xword 0xb9df3ae2b53a1ee8
42654 .xword 0x0c74c3095ef74cb6
42655 .xword 0xa679ac16009ea9d0
42656 .xword 0x1d1dfbf77d8db6b4
42657 .xword 0x4e889ca86207d349
42658 .xword 0xdf4756381446e3c9
42659 .xword 0xd43de93ceb75c05a
42660 .xword 0x0ea88f27183e563c
42661 .xword 0x7e35b57986e2d8b5
42662 .xword 0x30ecfcd870035e8f
42663 .xword 0x23b63182efc07b87
42664 .xword 0xcc12628dfe961baa
42665 .xword 0x44afa5dbea53b0c5
42666 .xword 0xd0557dcb64aa77f6
42667 .xword 0xd1b1e5ae6d6adaff
42668 .xword 0x979df3506499e594
42669 .xword 0x521b58cd3916d8ab
42670 .xword 0x2d8cfa5931baaf5e
42671 .xword 0x5d57cf933d76405a
42672 .xword 0xcae9e0207b4a370e
42673 .xword 0x521f68b9d5ac06d8
42674 .xword 0x53b8c64afcb774eb
42675 .xword 0x87b6fc98546a0b50
42676 .xword 0xff64771f012e26f8
42677 .xword 0x793a1f3041de09eb
42678 .xword 0x294a081b2ff78b72
42679 .xword 0x0b5a3bef314a2747
42680 .xword 0xd2f652be82517deb
42681 .xword 0x43ace4cdb6652ddd
42682 .xword 0x906ae7196959a8ae
42683 .xword 0x15459f70128c1d8a
42684 .xword 0xadf4a8dc87b0230b
42685 .xword 0xe1b96ac06bb8535b
42686 .xword 0xeac7ea132b1bf7f4
42687 .xword 0xb9849f238629461e
42688 .xword 0xf373f0f219b8af3b
42689 .xword 0x887c43c890620193
42690 .xword 0xbd56e8f7a2695f3a
42691 .xword 0xa2dff53c063b3076
42692 .xword 0x34f4f17a596b1d82
42693 .xword 0xe9c479d836571030
42694 .xword 0xc2c530eef61d9db3
42695 .xword 0x5c6fbf9f5b822569
42696 .xword 0xd10bf7f73803cbec
42697 .xword 0x4355266680b6cd7e
42698 .xword 0x0f32e571672cdbe8
42699 .xword 0xb63e2a474d290475
42700 .xword 0xdf8d9c6c46d73333
42701 .xword 0x8ee7f8a7ba2a849e
42702 .xword 0x120815df9d5fe7cb
42703 .xword 0xe3740cd21a0289ed
42704 .xword 0xf48c188102577a10
42705 .xword 0x8350e17fa7b511dc
42706 .xword 0x16548169e2bbbf87
42707_t1_sslkey_dest:
42708 .xword 0xDEADBEEFDEADBEEF
42709 .xword 0xDEADBEEFDEADBEEF
42710 .xword 0xDEADBEEFDEADBEEF
42711 .xword 0xDEADBEEFDEADBEEF
42712 .xword 0xDEADBEEFDEADBEEF
42713 .xword 0xDEADBEEFDEADBEEF
42714 .xword 0xDEADBEEFDEADBEEF
42715 .xword 0xDEADBEEFDEADBEEF
42716 .xword 0xDEADBEEFDEADBEEF
42717 .xword 0xDEADBEEFDEADBEEF
42718 .xword 0xDEADBEEFDEADBEEF
42719 .xword 0xDEADBEEFDEADBEEF
42720 .xword 0xDEADBEEFDEADBEEF
42721 .xword 0xDEADBEEFDEADBEEF
42722 .xword 0xDEADBEEFDEADBEEF
42723 .xword 0xDEADBEEFDEADBEEF
42724 .xword 0xDEADBEEFDEADBEEF
42725 .xword 0xDEADBEEFDEADBEEF
42726 .xword 0xDEADBEEFDEADBEEF
42727 .xword 0xDEADBEEFDEADBEEF
42728 .xword 0xDEADBEEFDEADBEEF
42729 .xword 0xDEADBEEFDEADBEEF
42730 .xword 0xDEADBEEFDEADBEEF
42731 .xword 0xDEADBEEFDEADBEEF
42732 .xword 0xDEADBEEFDEADBEEF
42733 .xword 0xDEADBEEFDEADBEEF
42734 .xword 0xDEADBEEFDEADBEEF
42735 .xword 0xDEADBEEFDEADBEEF
42736 .xword 0xDEADBEEFDEADBEEF
42737 .xword 0xDEADBEEFDEADBEEF
42738 .xword 0xDEADBEEFDEADBEEF
42739 .xword 0xDEADBEEFDEADBEEF
42740 .xword 0xDEADBEEFDEADBEEF
42741 .xword 0xDEADBEEFDEADBEEF
42742 .xword 0xDEADBEEFDEADBEEF
42743 .xword 0xDEADBEEFDEADBEEF
42744 .xword 0xDEADBEEFDEADBEEF
42745 .xword 0xDEADBEEFDEADBEEF
42746 .xword 0xDEADBEEFDEADBEEF
42747 .xword 0xDEADBEEFDEADBEEF
42748 .xword 0xDEADBEEFDEADBEEF
42749 .xword 0xDEADBEEFDEADBEEF
42750 .xword 0xDEADBEEFDEADBEEF
42751 .xword 0xDEADBEEFDEADBEEF
42752 .xword 0xDEADBEEFDEADBEEF
42753 .xword 0xDEADBEEFDEADBEEF
42754 .xword 0xDEADBEEFDEADBEEF
42755 .xword 0xDEADBEEFDEADBEEF
42756 .xword 0xDEADBEEFDEADBEEF
42757 .xword 0xDEADBEEFDEADBEEF
42758 .xword 0xDEADBEEFDEADBEEF
42759 .xword 0xDEADBEEFDEADBEEF
42760 .xword 0xDEADBEEFDEADBEEF
42761 .xword 0xDEADBEEFDEADBEEF
42762 .xword 0xDEADBEEFDEADBEEF
42763 .xword 0xDEADBEEFDEADBEEF
42764 .xword 0xDEADBEEFDEADBEEF
42765 .xword 0xDEADBEEFDEADBEEF
42766 .xword 0xDEADBEEFDEADBEEF
42767 .xword 0xDEADBEEFDEADBEEF
42768 .xword 0xDEADBEEFDEADBEEF
42769 .xword 0xDEADBEEFDEADBEEF
42770 .xword 0xDEADBEEFDEADBEEF
42771 .xword 0xDEADBEEFDEADBEEF
42772 .xword 0xDEADBEEFDEADBEEF
42773 .xword 0xDEADBEEFDEADBEEF
42774 .xword 0xDEADBEEFDEADBEEF
42775 .xword 0xDEADBEEFDEADBEEF
42776 .xword 0xDEADBEEFDEADBEEF
42777 .xword 0xDEADBEEFDEADBEEF
42778 .xword 0xDEADBEEFDEADBEEF
42779 .xword 0xDEADBEEFDEADBEEF
42780 .xword 0xDEADBEEFDEADBEEF
42781 .xword 0xDEADBEEFDEADBEEF
42782 .xword 0xDEADBEEFDEADBEEF
42783 .xword 0xDEADBEEFDEADBEEF
42784 .xword 0xDEADBEEFDEADBEEF
42785 .xword 0xDEADBEEFDEADBEEF
42786 .xword 0xDEADBEEFDEADBEEF
42787 .xword 0xDEADBEEFDEADBEEF
42788 .xword 0xDEADBEEFDEADBEEF
42789 .xword 0xDEADBEEFDEADBEEF
42790 .xword 0xDEADBEEFDEADBEEF
42791 .xword 0xDEADBEEFDEADBEEF
42792 .xword 0xDEADBEEFDEADBEEF
42793 .xword 0xDEADBEEFDEADBEEF
42794 .xword 0xDEADBEEFDEADBEEF
42795 .xword 0xDEADBEEFDEADBEEF
42796 .xword 0xDEADBEEFDEADBEEF
42797 .xword 0xDEADBEEFDEADBEEF
42798 .xword 0xDEADBEEFDEADBEEF
42799 .xword 0xDEADBEEFDEADBEEF
42800 .xword 0xDEADBEEFDEADBEEF
42801 .xword 0xDEADBEEFDEADBEEF
42802 .xword 0xDEADBEEFDEADBEEF
42803 .xword 0xDEADBEEFDEADBEEF
42804 .xword 0xDEADBEEFDEADBEEF
42805 .xword 0xDEADBEEFDEADBEEF
42806 .xword 0xDEADBEEFDEADBEEF
42807 .xword 0xDEADBEEFDEADBEEF
42808 .xword 0xDEADBEEFDEADBEEF
42809 .xword 0xDEADBEEFDEADBEEF
42810 .xword 0xDEADBEEFDEADBEEF
42811 .xword 0xDEADBEEFDEADBEEF
42812 .xword 0xDEADBEEFDEADBEEF
42813 .xword 0xDEADBEEFDEADBEEF
42814 .xword 0xDEADBEEFDEADBEEF
42815 .xword 0xDEADBEEFDEADBEEF
42816 .xword 0xDEADBEEFDEADBEEF
42817 .xword 0xDEADBEEFDEADBEEF
42818 .xword 0xDEADBEEFDEADBEEF
42819 .xword 0xDEADBEEFDEADBEEF
42820 .xword 0xDEADBEEFDEADBEEF
42821 .xword 0xDEADBEEFDEADBEEF
42822 .xword 0xDEADBEEFDEADBEEF
42823 .xword 0xDEADBEEFDEADBEEF
42824 .xword 0xDEADBEEFDEADBEEF
42825 .xword 0xDEADBEEFDEADBEEF
42826 .xword 0xDEADBEEFDEADBEEF
42827 .xword 0xDEADBEEFDEADBEEF
42828 .xword 0xDEADBEEFDEADBEEF
42829 .xword 0xDEADBEEFDEADBEEF
42830 .xword 0xDEADBEEFDEADBEEF
42831 .xword 0xDEADBEEFDEADBEEF
42832 .xword 0xDEADBEEFDEADBEEF
42833 .xword 0xDEADBEEFDEADBEEF
42834 .xword 0xDEADBEEFDEADBEEF
42835 .xword 0xDEADBEEFDEADBEEF
42836 .xword 0xDEADBEEFDEADBEEF
42837 .xword 0xDEADBEEFDEADBEEF
42838 .xword 0xDEADBEEFDEADBEEF
42839 .xword 0xDEADBEEFDEADBEEF
42840 .xword 0xDEADBEEFDEADBEEF
42841 .xword 0xDEADBEEFDEADBEEF
42842 .xword 0xDEADBEEFDEADBEEF
42843 .xword 0xDEADBEEFDEADBEEF
42844 .xword 0xDEADBEEFDEADBEEF
42845 .xword 0xDEADBEEFDEADBEEF
42846 .xword 0xDEADBEEFDEADBEEF
42847 .xword 0xDEADBEEFDEADBEEF
42848 .xword 0xDEADBEEFDEADBEEF
42849 .xword 0xDEADBEEFDEADBEEF
42850 .xword 0xDEADBEEFDEADBEEF
42851 .xword 0xDEADBEEFDEADBEEF
42852 .xword 0xDEADBEEFDEADBEEF
42853 .xword 0xDEADBEEFDEADBEEF
42854 .xword 0xDEADBEEFDEADBEEF
42855 .xword 0xDEADBEEFDEADBEEF
42856 .xword 0xDEADBEEFDEADBEEF
42857 .xword 0xDEADBEEFDEADBEEF
42858 .xword 0xDEADBEEFDEADBEEF
42859 .xword 0xDEADBEEFDEADBEEF
42860 .xword 0xDEADBEEFDEADBEEF
42861 .xword 0xDEADBEEFDEADBEEF
42862 .xword 0xDEADBEEFDEADBEEF
42863 .xword 0xDEADBEEFDEADBEEF
42864 .xword 0xDEADBEEFDEADBEEF
42865 .xword 0xDEADBEEFDEADBEEF
42866 .xword 0xDEADBEEFDEADBEEF
42867 .xword 0xDEADBEEFDEADBEEF
42868 .xword 0xDEADBEEFDEADBEEF
42869 .xword 0xDEADBEEFDEADBEEF
42870 .xword 0xDEADBEEFDEADBEEF
42871 .xword 0xDEADBEEFDEADBEEF
42872 .xword 0xDEADBEEFDEADBEEF
42873 .xword 0xDEADBEEFDEADBEEF
42874 .xword 0xDEADBEEFDEADBEEF
42875 .xword 0xDEADBEEFDEADBEEF
42876 .xword 0xDEADBEEFDEADBEEF
42877 .xword 0xDEADBEEFDEADBEEF
42878 .xword 0xDEADBEEFDEADBEEF
42879 .xword 0xDEADBEEFDEADBEEF
42880 .xword 0xDEADBEEFDEADBEEF
42881 .xword 0xDEADBEEFDEADBEEF
42882 .xword 0xDEADBEEFDEADBEEF
42883 .xword 0xDEADBEEFDEADBEEF
42884 .xword 0xDEADBEEFDEADBEEF
42885 .xword 0xDEADBEEFDEADBEEF
42886 .xword 0xDEADBEEFDEADBEEF
42887 .xword 0xDEADBEEFDEADBEEF
42888 .xword 0xDEADBEEFDEADBEEF
42889 .xword 0xDEADBEEFDEADBEEF
42890 .xword 0xDEADBEEFDEADBEEF
42891 .xword 0xDEADBEEFDEADBEEF
42892 .xword 0xDEADBEEFDEADBEEF
42893 .xword 0xDEADBEEFDEADBEEF
42894 .xword 0xDEADBEEFDEADBEEF
42895 .xword 0xDEADBEEFDEADBEEF
42896 .xword 0xDEADBEEFDEADBEEF
42897 .xword 0xDEADBEEFDEADBEEF
42898 .xword 0xDEADBEEFDEADBEEF
42899 .xword 0xDEADBEEFDEADBEEF
42900 .xword 0xDEADBEEFDEADBEEF
42901 .xword 0xDEADBEEFDEADBEEF
42902 .xword 0xDEADBEEFDEADBEEF
42903 .xword 0xDEADBEEFDEADBEEF
42904 .xword 0xDEADBEEFDEADBEEF
42905 .xword 0xDEADBEEFDEADBEEF
42906 .xword 0xDEADBEEFDEADBEEF
42907 .xword 0xDEADBEEFDEADBEEF
42908 .xword 0xDEADBEEFDEADBEEF
42909 .xword 0xDEADBEEFDEADBEEF
42910 .xword 0xDEADBEEFDEADBEEF
42911 .xword 0xDEADBEEFDEADBEEF
42912 .xword 0xDEADBEEFDEADBEEF
42913 .xword 0xDEADBEEFDEADBEEF
42914 .xword 0xDEADBEEFDEADBEEF
42915 .xword 0xDEADBEEFDEADBEEF
42916 .xword 0xDEADBEEFDEADBEEF
42917 .xword 0xDEADBEEFDEADBEEF
42918 .xword 0xDEADBEEFDEADBEEF
42919 .xword 0xDEADBEEFDEADBEEF
42920 .xword 0xDEADBEEFDEADBEEF
42921 .xword 0xDEADBEEFDEADBEEF
42922 .xword 0xDEADBEEFDEADBEEF
42923 .xword 0xDEADBEEFDEADBEEF
42924 .xword 0xDEADBEEFDEADBEEF
42925 .xword 0xDEADBEEFDEADBEEF
42926 .xword 0xDEADBEEFDEADBEEF
42927 .xword 0xDEADBEEFDEADBEEF
42928 .xword 0xDEADBEEFDEADBEEF
42929 .xword 0xDEADBEEFDEADBEEF
42930 .xword 0xDEADBEEFDEADBEEF
42931 .xword 0xDEADBEEFDEADBEEF
42932 .xword 0xDEADBEEFDEADBEEF
42933 .xword 0xDEADBEEFDEADBEEF
42934 .xword 0xDEADBEEFDEADBEEF
42935 .xword 0xDEADBEEFDEADBEEF
42936 .xword 0xDEADBEEFDEADBEEF
42937 .xword 0xDEADBEEFDEADBEEF
42938 .xword 0xDEADBEEFDEADBEEF
42939 .xword 0xDEADBEEFDEADBEEF
42940 .xword 0xDEADBEEFDEADBEEF
42941 .xword 0xDEADBEEFDEADBEEF
42942 .xword 0xDEADBEEFDEADBEEF
42943 .xword 0xDEADBEEFDEADBEEF
42944 .xword 0xDEADBEEFDEADBEEF
42945 .xword 0xDEADBEEFDEADBEEF
42946 .xword 0xDEADBEEFDEADBEEF
42947 .xword 0xDEADBEEFDEADBEEF
42948 .xword 0xDEADBEEFDEADBEEF
42949 .xword 0xDEADBEEFDEADBEEF
42950 .xword 0xDEADBEEFDEADBEEF
42951 .xword 0xDEADBEEFDEADBEEF
42952 .xword 0xDEADBEEFDEADBEEF
42953 .xword 0xDEADBEEFDEADBEEF
42954 .xword 0xDEADBEEFDEADBEEF
42955 .xword 0xDEADBEEFDEADBEEF
42956 .xword 0xDEADBEEFDEADBEEF
42957 .xword 0xDEADBEEFDEADBEEF
42958 .xword 0xDEADBEEFDEADBEEF
42959 .xword 0xDEADBEEFDEADBEEF
42960 .xword 0xDEADBEEFDEADBEEF
42961 .xword 0xDEADBEEFDEADBEEF
42962 .xword 0xDEADBEEFDEADBEEF
42963 .xword 0xDEADBEEFDEADBEEF
42964 .xword 0xDEADBEEFDEADBEEF
42965 .xword 0xDEADBEEFDEADBEEF
42966 .xword 0xDEADBEEFDEADBEEF
42967 .xword 0xDEADBEEFDEADBEEF
42968 .xword 0xDEADBEEFDEADBEEF
42969 .xword 0xDEADBEEFDEADBEEF
42970 .xword 0xDEADBEEFDEADBEEF
42971 .xword 0xDEADBEEFDEADBEEF
42972 .xword 0xDEADBEEFDEADBEEF
42973 .xword 0xDEADBEEFDEADBEEF
42974 .xword 0xDEADBEEFDEADBEEF
42975 .xword 0xDEADBEEFDEADBEEF
42976 .xword 0xDEADBEEFDEADBEEF
42977 .xword 0xDEADBEEFDEADBEEF
42978 .xword 0xDEADBEEFDEADBEEF
42979 .xword 0xDEADBEEFDEADBEEF
42980 .xword 0xDEADBEEFDEADBEEF
42981 .xword 0xDEADBEEFDEADBEEF
42982 .xword 0xDEADBEEFDEADBEEF
42983_t1_sslkey_auth_key:
42984 .xword 0x0805275d8dd618b5
42985 .xword 0xa7fe7de6ce39f0ef
42986 .xword 0xb1171f9caf0049e4
42987 .xword 0xbb83aba55f6e1af7
42988 .xword 0x8f59b022c58ed7a1
42989 .xword 0x593a6a445347078c
42990 .xword 0x49bedde6eb71181f
42991 .xword 0x99e11b8e39cf5cb9
42992 .xword 0xf0a1ed4bc9251c74
42993 .xword 0xb982761e6ade78c4
42994 .xword 0x111afef6fa92f935
42995 .xword 0x51e7aa7c576b52ec
42996 .xword 0x5fb63ae98bf5cd8f
42997 .xword 0xb6d12e0b8f32a06e
42998 .xword 0x1b42b503f6d1ba76
42999 .xword 0xfedca6292bd590cc
43000 .xword 0xa227d87de9ab6782
43001 .xword 0x6c5e47142e3c5c4c
43002 .xword 0x38a9b03cbd97a3cb
43003 .xword 0x2fcf49d2a458b29f
43004 .xword 0x04892bdba300ca66
43005 .xword 0xc27a1e91ad261601
43006 .xword 0x3c1d9ba1f459a08a
43007_t1_sslkey_auth_iv:
43008 .xword 0xaa891f7f353da22d
43009 .xword 0x30790e0d6b047ced
43010 .xword 0xa8aaa101e5da5c6c
43011 .xword 0xcc5e4e57e24bb8ac
43012 .xword 0x5ccbbdc25a7b7ef4
43013 .xword 0x852f82e0c1416a0c
43014 .xword 0x88859308323cc0f0
43015 .xword 0x01afdcfaba03661e
43016 .xword 0xad7cf6c50122a1b3
43017 .xword 0x9d11dd6c8dd491de
43018 .xword 0x4103846d04349b33
43019 .xword 0xb2a7854128727dc9
43020 .xword 0xae547f8d2dda9cce
43021 .xword 0xb4d4aa2f6c1a3969
43022 .xword 0x6589e0716f5cb676
43023 .xword 0x2c69c12549397245
43024 .xword 0xc1b475a3b7d91b93
43025 .xword 0x0f6ddac11cb6cb55
43026 .xword 0x3456697d854e2da6
43027 .xword 0x33bc505a0060c025
43028 .xword 0xd756fda450f5d423
43029 .xword 0x1d3ff0276637638c
43030 .xword 0x6c04a26cbaa2cfc3
43031_t1_sslkey_fas_result:
43032 .xword 0xDEADBEEFDEADBEEF
43033 .xword 0xDEADBEEFDEADBEEF
43034 .xword 0xDEADBEEFDEADBEEF
43035 .xword 0xDEADBEEFDEADBEEF
43036 .xword 0xDEADBEEFDEADBEEF
43037 .xword 0xDEADBEEFDEADBEEF
43038 .xword 0xDEADBEEFDEADBEEF
43039 .xword 0xDEADBEEFDEADBEEF
43040 .xword 0xDEADBEEFDEADBEEF
43041 .xword 0xDEADBEEFDEADBEEF
43042 .xword 0xDEADBEEFDEADBEEF
43043 .xword 0xDEADBEEFDEADBEEF
43044 .xword 0xDEADBEEFDEADBEEF
43045 .xword 0xDEADBEEFDEADBEEF
43046 .xword 0xDEADBEEFDEADBEEF
43047 .xword 0xDEADBEEFDEADBEEF
43048 .xword 0xDEADBEEFDEADBEEF
43049 .xword 0xDEADBEEFDEADBEEF
43050 .xword 0xDEADBEEFDEADBEEF
43051 .xword 0xDEADBEEFDEADBEEF
43052 .xword 0xDEADBEEFDEADBEEF
43053 .xword 0xDEADBEEFDEADBEEF
43054 .xword 0xDEADBEEFDEADBEEF
43055_t1_aes_toc:
43056 .xword _t1_aes_cwd_array
43057 .xword _t1_aes_src
43058 .xword _t1_aes_auth_key
43059 .xword _t1_aes_auth_iv
43060 .xword _t1_aes_fas_result
43061 .xword _t1_aes_key_array
43062 .xword _t1_aes_iv_array
43063 .xword _t1_aes_dest
43064 .xword _t1_aes_alignment_array
43065_t1_des_toc:
43066 .xword _t1_des_cwd_array
43067 .xword _t1_des_src
43068 .xword _t1_des_auth_key
43069 .xword _t1_des_auth_iv
43070 .xword _t1_des_fas_result
43071 .xword _t1_des_key_array
43072 .xword _t1_des_iv_array
43073 .xword _t1_des_dest
43074 .xword _t1_des_alignment_array
43075_t1_copy_toc:
43076 .xword _t1_copy_cwd_array
43077 .xword _t1_copy_src
43078 .xword _t1_copy_auth_key
43079 .xword _t1_copy_auth_iv
43080 .xword _t1_copy_fas_result
43081 .xword _t1_copy_key_array
43082 .xword _t1_copy_iv_array
43083 .xword _t1_copy_dest
43084 .xword _t1_copy_alignment_array
43085_t1_crc_toc:
43086 .xword _t1_crc_cwd_array
43087 .xword _t1_crc_src
43088 .xword _t1_crc_auth_key
43089 .xword _t1_crc_auth_iv
43090 .xword _t1_crc_fas_result
43091 .xword _t1_crc_key_array
43092 .xword _t1_crc_iv_array
43093 .xword _t1_crc_dest
43094 .xword _t1_crc_alignment_array
43095_t1_hash_toc:
43096 .xword _t1_hash_cwd_array
43097 .xword _t1_hash_src
43098 .xword _t1_hash_auth_key
43099 .xword _t1_hash_auth_iv
43100 .xword _t1_hash_fas_result
43101 .xword _t1_hash_key_array
43102 .xword _t1_hash_iv_array
43103 .xword _t1_hash_dest
43104 .xword _t1_hash_alignment_array
43105_t1_hmac_toc:
43106 .xword _t1_hmac_cwd_array
43107 .xword _t1_hmac_src
43108 .xword _t1_hmac_auth_key
43109 .xword _t1_hmac_auth_iv
43110 .xword _t1_hmac_fas_result
43111 .xword _t1_hmac_key_array
43112 .xword _t1_hmac_iv_array
43113 .xword _t1_hmac_dest
43114 .xword _t1_hmac_alignment_array
43115_t1_rc4_toc:
43116 .xword _t1_rc4_cwd_array
43117 .xword _t1_rc4_src
43118 .xword _t1_rc4_auth_key
43119 .xword _t1_rc4_auth_iv
43120 .xword _t1_rc4_fas_result
43121 .xword _t1_rc4_key_array
43122 .xword _t1_rc4_iv_array
43123 .xword _t1_rc4_dest
43124 .xword _t1_rc4_alignment_array
43125_t1_sslkey_toc:
43126 .xword _t1_sslkey_cwd_array
43127 .xword _t1_sslkey_src
43128 .xword _t1_sslkey_auth_key
43129 .xword _t1_sslkey_auth_iv
43130 .xword _t1_sslkey_fas_result
43131 .xword _t1_sslkey_key_array
43132 .xword _t1_sslkey_iv_array
43133 .xword _t1_sslkey_dest
43134 .xword _t1_sslkey_alignment_array
43135_t1_table_of_context3:
43136 .xword _t1_aes_toc
43137 .xword _t1_des_toc
43138 .xword _t1_copy_toc
43139 .xword _t1_crc_toc
43140 .xword _t1_hash_toc
43141 .xword _t1_hmac_toc
43142 .xword _t1_rc4_toc
43143 .xword _t1_sslkey_toc
43144
43145!# CWQ data area, set aside 512 CW's worth
43146!# 512*8*8 = 32KB
43147.align 32*1024
43148_t1_cwq_base3:
43149 .xword 0xAAAAAAAAAAAAAAA
43150 .xword 0xAAAAAAAAAAAAAAA
43151 .xword 0xAAAAAAAAAAAAAAA
43152 .xword 0xAAAAAAAAAAAAAAA
43153 .xword 0xAAAAAAAAAAAAAAA
43154 .xword 0xAAAAAAAAAAAAAAA
43155 .xword 0xAAAAAAAAAAAAAAA
43156 .xword 0xAAAAAAAAAAAAAAA
43157.align 32*1024
43158_t1_cwq_last3:
43159
43160SECTION ._t1_T_CWQ_DATA4 DATA_VA=289406976
43161attr_data {
43162 Name = ._t1_T_CWQ_DATA4
43163 hypervisor
43164}
43165 .data
43166_t1_user_data_start4:
43167_t1_scratch_area4:
43168
43169.align 16
43170_t1_spu_op_array4:
43171 .xword 1
43172 .xword 4
43173 .xword 6
43174 .xword 5
43175 .xword 2
43176 .xword 5
43177 .xword 6
43178 .xword 2
43179 .xword 3
43180 .xword 1
43181 .xword 3
43182 .xword 3
43183 .xword 0
43184 .xword 7
43185 .xword 0
43186_t1_aes_cwd_array4:
43187 .xword 0x40e100a01100002f
43188 .xword 0xc06100601800003f
43189 .xword 0x40e100601700002f
43190 .xword 0x406000201000000f
43191 .xword 0x406100a01500000f
43192 .xword 0xc0e100801500001f
43193 .xword 0x406000801700002f
43194 .xword 0x406000601900003f
43195 .xword 0x406000001700001f
43196 .xword 0xc06100601800002f
43197 .xword 0x406100001700000f
43198 .xword 0xc0e000601b00003f
43199 .xword 0xc06100201100001f
43200 .xword 0x406100a01100000f
43201 .xword 0x40e100601b00002f
43202_t1_des_cwd_array4:
43203 .xword 0x406100a00e00000f
43204 .xword 0xc06100200a00000f
43205 .xword 0x40e000c00c000017
43206 .xword 0xc0e100600a00001f
43207 .xword 0xc0e000600900000f
43208 .xword 0xc06100e00a00001f
43209 .xword 0x406100600e000017
43210 .xword 0x40e000400c000017
43211 .xword 0xc06000600c00001f
43212 .xword 0x406000c00a00001f
43213 .xword 0xc06000000c000007
43214 .xword 0xc0e100200a000017
43215 .xword 0xc0e100c00e000007
43216 .xword 0x406000600e00001f
43217 .xword 0x40e000600d00001f
43218_t1_copy_cwd_array4:
43219 .xword 0x206000200000000f
43220 .xword 0xa06000a000000007
43221 .xword 0xa060006000000003
43222 .xword 0x206000a000000007
43223 .xword 0xa06100a000000004
43224 .xword 0xa061000000000008
43225 .xword 0x206100e000000009
43226 .xword 0x206100200000000f
43227 .xword 0xa061004000000002
43228 .xword 0xa061000000000004
43229 .xword 0x2060006000000005
43230 .xword 0xa060000000000000
43231 .xword 0xa06100200000000b
43232 .xword 0x206100200000000f
43233 .xword 0x206000a000000006
43234_t1_crc_cwd_array4:
43235 .xword 0xc162032400000004
43236 .xword 0xc16101e800000001
43237 .xword 0x4163038400000005
43238 .xword 0x416001480000000e
43239 .xword 0x4161030400000009
43240 .xword 0xc16101080000000d
43241 .xword 0xc16203e400000002
43242 .xword 0xc162012800000004
43243 .xword 0x4160032400000005
43244 .xword 0x416101680000000a
43245 .xword 0xc16203e40000000b
43246 .xword 0x4161016800000000
43247 .xword 0xc163030400000002
43248 .xword 0x416201680000000c
43249_t1_hash_cwd_array4:
43250 .xword 0x416205410000003e
43251 .xword 0x41620ee200000019
43252 .xword 0xc16305a10000001b
43253 .xword 0xc1620ea10000001d
43254 .xword 0xc1620ce200000015
43255 .xword 0x416205a100000031
43256 .xword 0x416111c20000003f
43257 .xword 0xc1600ae100000033
43258 .xword 0x416015230000003d
43259 .xword 0xc16307a10000000e
43260 .xword 0x41630ce100000017
43261 .xword 0xc1620a8100000024
43262 .xword 0xc1600b8200000027
43263 .xword 0x41610f010000003b
43264 .xword 0x4160006100000000
43265_t1_hmac_cwd_array4:
43266 .xword 0x41621787001f000f
43267 .xword 0xc16204c60013003e
43268 .xword 0x41610b89000f0005
43269 .xword 0xc16305e5000f0038
43270 .xword 0xc1600b29000f0001
43271 .xword 0x416103ea00130025
43272 .xword 0x416314eb001f0000
43273 .xword 0x416006a5000f003d
43274 .xword 0xc16304c9000f0018
43275 .xword 0x41600105000f001b
43276 .xword 0x41630ea9000f0000
43277 .xword 0xc1620f29000f003e
43278 .xword 0x41620465000f0004
43279 .xword 0x416104a5000f002d
43280 .xword 0x4160072b001f002e
43281_t1_rc4_cwd_array4:
43282 .xword 0xc0e000e000000006
43283 .xword 0xc0e0006004000004
43284 .xword 0xc0e0002004000007
43285 .xword 0x40e000c000000009
43286 .xword 0xc0e100c004000007
43287 .xword 0x40e000c00400000f
43288 .xword 0xc0e100e00400000f
43289 .xword 0x40e100c000000008
43290 .xword 0x40e0008000000009
43291 .xword 0x40e000e000000006
43292 .xword 0x40e000400400000f
43293 .xword 0xc0e1002000000004
43294 .xword 0xc0e0002000000001
43295 .xword 0x40e100e004000005
43296 .xword 0x40e000200000000c
43297_t1_sslkey_cwd_array4:
43298 .xword 0x1060080000000000, 0
43299 .xword 0x90603f8000000000, 0
43300 .xword 0x10603c6000000000, 0
43301 .xword 0x906040e000000000, 0
43302 .xword 0x10601ee000000000, 0
43303 .xword 0x1060264000000000, 0
43304 .xword 0x90602e0000000000, 0
43305 .xword 0x10602aa000000000, 0
43306 .xword 0x1060246000000000, 0
43307 .xword 0x106033e000000000, 0
43308 .xword 0x106021a000000000, 0
43309 .xword 0x1060194000000000, 0
43310 .xword 0x106008e000000000, 0
43311 .xword 0x10600d2000000000, 0
43312 .xword 0x10600c4000000000, 0
43313_t1_aes_key_array:
43314 .xword 0x4487cf1d7f62c8bf
43315 .xword 0x24c1c42df899c439
43316 .xword 0xac3b0f48946bb73a
43317 .xword 0x84f043effab5e773
43318 .xword 0xb03c8aecbe56acab
43319 .xword 0xcc5bc721f07aadff
43320 .xword 0x311c83da8ceabd58
43321 .xword 0xe311e92ca69399c1
43322 .xword 0x143c87e8506ac993
43323 .xword 0x04bb88effcbd6990
43324 .xword 0x23f275d22a2c9197
43325 .xword 0xd325fce33d92519b
43326 .xword 0x4aab853975a07f47
43327 .xword 0x2bfa48c40a747623
43328 .xword 0xec5d3f80784dc829
43329 .xword 0xfa04d03a33f8d8d4
43330 .xword 0x6651706853336cf6
43331 .xword 0xaf63a3f07662a2c7
43332 .xword 0x5fc2f048b7a9d199
43333 .xword 0xd5bbc15cafbace55
43334 .xword 0xe6badae62b31d16c
43335 .xword 0xd319022abb507057
43336 .xword 0x41de2e5c54f2faa7
43337 .xword 0xde9a65992e63dea4
43338 .xword 0x4a7e2b227b550546
43339 .xword 0x0a9ce27080f17dca
43340 .xword 0x1f7cd9e36a17bf3c
43341 .xword 0x457d9d6a3d430542
43342 .xword 0x24f2bf00eaea7df5
43343 .xword 0x39812854ce9719ec
43344 .xword 0xdd8ce0830c1f7127
43345 .xword 0x087696d195f88603
43346 .xword 0x93174fac1a5a2683
43347 .xword 0xde9337eb32d70903
43348 .xword 0x987e2801740ffad3
43349 .xword 0xf77cbef1998946d1
43350 .xword 0x98708f185b0ed3b1
43351 .xword 0xb6595c8f15483205
43352 .xword 0x591b38e7017bcb05
43353 .xword 0x73a82c1707348b3b
43354 .xword 0xa16ebaed4359f0ed
43355 .xword 0x769082661702e79a
43356 .xword 0xdc991eec154deecb
43357 .xword 0xa4f7ae8a60e1d250
43358 .xword 0xf64fc0398be023f2
43359 .xword 0xa949e6be05de5762
43360 .xword 0x0e74d1e4e48ad3b9
43361 .xword 0x5aac59ac136ddeb4
43362 .xword 0x44fa81b3bb8e0dcd
43363 .xword 0xc9ee6f7ae019ddee
43364 .xword 0x713b997202b7b7c0
43365_t1_aes_iv_array:
43366 .xword 0xa2972dbdc3f16c7d
43367 .xword 0x8cc5ce0954b4a555
43368 .xword 0x7bf3fa7b581f183f
43369 .xword 0xf1355f619d8de6f5
43370 .xword 0x159042484ea12f55
43371 .xword 0x268b75df5f23b4a4
43372 .xword 0xb1ca76547d9a1bf1
43373 .xword 0x8b9709a70574384d
43374 .xword 0x9adbaaa5083c0080
43375 .xword 0x41d86b3010910004
43376 .xword 0x6e6b854d92e2e633
43377 .xword 0xe5c6ac6cf606846a
43378 .xword 0x244c5d53e8922575
43379 .xword 0xff23e4ab098b0aef
43380 .xword 0xeb958a9491e81782
43381 .xword 0x88b9d641a50ca55a
43382 .xword 0x4b62a3baedd34e80
43383 .xword 0x92cce115f8a4ab65
43384 .xword 0xe85bd3e986cd855b
43385 .xword 0x0da10f30f3ac4150
43386 .xword 0x1c8dcc5eb59a5bc5
43387 .xword 0xa27766e4ed672d61
43388 .xword 0xf28135a5138ed97e
43389 .xword 0xda345ce79f1b9e6d
43390 .xword 0x5d5b2651330f9701
43391 .xword 0x970f71b6b42d55c0
43392 .xword 0xe0013585c4f8500a
43393 .xword 0x5144fca9bf4f1491
43394 .xword 0xa17e40a090e4efbd
43395 .xword 0xac498089215e1d13
43396 .xword 0x219cd61df89e9c11
43397 .xword 0x89ed8009ae7e4f5b
43398 .xword 0x12ed56125d559e60
43399 .xword 0xabfa82f7e0b0ede3
43400 .xword 0xb07ceefa15d3b240
43401 .xword 0x627199a455874743
43402 .xword 0xdb446d9addb07de7
43403 .xword 0x07374b0cc99bb058
43404 .xword 0x3ad406820064e776
43405 .xword 0x6d63bf53aadc2b15
43406 .xword 0x505802aee774ddb8
43407 .xword 0x2dbc2d9cb9303dd1
43408 .xword 0x30d313a219fc2b2d
43409 .xword 0x233c8101004622be
43410 .xword 0x2ec1881d1ebcd672
43411_t1_aes_alignment_array:
43412 .xword 14
43413 .xword 13
43414 .xword 5
43415 .xword 15
43416 .xword 4
43417 .xword 0
43418 .xword 2
43419 .xword 0
43420 .xword 4
43421 .xword 8
43422 .xword 3
43423 .xword 3
43424 .xword 3
43425 .xword 7
43426 .xword 3
43427 .xword 14
43428 .xword 10
43429 .xword 0
43430 .xword 9
43431 .xword 3
43432 .xword 0
43433 .xword 4
43434 .xword 3
43435 .xword 8
43436 .xword 1
43437 .xword 3
43438 .xword 3
43439 .xword 2
43440 .xword 6
43441 .xword 3
43442 .xword 4
43443 .xword 1
43444 .xword 8
43445 .xword 6
43446 .xword 4
43447 .xword 10
43448 .xword 9
43449 .xword 2
43450 .xword 14
43451 .xword 11
43452 .xword 0
43453 .xword 4
43454 .xword 0
43455 .xword 12
43456 .xword 7
43457 .xword 3
43458 .xword 15
43459 .xword 7
43460 .xword 4
43461 .xword 8
43462 .xword 10
43463 .xword 3
43464 .xword 15
43465 .xword 8
43466 .xword 8
43467 .xword 10
43468 .xword 9
43469 .xword 2
43470 .xword 2
43471 .xword 11
43472 .xword 3
43473 .xword 3
43474 .xword 8
43475 .xword 8
43476 .xword 15
43477 .xword 12
43478 .xword 2
43479 .xword 8
43480 .xword 12
43481 .xword 9
43482 .xword 1
43483 .xword 11
43484 .xword 13
43485 .xword 13
43486 .xword 12
43487 .xword 0
43488 .xword 9
43489 .xword 6
43490 .xword 8
43491 .xword 5
43492 .xword 1
43493 .xword 3
43494 .xword 1
43495 .xword 12
43496 .xword 9
43497 .xword 11
43498 .xword 7
43499 .xword 15
43500 .xword 2
43501 .xword 4
43502 .xword 10
43503 .xword 14
43504 .xword 6
43505 .xword 8
43506 .xword 9
43507 .xword 4
43508 .xword 11
43509 .xword 8
43510 .xword 1
43511 .xword 2
43512 .xword 15
43513 .xword 14
43514 .xword 2
43515 .xword 6
43516 .xword 12
43517_t1_aes_src:
43518 .xword 0xe8056a16f7ce90b3
43519 .xword 0xb89a290b17f1f5d4
43520 .xword 0x637f4c910e3ec226
43521 .xword 0x02401c4a70b5ddfa
43522 .xword 0x5eb6a59f383f7c9d
43523 .xword 0x52e4ae3aac6295e6
43524 .xword 0x4cbe4cfb93c6e795
43525 .xword 0x6c4c0f6967622634
43526 .xword 0x3b9d0704d4773b62
43527 .xword 0x0bc2aad02429f816
43528 .xword 0x664d2fbb96792060
43529 .xword 0x2cd4b7a41a989a8b
43530 .xword 0xa75f278fd6548d26
43531 .xword 0xd56d1919d19ff75a
43532 .xword 0x464b2938463c29d5
43533 .xword 0xb8109ff627d31b54
43534 .xword 0x284ad0ce5763f1f9
43535 .xword 0x96115b6a62caf672
43536 .xword 0x641db1b4dbe18354
43537 .xword 0xb389cd710eea1792
43538 .xword 0x94e99ce99e2ca4fe
43539 .xword 0x26547cd23bc38e37
43540 .xword 0x82cf88399b4d5e46
43541 .xword 0xe2c0e8f447875962
43542 .xword 0xe7d2fc53987e9dd4
43543 .xword 0xd15212400727f6ff
43544 .xword 0x2e16a17f1c7f8c27
43545 .xword 0xa892e7f01dc7b3d8
43546 .xword 0x1af0a6c87689c4c6
43547 .xword 0x6dd1fe5759b18a9c
43548 .xword 0x4bd34e0d6ff18c24
43549 .xword 0x763089a9e8c0fea6
43550 .xword 0x903f2bff2f01ed10
43551 .xword 0xfa11509529c8ab5d
43552 .xword 0xea63421b226976ae
43553 .xword 0xdea634fc79f2dd56
43554 .xword 0x9a101fde9f5b304e
43555 .xword 0x5406335cd5622065
43556 .xword 0xfde4976bc9d089b1
43557 .xword 0xfe20051827971b9c
43558 .xword 0xe7c7ca641d6405ee
43559 .xword 0xfc50156717c5280e
43560 .xword 0x929aec6859443506
43561 .xword 0x27c27bab7d588dfd
43562 .xword 0xa4823dd101bc2cdd
43563 .xword 0x73511d95e947e32c
43564 .xword 0xd84e1b694b364334
43565 .xword 0x3a127b2a58b98f57
43566 .xword 0x592962e5d837d95c
43567 .xword 0x2b0cae86e21f09a7
43568 .xword 0xd5d3fd94013acab5
43569 .xword 0xd6582665f582248d
43570 .xword 0x5fc5b1f77681ab2e
43571 .xword 0x716503e110d19d9a
43572 .xword 0x887c6d80a090f043
43573 .xword 0x353439844f784930
43574 .xword 0x43b6861ed1639cfc
43575 .xword 0x75ed45b7e752f32d
43576 .xword 0xa2d6ee728d2d11ff
43577 .xword 0xc736e9b2cd90939f
43578 .xword 0x1456f28cd950bb7d
43579 .xword 0xb7a34ba64f8d6933
43580 .xword 0x610e62cf39e9ec0f
43581 .xword 0xa0a02c77f764ea48
43582 .xword 0x584bff37f29d1b4c
43583 .xword 0xa97e2bb68df8a7b6
43584 .xword 0x9eff30e31fbeaa8b
43585 .xword 0x18f9b448ad59de92
43586 .xword 0x7d8f21697cc72ac5
43587 .xword 0x5a8ef9e39ba6e0b7
43588 .xword 0x64baf8db020a52f1
43589 .xword 0x4d32aeaa75f2360b
43590 .xword 0x618b74722df8394c
43591 .xword 0x4cc05ddc607f1142
43592 .xword 0x6c1fff2cc2647e97
43593 .xword 0x71648768ee95ebea
43594 .xword 0xe94c4686bf00f278
43595 .xword 0xef0b3759c1c7b718
43596 .xword 0xe81d2361d07362fe
43597 .xword 0x52b7c1231988d93e
43598 .xword 0x682af1f7659c5861
43599 .xword 0xf93b59e64ce36b56
43600 .xword 0xfbec3866dd58206e
43601 .xword 0x3ef567e0303d06c1
43602 .xword 0xb9181402e36db1a9
43603 .xword 0x3886d1b9747ffa7a
43604 .xword 0xec52987522db125a
43605 .xword 0x963664673b45e927
43606 .xword 0xfcf5607894155461
43607 .xword 0xca913c077ce9563d
43608 .xword 0x9d6b08df5533dab0
43609 .xword 0x651e3933178742fb
43610 .xword 0xb3707f210e1cabe5
43611 .xword 0xef12b0d05f731824
43612 .xword 0x2ab040266fe761dc
43613 .xword 0x0050d6c5e10537ee
43614 .xword 0x2225b5e128cdbddb
43615 .xword 0x8b5927c18b29b4f3
43616 .xword 0xd5976271cb022a78
43617 .xword 0x13287f443a07e270
43618 .xword 0x1265cd850ee62565
43619 .xword 0xdee3098778e96260
43620 .xword 0xddf7ba77eae59c4b
43621 .xword 0x90480e279ab5ffc6
43622 .xword 0xffe667144e83cfe3
43623 .xword 0x030dce545cab69a4
43624 .xword 0x092030f4e8909e35
43625 .xword 0x715769d3b6debcb2
43626 .xword 0x92c0ee9e1e0b56d8
43627 .xword 0xf870aa79bdcb1521
43628 .xword 0x5aff87d01ed374eb
43629 .xword 0xd8e6a7b24584e4e2
43630 .xword 0xd9eb9d7b0b78d25b
43631 .xword 0x47113ac0e2cce565
43632 .xword 0xf521e8e6dcf02e2d
43633 .xword 0x315b5a0b331ec184
43634 .xword 0x06a17be43fc0300d
43635 .xword 0xbd0dde618f77ad86
43636 .xword 0x013946b4136e0efa
43637 .xword 0xf46feb3509d3cbd9
43638 .xword 0x3bf6df7b582bd37f
43639 .xword 0xe76875eba49a8a0f
43640 .xword 0xca5c6d243b950cd1
43641 .xword 0x5cb52a9028d0bf51
43642 .xword 0x6075f6f20275d371
43643 .xword 0x57197cf1c3f67d72
43644 .xword 0x6ea18eee4c827a48
43645 .xword 0x6ef775efef6f7b75
43646 .xword 0x444b099c7ea0a4fc
43647 .xword 0x3ca7d2bb0d162dec
43648 .xword 0x31868a53d923b6ee
43649 .xword 0x1a640c756574d29f
43650 .xword 0x9a83d873a6e4e9f3
43651 .xword 0x6f6a59955e0913fd
43652 .xword 0x63052dfe548267a2
43653 .xword 0xb724d5badd30d89e
43654 .xword 0x0b278f6747fa30ca
43655 .xword 0xc1c5c0b1e8ecb8cf
43656 .xword 0xdbd7604c0d51f24d
43657 .xword 0x073a07230444737f
43658 .xword 0xeb4a66d1e670422c
43659 .xword 0x6101ccc72a785939
43660 .xword 0x7276d405da9d1f6c
43661 .xword 0x7ae18930e04bc94b
43662 .xword 0xb88bdc2cd47db881
43663 .xword 0x8dd5fe8df481cbe2
43664 .xword 0x4574a95a73469606
43665 .xword 0x9fcdabd0eb83e001
43666 .xword 0x7dc51ed2060c69a4
43667 .xword 0xfad765bdf2b68ae0
43668 .xword 0x56a3a5614e80d131
43669 .xword 0x61458942ac14f59b
43670 .xword 0xde55574c12571cdc
43671 .xword 0x2034f68842b0fbc6
43672 .xword 0xe68dc792ad09a36a
43673 .xword 0x36e51fc47c0bf98e
43674 .xword 0x27729951bbabe34a
43675 .xword 0x5b5ae0538c8ea6d8
43676 .xword 0xeefa701d8303d062
43677 .xword 0x30a30584e899ce9a
43678 .xword 0xc36fc53aec798cab
43679 .xword 0x2b7ed69d452934ff
43680 .xword 0x6808433d7a632969
43681 .xword 0x805e6670e8ddd099
43682 .xword 0x042880a236aa0374
43683 .xword 0x1fc18268fb292667
43684 .xword 0x3760c853fd3cc857
43685 .xword 0xe79916ad763f436f
43686 .xword 0xfa8fddb330393d5a
43687 .xword 0xf2ce7fc55e72a035
43688 .xword 0xd75f0ddb1b6f23ba
43689 .xword 0x1550c7257fa8d94e
43690 .xword 0x4d86992766bef4cd
43691 .xword 0x42648000cc49b215
43692 .xword 0x7d2a504c21465f59
43693 .xword 0xb2d287e5e9dde9c4
43694 .xword 0xce7f02fcaf8d41e5
43695 .xword 0xd189c1c56e5c4d56
43696 .xword 0xdf2e3905d1354b33
43697 .xword 0x438f6ec4bad903ca
43698 .xword 0xc7a320c911524391
43699 .xword 0x6a2c7adba504c7de
43700 .xword 0x7392aaedabe85e78
43701 .xword 0x8c517316373962be
43702 .xword 0xd6bb90f0768cea5b
43703 .xword 0x84bb45cc2edb27e0
43704 .xword 0x96037b8d2294397b
43705 .xword 0x391a7f903b2cb918
43706 .xword 0x58f41c31d7dcc800
43707 .xword 0xedbb449fc87c1df9
43708 .xword 0x369a4a15edc3a1e6
43709 .xword 0x49ebfee7d8505a48
43710 .xword 0x77d5dadd90fb1848
43711 .xword 0x5602ae14b2909c6b
43712 .xword 0x19c767b9a52f24c9
43713 .xword 0xb09fb291b176c127
43714 .xword 0xce40b3aaf1361512
43715 .xword 0x7e842194a760339d
43716 .xword 0xf34009f886998af3
43717 .xword 0x7c5a9318e20bbcb5
43718 .xword 0x5b9c2af1f9afaea1
43719 .xword 0xa5c3effc1f7877c2
43720 .xword 0xa1ed0894127da381
43721 .xword 0xaa92cf198f0da857
43722 .xword 0x5e35d375d431f998
43723 .xword 0x80ba8f1dfb61b785
43724 .xword 0xf76173774f66f7ae
43725 .xword 0x792d0afa1dff1c15
43726 .xword 0x94dab2a4e7d420ce
43727 .xword 0x665e38b5f1420178
43728 .xword 0x730546d722066d7b
43729 .xword 0x0e3f59769b33d3fb
43730 .xword 0x6a967311ec0991ce
43731 .xword 0xc29d05e55ebecff0
43732 .xword 0x15941987b94e66f1
43733 .xword 0xedd9faa7985a3938
43734 .xword 0xeb032ec6ffcd9bb7
43735 .xword 0xc351defd7c7a1d17
43736 .xword 0x3adcd80339d006df
43737 .xword 0x6f3c8dc0d6988c65
43738 .xword 0x3119d14105902deb
43739 .xword 0x1074ab480bed0fce
43740 .xword 0x2d815c53fe4a3e97
43741 .xword 0xf8d24585fb5ab356
43742 .xword 0xa3159ab23527b3a2
43743 .xword 0xaece0fd71f8dd512
43744 .xword 0xca6143df4766ab1f
43745 .xword 0x8276295e1fe956f3
43746 .xword 0xc8ebc3c0e3d7966f
43747 .xword 0x2d0fe4c34e763f75
43748 .xword 0xbe981e8477298be1
43749 .xword 0xe5a5ae2da72d0e9d
43750 .xword 0x1256c410ed49666a
43751 .xword 0xb0e01fd84bd0aff3
43752 .xword 0x7e92669a91b08a3f
43753 .xword 0x1465f6f897f60cd9
43754 .xword 0x1291d3eab6eaa15c
43755 .xword 0xb6e7fa7438368d25
43756 .xword 0x648f913eaa4206b0
43757 .xword 0x8af08fd96b578914
43758 .xword 0x99b93a9100f918e8
43759 .xword 0x16bc24557a686dda
43760 .xword 0x61175d477364504e
43761 .xword 0x17a9a756f90947f2
43762 .xword 0x9c20f990b7279008
43763 .xword 0x875ef1a038016eaa
43764 .xword 0x9c8c0ee0ff564ac9
43765 .xword 0xdc60918980c6747e
43766 .xword 0x17f6314dea6746a6
43767 .xword 0x26cc438b1e9c6344
43768 .xword 0xfeb90ccd85cae52f
43769 .xword 0x6aae5dc471b10b05
43770 .xword 0x35255d96eff6421a
43771 .xword 0xcfc721879ae8b9fd
43772 .xword 0xda1a3d4469a41867
43773 .xword 0xfebba22e424d2ebf
43774 .xword 0x0befc6d69f44eab6
43775 .xword 0xff8b01ed16417df6
43776 .xword 0xdf3cefb9946dddc0
43777 .xword 0xcfccdc7ce5965fee
43778 .xword 0x6c0ac374578dcc24
43779 .xword 0x787f4257a32dbc97
43780 .xword 0xebb75ec095e9317e
43781 .xword 0xbc6459741cee6303
43782 .xword 0x0e61d674d7911373
43783 .xword 0xa34b7e085f47d44f
43784 .xword 0xccf7c8b829e87098
43785 .xword 0xebd41a143030bae5
43786 .xword 0xf4f6fad6b88640db
43787 .xword 0x53702d7babe22957
43788 .xword 0x7ff6de055e0cd921
43789 .xword 0x414f07e8f701115c
43790 .xword 0xfc10dd6e3ebf2662
43791 .xword 0x6e847380443fcb99
43792 .xword 0x137a7570b9b2df4c
43793_t1_aes_dest:
43794 .xword 0xDEADBEEFDEADBEEF
43795 .xword 0xDEADBEEFDEADBEEF
43796 .xword 0xDEADBEEFDEADBEEF
43797 .xword 0xDEADBEEFDEADBEEF
43798 .xword 0xDEADBEEFDEADBEEF
43799 .xword 0xDEADBEEFDEADBEEF
43800 .xword 0xDEADBEEFDEADBEEF
43801 .xword 0xDEADBEEFDEADBEEF
43802 .xword 0xDEADBEEFDEADBEEF
43803 .xword 0xDEADBEEFDEADBEEF
43804 .xword 0xDEADBEEFDEADBEEF
43805 .xword 0xDEADBEEFDEADBEEF
43806 .xword 0xDEADBEEFDEADBEEF
43807 .xword 0xDEADBEEFDEADBEEF
43808 .xword 0xDEADBEEFDEADBEEF
43809 .xword 0xDEADBEEFDEADBEEF
43810 .xword 0xDEADBEEFDEADBEEF
43811 .xword 0xDEADBEEFDEADBEEF
43812 .xword 0xDEADBEEFDEADBEEF
43813 .xword 0xDEADBEEFDEADBEEF
43814 .xword 0xDEADBEEFDEADBEEF
43815 .xword 0xDEADBEEFDEADBEEF
43816 .xword 0xDEADBEEFDEADBEEF
43817 .xword 0xDEADBEEFDEADBEEF
43818 .xword 0xDEADBEEFDEADBEEF
43819 .xword 0xDEADBEEFDEADBEEF
43820 .xword 0xDEADBEEFDEADBEEF
43821 .xword 0xDEADBEEFDEADBEEF
43822 .xword 0xDEADBEEFDEADBEEF
43823 .xword 0xDEADBEEFDEADBEEF
43824 .xword 0xDEADBEEFDEADBEEF
43825 .xword 0xDEADBEEFDEADBEEF
43826 .xword 0xDEADBEEFDEADBEEF
43827 .xword 0xDEADBEEFDEADBEEF
43828 .xword 0xDEADBEEFDEADBEEF
43829 .xword 0xDEADBEEFDEADBEEF
43830 .xword 0xDEADBEEFDEADBEEF
43831 .xword 0xDEADBEEFDEADBEEF
43832 .xword 0xDEADBEEFDEADBEEF
43833 .xword 0xDEADBEEFDEADBEEF
43834 .xword 0xDEADBEEFDEADBEEF
43835 .xword 0xDEADBEEFDEADBEEF
43836 .xword 0xDEADBEEFDEADBEEF
43837 .xword 0xDEADBEEFDEADBEEF
43838 .xword 0xDEADBEEFDEADBEEF
43839 .xword 0xDEADBEEFDEADBEEF
43840 .xword 0xDEADBEEFDEADBEEF
43841 .xword 0xDEADBEEFDEADBEEF
43842 .xword 0xDEADBEEFDEADBEEF
43843 .xword 0xDEADBEEFDEADBEEF
43844 .xword 0xDEADBEEFDEADBEEF
43845 .xword 0xDEADBEEFDEADBEEF
43846 .xword 0xDEADBEEFDEADBEEF
43847 .xword 0xDEADBEEFDEADBEEF
43848 .xword 0xDEADBEEFDEADBEEF
43849 .xword 0xDEADBEEFDEADBEEF
43850 .xword 0xDEADBEEFDEADBEEF
43851 .xword 0xDEADBEEFDEADBEEF
43852 .xword 0xDEADBEEFDEADBEEF
43853 .xword 0xDEADBEEFDEADBEEF
43854 .xword 0xDEADBEEFDEADBEEF
43855 .xword 0xDEADBEEFDEADBEEF
43856 .xword 0xDEADBEEFDEADBEEF
43857 .xword 0xDEADBEEFDEADBEEF
43858 .xword 0xDEADBEEFDEADBEEF
43859 .xword 0xDEADBEEFDEADBEEF
43860 .xword 0xDEADBEEFDEADBEEF
43861 .xword 0xDEADBEEFDEADBEEF
43862 .xword 0xDEADBEEFDEADBEEF
43863 .xword 0xDEADBEEFDEADBEEF
43864 .xword 0xDEADBEEFDEADBEEF
43865 .xword 0xDEADBEEFDEADBEEF
43866 .xword 0xDEADBEEFDEADBEEF
43867 .xword 0xDEADBEEFDEADBEEF
43868 .xword 0xDEADBEEFDEADBEEF
43869 .xword 0xDEADBEEFDEADBEEF
43870 .xword 0xDEADBEEFDEADBEEF
43871 .xword 0xDEADBEEFDEADBEEF
43872 .xword 0xDEADBEEFDEADBEEF
43873 .xword 0xDEADBEEFDEADBEEF
43874 .xword 0xDEADBEEFDEADBEEF
43875 .xword 0xDEADBEEFDEADBEEF
43876 .xword 0xDEADBEEFDEADBEEF
43877 .xword 0xDEADBEEFDEADBEEF
43878 .xword 0xDEADBEEFDEADBEEF
43879 .xword 0xDEADBEEFDEADBEEF
43880 .xword 0xDEADBEEFDEADBEEF
43881 .xword 0xDEADBEEFDEADBEEF
43882 .xword 0xDEADBEEFDEADBEEF
43883 .xword 0xDEADBEEFDEADBEEF
43884 .xword 0xDEADBEEFDEADBEEF
43885 .xword 0xDEADBEEFDEADBEEF
43886 .xword 0xDEADBEEFDEADBEEF
43887 .xword 0xDEADBEEFDEADBEEF
43888 .xword 0xDEADBEEFDEADBEEF
43889 .xword 0xDEADBEEFDEADBEEF
43890 .xword 0xDEADBEEFDEADBEEF
43891 .xword 0xDEADBEEFDEADBEEF
43892 .xword 0xDEADBEEFDEADBEEF
43893 .xword 0xDEADBEEFDEADBEEF
43894 .xword 0xDEADBEEFDEADBEEF
43895 .xword 0xDEADBEEFDEADBEEF
43896 .xword 0xDEADBEEFDEADBEEF
43897 .xword 0xDEADBEEFDEADBEEF
43898 .xword 0xDEADBEEFDEADBEEF
43899 .xword 0xDEADBEEFDEADBEEF
43900 .xword 0xDEADBEEFDEADBEEF
43901 .xword 0xDEADBEEFDEADBEEF
43902 .xword 0xDEADBEEFDEADBEEF
43903 .xword 0xDEADBEEFDEADBEEF
43904 .xword 0xDEADBEEFDEADBEEF
43905 .xword 0xDEADBEEFDEADBEEF
43906 .xword 0xDEADBEEFDEADBEEF
43907 .xword 0xDEADBEEFDEADBEEF
43908 .xword 0xDEADBEEFDEADBEEF
43909 .xword 0xDEADBEEFDEADBEEF
43910 .xword 0xDEADBEEFDEADBEEF
43911 .xword 0xDEADBEEFDEADBEEF
43912 .xword 0xDEADBEEFDEADBEEF
43913 .xword 0xDEADBEEFDEADBEEF
43914 .xword 0xDEADBEEFDEADBEEF
43915 .xword 0xDEADBEEFDEADBEEF
43916 .xword 0xDEADBEEFDEADBEEF
43917 .xword 0xDEADBEEFDEADBEEF
43918 .xword 0xDEADBEEFDEADBEEF
43919 .xword 0xDEADBEEFDEADBEEF
43920 .xword 0xDEADBEEFDEADBEEF
43921 .xword 0xDEADBEEFDEADBEEF
43922 .xword 0xDEADBEEFDEADBEEF
43923 .xword 0xDEADBEEFDEADBEEF
43924 .xword 0xDEADBEEFDEADBEEF
43925 .xword 0xDEADBEEFDEADBEEF
43926 .xword 0xDEADBEEFDEADBEEF
43927 .xword 0xDEADBEEFDEADBEEF
43928 .xword 0xDEADBEEFDEADBEEF
43929 .xword 0xDEADBEEFDEADBEEF
43930 .xword 0xDEADBEEFDEADBEEF
43931 .xword 0xDEADBEEFDEADBEEF
43932 .xword 0xDEADBEEFDEADBEEF
43933 .xword 0xDEADBEEFDEADBEEF
43934 .xword 0xDEADBEEFDEADBEEF
43935 .xword 0xDEADBEEFDEADBEEF
43936 .xword 0xDEADBEEFDEADBEEF
43937 .xword 0xDEADBEEFDEADBEEF
43938 .xword 0xDEADBEEFDEADBEEF
43939 .xword 0xDEADBEEFDEADBEEF
43940 .xword 0xDEADBEEFDEADBEEF
43941 .xword 0xDEADBEEFDEADBEEF
43942 .xword 0xDEADBEEFDEADBEEF
43943 .xword 0xDEADBEEFDEADBEEF
43944 .xword 0xDEADBEEFDEADBEEF
43945 .xword 0xDEADBEEFDEADBEEF
43946 .xword 0xDEADBEEFDEADBEEF
43947 .xword 0xDEADBEEFDEADBEEF
43948 .xword 0xDEADBEEFDEADBEEF
43949 .xword 0xDEADBEEFDEADBEEF
43950 .xword 0xDEADBEEFDEADBEEF
43951 .xword 0xDEADBEEFDEADBEEF
43952 .xword 0xDEADBEEFDEADBEEF
43953 .xword 0xDEADBEEFDEADBEEF
43954 .xword 0xDEADBEEFDEADBEEF
43955 .xword 0xDEADBEEFDEADBEEF
43956 .xword 0xDEADBEEFDEADBEEF
43957 .xword 0xDEADBEEFDEADBEEF
43958 .xword 0xDEADBEEFDEADBEEF
43959 .xword 0xDEADBEEFDEADBEEF
43960 .xword 0xDEADBEEFDEADBEEF
43961 .xword 0xDEADBEEFDEADBEEF
43962 .xword 0xDEADBEEFDEADBEEF
43963 .xword 0xDEADBEEFDEADBEEF
43964 .xword 0xDEADBEEFDEADBEEF
43965 .xword 0xDEADBEEFDEADBEEF
43966 .xword 0xDEADBEEFDEADBEEF
43967 .xword 0xDEADBEEFDEADBEEF
43968 .xword 0xDEADBEEFDEADBEEF
43969 .xword 0xDEADBEEFDEADBEEF
43970 .xword 0xDEADBEEFDEADBEEF
43971 .xword 0xDEADBEEFDEADBEEF
43972 .xword 0xDEADBEEFDEADBEEF
43973 .xword 0xDEADBEEFDEADBEEF
43974 .xword 0xDEADBEEFDEADBEEF
43975 .xword 0xDEADBEEFDEADBEEF
43976 .xword 0xDEADBEEFDEADBEEF
43977 .xword 0xDEADBEEFDEADBEEF
43978 .xword 0xDEADBEEFDEADBEEF
43979 .xword 0xDEADBEEFDEADBEEF
43980 .xword 0xDEADBEEFDEADBEEF
43981 .xword 0xDEADBEEFDEADBEEF
43982 .xword 0xDEADBEEFDEADBEEF
43983 .xword 0xDEADBEEFDEADBEEF
43984 .xword 0xDEADBEEFDEADBEEF
43985 .xword 0xDEADBEEFDEADBEEF
43986 .xword 0xDEADBEEFDEADBEEF
43987 .xword 0xDEADBEEFDEADBEEF
43988 .xword 0xDEADBEEFDEADBEEF
43989 .xword 0xDEADBEEFDEADBEEF
43990 .xword 0xDEADBEEFDEADBEEF
43991 .xword 0xDEADBEEFDEADBEEF
43992 .xword 0xDEADBEEFDEADBEEF
43993 .xword 0xDEADBEEFDEADBEEF
43994 .xword 0xDEADBEEFDEADBEEF
43995 .xword 0xDEADBEEFDEADBEEF
43996 .xword 0xDEADBEEFDEADBEEF
43997 .xword 0xDEADBEEFDEADBEEF
43998 .xword 0xDEADBEEFDEADBEEF
43999 .xword 0xDEADBEEFDEADBEEF
44000 .xword 0xDEADBEEFDEADBEEF
44001 .xword 0xDEADBEEFDEADBEEF
44002 .xword 0xDEADBEEFDEADBEEF
44003 .xword 0xDEADBEEFDEADBEEF
44004 .xword 0xDEADBEEFDEADBEEF
44005 .xword 0xDEADBEEFDEADBEEF
44006 .xword 0xDEADBEEFDEADBEEF
44007 .xword 0xDEADBEEFDEADBEEF
44008 .xword 0xDEADBEEFDEADBEEF
44009 .xword 0xDEADBEEFDEADBEEF
44010 .xword 0xDEADBEEFDEADBEEF
44011 .xword 0xDEADBEEFDEADBEEF
44012 .xword 0xDEADBEEFDEADBEEF
44013 .xword 0xDEADBEEFDEADBEEF
44014 .xword 0xDEADBEEFDEADBEEF
44015 .xword 0xDEADBEEFDEADBEEF
44016 .xword 0xDEADBEEFDEADBEEF
44017 .xword 0xDEADBEEFDEADBEEF
44018 .xword 0xDEADBEEFDEADBEEF
44019 .xword 0xDEADBEEFDEADBEEF
44020 .xword 0xDEADBEEFDEADBEEF
44021 .xword 0xDEADBEEFDEADBEEF
44022 .xword 0xDEADBEEFDEADBEEF
44023 .xword 0xDEADBEEFDEADBEEF
44024 .xword 0xDEADBEEFDEADBEEF
44025 .xword 0xDEADBEEFDEADBEEF
44026 .xword 0xDEADBEEFDEADBEEF
44027 .xword 0xDEADBEEFDEADBEEF
44028 .xword 0xDEADBEEFDEADBEEF
44029 .xword 0xDEADBEEFDEADBEEF
44030 .xword 0xDEADBEEFDEADBEEF
44031 .xword 0xDEADBEEFDEADBEEF
44032 .xword 0xDEADBEEFDEADBEEF
44033 .xword 0xDEADBEEFDEADBEEF
44034 .xword 0xDEADBEEFDEADBEEF
44035 .xword 0xDEADBEEFDEADBEEF
44036 .xword 0xDEADBEEFDEADBEEF
44037 .xword 0xDEADBEEFDEADBEEF
44038 .xword 0xDEADBEEFDEADBEEF
44039 .xword 0xDEADBEEFDEADBEEF
44040 .xword 0xDEADBEEFDEADBEEF
44041 .xword 0xDEADBEEFDEADBEEF
44042 .xword 0xDEADBEEFDEADBEEF
44043 .xword 0xDEADBEEFDEADBEEF
44044 .xword 0xDEADBEEFDEADBEEF
44045 .xword 0xDEADBEEFDEADBEEF
44046 .xword 0xDEADBEEFDEADBEEF
44047 .xword 0xDEADBEEFDEADBEEF
44048 .xword 0xDEADBEEFDEADBEEF
44049 .xword 0xDEADBEEFDEADBEEF
44050 .xword 0xDEADBEEFDEADBEEF
44051 .xword 0xDEADBEEFDEADBEEF
44052 .xword 0xDEADBEEFDEADBEEF
44053 .xword 0xDEADBEEFDEADBEEF
44054 .xword 0xDEADBEEFDEADBEEF
44055 .xword 0xDEADBEEFDEADBEEF
44056 .xword 0xDEADBEEFDEADBEEF
44057 .xword 0xDEADBEEFDEADBEEF
44058 .xword 0xDEADBEEFDEADBEEF
44059 .xword 0xDEADBEEFDEADBEEF
44060 .xword 0xDEADBEEFDEADBEEF
44061 .xword 0xDEADBEEFDEADBEEF
44062 .xword 0xDEADBEEFDEADBEEF
44063 .xword 0xDEADBEEFDEADBEEF
44064 .xword 0xDEADBEEFDEADBEEF
44065 .xword 0xDEADBEEFDEADBEEF
44066 .xword 0xDEADBEEFDEADBEEF
44067 .xword 0xDEADBEEFDEADBEEF
44068 .xword 0xDEADBEEFDEADBEEF
44069_t1_aes_auth_key:
44070 .xword 0xc0a63ffc7cbfef3f
44071 .xword 0x24797e2e12f0a17c
44072 .xword 0x0c5605a65cbf6113
44073 .xword 0xf12b5be4eb1d5dd7
44074 .xword 0x3ab4380b4ddb7df6
44075 .xword 0x641e1543cf68ee1b
44076 .xword 0x4856bfef66b31bcb
44077 .xword 0xeb0ff8339668b7cd
44078 .xword 0x8a4b35569498a6c0
44079 .xword 0x9a5a3d7191a52b5e
44080 .xword 0xab19ac1a2c87c1d4
44081 .xword 0x12d7f2be649d3d0c
44082 .xword 0x13571e05e675a10a
44083 .xword 0x299ec1a837d094ac
44084 .xword 0x7c1462ed4d78af83
44085 .xword 0xd879ec6945602d96
44086 .xword 0x5f3fbc06b8f89da3
44087 .xword 0x341531787a506a8a
44088 .xword 0xa3eca000367d68fa
44089 .xword 0x5c917eb0022a1c00
44090 .xword 0x3e7738252394c64c
44091 .xword 0xfe8bf29f82235ab4
44092 .xword 0x6c45062e75a8ec07
44093_t1_aes_auth_iv:
44094 .xword 0x3c5c94eca64bbc50
44095 .xword 0x46457b1c85ac17eb
44096 .xword 0x48d435262be38722
44097 .xword 0x661de5c31f02814a
44098 .xword 0x0289fb7f7dd7a1c1
44099 .xword 0xe69f73f0e851a5c1
44100 .xword 0x55a11806ef7f3c88
44101 .xword 0xf04122eda3ca55d5
44102 .xword 0x4016a672e722aab2
44103 .xword 0xc42f292cd82ffe84
44104 .xword 0xec8f407520e466ba
44105 .xword 0xf5fa2ad4a30b9974
44106 .xword 0xe59678cf08b2bf0f
44107 .xword 0x23c6b5a02cbec507
44108 .xword 0x4a494095882a4a23
44109 .xword 0xde882a4d9308b670
44110 .xword 0xaaa1a5897475612f
44111 .xword 0xc5818b630e39335c
44112 .xword 0x3020e8f3cdc22d12
44113 .xword 0x4dcc1c932d158050
44114 .xword 0xd9c8b68ad09bcea3
44115 .xword 0x749b5e97cc50eb2b
44116 .xword 0x1815078f64206576
44117_t1_aes_fas_result:
44118 .xword 0xDEADBEEFDEADBEEF
44119 .xword 0xDEADBEEFDEADBEEF
44120 .xword 0xDEADBEEFDEADBEEF
44121 .xword 0xDEADBEEFDEADBEEF
44122 .xword 0xDEADBEEFDEADBEEF
44123 .xword 0xDEADBEEFDEADBEEF
44124 .xword 0xDEADBEEFDEADBEEF
44125 .xword 0xDEADBEEFDEADBEEF
44126 .xword 0xDEADBEEFDEADBEEF
44127 .xword 0xDEADBEEFDEADBEEF
44128 .xword 0xDEADBEEFDEADBEEF
44129 .xword 0xDEADBEEFDEADBEEF
44130 .xword 0xDEADBEEFDEADBEEF
44131 .xword 0xDEADBEEFDEADBEEF
44132 .xword 0xDEADBEEFDEADBEEF
44133 .xword 0xDEADBEEFDEADBEEF
44134 .xword 0xDEADBEEFDEADBEEF
44135 .xword 0xDEADBEEFDEADBEEF
44136 .xword 0xDEADBEEFDEADBEEF
44137 .xword 0xDEADBEEFDEADBEEF
44138 .xword 0xDEADBEEFDEADBEEF
44139 .xword 0xDEADBEEFDEADBEEF
44140 .xword 0xDEADBEEFDEADBEEF
44141_t1_des_key_array:
44142 .xword 0x4db7bde5e54c5e39
44143 .xword 0x1aa7ce34e88ae93f
44144 .xword 0x67a35bc6f22cac9b
44145 .xword 0x13a83a6be1b25b11
44146 .xword 0x7c465d563eb5275b
44147 .xword 0x267835daf5f70817
44148 .xword 0x47c3ab0f0f2e1ec8
44149 .xword 0x83d113a0b7be698f
44150 .xword 0xdf82443ac469170b
44151 .xword 0x316b824ebf99267f
44152 .xword 0x6614045b6ffe4c76
44153 .xword 0xa27f750e46f15b42
44154 .xword 0x42410ff1686da196
44155 .xword 0xfe297eac339e7eaa
44156 .xword 0x46c0feecbdd9486e
44157 .xword 0xf36d38ff6499d0c3
44158 .xword 0x40934e25b1bf04ce
44159 .xword 0x513e3cd1f39494f8
44160 .xword 0xe8819e3025ec46f5
44161 .xword 0xb60caef8af2c9d4c
44162 .xword 0x4181b948a2e97980
44163 .xword 0x33deba9d28a0f681
44164 .xword 0xb9ee9bfba57040fd
44165 .xword 0xdf69d1f328132f41
44166 .xword 0x50816bf593682087
44167 .xword 0x75804f0e0ad42f2d
44168 .xword 0x8fedfa990eb20c62
44169 .xword 0x0836af518109a88f
44170 .xword 0xdded58b7af64ea29
44171 .xword 0xdd09d70e43ed6f63
44172 .xword 0xab3e56333a50d177
44173 .xword 0xe70eea05350a3aa7
44174 .xword 0x1a206e1c86f4ed44
44175 .xword 0x587921a53db73022
44176 .xword 0x8b1f6c3e7645fddf
44177 .xword 0xd42df519e62c9ce2
44178 .xword 0xa3c695b9cf88ff2c
44179 .xword 0x3734fa92b25e2c11
44180 .xword 0x87dde8dee31d767d
44181 .xword 0x3d8b6978ddcb4ec0
44182 .xword 0x413a22c7f2b37f1f
44183 .xword 0x88ac1f5d0a11fba7
44184 .xword 0xa966b5c1215538fe
44185 .xword 0x8b8f356b28111680
44186 .xword 0x75fbbf9ec5091693
44187 .xword 0xb2b615fbe7694873
44188 .xword 0x7f2eae419eeabcd2
44189 .xword 0xdef60070468cce4c
44190 .xword 0x6ecca14c0576fd94
44191 .xword 0x672017b30759bb3a
44192 .xword 0x3426ca3e7e890fb3
44193_t1_des_iv_array:
44194 .xword 0x858969b164bc6b47
44195 .xword 0xe0889910fd9a329c
44196 .xword 0x62e9b2f405f047a6
44197 .xword 0x7419bcf6d098c0ff
44198 .xword 0xd1f2740c202eb2ba
44199 .xword 0x6324589e1e387c81
44200 .xword 0xc5f8653a6049f2a2
44201 .xword 0xa91b2a889667af4c
44202 .xword 0x9245d8be491e244f
44203 .xword 0x7397c08109f6d0cc
44204 .xword 0x2f8e2654c5a3f7bc
44205 .xword 0x230ab01d378002ab
44206 .xword 0xd3ee3bb2027601a4
44207 .xword 0x778a423d5dc796b4
44208 .xword 0xe9e0b02d60245317
44209 .xword 0x87dd24614b1cab0c
44210 .xword 0xc6a5fe6bde30b992
44211 .xword 0x74422864c4220f09
44212 .xword 0x3de1698ba5aeab7d
44213 .xword 0x9824282ab208f486
44214 .xword 0x2817c6d2d6dcfca1
44215 .xword 0x2bb702535443d248
44216 .xword 0x4f3b516d1b1f0870
44217 .xword 0xa682feb63f54ff6b
44218 .xword 0xff9960a383b391fb
44219 .xword 0x5b9ecab7baacebe5
44220 .xword 0x612bf6d5d77b51f0
44221 .xword 0xdc1b84389b776ea3
44222 .xword 0x7808fec4097066da
44223 .xword 0x70bc7d7ea51d51bf
44224 .xword 0xd19ae74d6e00f52d
44225 .xword 0x726b2ba4dca4cc6c
44226 .xword 0xe8f21f33c17f8815
44227 .xword 0x325dc3ad8e4c4435
44228 .xword 0xddea9e09de9fa61c
44229 .xword 0xe69954bdec9eb661
44230 .xword 0x5c61704a6524c2fa
44231 .xword 0x925b775ce6632694
44232 .xword 0x38523f1f1f93cc6e
44233 .xword 0x8a48e5404cc534d0
44234 .xword 0x4d8619ceca7d7bdd
44235 .xword 0x4ca75f2fd334671f
44236 .xword 0x91cac394a6ed5cab
44237 .xword 0xd4d1af8fd5c9be3a
44238 .xword 0x910f27d08a25ee5c
44239_t1_des_alignment_array:
44240 .xword 7
44241 .xword 9
44242 .xword 2
44243 .xword 1
44244 .xword 7
44245 .xword 1
44246 .xword 0
44247 .xword 0
44248 .xword 12
44249 .xword 7
44250 .xword 6
44251 .xword 10
44252 .xword 1
44253 .xword 1
44254 .xword 13
44255 .xword 3
44256 .xword 12
44257 .xword 11
44258 .xword 0
44259 .xword 0
44260 .xword 6
44261 .xword 13
44262 .xword 6
44263 .xword 6
44264 .xword 14
44265 .xword 6
44266 .xword 2
44267 .xword 1
44268 .xword 11
44269 .xword 4
44270 .xword 13
44271 .xword 6
44272 .xword 4
44273 .xword 9
44274 .xword 8
44275 .xword 8
44276 .xword 1
44277 .xword 13
44278 .xword 8
44279 .xword 1
44280 .xword 12
44281 .xword 8
44282 .xword 3
44283 .xword 15
44284 .xword 7
44285 .xword 14
44286 .xword 0
44287 .xword 0
44288 .xword 15
44289 .xword 6
44290 .xword 1
44291 .xword 9
44292 .xword 4
44293 .xword 12
44294 .xword 9
44295 .xword 12
44296 .xword 10
44297 .xword 4
44298 .xword 5
44299 .xword 14
44300 .xword 15
44301 .xword 7
44302 .xword 11
44303 .xword 13
44304 .xword 3
44305 .xword 0
44306 .xword 11
44307 .xword 2
44308 .xword 12
44309 .xword 14
44310 .xword 8
44311 .xword 1
44312 .xword 15
44313 .xword 1
44314 .xword 7
44315 .xword 5
44316 .xword 12
44317 .xword 8
44318 .xword 9
44319 .xword 10
44320 .xword 4
44321 .xword 12
44322 .xword 12
44323 .xword 3
44324 .xword 1
44325 .xword 2
44326 .xword 13
44327 .xword 2
44328 .xword 5
44329 .xword 7
44330 .xword 12
44331 .xword 2
44332 .xword 3
44333 .xword 9
44334 .xword 9
44335 .xword 7
44336 .xword 3
44337 .xword 14
44338 .xword 8
44339 .xword 4
44340 .xword 15
44341 .xword 6
44342 .xword 7
44343 .xword 0
44344 .xword 6
44345_t1_des_src:
44346 .xword 0xe6c23e1e85f38147
44347 .xword 0xb7cf1b6054ffc6e6
44348 .xword 0xcde0730f6e9dc81d
44349 .xword 0x18cd97017caff664
44350 .xword 0xcd7543c3bb283726
44351 .xword 0x47c8e9f22a2ccfcf
44352 .xword 0x0aeb9300ee8d297b
44353 .xword 0x5cdf3ec1a662009b
44354 .xword 0xc57e555549b590fe
44355 .xword 0x135f6e3834fe1182
44356 .xword 0x94b76eb48c838db7
44357 .xword 0xf5311291ce6528dc
44358 .xword 0x856e657fbf7f94ac
44359 .xword 0x8a59b924e2d60a01
44360 .xword 0xb8ce4488b8599d64
44361 .xword 0x883bc98f10cd46e4
44362 .xword 0x6fd6ba89c5eca091
44363 .xword 0x8ff03b8a28251a36
44364 .xword 0xfb756e21eddea19d
44365 .xword 0x81283ba1c3aed6fd
44366 .xword 0x761e3a1b9f4a51c4
44367 .xword 0x27ca48f3af769d7c
44368 .xword 0x6e7aaf085f73f584
44369 .xword 0x126f0fd16e389464
44370 .xword 0x50dd7b4c650f0397
44371 .xword 0xed92dec2a836dca3
44372 .xword 0x414cb12fa1581f33
44373 .xword 0x3d1b474da6b06f3c
44374 .xword 0xd7149d60fc528239
44375 .xword 0xee9494c818ba5409
44376 .xword 0x3efeae94d43489e3
44377 .xword 0x097d7311881d6bf0
44378 .xword 0x4c44ea421ff3ace0
44379 .xword 0x14a1ac3ae2a8d698
44380 .xword 0x530128c29fb5a7c8
44381 .xword 0xcf15562dbb836924
44382 .xword 0xd4db42d4f809bd15
44383 .xword 0x94231b60e7a2a6f1
44384 .xword 0xf7ac34109c1c7d1f
44385 .xword 0xdd435122979daec0
44386 .xword 0xe2a81e247252ef5e
44387 .xword 0x65b8942664e866a4
44388 .xword 0x952121ae08a0cc66
44389 .xword 0xf36cdc3713af94b0
44390 .xword 0x1a8f9c618f688b48
44391 .xword 0xbdc865181f474ddc
44392 .xword 0x086c73f4fa59e427
44393 .xword 0x0a7e24c7e5d8805f
44394 .xword 0xaad5025ad4ab7b49
44395 .xword 0xc70bc8b9887f2aab
44396 .xword 0x9d612b4c71af6b09
44397 .xword 0xca0f7f78979bbb35
44398 .xword 0x6eaae6177350ed2c
44399 .xword 0xf2c8da6df1ad9558
44400 .xword 0xdb0bdbd40fc3d25d
44401 .xword 0x3b0643dfcae5ff90
44402 .xword 0xd80df72f9eca20db
44403 .xword 0xf28b6f7fa7088d3f
44404 .xword 0xefc3a42a91320015
44405 .xword 0x775af0cfd065a6bd
44406 .xword 0xa81b5289b8aa9fc6
44407 .xword 0x4d51c3cac05e8fed
44408 .xword 0xe0a08c79afcdfec1
44409 .xword 0x8c7fe6fa18477597
44410 .xword 0xb03a224f4095b1c7
44411 .xword 0xdfe76422a19c263a
44412 .xword 0x078fed0ed79e9624
44413 .xword 0x7bef3d6bcb04875e
44414 .xword 0x7a09f345f69bec38
44415 .xword 0x244fd140b7cfe38a
44416 .xword 0x1ea3280dc0f23f23
44417 .xword 0x4a2627e8736432c8
44418 .xword 0x4ec1009aa4d46d3e
44419 .xword 0x160ee0b254484f6e
44420 .xword 0x90ff2aa18da76876
44421 .xword 0xe6cf1d8460b5bd10
44422 .xword 0x173b4a6665420922
44423 .xword 0x389e6382af118446
44424 .xword 0xd3e23ec5d07bb72e
44425 .xword 0x869d84e0587b7a0f
44426 .xword 0x44e39163d8d09618
44427 .xword 0x250165ffbb015e64
44428 .xword 0x1a21032ca35f7e67
44429 .xword 0x452f56098b2959e2
44430 .xword 0x8ffa9b5d42bac4b9
44431 .xword 0xcade9942e844e588
44432 .xword 0xddfe8c2d245ecf63
44433 .xword 0xf7bd98674c422649
44434 .xword 0x7ef7e97868526244
44435 .xword 0x3be3a0ca1207c723
44436 .xword 0x8b804cbd98f2b18b
44437 .xword 0x19aa667842cf94ea
44438 .xword 0xe424c018fdcbc2a8
44439 .xword 0x56cf4cd598855247
44440 .xword 0x9f2bcc6e812aee03
44441 .xword 0x18a4733191a35c5d
44442 .xword 0x119528f016561d3f
44443 .xword 0xa5c1ae8557513a89
44444 .xword 0x7da65e6c1a425cdb
44445 .xword 0x07b2f2962cc41cd5
44446 .xword 0x6b0036bf44ff0c5e
44447 .xword 0x7897dfe5557fca1e
44448 .xword 0x35939d8487a5c305
44449 .xword 0xd91a06b1c11bcdc4
44450 .xword 0xd8428b5296675fa2
44451 .xword 0xf604735ee9dd6d8f
44452 .xword 0x7473af7716259939
44453 .xword 0xac6f55dd2873c584
44454 .xword 0xf7d7d45b59c07e69
44455 .xword 0xbcc4bb3fac34bb8a
44456 .xword 0x66bd77ed647b2700
44457 .xword 0x7a476dbbed913e2e
44458 .xword 0x15b879c4024b0e1f
44459 .xword 0x0a25ca772c9d01d3
44460 .xword 0x46c26a6b3b132752
44461 .xword 0xa57d29a57a5509bd
44462 .xword 0x5fcee129517f3694
44463 .xword 0x7d4d8dd441cb9f0a
44464 .xword 0xf4ca672d265630d0
44465 .xword 0xb1faf9f9d2a80a6e
44466 .xword 0x8c5b24c682ffda68
44467 .xword 0xcdefbedee5cf1fbb
44468 .xword 0x8842dd7c6e758466
44469 .xword 0xd007989c063df895
44470 .xword 0xa6298497f2516b30
44471 .xword 0x995e40c7368fabc1
44472 .xword 0xca8f74feb4d43a07
44473 .xword 0xd45cd2c5c7f3aa10
44474 .xword 0x1716d509b9e9be76
44475 .xword 0xb196ff2be21e19e5
44476 .xword 0xd257f2d55314d8b9
44477 .xword 0x21b5ab681c070a50
44478 .xword 0xa8cca90bc7bd5569
44479 .xword 0x905fdcad88434176
44480 .xword 0x44873969a9220bc3
44481 .xword 0x51d156faf1750e57
44482 .xword 0xd4ad9fc38c4a267e
44483 .xword 0xa9ae25c0d879a5cd
44484 .xword 0xfd06e9509b257710
44485 .xword 0x6fdd1f14137036bc
44486 .xword 0x7132d172b3ac8930
44487 .xword 0x18694cac890fe7f9
44488 .xword 0xaa4c3c166b508387
44489 .xword 0xa55f45a40061fe88
44490 .xword 0xe5737f048044cf58
44491 .xword 0x9a4cd7aac8a135db
44492 .xword 0x93c06f64ac7b6c4b
44493 .xword 0x85c4b72b0d9ae2b2
44494 .xword 0xebc55ff1a492dbeb
44495 .xword 0xef420349b18ccad8
44496 .xword 0x2c501fd955abf5fa
44497 .xword 0x6152e8b6e1c68fe3
44498 .xword 0x32f174ccfc86dbc3
44499 .xword 0x08369ea80b369176
44500 .xword 0x0888efcc959a591a
44501 .xword 0xd817e3ab2be4d049
44502 .xword 0x800993ed3b75da38
44503 .xword 0xe8a9caf22c4343d8
44504 .xword 0xe4d3c4db5bcf5aa8
44505 .xword 0x1358731c701b14af
44506 .xword 0xf7e7254be3220ac3
44507 .xword 0x5603904af521e07f
44508 .xword 0x3fd54d9cea38a219
44509 .xword 0x2a71fdafe1272b8c
44510 .xword 0x8201ac805d0f291b
44511 .xword 0x57bd18cb05a1782c
44512 .xword 0xb3f13e0e63b95c69
44513 .xword 0x7d1285e4e6057027
44514 .xword 0x32a70552d8bfc7b5
44515 .xword 0xa6ecd5fd265b8be1
44516 .xword 0x43dff57f96517973
44517 .xword 0x856dcccae450f96c
44518 .xword 0x67c4273881dc73da
44519 .xword 0x8854f1952cae2495
44520 .xword 0xba5bc8a9016ad123
44521 .xword 0x8b93d8739bdec4a8
44522 .xword 0x39ad1ef43f3fa739
44523 .xword 0x9dd4fcdf7e3cb9cb
44524 .xword 0x4187c76d0a0b7770
44525 .xword 0xf61b27424df2bed8
44526 .xword 0xca4754b701050f62
44527 .xword 0xed6b75a68d88e0ad
44528 .xword 0xc7c6a869ff01a580
44529 .xword 0xa51ef48cdcd6ac4e
44530 .xword 0x62cf845f3e3c958c
44531 .xword 0x286d9bac7c8cae29
44532 .xword 0xb9c14615b65694b1
44533 .xword 0x5f5ba6d78e97201e
44534 .xword 0xc4bdeca6d8ea0ee6
44535 .xword 0x009b8c3aa3ed6838
44536 .xword 0xc71e813c4ba7f6cb
44537 .xword 0xce8006d83fda034f
44538 .xword 0x37cf04035c39f4ef
44539 .xword 0x9316a3ff263cd468
44540 .xword 0x348e6899a0dcf843
44541 .xword 0x98c9dfea937e81b1
44542 .xword 0x09549462b5c42be3
44543 .xword 0xb6ff42d3d18d8227
44544 .xword 0x186cd9beea90bac0
44545 .xword 0xc0e381cdfcb2e310
44546 .xword 0x8eca481f3bc619bd
44547 .xword 0x6cea4cb01f7fffa5
44548 .xword 0xd610a128933f18a9
44549 .xword 0x2e2d2647484e241c
44550 .xword 0x7e6b5c5247a2b3e4
44551 .xword 0x3abbd1f155062917
44552 .xword 0x6562938e0865eb05
44553 .xword 0xbd80f221b8064ec2
44554 .xword 0xf1a3d1c196c9d51b
44555 .xword 0xe63f320c85362e23
44556 .xword 0xc0b741b2ae28e2ba
44557 .xword 0xfcc9885f602f3ae1
44558 .xword 0xabe55a63dd66b649
44559 .xword 0x365eade67ce13d75
44560 .xword 0x8cc81ace8907b8f2
44561 .xword 0x8304b3a9908abd74
44562 .xword 0x8432415e8e4c7978
44563 .xword 0x52dfd2138f700dc1
44564 .xword 0x4c418d812489189b
44565 .xword 0xe7dfeecc7055c1f9
44566 .xword 0x119a9f1f959066dd
44567 .xword 0x327f3f363bcc4faf
44568 .xword 0x7aac098ed3b26e07
44569 .xword 0x261689b3c12bcd99
44570 .xword 0xec3cf9b5d86cd071
44571 .xword 0xd954a07803c559e9
44572 .xword 0x120144ac0517b9c9
44573 .xword 0x99ece762aae10422
44574 .xword 0x47691efaf1b9c77d
44575 .xword 0x6f920e06ec579aa0
44576 .xword 0x07084ddbf106deb3
44577 .xword 0x60aafdef14593a3a
44578 .xword 0x574d795c67a8bb1c
44579 .xword 0x4a06ddd7ccb250bf
44580 .xword 0x7d7a31f7ffd7b1d4
44581 .xword 0xdbf8ec56267dc8a6
44582 .xword 0xdf27e75d2170b981
44583 .xword 0x512a1ce78b99c4df
44584 .xword 0x9171db3c35dfe687
44585 .xword 0xb5c912c5cd0440ac
44586 .xword 0xac5185c280da0225
44587 .xword 0x9114f3d1eaba96fc
44588 .xword 0xd5c54dedd627979f
44589 .xword 0x29fa4473245efcba
44590 .xword 0xfa59be0e06c56a4d
44591 .xword 0xb53c84f4d973f5a0
44592 .xword 0x75debdd50a243260
44593 .xword 0xcbed5ed0e13a1202
44594 .xword 0xcf356905af8c010c
44595 .xword 0x9e2a8732696332b6
44596 .xword 0xe3de4a6081f5cd57
44597 .xword 0x666ad2615e3892c6
44598 .xword 0x0b4a0fa463b9121d
44599 .xword 0x0e8bf4e91909f8fb
44600 .xword 0xa231bc6605ea00bb
44601 .xword 0xbfb363d512a535d4
44602 .xword 0x329a9c7401fcbaf7
44603 .xword 0x3197b3b9ac00010e
44604 .xword 0xb00e5572ceba16cf
44605 .xword 0xb24ab90907660d51
44606 .xword 0xb490b3db256b5696
44607 .xword 0x6a2413da6a1c111b
44608 .xword 0xfeb9f255eb2a9263
44609 .xword 0x765845b01230e68b
44610 .xword 0x2a1f49afc02edd00
44611 .xword 0x676416245a570a7d
44612 .xword 0xfe35288a2ff95bb1
44613 .xword 0xd2f9d855b5101668
44614 .xword 0x8d6a340480ab7632
44615 .xword 0x9d5a0c85d626dacf
44616 .xword 0x6cd9b812ba4f2874
44617 .xword 0xe4b444008d0d37eb
44618 .xword 0x5c416cbaa8ffb639
44619 .xword 0x8c5ca73de4a01883
44620 .xword 0xf297bde0207c9312
44621_t1_des_dest:
44622 .xword 0xDEADBEEFDEADBEEF
44623 .xword 0xDEADBEEFDEADBEEF
44624 .xword 0xDEADBEEFDEADBEEF
44625 .xword 0xDEADBEEFDEADBEEF
44626 .xword 0xDEADBEEFDEADBEEF
44627 .xword 0xDEADBEEFDEADBEEF
44628 .xword 0xDEADBEEFDEADBEEF
44629 .xword 0xDEADBEEFDEADBEEF
44630 .xword 0xDEADBEEFDEADBEEF
44631 .xword 0xDEADBEEFDEADBEEF
44632 .xword 0xDEADBEEFDEADBEEF
44633 .xword 0xDEADBEEFDEADBEEF
44634 .xword 0xDEADBEEFDEADBEEF
44635 .xword 0xDEADBEEFDEADBEEF
44636 .xword 0xDEADBEEFDEADBEEF
44637 .xword 0xDEADBEEFDEADBEEF
44638 .xword 0xDEADBEEFDEADBEEF
44639 .xword 0xDEADBEEFDEADBEEF
44640 .xword 0xDEADBEEFDEADBEEF
44641 .xword 0xDEADBEEFDEADBEEF
44642 .xword 0xDEADBEEFDEADBEEF
44643 .xword 0xDEADBEEFDEADBEEF
44644 .xword 0xDEADBEEFDEADBEEF
44645 .xword 0xDEADBEEFDEADBEEF
44646 .xword 0xDEADBEEFDEADBEEF
44647 .xword 0xDEADBEEFDEADBEEF
44648 .xword 0xDEADBEEFDEADBEEF
44649 .xword 0xDEADBEEFDEADBEEF
44650 .xword 0xDEADBEEFDEADBEEF
44651 .xword 0xDEADBEEFDEADBEEF
44652 .xword 0xDEADBEEFDEADBEEF
44653 .xword 0xDEADBEEFDEADBEEF
44654 .xword 0xDEADBEEFDEADBEEF
44655 .xword 0xDEADBEEFDEADBEEF
44656 .xword 0xDEADBEEFDEADBEEF
44657 .xword 0xDEADBEEFDEADBEEF
44658 .xword 0xDEADBEEFDEADBEEF
44659 .xword 0xDEADBEEFDEADBEEF
44660 .xword 0xDEADBEEFDEADBEEF
44661 .xword 0xDEADBEEFDEADBEEF
44662 .xword 0xDEADBEEFDEADBEEF
44663 .xword 0xDEADBEEFDEADBEEF
44664 .xword 0xDEADBEEFDEADBEEF
44665 .xword 0xDEADBEEFDEADBEEF
44666 .xword 0xDEADBEEFDEADBEEF
44667 .xword 0xDEADBEEFDEADBEEF
44668 .xword 0xDEADBEEFDEADBEEF
44669 .xword 0xDEADBEEFDEADBEEF
44670 .xword 0xDEADBEEFDEADBEEF
44671 .xword 0xDEADBEEFDEADBEEF
44672 .xword 0xDEADBEEFDEADBEEF
44673 .xword 0xDEADBEEFDEADBEEF
44674 .xword 0xDEADBEEFDEADBEEF
44675 .xword 0xDEADBEEFDEADBEEF
44676 .xword 0xDEADBEEFDEADBEEF
44677 .xword 0xDEADBEEFDEADBEEF
44678 .xword 0xDEADBEEFDEADBEEF
44679 .xword 0xDEADBEEFDEADBEEF
44680 .xword 0xDEADBEEFDEADBEEF
44681 .xword 0xDEADBEEFDEADBEEF
44682 .xword 0xDEADBEEFDEADBEEF
44683 .xword 0xDEADBEEFDEADBEEF
44684 .xword 0xDEADBEEFDEADBEEF
44685 .xword 0xDEADBEEFDEADBEEF
44686 .xword 0xDEADBEEFDEADBEEF
44687 .xword 0xDEADBEEFDEADBEEF
44688 .xword 0xDEADBEEFDEADBEEF
44689 .xword 0xDEADBEEFDEADBEEF
44690 .xword 0xDEADBEEFDEADBEEF
44691 .xword 0xDEADBEEFDEADBEEF
44692 .xword 0xDEADBEEFDEADBEEF
44693 .xword 0xDEADBEEFDEADBEEF
44694 .xword 0xDEADBEEFDEADBEEF
44695 .xword 0xDEADBEEFDEADBEEF
44696 .xword 0xDEADBEEFDEADBEEF
44697 .xword 0xDEADBEEFDEADBEEF
44698 .xword 0xDEADBEEFDEADBEEF
44699 .xword 0xDEADBEEFDEADBEEF
44700 .xword 0xDEADBEEFDEADBEEF
44701 .xword 0xDEADBEEFDEADBEEF
44702 .xword 0xDEADBEEFDEADBEEF
44703 .xword 0xDEADBEEFDEADBEEF
44704 .xword 0xDEADBEEFDEADBEEF
44705 .xword 0xDEADBEEFDEADBEEF
44706 .xword 0xDEADBEEFDEADBEEF
44707 .xword 0xDEADBEEFDEADBEEF
44708 .xword 0xDEADBEEFDEADBEEF
44709 .xword 0xDEADBEEFDEADBEEF
44710 .xword 0xDEADBEEFDEADBEEF
44711 .xword 0xDEADBEEFDEADBEEF
44712 .xword 0xDEADBEEFDEADBEEF
44713 .xword 0xDEADBEEFDEADBEEF
44714 .xword 0xDEADBEEFDEADBEEF
44715 .xword 0xDEADBEEFDEADBEEF
44716 .xword 0xDEADBEEFDEADBEEF
44717 .xword 0xDEADBEEFDEADBEEF
44718 .xword 0xDEADBEEFDEADBEEF
44719 .xword 0xDEADBEEFDEADBEEF
44720 .xword 0xDEADBEEFDEADBEEF
44721 .xword 0xDEADBEEFDEADBEEF
44722 .xword 0xDEADBEEFDEADBEEF
44723 .xword 0xDEADBEEFDEADBEEF
44724 .xword 0xDEADBEEFDEADBEEF
44725 .xword 0xDEADBEEFDEADBEEF
44726 .xword 0xDEADBEEFDEADBEEF
44727 .xword 0xDEADBEEFDEADBEEF
44728 .xword 0xDEADBEEFDEADBEEF
44729 .xword 0xDEADBEEFDEADBEEF
44730 .xword 0xDEADBEEFDEADBEEF
44731 .xword 0xDEADBEEFDEADBEEF
44732 .xword 0xDEADBEEFDEADBEEF
44733 .xword 0xDEADBEEFDEADBEEF
44734 .xword 0xDEADBEEFDEADBEEF
44735 .xword 0xDEADBEEFDEADBEEF
44736 .xword 0xDEADBEEFDEADBEEF
44737 .xword 0xDEADBEEFDEADBEEF
44738 .xword 0xDEADBEEFDEADBEEF
44739 .xword 0xDEADBEEFDEADBEEF
44740 .xword 0xDEADBEEFDEADBEEF
44741 .xword 0xDEADBEEFDEADBEEF
44742 .xword 0xDEADBEEFDEADBEEF
44743 .xword 0xDEADBEEFDEADBEEF
44744 .xword 0xDEADBEEFDEADBEEF
44745 .xword 0xDEADBEEFDEADBEEF
44746 .xword 0xDEADBEEFDEADBEEF
44747 .xword 0xDEADBEEFDEADBEEF
44748 .xword 0xDEADBEEFDEADBEEF
44749 .xword 0xDEADBEEFDEADBEEF
44750 .xword 0xDEADBEEFDEADBEEF
44751 .xword 0xDEADBEEFDEADBEEF
44752 .xword 0xDEADBEEFDEADBEEF
44753 .xword 0xDEADBEEFDEADBEEF
44754 .xword 0xDEADBEEFDEADBEEF
44755 .xword 0xDEADBEEFDEADBEEF
44756 .xword 0xDEADBEEFDEADBEEF
44757 .xword 0xDEADBEEFDEADBEEF
44758 .xword 0xDEADBEEFDEADBEEF
44759 .xword 0xDEADBEEFDEADBEEF
44760 .xword 0xDEADBEEFDEADBEEF
44761 .xword 0xDEADBEEFDEADBEEF
44762 .xword 0xDEADBEEFDEADBEEF
44763 .xword 0xDEADBEEFDEADBEEF
44764 .xword 0xDEADBEEFDEADBEEF
44765 .xword 0xDEADBEEFDEADBEEF
44766 .xword 0xDEADBEEFDEADBEEF
44767 .xword 0xDEADBEEFDEADBEEF
44768 .xword 0xDEADBEEFDEADBEEF
44769 .xword 0xDEADBEEFDEADBEEF
44770 .xword 0xDEADBEEFDEADBEEF
44771 .xword 0xDEADBEEFDEADBEEF
44772 .xword 0xDEADBEEFDEADBEEF
44773 .xword 0xDEADBEEFDEADBEEF
44774 .xword 0xDEADBEEFDEADBEEF
44775 .xword 0xDEADBEEFDEADBEEF
44776 .xword 0xDEADBEEFDEADBEEF
44777 .xword 0xDEADBEEFDEADBEEF
44778 .xword 0xDEADBEEFDEADBEEF
44779 .xword 0xDEADBEEFDEADBEEF
44780 .xword 0xDEADBEEFDEADBEEF
44781 .xword 0xDEADBEEFDEADBEEF
44782 .xword 0xDEADBEEFDEADBEEF
44783 .xword 0xDEADBEEFDEADBEEF
44784 .xword 0xDEADBEEFDEADBEEF
44785 .xword 0xDEADBEEFDEADBEEF
44786 .xword 0xDEADBEEFDEADBEEF
44787 .xword 0xDEADBEEFDEADBEEF
44788 .xword 0xDEADBEEFDEADBEEF
44789 .xword 0xDEADBEEFDEADBEEF
44790 .xword 0xDEADBEEFDEADBEEF
44791 .xword 0xDEADBEEFDEADBEEF
44792 .xword 0xDEADBEEFDEADBEEF
44793 .xword 0xDEADBEEFDEADBEEF
44794 .xword 0xDEADBEEFDEADBEEF
44795 .xword 0xDEADBEEFDEADBEEF
44796 .xword 0xDEADBEEFDEADBEEF
44797 .xword 0xDEADBEEFDEADBEEF
44798 .xword 0xDEADBEEFDEADBEEF
44799 .xword 0xDEADBEEFDEADBEEF
44800 .xword 0xDEADBEEFDEADBEEF
44801 .xword 0xDEADBEEFDEADBEEF
44802 .xword 0xDEADBEEFDEADBEEF
44803 .xword 0xDEADBEEFDEADBEEF
44804 .xword 0xDEADBEEFDEADBEEF
44805 .xword 0xDEADBEEFDEADBEEF
44806 .xword 0xDEADBEEFDEADBEEF
44807 .xword 0xDEADBEEFDEADBEEF
44808 .xword 0xDEADBEEFDEADBEEF
44809 .xword 0xDEADBEEFDEADBEEF
44810 .xword 0xDEADBEEFDEADBEEF
44811 .xword 0xDEADBEEFDEADBEEF
44812 .xword 0xDEADBEEFDEADBEEF
44813 .xword 0xDEADBEEFDEADBEEF
44814 .xword 0xDEADBEEFDEADBEEF
44815 .xword 0xDEADBEEFDEADBEEF
44816 .xword 0xDEADBEEFDEADBEEF
44817 .xword 0xDEADBEEFDEADBEEF
44818 .xword 0xDEADBEEFDEADBEEF
44819 .xword 0xDEADBEEFDEADBEEF
44820 .xword 0xDEADBEEFDEADBEEF
44821 .xword 0xDEADBEEFDEADBEEF
44822 .xword 0xDEADBEEFDEADBEEF
44823 .xword 0xDEADBEEFDEADBEEF
44824 .xword 0xDEADBEEFDEADBEEF
44825 .xword 0xDEADBEEFDEADBEEF
44826 .xword 0xDEADBEEFDEADBEEF
44827 .xword 0xDEADBEEFDEADBEEF
44828 .xword 0xDEADBEEFDEADBEEF
44829 .xword 0xDEADBEEFDEADBEEF
44830 .xword 0xDEADBEEFDEADBEEF
44831 .xword 0xDEADBEEFDEADBEEF
44832 .xword 0xDEADBEEFDEADBEEF
44833 .xword 0xDEADBEEFDEADBEEF
44834 .xword 0xDEADBEEFDEADBEEF
44835 .xword 0xDEADBEEFDEADBEEF
44836 .xword 0xDEADBEEFDEADBEEF
44837 .xword 0xDEADBEEFDEADBEEF
44838 .xword 0xDEADBEEFDEADBEEF
44839 .xword 0xDEADBEEFDEADBEEF
44840 .xword 0xDEADBEEFDEADBEEF
44841 .xword 0xDEADBEEFDEADBEEF
44842 .xword 0xDEADBEEFDEADBEEF
44843 .xword 0xDEADBEEFDEADBEEF
44844 .xword 0xDEADBEEFDEADBEEF
44845 .xword 0xDEADBEEFDEADBEEF
44846 .xword 0xDEADBEEFDEADBEEF
44847 .xword 0xDEADBEEFDEADBEEF
44848 .xword 0xDEADBEEFDEADBEEF
44849 .xword 0xDEADBEEFDEADBEEF
44850 .xword 0xDEADBEEFDEADBEEF
44851 .xword 0xDEADBEEFDEADBEEF
44852 .xword 0xDEADBEEFDEADBEEF
44853 .xword 0xDEADBEEFDEADBEEF
44854 .xword 0xDEADBEEFDEADBEEF
44855 .xword 0xDEADBEEFDEADBEEF
44856 .xword 0xDEADBEEFDEADBEEF
44857 .xword 0xDEADBEEFDEADBEEF
44858 .xword 0xDEADBEEFDEADBEEF
44859 .xword 0xDEADBEEFDEADBEEF
44860 .xword 0xDEADBEEFDEADBEEF
44861 .xword 0xDEADBEEFDEADBEEF
44862 .xword 0xDEADBEEFDEADBEEF
44863 .xword 0xDEADBEEFDEADBEEF
44864 .xword 0xDEADBEEFDEADBEEF
44865 .xword 0xDEADBEEFDEADBEEF
44866 .xword 0xDEADBEEFDEADBEEF
44867 .xword 0xDEADBEEFDEADBEEF
44868 .xword 0xDEADBEEFDEADBEEF
44869 .xword 0xDEADBEEFDEADBEEF
44870 .xword 0xDEADBEEFDEADBEEF
44871 .xword 0xDEADBEEFDEADBEEF
44872 .xword 0xDEADBEEFDEADBEEF
44873 .xword 0xDEADBEEFDEADBEEF
44874 .xword 0xDEADBEEFDEADBEEF
44875 .xword 0xDEADBEEFDEADBEEF
44876 .xword 0xDEADBEEFDEADBEEF
44877 .xword 0xDEADBEEFDEADBEEF
44878 .xword 0xDEADBEEFDEADBEEF
44879 .xword 0xDEADBEEFDEADBEEF
44880 .xword 0xDEADBEEFDEADBEEF
44881 .xword 0xDEADBEEFDEADBEEF
44882 .xword 0xDEADBEEFDEADBEEF
44883 .xword 0xDEADBEEFDEADBEEF
44884 .xword 0xDEADBEEFDEADBEEF
44885 .xword 0xDEADBEEFDEADBEEF
44886 .xword 0xDEADBEEFDEADBEEF
44887 .xword 0xDEADBEEFDEADBEEF
44888 .xword 0xDEADBEEFDEADBEEF
44889 .xword 0xDEADBEEFDEADBEEF
44890 .xword 0xDEADBEEFDEADBEEF
44891 .xword 0xDEADBEEFDEADBEEF
44892 .xword 0xDEADBEEFDEADBEEF
44893 .xword 0xDEADBEEFDEADBEEF
44894 .xword 0xDEADBEEFDEADBEEF
44895 .xword 0xDEADBEEFDEADBEEF
44896 .xword 0xDEADBEEFDEADBEEF
44897_t1_des_auth_key:
44898 .xword 0x6575da6eb8d15788
44899 .xword 0x1dd9483ce3e302d7
44900 .xword 0x31a1fa27eabb8a52
44901 .xword 0xff06b4a13f8c13d8
44902 .xword 0xd17de451068feb71
44903 .xword 0xd18094a907390b9c
44904 .xword 0xb73d21a721bced11
44905 .xword 0x3979270d2950eed4
44906 .xword 0x1af60ce9cf24be3e
44907 .xword 0xc43b00579355a384
44908 .xword 0xebe482d68a7ba135
44909 .xword 0xca2ea36a060dc7cf
44910 .xword 0xbf034a2bb728870f
44911 .xword 0x90b029636028d259
44912 .xword 0x97d743a3e44cf55a
44913 .xword 0x49040faa1f936e98
44914 .xword 0x95939cef7f6186d7
44915 .xword 0x076bc1b3b904f4ac
44916 .xword 0x0a4407003f3578cd
44917 .xword 0x438caa297db162a1
44918 .xword 0x27e8bafa1eb994b2
44919 .xword 0x242f629a173269e9
44920 .xword 0xaf9036a0c6933f30
44921_t1_des_auth_iv:
44922 .xword 0x8799a85fc9921ce9
44923 .xword 0x38f80581de9f494a
44924 .xword 0x2ed8b2f82d01a479
44925 .xword 0x1adefc1c491e9ae4
44926 .xword 0x1f20e820a0c3669f
44927 .xword 0xf9b781ad7f309ea6
44928 .xword 0x41f71af2893b4f45
44929 .xword 0x8f7fb5cd91c66aa8
44930 .xword 0x4c7a3940fc8992a5
44931 .xword 0x6b02571e55e34b74
44932 .xword 0xc5d2b03bd03b83e7
44933 .xword 0x7038353489764a29
44934 .xword 0x049b92385635c156
44935 .xword 0x6f3a44b677a3f7e9
44936 .xword 0x9b1ad38f1adc1623
44937 .xword 0x075b3013b87ad564
44938 .xword 0x28db039e8f2c88c7
44939 .xword 0x152c202352173690
44940 .xword 0x18a3e13e1c62d219
44941 .xword 0x6fe1fe29ad0c78d2
44942 .xword 0x3ed5372c91cab4a8
44943 .xword 0xbbd6097d481dbcb1
44944 .xword 0x014beda8ac6cac46
44945_t1_des_fas_result:
44946 .xword 0xDEADBEEFDEADBEEF
44947 .xword 0xDEADBEEFDEADBEEF
44948 .xword 0xDEADBEEFDEADBEEF
44949 .xword 0xDEADBEEFDEADBEEF
44950 .xword 0xDEADBEEFDEADBEEF
44951 .xword 0xDEADBEEFDEADBEEF
44952 .xword 0xDEADBEEFDEADBEEF
44953 .xword 0xDEADBEEFDEADBEEF
44954 .xword 0xDEADBEEFDEADBEEF
44955 .xword 0xDEADBEEFDEADBEEF
44956 .xword 0xDEADBEEFDEADBEEF
44957 .xword 0xDEADBEEFDEADBEEF
44958 .xword 0xDEADBEEFDEADBEEF
44959 .xword 0xDEADBEEFDEADBEEF
44960 .xword 0xDEADBEEFDEADBEEF
44961 .xword 0xDEADBEEFDEADBEEF
44962 .xword 0xDEADBEEFDEADBEEF
44963 .xword 0xDEADBEEFDEADBEEF
44964 .xword 0xDEADBEEFDEADBEEF
44965 .xword 0xDEADBEEFDEADBEEF
44966 .xword 0xDEADBEEFDEADBEEF
44967 .xword 0xDEADBEEFDEADBEEF
44968 .xword 0xDEADBEEFDEADBEEF
44969_t1_copy_key_array:
44970 .xword 0x15027ec6ac1cea9c
44971 .xword 0xec52fab69bf722e2
44972 .xword 0x128f3832d94d921c
44973 .xword 0xff4441d2cc56f85e
44974 .xword 0x3720be9a78fcafb9
44975 .xword 0xded262355f4e460f
44976 .xword 0xe25f93bdd6763b04
44977 .xword 0xb411cf5c66dc6aa5
44978 .xword 0x295657881177d0a9
44979 .xword 0x5d968599e4c41473
44980 .xword 0x105a582f3aaafebb
44981 .xword 0x88fd5a65b30acfa1
44982 .xword 0x76a7fc167f8ac6c4
44983 .xword 0xd90b8173c8d046f6
44984 .xword 0x369b609101e2832d
44985 .xword 0x5221570b6163a3a3
44986 .xword 0x3ad34d198993a192
44987 .xword 0xa1002e9eb631b95c
44988 .xword 0x76a50d4614f10504
44989 .xword 0xd60e9e0218f7c2f0
44990 .xword 0x8638001c33562692
44991 .xword 0x868821e86b9cb448
44992 .xword 0x29757dbdae5906a9
44993 .xword 0x8598f981ec3111cb
44994 .xword 0x6ac1bd962d2c6811
44995 .xword 0x5be1ecb376e3c6da
44996 .xword 0xee2afb5bae3730e5
44997 .xword 0xc56dab70d9d10262
44998 .xword 0x3c7c75a0aab0cf58
44999 .xword 0x123572a0b8d9c9ef
45000 .xword 0x9f7850633de9826e
45001 .xword 0xf874d69f4933907f
45002 .xword 0x728f736649b707b9
45003 .xword 0xc53cfb9e6c2f756f
45004 .xword 0x9e581de76ab2f295
45005 .xword 0x52a1765d31790767
45006 .xword 0xf726a72af8d2246a
45007 .xword 0x759ef8e6a500c3c4
45008 .xword 0xd61b8738d291dbb5
45009 .xword 0x10411cf968e1eb02
45010 .xword 0xbd2ca9fd40a083d4
45011 .xword 0x22c0388b2844d2b3
45012 .xword 0xca4b0c46ec582959
45013 .xword 0x5a1cfaaf3227f921
45014 .xword 0xd458b8720bac5419
45015 .xword 0x012d2e93bd6dbd51
45016 .xword 0x6d59db04ce38cef6
45017 .xword 0x7dda2917ab5b09f5
45018 .xword 0x72c2e8ebb92a570a
45019 .xword 0xe175d952c3646acd
45020 .xword 0x558b5edca4f0e63c
45021_t1_copy_iv_array:
45022 .xword 0x84ac136b1aad75b9
45023 .xword 0x89cf140cd4cc7a3d
45024 .xword 0x3e378283c1bec3ad
45025 .xword 0xcbfe814142244b5c
45026 .xword 0x110de27ec528fbcb
45027 .xword 0x84c6556922417145
45028 .xword 0x3698f4ba8f6ac74d
45029 .xword 0xfebb17b15e749a79
45030 .xword 0xc173e888929931af
45031 .xword 0x3c663b9f667b80ed
45032 .xword 0x1590bc1fcea7110d
45033 .xword 0xdce92dd9c187f662
45034 .xword 0x5d73494e652e5995
45035 .xword 0x7149360478aa58ca
45036 .xword 0x0d43c4bb6ec40506
45037 .xword 0x4056108f3d6ba283
45038 .xword 0xbc0cd0c650e0a6b8
45039 .xword 0x15efce267ea1bd2b
45040 .xword 0xc1119321f9b04ef4
45041 .xword 0x7d1dd96670894133
45042 .xword 0xc30e880376373783
45043 .xword 0xbb22655c449ca5dc
45044 .xword 0x324289d8076fc4aa
45045 .xword 0x7fba7c450a703725
45046 .xword 0x78396574ea2190e3
45047 .xword 0xd80d927034044d45
45048 .xword 0x21dcf6f9049d1577
45049 .xword 0x040eaf507424920c
45050 .xword 0xc25a526d4268ca48
45051 .xword 0x3f2e5c370ee594a8
45052 .xword 0x788aef8db1ab559c
45053 .xword 0x15b7d58a6e70e5c1
45054 .xword 0xbf4a7b09112accfa
45055 .xword 0x389810f09b1a7911
45056 .xword 0xdfad439bfb6b1ab9
45057 .xword 0x68db5c70eb9eafa4
45058 .xword 0x6aff76332c30dfee
45059 .xword 0x31c335eb41e90334
45060 .xword 0x7d1b397e4a79d33b
45061 .xword 0xb91497d7884afa5f
45062 .xword 0x8f13c839cc41cec3
45063 .xword 0xd9406b4432d700de
45064 .xword 0x593c33110e229d23
45065 .xword 0x890a02db12507cea
45066 .xword 0x321ced17fe04251c
45067_t1_copy_alignment_array:
45068 .xword 5
45069 .xword 6
45070 .xword 9
45071 .xword 14
45072 .xword 9
45073 .xword 8
45074 .xword 11
45075 .xword 10
45076 .xword 11
45077 .xword 8
45078 .xword 13
45079 .xword 9
45080 .xword 7
45081 .xword 12
45082 .xword 5
45083 .xword 7
45084 .xword 10
45085 .xword 1
45086 .xword 8
45087 .xword 2
45088 .xword 15
45089 .xword 11
45090 .xword 3
45091 .xword 11
45092 .xword 1
45093 .xword 9
45094 .xword 0
45095 .xword 12
45096 .xword 12
45097 .xword 15
45098 .xword 15
45099 .xword 12
45100 .xword 10
45101 .xword 9
45102 .xword 5
45103 .xword 8
45104 .xword 1
45105 .xword 12
45106 .xword 9
45107 .xword 7
45108 .xword 2
45109 .xword 12
45110 .xword 10
45111 .xword 13
45112 .xword 7
45113 .xword 8
45114 .xword 11
45115 .xword 11
45116 .xword 6
45117 .xword 6
45118 .xword 13
45119 .xword 2
45120 .xword 5
45121 .xword 2
45122 .xword 6
45123 .xword 5
45124 .xword 0
45125 .xword 14
45126 .xword 11
45127 .xword 12
45128 .xword 7
45129 .xword 7
45130 .xword 12
45131 .xword 7
45132 .xword 4
45133 .xword 0
45134 .xword 15
45135 .xword 4
45136 .xword 13
45137 .xword 8
45138 .xword 9
45139 .xword 9
45140 .xword 2
45141 .xword 11
45142 .xword 8
45143 .xword 1
45144 .xword 12
45145 .xword 3
45146 .xword 4
45147 .xword 8
45148 .xword 7
45149 .xword 14
45150 .xword 0
45151 .xword 6
45152 .xword 0
45153 .xword 1
45154 .xword 1
45155 .xword 5
45156 .xword 6
45157 .xword 12
45158 .xword 3
45159 .xword 14
45160 .xword 1
45161 .xword 9
45162 .xword 4
45163 .xword 6
45164 .xword 0
45165 .xword 11
45166 .xword 12
45167 .xword 4
45168 .xword 11
45169 .xword 4
45170 .xword 12
45171 .xword 8
45172 .xword 14
45173_t1_copy_src:
45174 .xword 0x6491b81d2e921bd7
45175 .xword 0x737df9f4b40b8c19
45176 .xword 0x1e50e337bcf344cd
45177 .xword 0xd2f568361afccb3e
45178 .xword 0x6ea3d75f5fcdec1e
45179 .xword 0xeaa6db641e34e347
45180 .xword 0x612784f8b9914fc8
45181 .xword 0xc5bbe5c9b199ded0
45182 .xword 0x3503016e995b89bf
45183 .xword 0x06a7611ccd0132a8
45184 .xword 0x3806955fbd16f506
45185 .xword 0xacb3b642ddc29c6b
45186 .xword 0xe39b226bb753e16f
45187 .xword 0x5e7d74d2c6e4b914
45188 .xword 0x84e2ee19bf9c4035
45189 .xword 0x0c1fad1ba1cbfc86
45190 .xword 0x22b6290c6df80b95
45191 .xword 0x40a5c41cf66c73ea
45192 .xword 0xd4ef7917dfc90f90
45193 .xword 0xa19e9854578b4953
45194 .xword 0xb5d4915b1aaaffb2
45195 .xword 0x6e0238f97d0e35ba
45196 .xword 0x5e289a3d45540f46
45197 .xword 0x3c3001d600882e8f
45198 .xword 0x55a160b6d7853194
45199 .xword 0xeb9a5e9d5c8a223a
45200 .xword 0x5ffa21f2dc22e2d6
45201 .xword 0x445998567a5e3f7f
45202 .xword 0xd5b6325e600a9da4
45203 .xword 0xd622324aefb3dca6
45204 .xword 0xa4f1f4d00fffd522
45205 .xword 0xb74ebc0701528cf2
45206 .xword 0x7b85ab9d19a55c4f
45207 .xword 0x5fbe3496775b7bca
45208 .xword 0x54e1f640759d67cc
45209 .xword 0xcfcb2a5688f7fe90
45210 .xword 0xfc048632214cbf3f
45211 .xword 0x507c374b6df512a9
45212 .xword 0x868aed49aca5e5a2
45213 .xword 0x3712bbc8cd1e0a2c
45214 .xword 0xc8929f51c7cbd1cd
45215 .xword 0xf4f07113b4846369
45216 .xword 0xf114de201f7543a8
45217 .xword 0x0fce1ae1994f1d82
45218 .xword 0x69d95e4cf50d4dc7
45219 .xword 0xe6b02b82fe430b1f
45220 .xword 0x8a2b24804b2d43ca
45221 .xword 0x31680d18c4ce0510
45222 .xword 0xa5375783036587f2
45223 .xword 0x279ead493e279570
45224 .xword 0x247ca2f0a37678af
45225 .xword 0xbd12276d3a83d41e
45226 .xword 0xdb42b48c0b8911e2
45227 .xword 0x0e80264d8881a50f
45228 .xword 0x9a3815eb097ca22a
45229 .xword 0xe2501a998a817c31
45230 .xword 0xb1345fe4b40774f2
45231 .xword 0x4e8534159a4fb9fb
45232 .xword 0xdfe29b4c8b045b70
45233 .xword 0xb31895a94ebc18a7
45234 .xword 0xd421cb0224ebf6b5
45235 .xword 0x11fdc99746f53e4a
45236 .xword 0xdccc934026db1df5
45237 .xword 0x1c11f31e251c2d50
45238 .xword 0x9668f6bc6b55286c
45239 .xword 0x0be692da63d248f0
45240 .xword 0xc1e471344e9648a3
45241 .xword 0x2b6d6f1f1d324b34
45242 .xword 0xf7cb6a9b237ef6f7
45243 .xword 0x4a1320197c1f86fb
45244 .xword 0x0cb1765421db53d4
45245 .xword 0x47fdbdfdd4b773ab
45246 .xword 0x92cdc1974bd25a6c
45247 .xword 0x39b0eaf0aecdf280
45248 .xword 0x8de343fdf2d65d6c
45249 .xword 0xdd743c79ceffcba8
45250 .xword 0x4e491ee05fbbd289
45251 .xword 0x8659a480a6d00d7e
45252 .xword 0xe7d5f5cb105d6f36
45253 .xword 0x6423376ea9cad959
45254 .xword 0x6e9320654c378ca7
45255 .xword 0x8f1bfe4fb4cd1b0b
45256 .xword 0x289b366499d90679
45257 .xword 0x1d0cf6f9f3a03447
45258 .xword 0xbffe2856acb2f77a
45259 .xword 0x5e37c11f7d643320
45260 .xword 0x3b3692af12996396
45261 .xword 0x64ac3b318ed3a15f
45262 .xword 0x64a909a974ee0dc2
45263 .xword 0xf04544e6a3f643cb
45264 .xword 0x499c77548b349f18
45265 .xword 0xdf87d7ff1dbb5079
45266 .xword 0xbb87bf3741ec6e3c
45267 .xword 0x70e73b7aa7cf5286
45268 .xword 0x62520bd7bee7eb5e
45269 .xword 0xf268397ece44b1c1
45270 .xword 0x70d7c342ea0ff93a
45271 .xword 0x4ee1c8eb05faae06
45272 .xword 0x5346811c86875e51
45273 .xword 0x576c15603fcb46b3
45274 .xword 0x8a69770562c7c62a
45275 .xword 0x3e485fa757688935
45276 .xword 0xcaa15247dd913c7f
45277 .xword 0xfe501e81054dbf23
45278 .xword 0xea38f5ea608799f7
45279 .xword 0xa07115e5b0874734
45280 .xword 0x5c18b33e42f58fe7
45281 .xword 0x6a89158e0730b07b
45282 .xword 0x43577263206cdba7
45283 .xword 0x54a2599763e0e188
45284 .xword 0x2d8e0ab3340f48e3
45285 .xword 0xa508adb3629ae5b6
45286 .xword 0xf171b7a5d9d3332f
45287 .xword 0x495b032d15107eed
45288 .xword 0x372e97023999478e
45289 .xword 0xdf789d7dbb184603
45290 .xword 0x225089ffc3f9b963
45291 .xword 0xd5569aaa8bfd8bfa
45292 .xword 0xe182ed8df7c117b5
45293 .xword 0x76321eba83663604
45294 .xword 0xfe0108990aaef10c
45295 .xword 0x0866f426cb061661
45296 .xword 0xbf6462141bf8584f
45297 .xword 0x2d73c88a767397be
45298 .xword 0x2a1074fecfad9ec7
45299 .xword 0x2dbf287faaeb1845
45300 .xword 0x82d358cce7c5c9b6
45301 .xword 0xcdc1ce4e091ec145
45302 .xword 0xfd83d169149ca9b9
45303 .xword 0x85bcc047ec51b330
45304 .xword 0x32f470e0327b7d6f
45305 .xword 0x393bfd3cbda9145c
45306 .xword 0x6f1ef27dc745e95b
45307 .xword 0xfa5048561a36e92d
45308 .xword 0x2ef3d0cf2ddf2eef
45309 .xword 0x350aecf126302f6d
45310 .xword 0xf628fd88a1ba87a1
45311 .xword 0xf6a9776d9ea71d14
45312 .xword 0x60a66171b44d0fab
45313 .xword 0xeaa8083520341659
45314 .xword 0xabb8d8f1d723fb3d
45315 .xword 0xadb0b55194d62cac
45316 .xword 0xff936679760cffdf
45317 .xword 0x2309c61681b6cd8d
45318 .xword 0x4116972dc654889b
45319 .xword 0xdf0f7fbe7070253b
45320 .xword 0xaeb0b90b60119b04
45321 .xword 0x5ff59bb3dff4cdf9
45322 .xword 0x039d09b1aed91ab8
45323 .xword 0x5cc788bfac23d9d5
45324 .xword 0x5ec5e890e9916b0e
45325 .xword 0x5e746c5f23a43379
45326 .xword 0xa8d902a4d5126a8c
45327 .xword 0x21cb1d9acadbac42
45328 .xword 0x9917d34cc4f263e9
45329 .xword 0xb3ebf913e3e6ae96
45330 .xword 0x48d2013ef311ea8e
45331 .xword 0xc28ba9529e856ed3
45332 .xword 0xe0ebd94e97fa16cb
45333 .xword 0xba20b900768f6369
45334 .xword 0x67a98de41f01e8e7
45335 .xword 0xa181ab7be4f3c0e2
45336 .xword 0xbffce23ef2e1c026
45337 .xword 0xe87357df1a0cd28c
45338 .xword 0x5429824b61bcafc0
45339 .xword 0xc0dc566fb0cb4895
45340 .xword 0x248ec82da5d7d853
45341 .xword 0xeba2e5d0776278c2
45342 .xword 0x9692f1cafd8b9fa0
45343 .xword 0xdbe92633f94bc82f
45344 .xword 0xd3a362d3604e4a19
45345 .xword 0x813383a35085c8a1
45346 .xword 0x3eb51153d6a0dbbc
45347 .xword 0xa2f06674c190a294
45348 .xword 0xa6d53bedffc7ddd0
45349 .xword 0x6401fd74347af2af
45350 .xword 0x7c7a1fac03d61bfb
45351 .xword 0x5b9af701864e954d
45352 .xword 0x7ac71594baaa1779
45353 .xword 0x8fd3256ba9636236
45354 .xword 0xf812c90304af7740
45355 .xword 0x98c9fe7958473b30
45356 .xword 0xa1d5bfaf2be9f889
45357 .xword 0x11dfab1a48094280
45358 .xword 0x91aff8d0001f3450
45359 .xword 0xe7891fc8355a246a
45360 .xword 0xcc8e531f314277f9
45361 .xword 0xa65320bd27e28cc8
45362 .xword 0x47b94dcf3ce888c5
45363 .xword 0xc2948d3a58769eb4
45364 .xword 0x237568e4ccc6b65b
45365 .xword 0xc31b8967414bbeef
45366 .xword 0xd98a4f51a08b7b91
45367 .xword 0x6faefc8c5154e616
45368 .xword 0xac4f5e0aefd85bb5
45369 .xword 0x99c898a1a26123e8
45370 .xword 0x3d3470236a6676cf
45371 .xword 0x08cecb1669380387
45372 .xword 0xfd33460d80edcc37
45373 .xword 0xd7f213d58e25f186
45374 .xword 0xca278a745aa56be8
45375 .xword 0x37b75ec2f0b27d52
45376 .xword 0xd04d13cf0ba41244
45377 .xword 0xdc59279d82ced1be
45378 .xword 0x4522666d3ac9d317
45379 .xword 0x3764513e81c5c19e
45380 .xword 0x8d9844a37745b79b
45381 .xword 0x8c6abd139c11378b
45382 .xword 0x074074dd69958e7b
45383 .xword 0x13508a703e58de43
45384 .xword 0xc618fda618ae7f8a
45385 .xword 0x195c063657c52900
45386 .xword 0xbd4f242aee49c7b0
45387 .xword 0x2b6aa7cbd6c015a2
45388 .xword 0xfd33116b9ae8f637
45389 .xword 0x4a220d4e37004af2
45390 .xword 0xbb0c6f8243f77381
45391 .xword 0x73f138d8f636821e
45392 .xword 0xb66d9226936d9131
45393 .xword 0xeb3e332b51de7fce
45394 .xword 0x092b51d943799bfd
45395 .xword 0x10097033cf4a0f9f
45396 .xword 0x1fb1c7850f75937d
45397 .xword 0x179c2899eb4f34c5
45398 .xword 0x29d2fe86921ec06f
45399 .xword 0x6784250db6a972dc
45400 .xword 0x1537d096103c0c6b
45401 .xword 0x7340501152058839
45402 .xword 0x5c6ea516ee743e54
45403 .xword 0x996d64da8ff1b0c7
45404 .xword 0xcc919f7128b88b1b
45405 .xword 0x45164c75b58f8a91
45406 .xword 0xaf5997afff3a2a93
45407 .xword 0xdb4c212d0c084d6d
45408 .xword 0xeab1c3bfc2c51f60
45409 .xword 0x2f181df8a30e7f2d
45410 .xword 0x6542086dbcdf9602
45411 .xword 0x62d2424feeebc804
45412 .xword 0x111cd3be4c6ed6a4
45413 .xword 0x67e9776f73c0cbe8
45414 .xword 0x03a2c69d3d2511e9
45415 .xword 0x7c6c18fbed42f316
45416 .xword 0x2afc9b458e4b3922
45417 .xword 0xe282baacdde55af4
45418 .xword 0x65936e597a65e124
45419 .xword 0xdf19e518cc7b2784
45420 .xword 0x4dd173b2d54de56b
45421 .xword 0xd5d88d836d6444f5
45422 .xword 0x7aa82d6163a4633c
45423 .xword 0xbc575f889322c014
45424 .xword 0x397073077aec1313
45425 .xword 0xde7b0187b2366e28
45426 .xword 0x0eee54be7fe75bf4
45427 .xword 0x4819e816df201ed0
45428 .xword 0x90ab00701a92875e
45429 .xword 0xd0d44e8a6d96f072
45430 .xword 0x7765c0e7b63989d7
45431 .xword 0xa64500c59efa397d
45432 .xword 0xcf1920455abeefa7
45433 .xword 0xd787a5bc12e4642f
45434 .xword 0xa5dbd4a1dcc6263b
45435 .xword 0xecf46d1fd61bcffc
45436 .xword 0xe6c92f6168c21f0e
45437 .xword 0xdd03cc31c02d54a1
45438 .xword 0x768abf2ded34d5bc
45439 .xword 0xeefb24a39c54c726
45440 .xword 0x99ed06c025d9d96c
45441 .xword 0x7b8020900099c2ce
45442 .xword 0x0e2dee3fd6957273
45443 .xword 0x92491ceac78138d3
45444 .xword 0x606dc2b9914c7712
45445 .xword 0x032de9390b4781f2
45446 .xword 0x2f7727de422b751f
45447 .xword 0x20dfedb58dc3097a
45448 .xword 0xabe27fbe24b884c2
45449_t1_copy_dest:
45450 .xword 0xDEADBEEFDEADBEEF
45451 .xword 0xDEADBEEFDEADBEEF
45452 .xword 0xDEADBEEFDEADBEEF
45453 .xword 0xDEADBEEFDEADBEEF
45454 .xword 0xDEADBEEFDEADBEEF
45455 .xword 0xDEADBEEFDEADBEEF
45456 .xword 0xDEADBEEFDEADBEEF
45457 .xword 0xDEADBEEFDEADBEEF
45458 .xword 0xDEADBEEFDEADBEEF
45459 .xword 0xDEADBEEFDEADBEEF
45460 .xword 0xDEADBEEFDEADBEEF
45461 .xword 0xDEADBEEFDEADBEEF
45462 .xword 0xDEADBEEFDEADBEEF
45463 .xword 0xDEADBEEFDEADBEEF
45464 .xword 0xDEADBEEFDEADBEEF
45465 .xword 0xDEADBEEFDEADBEEF
45466 .xword 0xDEADBEEFDEADBEEF
45467 .xword 0xDEADBEEFDEADBEEF
45468 .xword 0xDEADBEEFDEADBEEF
45469 .xword 0xDEADBEEFDEADBEEF
45470 .xword 0xDEADBEEFDEADBEEF
45471 .xword 0xDEADBEEFDEADBEEF
45472 .xword 0xDEADBEEFDEADBEEF
45473 .xword 0xDEADBEEFDEADBEEF
45474 .xword 0xDEADBEEFDEADBEEF
45475 .xword 0xDEADBEEFDEADBEEF
45476 .xword 0xDEADBEEFDEADBEEF
45477 .xword 0xDEADBEEFDEADBEEF
45478 .xword 0xDEADBEEFDEADBEEF
45479 .xword 0xDEADBEEFDEADBEEF
45480 .xword 0xDEADBEEFDEADBEEF
45481 .xword 0xDEADBEEFDEADBEEF
45482 .xword 0xDEADBEEFDEADBEEF
45483 .xword 0xDEADBEEFDEADBEEF
45484 .xword 0xDEADBEEFDEADBEEF
45485 .xword 0xDEADBEEFDEADBEEF
45486 .xword 0xDEADBEEFDEADBEEF
45487 .xword 0xDEADBEEFDEADBEEF
45488 .xword 0xDEADBEEFDEADBEEF
45489 .xword 0xDEADBEEFDEADBEEF
45490 .xword 0xDEADBEEFDEADBEEF
45491 .xword 0xDEADBEEFDEADBEEF
45492 .xword 0xDEADBEEFDEADBEEF
45493 .xword 0xDEADBEEFDEADBEEF
45494 .xword 0xDEADBEEFDEADBEEF
45495 .xword 0xDEADBEEFDEADBEEF
45496 .xword 0xDEADBEEFDEADBEEF
45497 .xword 0xDEADBEEFDEADBEEF
45498 .xword 0xDEADBEEFDEADBEEF
45499 .xword 0xDEADBEEFDEADBEEF
45500 .xword 0xDEADBEEFDEADBEEF
45501 .xword 0xDEADBEEFDEADBEEF
45502 .xword 0xDEADBEEFDEADBEEF
45503 .xword 0xDEADBEEFDEADBEEF
45504 .xword 0xDEADBEEFDEADBEEF
45505 .xword 0xDEADBEEFDEADBEEF
45506 .xword 0xDEADBEEFDEADBEEF
45507 .xword 0xDEADBEEFDEADBEEF
45508 .xword 0xDEADBEEFDEADBEEF
45509 .xword 0xDEADBEEFDEADBEEF
45510 .xword 0xDEADBEEFDEADBEEF
45511 .xword 0xDEADBEEFDEADBEEF
45512 .xword 0xDEADBEEFDEADBEEF
45513 .xword 0xDEADBEEFDEADBEEF
45514 .xword 0xDEADBEEFDEADBEEF
45515 .xword 0xDEADBEEFDEADBEEF
45516 .xword 0xDEADBEEFDEADBEEF
45517 .xword 0xDEADBEEFDEADBEEF
45518 .xword 0xDEADBEEFDEADBEEF
45519 .xword 0xDEADBEEFDEADBEEF
45520 .xword 0xDEADBEEFDEADBEEF
45521 .xword 0xDEADBEEFDEADBEEF
45522 .xword 0xDEADBEEFDEADBEEF
45523 .xword 0xDEADBEEFDEADBEEF
45524 .xword 0xDEADBEEFDEADBEEF
45525 .xword 0xDEADBEEFDEADBEEF
45526 .xword 0xDEADBEEFDEADBEEF
45527 .xword 0xDEADBEEFDEADBEEF
45528 .xword 0xDEADBEEFDEADBEEF
45529 .xword 0xDEADBEEFDEADBEEF
45530 .xword 0xDEADBEEFDEADBEEF
45531 .xword 0xDEADBEEFDEADBEEF
45532 .xword 0xDEADBEEFDEADBEEF
45533 .xword 0xDEADBEEFDEADBEEF
45534 .xword 0xDEADBEEFDEADBEEF
45535 .xword 0xDEADBEEFDEADBEEF
45536 .xword 0xDEADBEEFDEADBEEF
45537 .xword 0xDEADBEEFDEADBEEF
45538 .xword 0xDEADBEEFDEADBEEF
45539 .xword 0xDEADBEEFDEADBEEF
45540 .xword 0xDEADBEEFDEADBEEF
45541 .xword 0xDEADBEEFDEADBEEF
45542 .xword 0xDEADBEEFDEADBEEF
45543 .xword 0xDEADBEEFDEADBEEF
45544 .xword 0xDEADBEEFDEADBEEF
45545 .xword 0xDEADBEEFDEADBEEF
45546 .xword 0xDEADBEEFDEADBEEF
45547 .xword 0xDEADBEEFDEADBEEF
45548 .xword 0xDEADBEEFDEADBEEF
45549 .xword 0xDEADBEEFDEADBEEF
45550 .xword 0xDEADBEEFDEADBEEF
45551 .xword 0xDEADBEEFDEADBEEF
45552 .xword 0xDEADBEEFDEADBEEF
45553 .xword 0xDEADBEEFDEADBEEF
45554 .xword 0xDEADBEEFDEADBEEF
45555 .xword 0xDEADBEEFDEADBEEF
45556 .xword 0xDEADBEEFDEADBEEF
45557 .xword 0xDEADBEEFDEADBEEF
45558 .xword 0xDEADBEEFDEADBEEF
45559 .xword 0xDEADBEEFDEADBEEF
45560 .xword 0xDEADBEEFDEADBEEF
45561 .xword 0xDEADBEEFDEADBEEF
45562 .xword 0xDEADBEEFDEADBEEF
45563 .xword 0xDEADBEEFDEADBEEF
45564 .xword 0xDEADBEEFDEADBEEF
45565 .xword 0xDEADBEEFDEADBEEF
45566 .xword 0xDEADBEEFDEADBEEF
45567 .xword 0xDEADBEEFDEADBEEF
45568 .xword 0xDEADBEEFDEADBEEF
45569 .xword 0xDEADBEEFDEADBEEF
45570 .xword 0xDEADBEEFDEADBEEF
45571 .xword 0xDEADBEEFDEADBEEF
45572 .xword 0xDEADBEEFDEADBEEF
45573 .xword 0xDEADBEEFDEADBEEF
45574 .xword 0xDEADBEEFDEADBEEF
45575 .xword 0xDEADBEEFDEADBEEF
45576 .xword 0xDEADBEEFDEADBEEF
45577 .xword 0xDEADBEEFDEADBEEF
45578 .xword 0xDEADBEEFDEADBEEF
45579 .xword 0xDEADBEEFDEADBEEF
45580 .xword 0xDEADBEEFDEADBEEF
45581 .xword 0xDEADBEEFDEADBEEF
45582 .xword 0xDEADBEEFDEADBEEF
45583 .xword 0xDEADBEEFDEADBEEF
45584 .xword 0xDEADBEEFDEADBEEF
45585 .xword 0xDEADBEEFDEADBEEF
45586 .xword 0xDEADBEEFDEADBEEF
45587 .xword 0xDEADBEEFDEADBEEF
45588 .xword 0xDEADBEEFDEADBEEF
45589 .xword 0xDEADBEEFDEADBEEF
45590 .xword 0xDEADBEEFDEADBEEF
45591 .xword 0xDEADBEEFDEADBEEF
45592 .xword 0xDEADBEEFDEADBEEF
45593 .xword 0xDEADBEEFDEADBEEF
45594 .xword 0xDEADBEEFDEADBEEF
45595 .xword 0xDEADBEEFDEADBEEF
45596 .xword 0xDEADBEEFDEADBEEF
45597 .xword 0xDEADBEEFDEADBEEF
45598 .xword 0xDEADBEEFDEADBEEF
45599 .xword 0xDEADBEEFDEADBEEF
45600 .xword 0xDEADBEEFDEADBEEF
45601 .xword 0xDEADBEEFDEADBEEF
45602 .xword 0xDEADBEEFDEADBEEF
45603 .xword 0xDEADBEEFDEADBEEF
45604 .xword 0xDEADBEEFDEADBEEF
45605 .xword 0xDEADBEEFDEADBEEF
45606 .xword 0xDEADBEEFDEADBEEF
45607 .xword 0xDEADBEEFDEADBEEF
45608 .xword 0xDEADBEEFDEADBEEF
45609 .xword 0xDEADBEEFDEADBEEF
45610 .xword 0xDEADBEEFDEADBEEF
45611 .xword 0xDEADBEEFDEADBEEF
45612 .xword 0xDEADBEEFDEADBEEF
45613 .xword 0xDEADBEEFDEADBEEF
45614 .xword 0xDEADBEEFDEADBEEF
45615 .xword 0xDEADBEEFDEADBEEF
45616 .xword 0xDEADBEEFDEADBEEF
45617 .xword 0xDEADBEEFDEADBEEF
45618 .xword 0xDEADBEEFDEADBEEF
45619 .xword 0xDEADBEEFDEADBEEF
45620 .xword 0xDEADBEEFDEADBEEF
45621 .xword 0xDEADBEEFDEADBEEF
45622 .xword 0xDEADBEEFDEADBEEF
45623 .xword 0xDEADBEEFDEADBEEF
45624 .xword 0xDEADBEEFDEADBEEF
45625 .xword 0xDEADBEEFDEADBEEF
45626 .xword 0xDEADBEEFDEADBEEF
45627 .xword 0xDEADBEEFDEADBEEF
45628 .xword 0xDEADBEEFDEADBEEF
45629 .xword 0xDEADBEEFDEADBEEF
45630 .xword 0xDEADBEEFDEADBEEF
45631 .xword 0xDEADBEEFDEADBEEF
45632 .xword 0xDEADBEEFDEADBEEF
45633 .xword 0xDEADBEEFDEADBEEF
45634 .xword 0xDEADBEEFDEADBEEF
45635 .xword 0xDEADBEEFDEADBEEF
45636 .xword 0xDEADBEEFDEADBEEF
45637 .xword 0xDEADBEEFDEADBEEF
45638 .xword 0xDEADBEEFDEADBEEF
45639 .xword 0xDEADBEEFDEADBEEF
45640 .xword 0xDEADBEEFDEADBEEF
45641 .xword 0xDEADBEEFDEADBEEF
45642 .xword 0xDEADBEEFDEADBEEF
45643 .xword 0xDEADBEEFDEADBEEF
45644 .xword 0xDEADBEEFDEADBEEF
45645 .xword 0xDEADBEEFDEADBEEF
45646 .xword 0xDEADBEEFDEADBEEF
45647 .xword 0xDEADBEEFDEADBEEF
45648 .xword 0xDEADBEEFDEADBEEF
45649 .xword 0xDEADBEEFDEADBEEF
45650 .xword 0xDEADBEEFDEADBEEF
45651 .xword 0xDEADBEEFDEADBEEF
45652 .xword 0xDEADBEEFDEADBEEF
45653 .xword 0xDEADBEEFDEADBEEF
45654 .xword 0xDEADBEEFDEADBEEF
45655 .xword 0xDEADBEEFDEADBEEF
45656 .xword 0xDEADBEEFDEADBEEF
45657 .xword 0xDEADBEEFDEADBEEF
45658 .xword 0xDEADBEEFDEADBEEF
45659 .xword 0xDEADBEEFDEADBEEF
45660 .xword 0xDEADBEEFDEADBEEF
45661 .xword 0xDEADBEEFDEADBEEF
45662 .xword 0xDEADBEEFDEADBEEF
45663 .xword 0xDEADBEEFDEADBEEF
45664 .xword 0xDEADBEEFDEADBEEF
45665 .xword 0xDEADBEEFDEADBEEF
45666 .xword 0xDEADBEEFDEADBEEF
45667 .xword 0xDEADBEEFDEADBEEF
45668 .xword 0xDEADBEEFDEADBEEF
45669 .xword 0xDEADBEEFDEADBEEF
45670 .xword 0xDEADBEEFDEADBEEF
45671 .xword 0xDEADBEEFDEADBEEF
45672 .xword 0xDEADBEEFDEADBEEF
45673 .xword 0xDEADBEEFDEADBEEF
45674 .xword 0xDEADBEEFDEADBEEF
45675 .xword 0xDEADBEEFDEADBEEF
45676 .xword 0xDEADBEEFDEADBEEF
45677 .xword 0xDEADBEEFDEADBEEF
45678 .xword 0xDEADBEEFDEADBEEF
45679 .xword 0xDEADBEEFDEADBEEF
45680 .xword 0xDEADBEEFDEADBEEF
45681 .xword 0xDEADBEEFDEADBEEF
45682 .xword 0xDEADBEEFDEADBEEF
45683 .xword 0xDEADBEEFDEADBEEF
45684 .xword 0xDEADBEEFDEADBEEF
45685 .xword 0xDEADBEEFDEADBEEF
45686 .xword 0xDEADBEEFDEADBEEF
45687 .xword 0xDEADBEEFDEADBEEF
45688 .xword 0xDEADBEEFDEADBEEF
45689 .xword 0xDEADBEEFDEADBEEF
45690 .xword 0xDEADBEEFDEADBEEF
45691 .xword 0xDEADBEEFDEADBEEF
45692 .xword 0xDEADBEEFDEADBEEF
45693 .xword 0xDEADBEEFDEADBEEF
45694 .xword 0xDEADBEEFDEADBEEF
45695 .xword 0xDEADBEEFDEADBEEF
45696 .xword 0xDEADBEEFDEADBEEF
45697 .xword 0xDEADBEEFDEADBEEF
45698 .xword 0xDEADBEEFDEADBEEF
45699 .xword 0xDEADBEEFDEADBEEF
45700 .xword 0xDEADBEEFDEADBEEF
45701 .xword 0xDEADBEEFDEADBEEF
45702 .xword 0xDEADBEEFDEADBEEF
45703 .xword 0xDEADBEEFDEADBEEF
45704 .xword 0xDEADBEEFDEADBEEF
45705 .xword 0xDEADBEEFDEADBEEF
45706 .xword 0xDEADBEEFDEADBEEF
45707 .xword 0xDEADBEEFDEADBEEF
45708 .xword 0xDEADBEEFDEADBEEF
45709 .xword 0xDEADBEEFDEADBEEF
45710 .xword 0xDEADBEEFDEADBEEF
45711 .xword 0xDEADBEEFDEADBEEF
45712 .xword 0xDEADBEEFDEADBEEF
45713 .xword 0xDEADBEEFDEADBEEF
45714 .xword 0xDEADBEEFDEADBEEF
45715 .xword 0xDEADBEEFDEADBEEF
45716 .xword 0xDEADBEEFDEADBEEF
45717 .xword 0xDEADBEEFDEADBEEF
45718 .xword 0xDEADBEEFDEADBEEF
45719 .xword 0xDEADBEEFDEADBEEF
45720 .xword 0xDEADBEEFDEADBEEF
45721 .xword 0xDEADBEEFDEADBEEF
45722 .xword 0xDEADBEEFDEADBEEF
45723 .xword 0xDEADBEEFDEADBEEF
45724 .xword 0xDEADBEEFDEADBEEF
45725_t1_copy_auth_key:
45726 .xword 0x26b534d7f545b0f4
45727 .xword 0x84a02b7dc10350ac
45728 .xword 0xb722dd48efd81a00
45729 .xword 0x563415381825f36d
45730 .xword 0x93eb827d103e448a
45731 .xword 0xb7192566702ac9db
45732 .xword 0x8c5297eb2af53be6
45733 .xword 0xc60d2a2c713f27df
45734 .xword 0x8f163fc84b7b99fe
45735 .xword 0x8036fa2959b8ab04
45736 .xword 0x6c48e82289069c13
45737 .xword 0x821d51bf97a446f3
45738 .xword 0xecc816963e86dfae
45739 .xword 0xfd80641700d6f16f
45740 .xword 0xea3558cab08b5ade
45741 .xword 0x4d5d721d26664585
45742 .xword 0xa624b665d513fccd
45743 .xword 0x36c6c02f3455c77f
45744 .xword 0xe30d7865a282cc66
45745 .xword 0xe43d737d37a518be
45746 .xword 0xa3e84fbfa21e27b0
45747 .xword 0x4b3d5899ff374cff
45748 .xword 0x326e48cd9c5380bb
45749_t1_copy_auth_iv:
45750 .xword 0x04774f5e915eb174
45751 .xword 0xa9c03f644b0d0b55
45752 .xword 0x0c343c4fb10778e0
45753 .xword 0xfb5e74127eb1f8de
45754 .xword 0xae090d1cdf20c911
45755 .xword 0x109418fc90c87c84
45756 .xword 0xbde7872c00035021
45757 .xword 0xbfaab76d6c3cef14
45758 .xword 0x895d609ad1352915
45759 .xword 0x7f7fb984789db499
45760 .xword 0x5f8fe9337f052055
45761 .xword 0xedf722a57f5a5bf4
45762 .xword 0xca53fc08d8fc13a3
45763 .xword 0x718e88b5cbb91bae
45764 .xword 0x49decb6017dbce2b
45765 .xword 0x882f017f86ec7f7c
45766 .xword 0x14725b8ed9b95f86
45767 .xword 0x0a1dc08459ce21f6
45768 .xword 0xdd1e2d39cf1e41e3
45769 .xword 0x7f84f71f91a6da5e
45770 .xword 0x077b14d7984ffa3a
45771 .xword 0xad89a92e1b420823
45772 .xword 0x2d5802865f927b51
45773_t1_copy_fas_result:
45774 .xword 0xDEADBEEFDEADBEEF
45775 .xword 0xDEADBEEFDEADBEEF
45776 .xword 0xDEADBEEFDEADBEEF
45777 .xword 0xDEADBEEFDEADBEEF
45778 .xword 0xDEADBEEFDEADBEEF
45779 .xword 0xDEADBEEFDEADBEEF
45780 .xword 0xDEADBEEFDEADBEEF
45781 .xword 0xDEADBEEFDEADBEEF
45782 .xword 0xDEADBEEFDEADBEEF
45783 .xword 0xDEADBEEFDEADBEEF
45784 .xword 0xDEADBEEFDEADBEEF
45785 .xword 0xDEADBEEFDEADBEEF
45786 .xword 0xDEADBEEFDEADBEEF
45787 .xword 0xDEADBEEFDEADBEEF
45788 .xword 0xDEADBEEFDEADBEEF
45789 .xword 0xDEADBEEFDEADBEEF
45790 .xword 0xDEADBEEFDEADBEEF
45791 .xword 0xDEADBEEFDEADBEEF
45792 .xword 0xDEADBEEFDEADBEEF
45793 .xword 0xDEADBEEFDEADBEEF
45794 .xword 0xDEADBEEFDEADBEEF
45795 .xword 0xDEADBEEFDEADBEEF
45796 .xword 0xDEADBEEFDEADBEEF
45797_t1_crc_key_array:
45798 .xword 0x5056a05274bcf254
45799 .xword 0xe1ab34a68eed751f
45800 .xword 0xcd449c96ba117760
45801 .xword 0x225ff2cccb9b902e
45802 .xword 0x567891c933e6118f
45803 .xword 0xea884621f64d0eb6
45804 .xword 0x05b788afbd9c8f3b
45805 .xword 0x9569514484ef2385
45806 .xword 0xdb8cf0e2d73a365d
45807 .xword 0x4650b3766e44f5fe
45808 .xword 0x414d36d523bfe719
45809 .xword 0xc65bdf10172bb6fe
45810 .xword 0xb4fd620af74d136a
45811 .xword 0x6e3d2b646925e4cb
45812 .xword 0xa19369f3a482b90b
45813 .xword 0x8fd741301c516f81
45814 .xword 0x33183bae1aff0b6d
45815 .xword 0xbfdc1b38dd8cc4ec
45816 .xword 0xc92953b2b3f01096
45817 .xword 0xe74bceafe51c5563
45818 .xword 0x3fc5f01298d021ae
45819 .xword 0x1b8a20342f1fbb41
45820 .xword 0x01a1c9b0bb04f923
45821 .xword 0xca14a63da0ad826d
45822 .xword 0xede2771c601e9529
45823 .xword 0x8556cf489ec39ffb
45824 .xword 0xa9c566312501e3aa
45825 .xword 0xdaba7c486360cc21
45826 .xword 0x240e6385bb5f7da8
45827 .xword 0x4b8bfb205aa366ee
45828 .xword 0xd71cb692b6236509
45829 .xword 0x9d4a5ab950327979
45830 .xword 0xd42cb4e77d379fc5
45831 .xword 0x0c0577e510dfbff0
45832 .xword 0x71f720fa57211994
45833 .xword 0xa098f1b9fe08a6c9
45834 .xword 0x7971c329cc066e72
45835 .xword 0x3cafc48efd73789f
45836 .xword 0xa69fdb4f75b03a12
45837 .xword 0x5993f4eaaf0381f5
45838 .xword 0x5f6b8ebb0d56eb32
45839 .xword 0x53ff9e865787297d
45840 .xword 0x178ca71b31709316
45841 .xword 0x622e1274b8fd0e35
45842 .xword 0xf90065cc01338669
45843 .xword 0x950e1d85657f3280
45844 .xword 0x2bb64c81ae73b366
45845 .xword 0x80b67414bc1d5bdf
45846 .xword 0x1ea3bf48ea370f65
45847 .xword 0x2c0510d0745c0acf
45848 .xword 0x81eeb1c200cfd367
45849_t1_crc_iv_array:
45850 .xword 0xf5a85c4f59adaf1c
45851 .xword 0xa658e0e3b981b1ce
45852 .xword 0x14d3f44d8c77f74e
45853 .xword 0x25f7b3affefafd3e
45854 .xword 0xe78558e8ccb9da87
45855 .xword 0xc3b111e090933ba8
45856 .xword 0xd548374778d9d9f1
45857 .xword 0x7e808956da342400
45858 .xword 0x0efbf4dcf62f8e80
45859 .xword 0xa87f7e35111a7fcc
45860 .xword 0xe17efc57af9df7de
45861 .xword 0x5f3e8ee9d5863874
45862 .xword 0x892551da2d68a0c6
45863 .xword 0x3ea0246588bd3f99
45864 .xword 0x08d0bac8f9a009dd
45865 .xword 0x95d3b1835d354638
45866 .xword 0xb9dbb3173735c0e3
45867 .xword 0x2ac27fee7ec04f15
45868 .xword 0x0c29af1bcb3f3bd9
45869 .xword 0x833d4ac2da8f8a1d
45870 .xword 0xf653351288071fc4
45871 .xword 0xb18c8bca9279c08b
45872 .xword 0x7c54b6a85ab07256
45873 .xword 0x0688d480ba926181
45874 .xword 0x903483cedf385409
45875 .xword 0xdb0d95aced0c8491
45876 .xword 0x68f983e68484e375
45877 .xword 0x503397f6916b8000
45878 .xword 0x7b2259194d684165
45879 .xword 0x1824529e2193673b
45880 .xword 0x07e1323c71e997d8
45881 .xword 0x11aa7d8ee6e5a45e
45882 .xword 0xf70b1cd7ded11333
45883 .xword 0x211b82388b6ceea5
45884 .xword 0x2101112957c7a9c3
45885 .xword 0x885bd06ea65a45f4
45886 .xword 0x4c7b6ecacf54c474
45887 .xword 0xb2c17f3605671353
45888 .xword 0x78d6e1a1cfe950ae
45889 .xword 0x09448bbcd15e4e5f
45890 .xword 0xf626f28e50e2fa8c
45891 .xword 0x12d37518ada9a002
45892 .xword 0x334c3af9bc6320cf
45893 .xword 0x2e99be4b7a391128
45894 .xword 0x95bb86c5463ca3b1
45895_t1_crc_alignment_array:
45896 .xword 14
45897 .xword 6
45898 .xword 12
45899 .xword 13
45900 .xword 10
45901 .xword 9
45902 .xword 3
45903 .xword 9
45904 .xword 14
45905 .xword 10
45906 .xword 5
45907 .xword 11
45908 .xword 11
45909 .xword 8
45910 .xword 4
45911 .xword 10
45912 .xword 4
45913 .xword 14
45914 .xword 7
45915 .xword 8
45916 .xword 12
45917 .xword 5
45918 .xword 8
45919 .xword 15
45920 .xword 0
45921 .xword 2
45922 .xword 11
45923 .xword 9
45924 .xword 3
45925 .xword 9
45926 .xword 8
45927 .xword 5
45928 .xword 1
45929 .xword 1
45930 .xword 2
45931 .xword 11
45932 .xword 3
45933 .xword 10
45934 .xword 0
45935 .xword 9
45936 .xword 7
45937 .xword 6
45938 .xword 11
45939 .xword 0
45940 .xword 8
45941 .xword 3
45942 .xword 5
45943 .xword 0
45944 .xword 2
45945 .xword 6
45946 .xword 15
45947 .xword 9
45948 .xword 11
45949 .xword 12
45950 .xword 13
45951 .xword 11
45952 .xword 6
45953 .xword 6
45954 .xword 12
45955 .xword 2
45956 .xword 1
45957 .xword 1
45958 .xword 8
45959 .xword 8
45960 .xword 7
45961 .xword 12
45962 .xword 0
45963 .xword 7
45964 .xword 2
45965 .xword 7
45966 .xword 15
45967 .xword 1
45968 .xword 3
45969 .xword 9
45970 .xword 13
45971 .xword 14
45972 .xword 4
45973 .xword 1
45974 .xword 5
45975 .xword 15
45976 .xword 9
45977 .xword 0
45978 .xword 6
45979 .xword 15
45980 .xword 8
45981 .xword 4
45982 .xword 2
45983 .xword 8
45984 .xword 2
45985 .xword 14
45986 .xword 4
45987 .xword 6
45988 .xword 13
45989 .xword 2
45990 .xword 11
45991 .xword 1
45992 .xword 8
45993 .xword 14
45994 .xword 6
45995 .xword 3
45996 .xword 10
45997 .xword 10
45998 .xword 11
45999 .xword 2
46000 .xword 5
46001_t1_crc_src:
46002 .xword 0x037eefa6971b73d8
46003 .xword 0xb55a9c1742921ec4
46004 .xword 0xa8dcdca5114ce140
46005 .xword 0x673298dec67525fc
46006 .xword 0x595518bd99b3f624
46007 .xword 0xe591d0c946b1713c
46008 .xword 0x0d4664f571e53e66
46009 .xword 0x4b2c37cc1fbb31d4
46010 .xword 0x16122d5e869ebdf4
46011 .xword 0xf3b4a49e12a39fff
46012 .xword 0x77aac36b9e8cfc88
46013 .xword 0x151944782a2d8d2f
46014 .xword 0xd78acbe9eebcea14
46015 .xword 0xb85f6113050c2143
46016 .xword 0x2545e98a19089222
46017 .xword 0x88908b514d39650a
46018 .xword 0xae3b8b076e44ee7d
46019 .xword 0x131dd42616720688
46020 .xword 0x618be6ce7bdc1518
46021 .xword 0xec733ba5e137b91e
46022 .xword 0xd32be97c2b48ef9c
46023 .xword 0xae4ac899a83e0043
46024 .xword 0x6f83e070a7666965
46025 .xword 0x22b0a0cbf88db557
46026 .xword 0x73d04de9e57a5597
46027 .xword 0xe30dbfc56a24987f
46028 .xword 0x5447c753d11ec383
46029 .xword 0x94b6119bc7e3be82
46030 .xword 0xd779e6d331356e64
46031 .xword 0x514f4da81ec87423
46032 .xword 0x7d809ca4a55943e8
46033 .xword 0xbef3cc4c336c76a7
46034 .xword 0x16ebae241357d12e
46035 .xword 0x54f66a4c4a967c10
46036 .xword 0xf2cafc545a413c07
46037 .xword 0xdaf06db6d2c975da
46038 .xword 0x0263d08433445b7a
46039 .xword 0x088f6526e9778c77
46040 .xword 0x7e42e98f601ffb36
46041 .xword 0x2a2688bfd74356b8
46042 .xword 0x36156cc70e691091
46043 .xword 0x29e9c284b70c6e53
46044 .xword 0xb69ae59067f2d410
46045 .xword 0x8e66f95a5e00970c
46046 .xword 0xce5d8bd8df8ec268
46047 .xword 0x995405617cfca4d6
46048 .xword 0x6cdbe4c3f8490291
46049 .xword 0xa9693a32b2593c5e
46050 .xword 0x8d16217ff787af6e
46051 .xword 0x6abf667012e8d084
46052 .xword 0x92ea8f5ddbb0fe3f
46053 .xword 0x6a5072b4c2c1dc12
46054 .xword 0x44a0180bd3d9fc4e
46055 .xword 0xd5f7139ae23a18ab
46056 .xword 0xa3698b26a7bd2e6f
46057 .xword 0x5cacabc1a81d9a40
46058 .xword 0xa5c1125633938d28
46059 .xword 0x44bcb7b200cada2e
46060 .xword 0x4e5e507eae7f180e
46061 .xword 0x441bf1bb3f420247
46062 .xword 0x974b07a84aa2f090
46063 .xword 0xabe8abefbce18ebf
46064 .xword 0x0dc6b4cfd8bed874
46065 .xword 0xb95c5c2570821a7c
46066 .xword 0x654081079fd0aa55
46067 .xword 0x1ebeda1341ca64e6
46068 .xword 0x23eb57c115d75c35
46069 .xword 0x87fa71c883238885
46070 .xword 0xe6f347e97fbe3e18
46071 .xword 0x1fa88aedd215d013
46072 .xword 0xc3f5fd399a69ef0c
46073 .xword 0x5bc67d33c2490b1a
46074 .xword 0xfb911bbacb07315f
46075 .xword 0xbb159367c9b20ecc
46076 .xword 0x14494adf4b365e4a
46077 .xword 0xd6553d02d84976c5
46078 .xword 0xed258feece28d5f8
46079 .xword 0xcbaa37f7a5be560c
46080 .xword 0x85134605ff4dc3ad
46081 .xword 0xb256ed06d25b37c7
46082 .xword 0x5171f039640db0bf
46083 .xword 0x21853bca05a3b13b
46084 .xword 0x71d2ceb98f016d62
46085 .xword 0xc1584342585c24cf
46086 .xword 0xc6140109ffadffc0
46087 .xword 0x4dd2cd2a096abdbc
46088 .xword 0x945a65837991f866
46089 .xword 0x59351b9291327f57
46090 .xword 0x49845740c6efce21
46091 .xword 0x7184a77d0deca73d
46092 .xword 0xa7d06255131c07cc
46093 .xword 0x6017dbdd37486abf
46094 .xword 0xbb536a32f8d3759e
46095 .xword 0x0d6c276fb05d1630
46096 .xword 0xb94b1dbaab88411c
46097 .xword 0xa88dadc5ba94e541
46098 .xword 0xff4230c5638fe63c
46099 .xword 0x432a5dcb1de9b0ba
46100 .xword 0x97a749df4e92ff5b
46101 .xword 0x32d041f8cc339194
46102 .xword 0x8dd85e550683c80b
46103 .xword 0x015f58ff7fc72b87
46104 .xword 0x3212c2ec0842213a
46105 .xword 0xd32976459fcf23a5
46106 .xword 0xe50ef81fd32ecf53
46107 .xword 0xabaa8d0474928bee
46108 .xword 0x1ad1c5a91de604b4
46109 .xword 0x93502fe1fad5a3bb
46110 .xword 0xcac9acb3be39b87e
46111 .xword 0x5e024c38fd226455
46112 .xword 0x32e5aa0df45916e8
46113 .xword 0xc9c1357f5461c0ae
46114 .xword 0xa03a43c00a045a63
46115 .xword 0xb5bac93d4380568f
46116 .xword 0xdcc9f26b4ed4624c
46117 .xword 0xccde1e6053c6b0d3
46118 .xword 0x905fcf466bdd31f4
46119 .xword 0x09dbd95ccc9791b5
46120 .xword 0x158e73c1d19bda4b
46121 .xword 0xfcdaa09fdb58e06e
46122 .xword 0x2327b88ea6508106
46123 .xword 0x404b94e0b8e88a87
46124 .xword 0xaf11d768339ea25b
46125 .xword 0x11293136db07aa85
46126 .xword 0xd532fce6fe191bbb
46127 .xword 0x29d4e9f6ac8bca41
46128 .xword 0x4fa2a4d70d3fe902
46129 .xword 0x138c81a2d41f4a37
46130 .xword 0x5b707f1fbec19565
46131 .xword 0x8ea1a5da3564f2c4
46132 .xword 0xec6ae27382371539
46133 .xword 0x81e8d17daa3d58e2
46134 .xword 0xc34ad8c36daf6bd5
46135 .xword 0xfe380b543d8659da
46136 .xword 0x12dd504c9bec5f4b
46137 .xword 0x0471048d9eddced0
46138 .xword 0xa3d2a6c0e219bdea
46139 .xword 0x5c86ebe3121188b0
46140 .xword 0xda561a923407862f
46141 .xword 0xbcde4aa1ca243a08
46142 .xword 0xdbe45edf77acfd60
46143 .xword 0x35eb9ab5b1621c22
46144 .xword 0x53221b1264b90bc0
46145 .xword 0xec2b93f4599fa129
46146 .xword 0x69c841db0ffc4f9e
46147 .xword 0x03db103be5c1f208
46148 .xword 0xe1a6e5bd3d9ad6e8
46149 .xword 0xf6d9f5e165e8bcfe
46150 .xword 0xc33829bb310d3b8d
46151 .xword 0x48a3f9c296d5def8
46152 .xword 0xd99da1546511a085
46153 .xword 0xf28332a5d7c0eca6
46154 .xword 0xc3cdb6a221771af6
46155 .xword 0x61664c53b53f55ba
46156 .xword 0x263a81da5846e3af
46157 .xword 0x319d1cd210cb43ff
46158 .xword 0x87fc8c9cb120b3b1
46159 .xword 0xa7a55bd5fb948727
46160 .xword 0x28383cb4d2d0a96e
46161 .xword 0xc76d9430b4c7d49d
46162 .xword 0xa63baf6fec4f4bed
46163 .xword 0xc3abf4b33ea50f58
46164 .xword 0x889416befe26b61d
46165 .xword 0x07c5745be7c5a548
46166 .xword 0x62ca01f1d6d0b5bf
46167 .xword 0x2308f0481a12f9e1
46168 .xword 0xea620870a2458b4b
46169 .xword 0x967d7ff68ebc042b
46170 .xword 0xb7b2e955e40aebb3
46171 .xword 0x70346ed70c9d645a
46172 .xword 0x5a56af407648616d
46173 .xword 0x76f3380b0e180253
46174 .xword 0x08f4a87dfd26e50f
46175 .xword 0x01b919212de55905
46176 .xword 0x2262d63a147a7808
46177 .xword 0x7f132f3a9a37b9c3
46178 .xword 0x6ae91b44a15b9cd0
46179 .xword 0x848958dc6d2882a1
46180 .xword 0x6babcb7dc09ad628
46181 .xword 0xf49f15aa287d5386
46182 .xword 0x46d2d42baca1b477
46183 .xword 0xa761f768af569197
46184 .xword 0x268dce853e0fae0a
46185 .xword 0x61da6dccf76ed5c8
46186 .xword 0xb7b84883aeaa445a
46187 .xword 0x8ec7d57968f5e44c
46188 .xword 0xe8cb185a438bcc1a
46189 .xword 0xbc60cd776f4174f0
46190 .xword 0x2596509c772561be
46191 .xword 0x15732ee1aa45ff91
46192 .xword 0xc0670b6f36e39c9e
46193 .xword 0xb447efad0712986c
46194 .xword 0xf637d5ff54fe82ec
46195 .xword 0x1aa8f07bf8468a5b
46196 .xword 0x8514f2cc0da7d602
46197 .xword 0xf3792670655d828b
46198 .xword 0x558cdcb65e70b257
46199 .xword 0x3cdc76f1e176bbcc
46200 .xword 0xadfe59b09ffb6450
46201 .xword 0x09276b22177a95c2
46202 .xword 0xa41c23cea1d98137
46203 .xword 0xcb5bb62ea7812190
46204 .xword 0x6c3e3ddad84e52ff
46205 .xword 0x24277134be305abf
46206 .xword 0x918b45119ed240ab
46207 .xword 0x95da5117e4f268f7
46208 .xword 0x17ab7f7f1a1e4ea7
46209 .xword 0x44f5234784520b70
46210 .xword 0x31f5b9f053f30a7b
46211 .xword 0x29d296b6cf52e80c
46212 .xword 0x3e2e60728ca5fac0
46213 .xword 0xe0f7632a415e7fff
46214 .xword 0x7178611606a7055f
46215 .xword 0x01facd4b99d11758
46216 .xword 0xd6a80a4b1fb02e71
46217 .xword 0x08359d11904a7ced
46218 .xword 0xba1db4e5fa7a4542
46219 .xword 0x51c49c60522281a5
46220 .xword 0x9895319ee41df4be
46221 .xword 0x070fc91676e0d7a2
46222 .xword 0x98fe4e43d1e1e463
46223 .xword 0xac4ff06bf0be2062
46224 .xword 0xbb36a57350f21764
46225 .xword 0x136d0b7d45f20977
46226 .xword 0xf22608b1e1509fd3
46227 .xword 0xd5f65ff35d2cc5f6
46228 .xword 0x359ce8919ec94690
46229 .xword 0xb63095fa381e9323
46230 .xword 0x2d35ef885e7fcc81
46231 .xword 0x1834af971332b267
46232 .xword 0xdf50cf341c5e11fc
46233 .xword 0x783514335ba34be0
46234 .xword 0x952f9c966cb9659b
46235 .xword 0x694c0a23dc134985
46236 .xword 0xe5417b21b211f2a5
46237 .xword 0x2bd06865624b79da
46238 .xword 0x144a39f1892ed03c
46239 .xword 0x356aa56a09f45814
46240 .xword 0x8c72e71e72f5c7d8
46241 .xword 0xff64835e23741800
46242 .xword 0xdb30d5b3c1f9ada6
46243 .xword 0xc9792268a79a7503
46244 .xword 0x840a1253bba5cb1d
46245 .xword 0xb45f276e863df208
46246 .xword 0x7347783cd808e6cd
46247 .xword 0x9e514635cf6c8855
46248 .xword 0x077c35b113511058
46249 .xword 0xfcb60205163673bc
46250 .xword 0x4f4a20d1b4fd8416
46251 .xword 0x29d0c889fe30d9bd
46252 .xword 0xa7979e4c487a0edf
46253 .xword 0x1ab12dede94006c7
46254 .xword 0x664497121c511de9
46255 .xword 0x7054804a00b0d8bb
46256 .xword 0x35d475faa8cf1b0e
46257 .xword 0xbc69cee7fce214e7
46258 .xword 0x45a346b0446b6970
46259 .xword 0x9dc02fe41f8f9bcf
46260 .xword 0x66161dec57ce8737
46261 .xword 0x77d3fe7f3e8edbd3
46262 .xword 0xabdf58129a7cb4d6
46263 .xword 0x2fee1991987a89fe
46264 .xword 0x99facc0faf3e7161
46265 .xword 0x40a943a0b0fb92c4
46266 .xword 0x2de213a0ed70cd9e
46267 .xword 0xac9f96299f53b2ce
46268 .xword 0xb4e7b4de4c1b75ea
46269 .xword 0x7b54c47653e64d79
46270 .xword 0x281be0c94fc5343e
46271 .xword 0xb0e8dc3a8e50cb08
46272 .xword 0x341e9b816271f8ca
46273 .xword 0x9699a8543db9029d
46274 .xword 0x769ab6fdf905e521
46275 .xword 0x5f368b16754fdef5
46276 .xword 0x7b8aa1ec01b1c8c1
46277_t1_crc_dest:
46278 .xword 0xDEADBEEFDEADBEEF
46279 .xword 0xDEADBEEFDEADBEEF
46280 .xword 0xDEADBEEFDEADBEEF
46281 .xword 0xDEADBEEFDEADBEEF
46282 .xword 0xDEADBEEFDEADBEEF
46283 .xword 0xDEADBEEFDEADBEEF
46284 .xword 0xDEADBEEFDEADBEEF
46285 .xword 0xDEADBEEFDEADBEEF
46286 .xword 0xDEADBEEFDEADBEEF
46287 .xword 0xDEADBEEFDEADBEEF
46288 .xword 0xDEADBEEFDEADBEEF
46289 .xword 0xDEADBEEFDEADBEEF
46290 .xword 0xDEADBEEFDEADBEEF
46291 .xword 0xDEADBEEFDEADBEEF
46292 .xword 0xDEADBEEFDEADBEEF
46293 .xword 0xDEADBEEFDEADBEEF
46294 .xword 0xDEADBEEFDEADBEEF
46295 .xword 0xDEADBEEFDEADBEEF
46296 .xword 0xDEADBEEFDEADBEEF
46297 .xword 0xDEADBEEFDEADBEEF
46298 .xword 0xDEADBEEFDEADBEEF
46299 .xword 0xDEADBEEFDEADBEEF
46300 .xword 0xDEADBEEFDEADBEEF
46301 .xword 0xDEADBEEFDEADBEEF
46302 .xword 0xDEADBEEFDEADBEEF
46303 .xword 0xDEADBEEFDEADBEEF
46304 .xword 0xDEADBEEFDEADBEEF
46305 .xword 0xDEADBEEFDEADBEEF
46306 .xword 0xDEADBEEFDEADBEEF
46307 .xword 0xDEADBEEFDEADBEEF
46308 .xword 0xDEADBEEFDEADBEEF
46309 .xword 0xDEADBEEFDEADBEEF
46310 .xword 0xDEADBEEFDEADBEEF
46311 .xword 0xDEADBEEFDEADBEEF
46312 .xword 0xDEADBEEFDEADBEEF
46313 .xword 0xDEADBEEFDEADBEEF
46314 .xword 0xDEADBEEFDEADBEEF
46315 .xword 0xDEADBEEFDEADBEEF
46316 .xword 0xDEADBEEFDEADBEEF
46317 .xword 0xDEADBEEFDEADBEEF
46318 .xword 0xDEADBEEFDEADBEEF
46319 .xword 0xDEADBEEFDEADBEEF
46320 .xword 0xDEADBEEFDEADBEEF
46321 .xword 0xDEADBEEFDEADBEEF
46322 .xword 0xDEADBEEFDEADBEEF
46323 .xword 0xDEADBEEFDEADBEEF
46324 .xword 0xDEADBEEFDEADBEEF
46325 .xword 0xDEADBEEFDEADBEEF
46326 .xword 0xDEADBEEFDEADBEEF
46327 .xword 0xDEADBEEFDEADBEEF
46328 .xword 0xDEADBEEFDEADBEEF
46329 .xword 0xDEADBEEFDEADBEEF
46330 .xword 0xDEADBEEFDEADBEEF
46331 .xword 0xDEADBEEFDEADBEEF
46332 .xword 0xDEADBEEFDEADBEEF
46333 .xword 0xDEADBEEFDEADBEEF
46334 .xword 0xDEADBEEFDEADBEEF
46335 .xword 0xDEADBEEFDEADBEEF
46336 .xword 0xDEADBEEFDEADBEEF
46337 .xword 0xDEADBEEFDEADBEEF
46338 .xword 0xDEADBEEFDEADBEEF
46339 .xword 0xDEADBEEFDEADBEEF
46340 .xword 0xDEADBEEFDEADBEEF
46341 .xword 0xDEADBEEFDEADBEEF
46342 .xword 0xDEADBEEFDEADBEEF
46343 .xword 0xDEADBEEFDEADBEEF
46344 .xword 0xDEADBEEFDEADBEEF
46345 .xword 0xDEADBEEFDEADBEEF
46346 .xword 0xDEADBEEFDEADBEEF
46347 .xword 0xDEADBEEFDEADBEEF
46348 .xword 0xDEADBEEFDEADBEEF
46349 .xword 0xDEADBEEFDEADBEEF
46350 .xword 0xDEADBEEFDEADBEEF
46351 .xword 0xDEADBEEFDEADBEEF
46352 .xword 0xDEADBEEFDEADBEEF
46353 .xword 0xDEADBEEFDEADBEEF
46354 .xword 0xDEADBEEFDEADBEEF
46355 .xword 0xDEADBEEFDEADBEEF
46356 .xword 0xDEADBEEFDEADBEEF
46357 .xword 0xDEADBEEFDEADBEEF
46358 .xword 0xDEADBEEFDEADBEEF
46359 .xword 0xDEADBEEFDEADBEEF
46360 .xword 0xDEADBEEFDEADBEEF
46361 .xword 0xDEADBEEFDEADBEEF
46362 .xword 0xDEADBEEFDEADBEEF
46363 .xword 0xDEADBEEFDEADBEEF
46364 .xword 0xDEADBEEFDEADBEEF
46365 .xword 0xDEADBEEFDEADBEEF
46366 .xword 0xDEADBEEFDEADBEEF
46367 .xword 0xDEADBEEFDEADBEEF
46368 .xword 0xDEADBEEFDEADBEEF
46369 .xword 0xDEADBEEFDEADBEEF
46370 .xword 0xDEADBEEFDEADBEEF
46371 .xword 0xDEADBEEFDEADBEEF
46372 .xword 0xDEADBEEFDEADBEEF
46373 .xword 0xDEADBEEFDEADBEEF
46374 .xword 0xDEADBEEFDEADBEEF
46375 .xword 0xDEADBEEFDEADBEEF
46376 .xword 0xDEADBEEFDEADBEEF
46377 .xword 0xDEADBEEFDEADBEEF
46378 .xword 0xDEADBEEFDEADBEEF
46379 .xword 0xDEADBEEFDEADBEEF
46380 .xword 0xDEADBEEFDEADBEEF
46381 .xword 0xDEADBEEFDEADBEEF
46382 .xword 0xDEADBEEFDEADBEEF
46383 .xword 0xDEADBEEFDEADBEEF
46384 .xword 0xDEADBEEFDEADBEEF
46385 .xword 0xDEADBEEFDEADBEEF
46386 .xword 0xDEADBEEFDEADBEEF
46387 .xword 0xDEADBEEFDEADBEEF
46388 .xword 0xDEADBEEFDEADBEEF
46389 .xword 0xDEADBEEFDEADBEEF
46390 .xword 0xDEADBEEFDEADBEEF
46391 .xword 0xDEADBEEFDEADBEEF
46392 .xword 0xDEADBEEFDEADBEEF
46393 .xword 0xDEADBEEFDEADBEEF
46394 .xword 0xDEADBEEFDEADBEEF
46395 .xword 0xDEADBEEFDEADBEEF
46396 .xword 0xDEADBEEFDEADBEEF
46397 .xword 0xDEADBEEFDEADBEEF
46398 .xword 0xDEADBEEFDEADBEEF
46399 .xword 0xDEADBEEFDEADBEEF
46400 .xword 0xDEADBEEFDEADBEEF
46401 .xword 0xDEADBEEFDEADBEEF
46402 .xword 0xDEADBEEFDEADBEEF
46403 .xword 0xDEADBEEFDEADBEEF
46404 .xword 0xDEADBEEFDEADBEEF
46405 .xword 0xDEADBEEFDEADBEEF
46406 .xword 0xDEADBEEFDEADBEEF
46407 .xword 0xDEADBEEFDEADBEEF
46408 .xword 0xDEADBEEFDEADBEEF
46409 .xword 0xDEADBEEFDEADBEEF
46410 .xword 0xDEADBEEFDEADBEEF
46411 .xword 0xDEADBEEFDEADBEEF
46412 .xword 0xDEADBEEFDEADBEEF
46413 .xword 0xDEADBEEFDEADBEEF
46414 .xword 0xDEADBEEFDEADBEEF
46415 .xword 0xDEADBEEFDEADBEEF
46416 .xword 0xDEADBEEFDEADBEEF
46417 .xword 0xDEADBEEFDEADBEEF
46418 .xword 0xDEADBEEFDEADBEEF
46419 .xword 0xDEADBEEFDEADBEEF
46420 .xword 0xDEADBEEFDEADBEEF
46421 .xword 0xDEADBEEFDEADBEEF
46422 .xword 0xDEADBEEFDEADBEEF
46423 .xword 0xDEADBEEFDEADBEEF
46424 .xword 0xDEADBEEFDEADBEEF
46425 .xword 0xDEADBEEFDEADBEEF
46426 .xword 0xDEADBEEFDEADBEEF
46427 .xword 0xDEADBEEFDEADBEEF
46428 .xword 0xDEADBEEFDEADBEEF
46429 .xword 0xDEADBEEFDEADBEEF
46430 .xword 0xDEADBEEFDEADBEEF
46431 .xword 0xDEADBEEFDEADBEEF
46432 .xword 0xDEADBEEFDEADBEEF
46433 .xword 0xDEADBEEFDEADBEEF
46434 .xword 0xDEADBEEFDEADBEEF
46435 .xword 0xDEADBEEFDEADBEEF
46436 .xword 0xDEADBEEFDEADBEEF
46437 .xword 0xDEADBEEFDEADBEEF
46438 .xword 0xDEADBEEFDEADBEEF
46439 .xword 0xDEADBEEFDEADBEEF
46440 .xword 0xDEADBEEFDEADBEEF
46441 .xword 0xDEADBEEFDEADBEEF
46442 .xword 0xDEADBEEFDEADBEEF
46443 .xword 0xDEADBEEFDEADBEEF
46444 .xword 0xDEADBEEFDEADBEEF
46445 .xword 0xDEADBEEFDEADBEEF
46446 .xword 0xDEADBEEFDEADBEEF
46447 .xword 0xDEADBEEFDEADBEEF
46448 .xword 0xDEADBEEFDEADBEEF
46449 .xword 0xDEADBEEFDEADBEEF
46450 .xword 0xDEADBEEFDEADBEEF
46451 .xword 0xDEADBEEFDEADBEEF
46452 .xword 0xDEADBEEFDEADBEEF
46453 .xword 0xDEADBEEFDEADBEEF
46454 .xword 0xDEADBEEFDEADBEEF
46455 .xword 0xDEADBEEFDEADBEEF
46456 .xword 0xDEADBEEFDEADBEEF
46457 .xword 0xDEADBEEFDEADBEEF
46458 .xword 0xDEADBEEFDEADBEEF
46459 .xword 0xDEADBEEFDEADBEEF
46460 .xword 0xDEADBEEFDEADBEEF
46461 .xword 0xDEADBEEFDEADBEEF
46462 .xword 0xDEADBEEFDEADBEEF
46463 .xword 0xDEADBEEFDEADBEEF
46464 .xword 0xDEADBEEFDEADBEEF
46465 .xword 0xDEADBEEFDEADBEEF
46466 .xword 0xDEADBEEFDEADBEEF
46467 .xword 0xDEADBEEFDEADBEEF
46468 .xword 0xDEADBEEFDEADBEEF
46469 .xword 0xDEADBEEFDEADBEEF
46470 .xword 0xDEADBEEFDEADBEEF
46471 .xword 0xDEADBEEFDEADBEEF
46472 .xword 0xDEADBEEFDEADBEEF
46473 .xword 0xDEADBEEFDEADBEEF
46474 .xword 0xDEADBEEFDEADBEEF
46475 .xword 0xDEADBEEFDEADBEEF
46476 .xword 0xDEADBEEFDEADBEEF
46477 .xword 0xDEADBEEFDEADBEEF
46478 .xword 0xDEADBEEFDEADBEEF
46479 .xword 0xDEADBEEFDEADBEEF
46480 .xword 0xDEADBEEFDEADBEEF
46481 .xword 0xDEADBEEFDEADBEEF
46482 .xword 0xDEADBEEFDEADBEEF
46483 .xword 0xDEADBEEFDEADBEEF
46484 .xword 0xDEADBEEFDEADBEEF
46485 .xword 0xDEADBEEFDEADBEEF
46486 .xword 0xDEADBEEFDEADBEEF
46487 .xword 0xDEADBEEFDEADBEEF
46488 .xword 0xDEADBEEFDEADBEEF
46489 .xword 0xDEADBEEFDEADBEEF
46490 .xword 0xDEADBEEFDEADBEEF
46491 .xword 0xDEADBEEFDEADBEEF
46492 .xword 0xDEADBEEFDEADBEEF
46493 .xword 0xDEADBEEFDEADBEEF
46494 .xword 0xDEADBEEFDEADBEEF
46495 .xword 0xDEADBEEFDEADBEEF
46496 .xword 0xDEADBEEFDEADBEEF
46497 .xword 0xDEADBEEFDEADBEEF
46498 .xword 0xDEADBEEFDEADBEEF
46499 .xword 0xDEADBEEFDEADBEEF
46500 .xword 0xDEADBEEFDEADBEEF
46501 .xword 0xDEADBEEFDEADBEEF
46502 .xword 0xDEADBEEFDEADBEEF
46503 .xword 0xDEADBEEFDEADBEEF
46504 .xword 0xDEADBEEFDEADBEEF
46505 .xword 0xDEADBEEFDEADBEEF
46506 .xword 0xDEADBEEFDEADBEEF
46507 .xword 0xDEADBEEFDEADBEEF
46508 .xword 0xDEADBEEFDEADBEEF
46509 .xword 0xDEADBEEFDEADBEEF
46510 .xword 0xDEADBEEFDEADBEEF
46511 .xword 0xDEADBEEFDEADBEEF
46512 .xword 0xDEADBEEFDEADBEEF
46513 .xword 0xDEADBEEFDEADBEEF
46514 .xword 0xDEADBEEFDEADBEEF
46515 .xword 0xDEADBEEFDEADBEEF
46516 .xword 0xDEADBEEFDEADBEEF
46517 .xword 0xDEADBEEFDEADBEEF
46518 .xword 0xDEADBEEFDEADBEEF
46519 .xword 0xDEADBEEFDEADBEEF
46520 .xword 0xDEADBEEFDEADBEEF
46521 .xword 0xDEADBEEFDEADBEEF
46522 .xword 0xDEADBEEFDEADBEEF
46523 .xword 0xDEADBEEFDEADBEEF
46524 .xword 0xDEADBEEFDEADBEEF
46525 .xword 0xDEADBEEFDEADBEEF
46526 .xword 0xDEADBEEFDEADBEEF
46527 .xword 0xDEADBEEFDEADBEEF
46528 .xword 0xDEADBEEFDEADBEEF
46529 .xword 0xDEADBEEFDEADBEEF
46530 .xword 0xDEADBEEFDEADBEEF
46531 .xword 0xDEADBEEFDEADBEEF
46532 .xword 0xDEADBEEFDEADBEEF
46533 .xword 0xDEADBEEFDEADBEEF
46534 .xword 0xDEADBEEFDEADBEEF
46535 .xword 0xDEADBEEFDEADBEEF
46536 .xword 0xDEADBEEFDEADBEEF
46537 .xword 0xDEADBEEFDEADBEEF
46538 .xword 0xDEADBEEFDEADBEEF
46539 .xword 0xDEADBEEFDEADBEEF
46540 .xword 0xDEADBEEFDEADBEEF
46541 .xword 0xDEADBEEFDEADBEEF
46542 .xword 0xDEADBEEFDEADBEEF
46543 .xword 0xDEADBEEFDEADBEEF
46544 .xword 0xDEADBEEFDEADBEEF
46545 .xword 0xDEADBEEFDEADBEEF
46546 .xword 0xDEADBEEFDEADBEEF
46547 .xword 0xDEADBEEFDEADBEEF
46548 .xword 0xDEADBEEFDEADBEEF
46549 .xword 0xDEADBEEFDEADBEEF
46550 .xword 0xDEADBEEFDEADBEEF
46551 .xword 0xDEADBEEFDEADBEEF
46552 .xword 0xDEADBEEFDEADBEEF
46553_t1_crc_auth_key:
46554 .xword 0xd25841ec071cf3a5
46555 .xword 0x69ebfe00dad39fea
46556 .xword 0xbf59252452933992
46557 .xword 0x5ea22746e2cc17b6
46558 .xword 0xc9b96fd0ca5ec42f
46559 .xword 0xb7337bd50207aa85
46560 .xword 0x9d9140fff37f21d4
46561 .xword 0x81e19a92d0f0f1e1
46562 .xword 0xce9479237ec46d30
46563 .xword 0x629b6998b02775e2
46564 .xword 0xeb47a678ec94b8a4
46565 .xword 0x327436cb7351b294
46566 .xword 0x10e79e79afdd57d8
46567 .xword 0x8fb69ec5ccc9f98c
46568 .xword 0xe56b31d67908b7a1
46569 .xword 0x5f52b1987d794df1
46570 .xword 0xba505b07e8278385
46571 .xword 0xeb535c94c2ef4c30
46572 .xword 0x0fdb65e110ac6349
46573 .xword 0x40ad2e13469fa5b1
46574 .xword 0x8ab7a1fa8f8c990f
46575 .xword 0xb3c7b2ee84467ad5
46576 .xword 0x5fbe9d486e035e07
46577_t1_crc_auth_iv:
46578 .xword 0x41b3a7382b380595
46579 .xword 0xbb5f7c2a5316cfc5
46580 .xword 0x91449bca8c53516d
46581 .xword 0x65ceaa54e15af992
46582 .xword 0xe96a1307422c0a5d
46583 .xword 0x0f5f8201ed0716a6
46584 .xword 0x92f0c044e019869d
46585 .xword 0xf9d6233ec6b2fde0
46586 .xword 0x85578948886743da
46587 .xword 0x1cb97a23f596f985
46588 .xword 0xf7bd3a06e0d61910
46589 .xword 0x0ea22fdbed977ad5
46590 .xword 0xf76f6fa6cfa6105b
46591 .xword 0x8afe0115101d4516
46592 .xword 0x8b37c976fc2634b8
46593 .xword 0x92f2d079fcbc248d
46594 .xword 0xee386504748f5098
46595 .xword 0xe975a5879168a343
46596 .xword 0x1c66f870c184663d
46597 .xword 0xad843f4aba63cee4
46598 .xword 0xdd69ef9826a8898b
46599 .xword 0x9e40734c7b106097
46600 .xword 0x5168d064e4c86471
46601_t1_crc_fas_result:
46602 .xword 0xDEADBEEFDEADBEEF
46603 .xword 0xDEADBEEFDEADBEEF
46604 .xword 0xDEADBEEFDEADBEEF
46605 .xword 0xDEADBEEFDEADBEEF
46606 .xword 0xDEADBEEFDEADBEEF
46607 .xword 0xDEADBEEFDEADBEEF
46608 .xword 0xDEADBEEFDEADBEEF
46609 .xword 0xDEADBEEFDEADBEEF
46610 .xword 0xDEADBEEFDEADBEEF
46611 .xword 0xDEADBEEFDEADBEEF
46612 .xword 0xDEADBEEFDEADBEEF
46613 .xword 0xDEADBEEFDEADBEEF
46614 .xword 0xDEADBEEFDEADBEEF
46615 .xword 0xDEADBEEFDEADBEEF
46616 .xword 0xDEADBEEFDEADBEEF
46617 .xword 0xDEADBEEFDEADBEEF
46618 .xword 0xDEADBEEFDEADBEEF
46619 .xword 0xDEADBEEFDEADBEEF
46620 .xword 0xDEADBEEFDEADBEEF
46621 .xword 0xDEADBEEFDEADBEEF
46622 .xword 0xDEADBEEFDEADBEEF
46623 .xword 0xDEADBEEFDEADBEEF
46624 .xword 0xDEADBEEFDEADBEEF
46625_t1_hash_key_array:
46626 .xword 0xcf7a47ee8c8d72db
46627 .xword 0x7c90ba5dd04236f9
46628 .xword 0x1aa2ff41af51b79a
46629 .xword 0x81d6a954dbcd5320
46630 .xword 0xfd70f96c26013931
46631 .xword 0xf814fc92c81126e9
46632 .xword 0x91e85fb1208a47ee
46633 .xword 0x7eb8a0d38633cf91
46634 .xword 0xed5e699726f3961a
46635 .xword 0xae9f60c428fd3229
46636 .xword 0x255528e1d4dbfc63
46637 .xword 0x0aed10c6d623d049
46638 .xword 0x07bdc0fbefe5b7a6
46639 .xword 0x06f2571e8f26be90
46640 .xword 0xd280c601af530c34
46641 .xword 0x801b7a65374c44cb
46642 .xword 0xfbd7ab9bb238f198
46643 .xword 0x2f0f73feb38bf8dd
46644 .xword 0x4973f999c38e7fd5
46645 .xword 0x2dd5bd218752832a
46646 .xword 0xc764835ebc7396cf
46647 .xword 0x475cf2aa5dc53ddd
46648 .xword 0x867420270e22ccc6
46649 .xword 0xd3e888835fb9afd1
46650 .xword 0xf8e0c8f778fa3218
46651 .xword 0xa50e904b3deb3c30
46652 .xword 0x361ed13db4462f49
46653 .xword 0x088ba9c704fcbc87
46654 .xword 0x44a5037bcdf73669
46655 .xword 0x520bb991b0152dde
46656 .xword 0xcf324b892b53a8c8
46657 .xword 0x2bb0688bd33aa1cc
46658 .xword 0x0c45979b1e1f4876
46659 .xword 0xd8ca1470a6048a1f
46660 .xword 0x96bbe0d4cfcdca45
46661 .xword 0xd067a1331f91ce06
46662 .xword 0x21a14ccc4f4e8009
46663 .xword 0x6fe587cdefece980
46664 .xword 0x3c5344bebbc8f2af
46665 .xword 0x9aeb2b8dfe39d053
46666 .xword 0x482be5f8326b9cf1
46667 .xword 0xe1bf81705ecd75be
46668 .xword 0xe6966265c85549c0
46669 .xword 0x90c0287b2aaf109b
46670 .xword 0xb290a926299d2c3e
46671 .xword 0xc2d08d3fc466f07c
46672 .xword 0x3550f447533a1e2d
46673 .xword 0xa025d17d915e8274
46674 .xword 0xd6d2ceeefec2ba38
46675 .xword 0x8b1bb71a658e2185
46676 .xword 0xff60472823853245
46677_t1_hash_iv_array:
46678 .xword 0x7e5e249624a106b1
46679 .xword 0x16a146dd17dd2948
46680 .xword 0x98468c27b5c2c18d
46681 .xword 0x51e668f28b822539
46682 .xword 0x7ac209d113df7312
46683 .xword 0x2f8ed19030213f90
46684 .xword 0xe97f0336274f1dfe
46685 .xword 0x922e5cc6768c50ac
46686 .xword 0x7aee09ca487b0cd7
46687 .xword 0xa4ca840990d78eeb
46688 .xword 0x298510a939381206
46689 .xword 0x69f195f2ffb7466c
46690 .xword 0x3caad5cce5c66e73
46691 .xword 0x5bd696395c21d478
46692 .xword 0x74124c31500f5a63
46693 .xword 0x731db55a344f1157
46694 .xword 0x8201915e33270096
46695 .xword 0xf9fd687c839a0701
46696 .xword 0x5508c87d8d93d084
46697 .xword 0x58ae14b246b223e1
46698 .xword 0x317f11fb543d541d
46699 .xword 0xb6a0dbe53d553d88
46700 .xword 0xcfe361a553232a6d
46701 .xword 0x63aa9929f74ddaa3
46702 .xword 0x0d1dddffdd32884d
46703 .xword 0x40003e31c4f5bf59
46704 .xword 0x814576e2ba7522fd
46705 .xword 0xdc428f8a211fb332
46706 .xword 0x60e9b7897d464de2
46707 .xword 0xb25583c8153bd78d
46708 .xword 0x0cb550fc57d14b46
46709 .xword 0x43ac32808ddb5b37
46710 .xword 0x76d424c45ae8e17c
46711 .xword 0x0f534cb32a7f4a2d
46712 .xword 0x96e9d5fb0525320c
46713 .xword 0xf2546d879670f776
46714 .xword 0x4f6a87b209f520a4
46715 .xword 0xcbbcf3c12c48b518
46716 .xword 0x77a88bc5fc339b6e
46717 .xword 0x3090a8d430e86c3f
46718 .xword 0x13e81bad9413d629
46719 .xword 0x812e50316e69831f
46720 .xword 0x148128462270831e
46721 .xword 0xd62c768f83b77991
46722 .xword 0xad48072f0ba71397
46723_t1_hash_alignment_array:
46724 .xword 3
46725 .xword 5
46726 .xword 9
46727 .xword 15
46728 .xword 15
46729 .xword 5
46730 .xword 12
46731 .xword 15
46732 .xword 2
46733 .xword 5
46734 .xword 8
46735 .xword 2
46736 .xword 10
46737 .xword 15
46738 .xword 15
46739 .xword 2
46740 .xword 2
46741 .xword 0
46742 .xword 1
46743 .xword 3
46744 .xword 3
46745 .xword 10
46746 .xword 9
46747 .xword 0
46748 .xword 5
46749 .xword 10
46750 .xword 13
46751 .xword 11
46752 .xword 6
46753 .xword 5
46754 .xword 15
46755 .xword 4
46756 .xword 13
46757 .xword 3
46758 .xword 1
46759 .xword 6
46760 .xword 12
46761 .xword 2
46762 .xword 2
46763 .xword 8
46764 .xword 6
46765 .xword 10
46766 .xword 10
46767 .xword 1
46768 .xword 9
46769 .xword 3
46770 .xword 7
46771 .xword 0
46772 .xword 10
46773 .xword 2
46774 .xword 8
46775 .xword 4
46776 .xword 10
46777 .xword 3
46778 .xword 13
46779 .xword 12
46780 .xword 4
46781 .xword 4
46782 .xword 2
46783 .xword 12
46784 .xword 4
46785 .xword 4
46786 .xword 4
46787 .xword 1
46788 .xword 8
46789 .xword 10
46790 .xword 13
46791 .xword 5
46792 .xword 7
46793 .xword 10
46794 .xword 12
46795 .xword 4
46796 .xword 14
46797 .xword 4
46798 .xword 7
46799 .xword 6
46800 .xword 10
46801 .xword 3
46802 .xword 13
46803 .xword 13
46804 .xword 14
46805 .xword 0
46806 .xword 14
46807 .xword 4
46808 .xword 5
46809 .xword 15
46810 .xword 2
46811 .xword 7
46812 .xword 2
46813 .xword 15
46814 .xword 8
46815 .xword 9
46816 .xword 2
46817 .xword 4
46818 .xword 9
46819 .xword 4
46820 .xword 5
46821 .xword 14
46822 .xword 12
46823 .xword 14
46824 .xword 9
46825 .xword 12
46826 .xword 13
46827 .xword 0
46828 .xword 12
46829_t1_hash_src:
46830 .xword 0x5dcb6204e7530ade
46831 .xword 0xc05bea804b7f0525
46832 .xword 0xe9de851c50deb76c
46833 .xword 0x1c8c883ded7d87f7
46834 .xword 0x95a0523cb7b71b7d
46835 .xword 0x097c22908e1f6173
46836 .xword 0x54a71741ef77b040
46837 .xword 0xc670539641b4f71a
46838 .xword 0x65c9de4e68e3f32b
46839 .xword 0x63b9675cbf460071
46840 .xword 0x38f5b583b77504c0
46841 .xword 0x6cd9475d8fbb0bdb
46842 .xword 0xd7c89d18120676b7
46843 .xword 0x716129e0bab95afd
46844 .xword 0x6bed9179a3717847
46845 .xword 0xc04974670d69fe5b
46846 .xword 0xc133083aab24ed9f
46847 .xword 0xaa65e2fd10623725
46848 .xword 0xc7570ba785fb5b98
46849 .xword 0x5579c49a6acec50d
46850 .xword 0x7dcaeb924f11183a
46851 .xword 0xc42fe42bc6880aac
46852 .xword 0xa7467a8eae8e3c0c
46853 .xword 0xc4d913caf69570b7
46854 .xword 0x445a54cb0eec27ee
46855 .xword 0xb2837a64d4490c23
46856 .xword 0x9e0b49d2515eabe4
46857 .xword 0x92f6538c01d91493
46858 .xword 0xe4cfae0c954f03fc
46859 .xword 0x6111d0a56a485ffb
46860 .xword 0x3971054600dd3823
46861 .xword 0x468ea2f8570c15a6
46862 .xword 0x927b8768aea5dc95
46863 .xword 0x925194cc9f268db7
46864 .xword 0x8dd1249da5eb9bcf
46865 .xword 0x8d431409a7af2c96
46866 .xword 0xe724cbaf7b03e4c6
46867 .xword 0x5893b5ad605667b2
46868 .xword 0xcf8a5a87850a9fcb
46869 .xword 0x997a936bb47fe30b
46870 .xword 0x40d12036eb5d859a
46871 .xword 0xe0190a8c15b3e505
46872 .xword 0xcd708c9b76e7e1ea
46873 .xword 0x7b292ec5e745e9d8
46874 .xword 0xb809e23b02832eec
46875 .xword 0x502c421ca499ea10
46876 .xword 0xf81c40e746581c44
46877 .xword 0x8dd36996f232c0ae
46878 .xword 0x1c5d017502c2f33c
46879 .xword 0x284166022f73bb67
46880 .xword 0x72eef04cd9d181cb
46881 .xword 0xf6859646d814dfee
46882 .xword 0xb3cb29230427a2a0
46883 .xword 0x1453370b0d9aa6a5
46884 .xword 0xffa12c0f4082fc48
46885 .xword 0xe7e27e2a5c819333
46886 .xword 0x62c78e4eca5127d9
46887 .xword 0x418c1089cbf5f67a
46888 .xword 0x88f309bbf152d9aa
46889 .xword 0xc5b56f44b9f3b84a
46890 .xword 0x1ce96cb5121bb55d
46891 .xword 0x4b23e35cd49c0fd1
46892 .xword 0x0fcf93ab20c281a3
46893 .xword 0xe9e40a46387887d9
46894 .xword 0xb612bd1b4f470ed4
46895 .xword 0xe4a57dc97d71caa9
46896 .xword 0x3fabd2e997fcd826
46897 .xword 0x17df87d5025e5ffd
46898 .xword 0xfe7c10071bf79af1
46899 .xword 0x90e5900c566c73a0
46900 .xword 0xebe6e94f3fa1b858
46901 .xword 0x5f845d311fb754e1
46902 .xword 0x02472649a6446a43
46903 .xword 0x859b0b35b5836cfb
46904 .xword 0xceb68b8b11cc6d52
46905 .xword 0x215411c46c78178c
46906 .xword 0x1d24290a3eda215c
46907 .xword 0x9b8230af47ce5aec
46908 .xword 0x0dc06c56efd9902a
46909 .xword 0x64be7a20b4551874
46910 .xword 0x55ba109e46e7de01
46911 .xword 0x0333d620efc6b33c
46912 .xword 0xd65e2d3cc03f594b
46913 .xword 0xbb529f451f31beb6
46914 .xword 0x355d360a7eb87c5e
46915 .xword 0x377b51642126e757
46916 .xword 0x7944aec7b80bdc89
46917 .xword 0x76ee712d832cf736
46918 .xword 0x998ff6f5a45b9d31
46919 .xword 0xeca369ddf6ac549f
46920 .xword 0x095c682017116980
46921 .xword 0xf87028ed11960391
46922 .xword 0x159ca2bd6abb1a36
46923 .xword 0x0096cd5afdfa40bd
46924 .xword 0x334464a13fb6724f
46925 .xword 0xc349503ebbf007cd
46926 .xword 0xcd6d545a6f6b7470
46927 .xword 0x3d77bc7a4d3d5c4f
46928 .xword 0xf5c843c2eba35bd2
46929 .xword 0x77e0b384a714a7fb
46930 .xword 0x6947cfdb97b0e0c8
46931 .xword 0x31f72adf11ce8667
46932 .xword 0x04fca2e0153ea908
46933 .xword 0x3fe9db7ae2fd0e8e
46934 .xword 0xc4b8f01469c67e68
46935 .xword 0x45a946c01fdb3741
46936 .xword 0x62b3f495d4ea4d17
46937 .xword 0x639719c775acdec9
46938 .xword 0x67d8e4cb02818b30
46939 .xword 0xc008b48dec6f0d08
46940 .xword 0x32235f3b3d395426
46941 .xword 0x469950c92ed605d6
46942 .xword 0xdf815197abdce177
46943 .xword 0x2d254983f007ac6f
46944 .xword 0x7e98f4d26032cde0
46945 .xword 0x9d2b67794a0ec803
46946 .xword 0xcac9fa18d6299b53
46947 .xword 0x177e154389e34691
46948 .xword 0x538878f7603e0e98
46949 .xword 0x77582575fb2c8893
46950 .xword 0xedc5416c11192679
46951 .xword 0xcedc82a412f1677b
46952 .xword 0x0bca16d2d033f555
46953 .xword 0x78da2aec78924aea
46954 .xword 0x539d9cbaaf2eb092
46955 .xword 0xf58aaf1d9cb5826c
46956 .xword 0xbdedbd37cdaa79d8
46957 .xword 0x95ed78c2950fb551
46958 .xword 0x1ac6b3dd5b875954
46959 .xword 0x891b1c1a712bf28b
46960 .xword 0xcefcb4e9d5366d60
46961 .xword 0xe2e4eb061fdebb51
46962 .xword 0x4e9831106d2dfc94
46963 .xword 0x1046e813c3e7a38f
46964 .xword 0xb4646bb19e276def
46965 .xword 0x71f6cd0c405ee81c
46966 .xword 0x7a8c305c049645a8
46967 .xword 0x65b7163d39bfc7d2
46968 .xword 0x0acb404c5811c3c7
46969 .xword 0x938e84ed3434f311
46970 .xword 0xe4eb9a77e4d63d13
46971 .xword 0x6b5d729acbb6029d
46972 .xword 0x19f691dd7968b0b3
46973 .xword 0x80fae076fd53e066
46974 .xword 0x0dbb2c8dca3a0d3a
46975 .xword 0x674035d8d7a5bd7d
46976 .xword 0x277fead6659fa083
46977 .xword 0x45e8bf599e3a052d
46978 .xword 0xf906999ad67e47e9
46979 .xword 0xd19b2c9a6a2b6af3
46980 .xword 0x9f690da05e1e01e6
46981 .xword 0xce7545fe4b6dc66a
46982 .xword 0x7ff10ed6169f96fe
46983 .xword 0x7f9f8aca64c4ea87
46984 .xword 0xace11ed4bab70755
46985 .xword 0xc46da4800434c1e8
46986 .xword 0x152f3a2322c657ea
46987 .xword 0x2d8b7a0e5f51607e
46988 .xword 0x462e2e5f3db53028
46989 .xword 0x26c1e9e3b6ad556f
46990 .xword 0xe38551725185fa0f
46991 .xword 0x27f56a3a64fa25f7
46992 .xword 0xa717e6e500cd526b
46993 .xword 0x515c925feb2f9e1e
46994 .xword 0x1812e2462add0878
46995 .xword 0x38e23889bbf8aee9
46996 .xword 0x2fe0ad0d4ce64a63
46997 .xword 0x87e3374efb69937d
46998 .xword 0xa38c6de40fc083e5
46999 .xword 0xcfc5813024f42067
47000 .xword 0x754390fa809fb1d0
47001 .xword 0xfb2b330c4683d3e7
47002 .xword 0xc0758a88a9e7c28d
47003 .xword 0xffc37cbc4a4dd6bf
47004 .xword 0xa8ad6ea2ac991292
47005 .xword 0x63212bfec6a66a26
47006 .xword 0xceb3579f483faf42
47007 .xword 0x6390f1cefce8511f
47008 .xword 0xd75ad18e0843de4b
47009 .xword 0x33fa5d145d863c22
47010 .xword 0xbaed0f1159886443
47011 .xword 0x44a4cacf8e58fb0b
47012 .xword 0x575afc0ca502e5a3
47013 .xword 0x139ae4ccefc8c11b
47014 .xword 0xe709e8ef2e13db4b
47015 .xword 0x7807859cd63fc6c0
47016 .xword 0x63e8d3579828485e
47017 .xword 0xaa1dcae09e6a5bf2
47018 .xword 0x0216574dc40ec684
47019 .xword 0x36d7d55f82e9fd0f
47020 .xword 0xd072b146a256eb59
47021 .xword 0xfb63f2b115ec8c68
47022 .xword 0xbee8164fadaa3086
47023 .xword 0x68a7deb473c1d2a2
47024 .xword 0x2edb83b9ddbc8942
47025 .xword 0xf9c043620fa6ad2a
47026 .xword 0x5759e7465c0d08a5
47027 .xword 0x00c2644616ffbcaa
47028 .xword 0xbfe9e5a371d296f6
47029 .xword 0x0eec832da38d74d0
47030 .xword 0xfab98c1342c501e6
47031 .xword 0xe073f9a62e59cc85
47032 .xword 0x8017f10f590752a7
47033 .xword 0xa7891f37670d3d09
47034 .xword 0x19b8782048803cd6
47035 .xword 0x64eaf7e950bb8690
47036 .xword 0x56cda2c184fe7db7
47037 .xword 0x929b8d3c3b461ad1
47038 .xword 0x098c9a804f7e04d0
47039 .xword 0x4ea477a2260e74fb
47040 .xword 0x06b46ea647008e0e
47041 .xword 0x4365cbcf2dca7954
47042 .xword 0x3c6e21973df202da
47043 .xword 0x0b8a826cbcf313ae
47044 .xword 0xc8256744ad45119e
47045 .xword 0xdb1c13e9050d2715
47046 .xword 0xc5de70517808f178
47047 .xword 0xc030aae5ba9ff9ee
47048 .xword 0xa352a2ba42606648
47049 .xword 0x75117b2ca32e0b41
47050 .xword 0x58ec1d14c32dde3f
47051 .xword 0x6622cabc5147dd39
47052 .xword 0x1bc4c6d1914e4da5
47053 .xword 0xcb3b5be49b6fc67e
47054 .xword 0x3c914b79e9d19a10
47055 .xword 0x1d8703c6dcf5380a
47056 .xword 0xb1976bbea69d6a79
47057 .xword 0xf55a3ef1f8f8dae6
47058 .xword 0x4d60787e5d5b60f7
47059 .xword 0xd15c4eafaa73b6aa
47060 .xword 0xdf195c2952e5d477
47061 .xword 0xb3bfdb4aa0ebccbd
47062 .xword 0xb0a554181c7ba25f
47063 .xword 0xb2f47e869a37542a
47064 .xword 0x3ddc232b41e9c097
47065 .xword 0x46f72f02018e0781
47066 .xword 0x2d54aae5201ebf06
47067 .xword 0x7cde790a887cf3e7
47068 .xword 0x9ea4ecfb06a33ccd
47069 .xword 0x332f44258ff79391
47070 .xword 0x87ba95bf1b3c1b2b
47071 .xword 0xcb1b2f2759197ea7
47072 .xword 0xec09630b5f5f4000
47073 .xword 0x38bc893f1a56ad0d
47074 .xword 0x1325ad16d6f6374d
47075 .xword 0xe8246540a8fc2258
47076 .xword 0x640702bb8ab9d0e3
47077 .xword 0x9803064d0cdfae30
47078 .xword 0xd3e5a7a2775648f8
47079 .xword 0x29abd37bd21cc6a2
47080 .xword 0xa9930c703bbe0603
47081 .xword 0x77248e02e7360da0
47082 .xword 0xf762d3fab76947f6
47083 .xword 0x18d1c40a12ddc056
47084 .xword 0xfc8e445867ae48cb
47085 .xword 0x43fa9865eb702ddb
47086 .xword 0xe768533d59791a51
47087 .xword 0x68bac36762d162e7
47088 .xword 0x1c3888bb33dbf5c5
47089 .xword 0x1e070414036a03a4
47090 .xword 0xd6807cfb3c1733e7
47091 .xword 0xe23ac9e00fd4eada
47092 .xword 0x1044d138b081757d
47093 .xword 0x88c16dd880f7ffc5
47094 .xword 0x1e3f0bee12e9bfd0
47095 .xword 0xccddd84898871ec6
47096 .xword 0x6b3ad67d3dea6d3c
47097 .xword 0x21679298c9e0c0ec
47098 .xword 0x9363b319b891f767
47099 .xword 0xc9f8dcd796072f1e
47100 .xword 0x2e1bb5a2ac2b5883
47101 .xword 0xf8d985d6c0229f86
47102 .xword 0xb3a209c566a6f4cf
47103 .xword 0xaccdf0d51fb24473
47104 .xword 0x0d3aa3601c92fa14
47105_t1_hash_dest:
47106 .xword 0xDEADBEEFDEADBEEF
47107 .xword 0xDEADBEEFDEADBEEF
47108 .xword 0xDEADBEEFDEADBEEF
47109 .xword 0xDEADBEEFDEADBEEF
47110 .xword 0xDEADBEEFDEADBEEF
47111 .xword 0xDEADBEEFDEADBEEF
47112 .xword 0xDEADBEEFDEADBEEF
47113 .xword 0xDEADBEEFDEADBEEF
47114 .xword 0xDEADBEEFDEADBEEF
47115 .xword 0xDEADBEEFDEADBEEF
47116 .xword 0xDEADBEEFDEADBEEF
47117 .xword 0xDEADBEEFDEADBEEF
47118 .xword 0xDEADBEEFDEADBEEF
47119 .xword 0xDEADBEEFDEADBEEF
47120 .xword 0xDEADBEEFDEADBEEF
47121 .xword 0xDEADBEEFDEADBEEF
47122 .xword 0xDEADBEEFDEADBEEF
47123 .xword 0xDEADBEEFDEADBEEF
47124 .xword 0xDEADBEEFDEADBEEF
47125 .xword 0xDEADBEEFDEADBEEF
47126 .xword 0xDEADBEEFDEADBEEF
47127 .xword 0xDEADBEEFDEADBEEF
47128 .xword 0xDEADBEEFDEADBEEF
47129 .xword 0xDEADBEEFDEADBEEF
47130 .xword 0xDEADBEEFDEADBEEF
47131 .xword 0xDEADBEEFDEADBEEF
47132 .xword 0xDEADBEEFDEADBEEF
47133 .xword 0xDEADBEEFDEADBEEF
47134 .xword 0xDEADBEEFDEADBEEF
47135 .xword 0xDEADBEEFDEADBEEF
47136 .xword 0xDEADBEEFDEADBEEF
47137 .xword 0xDEADBEEFDEADBEEF
47138 .xword 0xDEADBEEFDEADBEEF
47139 .xword 0xDEADBEEFDEADBEEF
47140 .xword 0xDEADBEEFDEADBEEF
47141 .xword 0xDEADBEEFDEADBEEF
47142 .xword 0xDEADBEEFDEADBEEF
47143 .xword 0xDEADBEEFDEADBEEF
47144 .xword 0xDEADBEEFDEADBEEF
47145 .xword 0xDEADBEEFDEADBEEF
47146 .xword 0xDEADBEEFDEADBEEF
47147 .xword 0xDEADBEEFDEADBEEF
47148 .xword 0xDEADBEEFDEADBEEF
47149 .xword 0xDEADBEEFDEADBEEF
47150 .xword 0xDEADBEEFDEADBEEF
47151 .xword 0xDEADBEEFDEADBEEF
47152 .xword 0xDEADBEEFDEADBEEF
47153 .xword 0xDEADBEEFDEADBEEF
47154 .xword 0xDEADBEEFDEADBEEF
47155 .xword 0xDEADBEEFDEADBEEF
47156 .xword 0xDEADBEEFDEADBEEF
47157 .xword 0xDEADBEEFDEADBEEF
47158 .xword 0xDEADBEEFDEADBEEF
47159 .xword 0xDEADBEEFDEADBEEF
47160 .xword 0xDEADBEEFDEADBEEF
47161 .xword 0xDEADBEEFDEADBEEF
47162 .xword 0xDEADBEEFDEADBEEF
47163 .xword 0xDEADBEEFDEADBEEF
47164 .xword 0xDEADBEEFDEADBEEF
47165 .xword 0xDEADBEEFDEADBEEF
47166 .xword 0xDEADBEEFDEADBEEF
47167 .xword 0xDEADBEEFDEADBEEF
47168 .xword 0xDEADBEEFDEADBEEF
47169 .xword 0xDEADBEEFDEADBEEF
47170 .xword 0xDEADBEEFDEADBEEF
47171 .xword 0xDEADBEEFDEADBEEF
47172 .xword 0xDEADBEEFDEADBEEF
47173 .xword 0xDEADBEEFDEADBEEF
47174 .xword 0xDEADBEEFDEADBEEF
47175 .xword 0xDEADBEEFDEADBEEF
47176 .xword 0xDEADBEEFDEADBEEF
47177 .xword 0xDEADBEEFDEADBEEF
47178 .xword 0xDEADBEEFDEADBEEF
47179 .xword 0xDEADBEEFDEADBEEF
47180 .xword 0xDEADBEEFDEADBEEF
47181 .xword 0xDEADBEEFDEADBEEF
47182 .xword 0xDEADBEEFDEADBEEF
47183 .xword 0xDEADBEEFDEADBEEF
47184 .xword 0xDEADBEEFDEADBEEF
47185 .xword 0xDEADBEEFDEADBEEF
47186 .xword 0xDEADBEEFDEADBEEF
47187 .xword 0xDEADBEEFDEADBEEF
47188 .xword 0xDEADBEEFDEADBEEF
47189 .xword 0xDEADBEEFDEADBEEF
47190 .xword 0xDEADBEEFDEADBEEF
47191 .xword 0xDEADBEEFDEADBEEF
47192 .xword 0xDEADBEEFDEADBEEF
47193 .xword 0xDEADBEEFDEADBEEF
47194 .xword 0xDEADBEEFDEADBEEF
47195 .xword 0xDEADBEEFDEADBEEF
47196 .xword 0xDEADBEEFDEADBEEF
47197 .xword 0xDEADBEEFDEADBEEF
47198 .xword 0xDEADBEEFDEADBEEF
47199 .xword 0xDEADBEEFDEADBEEF
47200 .xword 0xDEADBEEFDEADBEEF
47201 .xword 0xDEADBEEFDEADBEEF
47202 .xword 0xDEADBEEFDEADBEEF
47203 .xword 0xDEADBEEFDEADBEEF
47204 .xword 0xDEADBEEFDEADBEEF
47205 .xword 0xDEADBEEFDEADBEEF
47206 .xword 0xDEADBEEFDEADBEEF
47207 .xword 0xDEADBEEFDEADBEEF
47208 .xword 0xDEADBEEFDEADBEEF
47209 .xword 0xDEADBEEFDEADBEEF
47210 .xword 0xDEADBEEFDEADBEEF
47211 .xword 0xDEADBEEFDEADBEEF
47212 .xword 0xDEADBEEFDEADBEEF
47213 .xword 0xDEADBEEFDEADBEEF
47214 .xword 0xDEADBEEFDEADBEEF
47215 .xword 0xDEADBEEFDEADBEEF
47216 .xword 0xDEADBEEFDEADBEEF
47217 .xword 0xDEADBEEFDEADBEEF
47218 .xword 0xDEADBEEFDEADBEEF
47219 .xword 0xDEADBEEFDEADBEEF
47220 .xword 0xDEADBEEFDEADBEEF
47221 .xword 0xDEADBEEFDEADBEEF
47222 .xword 0xDEADBEEFDEADBEEF
47223 .xword 0xDEADBEEFDEADBEEF
47224 .xword 0xDEADBEEFDEADBEEF
47225 .xword 0xDEADBEEFDEADBEEF
47226 .xword 0xDEADBEEFDEADBEEF
47227 .xword 0xDEADBEEFDEADBEEF
47228 .xword 0xDEADBEEFDEADBEEF
47229 .xword 0xDEADBEEFDEADBEEF
47230 .xword 0xDEADBEEFDEADBEEF
47231 .xword 0xDEADBEEFDEADBEEF
47232 .xword 0xDEADBEEFDEADBEEF
47233 .xword 0xDEADBEEFDEADBEEF
47234 .xword 0xDEADBEEFDEADBEEF
47235 .xword 0xDEADBEEFDEADBEEF
47236 .xword 0xDEADBEEFDEADBEEF
47237 .xword 0xDEADBEEFDEADBEEF
47238 .xword 0xDEADBEEFDEADBEEF
47239 .xword 0xDEADBEEFDEADBEEF
47240 .xword 0xDEADBEEFDEADBEEF
47241 .xword 0xDEADBEEFDEADBEEF
47242 .xword 0xDEADBEEFDEADBEEF
47243 .xword 0xDEADBEEFDEADBEEF
47244 .xword 0xDEADBEEFDEADBEEF
47245 .xword 0xDEADBEEFDEADBEEF
47246 .xword 0xDEADBEEFDEADBEEF
47247 .xword 0xDEADBEEFDEADBEEF
47248 .xword 0xDEADBEEFDEADBEEF
47249 .xword 0xDEADBEEFDEADBEEF
47250 .xword 0xDEADBEEFDEADBEEF
47251 .xword 0xDEADBEEFDEADBEEF
47252 .xword 0xDEADBEEFDEADBEEF
47253 .xword 0xDEADBEEFDEADBEEF
47254 .xword 0xDEADBEEFDEADBEEF
47255 .xword 0xDEADBEEFDEADBEEF
47256 .xword 0xDEADBEEFDEADBEEF
47257 .xword 0xDEADBEEFDEADBEEF
47258 .xword 0xDEADBEEFDEADBEEF
47259 .xword 0xDEADBEEFDEADBEEF
47260 .xword 0xDEADBEEFDEADBEEF
47261 .xword 0xDEADBEEFDEADBEEF
47262 .xword 0xDEADBEEFDEADBEEF
47263 .xword 0xDEADBEEFDEADBEEF
47264 .xword 0xDEADBEEFDEADBEEF
47265 .xword 0xDEADBEEFDEADBEEF
47266 .xword 0xDEADBEEFDEADBEEF
47267 .xword 0xDEADBEEFDEADBEEF
47268 .xword 0xDEADBEEFDEADBEEF
47269 .xword 0xDEADBEEFDEADBEEF
47270 .xword 0xDEADBEEFDEADBEEF
47271 .xword 0xDEADBEEFDEADBEEF
47272 .xword 0xDEADBEEFDEADBEEF
47273 .xword 0xDEADBEEFDEADBEEF
47274 .xword 0xDEADBEEFDEADBEEF
47275 .xword 0xDEADBEEFDEADBEEF
47276 .xword 0xDEADBEEFDEADBEEF
47277 .xword 0xDEADBEEFDEADBEEF
47278 .xword 0xDEADBEEFDEADBEEF
47279 .xword 0xDEADBEEFDEADBEEF
47280 .xword 0xDEADBEEFDEADBEEF
47281 .xword 0xDEADBEEFDEADBEEF
47282 .xword 0xDEADBEEFDEADBEEF
47283 .xword 0xDEADBEEFDEADBEEF
47284 .xword 0xDEADBEEFDEADBEEF
47285 .xword 0xDEADBEEFDEADBEEF
47286 .xword 0xDEADBEEFDEADBEEF
47287 .xword 0xDEADBEEFDEADBEEF
47288 .xword 0xDEADBEEFDEADBEEF
47289 .xword 0xDEADBEEFDEADBEEF
47290 .xword 0xDEADBEEFDEADBEEF
47291 .xword 0xDEADBEEFDEADBEEF
47292 .xword 0xDEADBEEFDEADBEEF
47293 .xword 0xDEADBEEFDEADBEEF
47294 .xword 0xDEADBEEFDEADBEEF
47295 .xword 0xDEADBEEFDEADBEEF
47296 .xword 0xDEADBEEFDEADBEEF
47297 .xword 0xDEADBEEFDEADBEEF
47298 .xword 0xDEADBEEFDEADBEEF
47299 .xword 0xDEADBEEFDEADBEEF
47300 .xword 0xDEADBEEFDEADBEEF
47301 .xword 0xDEADBEEFDEADBEEF
47302 .xword 0xDEADBEEFDEADBEEF
47303 .xword 0xDEADBEEFDEADBEEF
47304 .xword 0xDEADBEEFDEADBEEF
47305 .xword 0xDEADBEEFDEADBEEF
47306 .xword 0xDEADBEEFDEADBEEF
47307 .xword 0xDEADBEEFDEADBEEF
47308 .xword 0xDEADBEEFDEADBEEF
47309 .xword 0xDEADBEEFDEADBEEF
47310 .xword 0xDEADBEEFDEADBEEF
47311 .xword 0xDEADBEEFDEADBEEF
47312 .xword 0xDEADBEEFDEADBEEF
47313 .xword 0xDEADBEEFDEADBEEF
47314 .xword 0xDEADBEEFDEADBEEF
47315 .xword 0xDEADBEEFDEADBEEF
47316 .xword 0xDEADBEEFDEADBEEF
47317 .xword 0xDEADBEEFDEADBEEF
47318 .xword 0xDEADBEEFDEADBEEF
47319 .xword 0xDEADBEEFDEADBEEF
47320 .xword 0xDEADBEEFDEADBEEF
47321 .xword 0xDEADBEEFDEADBEEF
47322 .xword 0xDEADBEEFDEADBEEF
47323 .xword 0xDEADBEEFDEADBEEF
47324 .xword 0xDEADBEEFDEADBEEF
47325 .xword 0xDEADBEEFDEADBEEF
47326 .xword 0xDEADBEEFDEADBEEF
47327 .xword 0xDEADBEEFDEADBEEF
47328 .xword 0xDEADBEEFDEADBEEF
47329 .xword 0xDEADBEEFDEADBEEF
47330 .xword 0xDEADBEEFDEADBEEF
47331 .xword 0xDEADBEEFDEADBEEF
47332 .xword 0xDEADBEEFDEADBEEF
47333 .xword 0xDEADBEEFDEADBEEF
47334 .xword 0xDEADBEEFDEADBEEF
47335 .xword 0xDEADBEEFDEADBEEF
47336 .xword 0xDEADBEEFDEADBEEF
47337 .xword 0xDEADBEEFDEADBEEF
47338 .xword 0xDEADBEEFDEADBEEF
47339 .xword 0xDEADBEEFDEADBEEF
47340 .xword 0xDEADBEEFDEADBEEF
47341 .xword 0xDEADBEEFDEADBEEF
47342 .xword 0xDEADBEEFDEADBEEF
47343 .xword 0xDEADBEEFDEADBEEF
47344 .xword 0xDEADBEEFDEADBEEF
47345 .xword 0xDEADBEEFDEADBEEF
47346 .xword 0xDEADBEEFDEADBEEF
47347 .xword 0xDEADBEEFDEADBEEF
47348 .xword 0xDEADBEEFDEADBEEF
47349 .xword 0xDEADBEEFDEADBEEF
47350 .xword 0xDEADBEEFDEADBEEF
47351 .xword 0xDEADBEEFDEADBEEF
47352 .xword 0xDEADBEEFDEADBEEF
47353 .xword 0xDEADBEEFDEADBEEF
47354 .xword 0xDEADBEEFDEADBEEF
47355 .xword 0xDEADBEEFDEADBEEF
47356 .xword 0xDEADBEEFDEADBEEF
47357 .xword 0xDEADBEEFDEADBEEF
47358 .xword 0xDEADBEEFDEADBEEF
47359 .xword 0xDEADBEEFDEADBEEF
47360 .xword 0xDEADBEEFDEADBEEF
47361 .xword 0xDEADBEEFDEADBEEF
47362 .xword 0xDEADBEEFDEADBEEF
47363 .xword 0xDEADBEEFDEADBEEF
47364 .xword 0xDEADBEEFDEADBEEF
47365 .xword 0xDEADBEEFDEADBEEF
47366 .xword 0xDEADBEEFDEADBEEF
47367 .xword 0xDEADBEEFDEADBEEF
47368 .xword 0xDEADBEEFDEADBEEF
47369 .xword 0xDEADBEEFDEADBEEF
47370 .xword 0xDEADBEEFDEADBEEF
47371 .xword 0xDEADBEEFDEADBEEF
47372 .xword 0xDEADBEEFDEADBEEF
47373 .xword 0xDEADBEEFDEADBEEF
47374 .xword 0xDEADBEEFDEADBEEF
47375 .xword 0xDEADBEEFDEADBEEF
47376 .xword 0xDEADBEEFDEADBEEF
47377 .xword 0xDEADBEEFDEADBEEF
47378 .xword 0xDEADBEEFDEADBEEF
47379 .xword 0xDEADBEEFDEADBEEF
47380 .xword 0xDEADBEEFDEADBEEF
47381_t1_hash_auth_key:
47382 .xword 0x0d70285a3b6ba5ad
47383 .xword 0x1c9dd9760c5ca3d4
47384 .xword 0x4b23ca06c8f9f6d0
47385 .xword 0x865c07e089cbc1d9
47386 .xword 0x9e4febdf10d8d544
47387 .xword 0xa4ce52ac2d8b7eb9
47388 .xword 0xed864dd232e9b271
47389 .xword 0x1e85d2b0fa32ee4d
47390 .xword 0x3693ee24d87ba90a
47391 .xword 0x2a7ee72c53ae2848
47392 .xword 0x1eb1efd2b90ad870
47393 .xword 0xae64c1f0f0d21db2
47394 .xword 0x86f0b16ae1792e2d
47395 .xword 0x11985755a00cce3b
47396 .xword 0x469b781275073873
47397 .xword 0x01560a713346f30d
47398 .xword 0x566466bb68af1599
47399 .xword 0x1be7713288c34b84
47400 .xword 0xab6c1ad45016115d
47401 .xword 0x97bdaaba7eff559a
47402 .xword 0x0ead169f08743f08
47403 .xword 0x4bf10fdbf1e1a235
47404 .xword 0xee915e1f909f1286
47405_t1_hash_auth_iv:
47406 .xword 0xf54a26cfa3cb2f10
47407 .xword 0xd0867f3781fac454
47408 .xword 0x73afcd8a3408ab41
47409 .xword 0xd3f254eaf5040a14
47410 .xword 0x51a401e640a7c9d2
47411 .xword 0xa68960eb84909036
47412 .xword 0x68936799a5605f59
47413 .xword 0xc0689ee516df8ba4
47414 .xword 0x5ee02b6b08d24188
47415 .xword 0x8c99587789bcabdd
47416 .xword 0xe192b6b7968772ba
47417 .xword 0x7de2fc9cf0f3e307
47418 .xword 0xcd85846fca0bb3b6
47419 .xword 0x69e4aac3585f8fb9
47420 .xword 0x5a79b7d3796fae41
47421 .xword 0xf1e3ad5212da22f2
47422 .xword 0xd8c2cb4afe0c32f3
47423 .xword 0x2afe66cb6157fe4a
47424 .xword 0xe96a86762b405843
47425 .xword 0x34f2da14fae61f71
47426 .xword 0x8146577b9580c188
47427 .xword 0x6d785a7ec024dee9
47428 .xword 0xcf8de19a20ab646f
47429_t1_hash_fas_result:
47430 .xword 0xDEADBEEFDEADBEEF
47431 .xword 0xDEADBEEFDEADBEEF
47432 .xword 0xDEADBEEFDEADBEEF
47433 .xword 0xDEADBEEFDEADBEEF
47434 .xword 0xDEADBEEFDEADBEEF
47435 .xword 0xDEADBEEFDEADBEEF
47436 .xword 0xDEADBEEFDEADBEEF
47437 .xword 0xDEADBEEFDEADBEEF
47438 .xword 0xDEADBEEFDEADBEEF
47439 .xword 0xDEADBEEFDEADBEEF
47440 .xword 0xDEADBEEFDEADBEEF
47441 .xword 0xDEADBEEFDEADBEEF
47442 .xword 0xDEADBEEFDEADBEEF
47443 .xword 0xDEADBEEFDEADBEEF
47444 .xword 0xDEADBEEFDEADBEEF
47445 .xword 0xDEADBEEFDEADBEEF
47446 .xword 0xDEADBEEFDEADBEEF
47447 .xword 0xDEADBEEFDEADBEEF
47448 .xword 0xDEADBEEFDEADBEEF
47449 .xword 0xDEADBEEFDEADBEEF
47450 .xword 0xDEADBEEFDEADBEEF
47451 .xword 0xDEADBEEFDEADBEEF
47452 .xword 0xDEADBEEFDEADBEEF
47453_t1_hmac_key_array:
47454 .xword 0x9816ffd5bd42d2be
47455 .xword 0xe9b60e9f83022408
47456 .xword 0x295aea1194b2d179
47457 .xword 0xf6d37efc7be7ade4
47458 .xword 0x3bca83aac34e593d
47459 .xword 0x9c4c21740a683215
47460 .xword 0x988c5d626d7332f0
47461 .xword 0xca27313394e77797
47462 .xword 0xd54bc4d9b550e74e
47463 .xword 0x4e8c0326b464da73
47464 .xword 0xe647e03896442282
47465 .xword 0x21503db7aef00d8c
47466 .xword 0x372c0031e17db85f
47467 .xword 0xd6e0c55296219633
47468 .xword 0x8e01ec7799897602
47469 .xword 0x8ffc20ce9904f5c5
47470 .xword 0x8a4cf9d558fcf51f
47471 .xword 0xcb916eefb4218624
47472 .xword 0x3f5472b754c68701
47473 .xword 0x44220c4db87b0248
47474 .xword 0xfc0e4696b106fc85
47475 .xword 0x16b50e1141f1566f
47476 .xword 0x9aba5db6ad39d547
47477 .xword 0x3a6bf4df670d3a9d
47478 .xword 0x13d872bef804b1bc
47479 .xword 0x6e7bd236f08b3937
47480 .xword 0x3d900fad5062d031
47481 .xword 0x7d9b2cdaae39fb82
47482 .xword 0x7de558ded02832da
47483 .xword 0xe0248a0d1c1ab433
47484 .xword 0x663c11e132038bae
47485 .xword 0x14fed7269ab927fd
47486 .xword 0xf53418e974b33849
47487 .xword 0xcd9f753eb6e60da3
47488 .xword 0x0725c92aa21dcb44
47489 .xword 0x7dfe9b56d6fd2872
47490 .xword 0xe8efd5c5fc94dfe0
47491 .xword 0xa43a1ff6ac96f7a6
47492 .xword 0x1246a8d4317da012
47493 .xword 0xc8ca6634613ae0a0
47494 .xword 0x3425ef600238e2ed
47495 .xword 0xd837ff779ed11e29
47496 .xword 0x687a755f31450b11
47497 .xword 0xe63e5193cd36c1d0
47498 .xword 0x23cffe949e8bb172
47499 .xword 0x0b8f13dd3e97f9d5
47500 .xword 0x73d29e248c3021fb
47501 .xword 0x4de541335c0844f6
47502 .xword 0x66c912ce2acafcec
47503 .xword 0x8e1201c4d74fdf1b
47504 .xword 0x6866e026e88fdabb
47505_t1_hmac_iv_array:
47506 .xword 0x1079dfbd62223af7
47507 .xword 0x991e752df99b1540
47508 .xword 0x6c6e64d4777b1065
47509 .xword 0x51056f782a768498
47510 .xword 0x65d5da7c06984f56
47511 .xword 0xd4304274ab8ca9cc
47512 .xword 0x792552898a2a64a5
47513 .xword 0xc5af85d38e191a7b
47514 .xword 0x1f836dd26ec3e4f1
47515 .xword 0x095fb21b04e56f6b
47516 .xword 0xe7a98b8c897e13e7
47517 .xword 0xf78b0d1eb39ce155
47518 .xword 0x1323fc3558fd02b7
47519 .xword 0x7d1b0b66e27aad48
47520 .xword 0x3c5381842a396da1
47521 .xword 0xd24ec85c9ac70c26
47522 .xword 0xb534594ec9eb6628
47523 .xword 0x07e14eb29a2969bf
47524 .xword 0x19c0a0f81041e4de
47525 .xword 0xc4a1b203eadf9618
47526 .xword 0xf39097d0733285cc
47527 .xword 0xdb8f4a054ca25596
47528 .xword 0x361c1e0c2c3580be
47529 .xword 0x0d83cbb486d6456d
47530 .xword 0xab757c9b6b926d15
47531 .xword 0x4d294d3ecfabd815
47532 .xword 0x2aa81e844eb23fed
47533 .xword 0x54ad147e77d64813
47534 .xword 0x5789d2098f7d53d5
47535 .xword 0xf1d8a631ca8e7fab
47536 .xword 0x6f855d38767c081b
47537 .xword 0x00f5bb79ad815597
47538 .xword 0x8c9297d977bd1431
47539 .xword 0xbe0f891aac44068e
47540 .xword 0xf7c07a120f339f70
47541 .xword 0x93c4a13b79072e2b
47542 .xword 0xf6c7e6ea391a910b
47543 .xword 0xd23d33c688323f63
47544 .xword 0xcfdccef6a88bc06e
47545 .xword 0x42e83776f708e03b
47546 .xword 0xec33c273e12e7a94
47547 .xword 0xdbe3e44e13bc281c
47548 .xword 0x596b667be6c3c44a
47549 .xword 0x607a55677ca89f76
47550 .xword 0xeb1d7cd69482f557
47551_t1_hmac_alignment_array:
47552 .xword 8
47553 .xword 12
47554 .xword 1
47555 .xword 14
47556 .xword 7
47557 .xword 1
47558 .xword 4
47559 .xword 0
47560 .xword 11
47561 .xword 0
47562 .xword 8
47563 .xword 11
47564 .xword 10
47565 .xword 2
47566 .xword 12
47567 .xword 11
47568 .xword 10
47569 .xword 8
47570 .xword 10
47571 .xword 15
47572 .xword 9
47573 .xword 2
47574 .xword 9
47575 .xword 9
47576 .xword 10
47577 .xword 12
47578 .xword 5
47579 .xword 1
47580 .xword 14
47581 .xword 10
47582 .xword 6
47583 .xword 3
47584 .xword 7
47585 .xword 10
47586 .xword 9
47587 .xword 6
47588 .xword 6
47589 .xword 4
47590 .xword 3
47591 .xword 2
47592 .xword 3
47593 .xword 4
47594 .xword 5
47595 .xword 12
47596 .xword 9
47597 .xword 12
47598 .xword 12
47599 .xword 15
47600 .xword 6
47601 .xword 13
47602 .xword 4
47603 .xword 8
47604 .xword 2
47605 .xword 2
47606 .xword 15
47607 .xword 11
47608 .xword 6
47609 .xword 2
47610 .xword 3
47611 .xword 6
47612 .xword 1
47613 .xword 0
47614 .xword 4
47615 .xword 12
47616 .xword 5
47617 .xword 6
47618 .xword 14
47619 .xword 1
47620 .xword 7
47621 .xword 4
47622 .xword 11
47623 .xword 15
47624 .xword 11
47625 .xword 4
47626 .xword 3
47627 .xword 12
47628 .xword 9
47629 .xword 13
47630 .xword 6
47631 .xword 5
47632 .xword 12
47633 .xword 8
47634 .xword 14
47635 .xword 9
47636 .xword 10
47637 .xword 9
47638 .xword 8
47639 .xword 14
47640 .xword 7
47641 .xword 11
47642 .xword 1
47643 .xword 12
47644 .xword 11
47645 .xword 8
47646 .xword 1
47647 .xword 1
47648 .xword 1
47649 .xword 6
47650 .xword 5
47651 .xword 12
47652 .xword 7
47653 .xword 15
47654 .xword 8
47655 .xword 13
47656 .xword 6
47657_t1_hmac_src:
47658 .xword 0xed005c712a42b23d
47659 .xword 0xdd365cd838f69482
47660 .xword 0x64c04230d166c734
47661 .xword 0x881279f32762a281
47662 .xword 0x75e1a1ef2da1e798
47663 .xword 0x8c55c85cd558731d
47664 .xword 0x0d1a0f8869c4a3ae
47665 .xword 0x7a09250fc4af1a9f
47666 .xword 0x0b5aaa4897dd1e1b
47667 .xword 0x0893b88bb99bc6d0
47668 .xword 0x6a761d22f90086a6
47669 .xword 0x1d2e479f2c34e475
47670 .xword 0x4d9b35ba6c4f0674
47671 .xword 0xd09027b1dbf767d3
47672 .xword 0x9edd8269b070e51c
47673 .xword 0xaa380f577fb62f38
47674 .xword 0x7dcb2083da4bae1d
47675 .xword 0xdebcb90fcc6155a2
47676 .xword 0xc87a60436b655d44
47677 .xword 0x43b43280e9fbc135
47678 .xword 0x948cd7e735094f4c
47679 .xword 0x6994a1605700beba
47680 .xword 0xac09f2a9100c27a7
47681 .xword 0xcb2b3b66ebae7729
47682 .xword 0xac5fc77cbb66d249
47683 .xword 0xacfd33f12d22a594
47684 .xword 0x89d1ce749b3e92a1
47685 .xword 0x714354e07c367bb0
47686 .xword 0x8c82d0844b20fdd6
47687 .xword 0x0068498a9125c3ac
47688 .xword 0x80535b792c3de8ca
47689 .xword 0x2dda462ec89ad5c7
47690 .xword 0xc2eb3a1f44ec66a5
47691 .xword 0xf507fbd9e7b42aa3
47692 .xword 0xef21bdd85e645d93
47693 .xword 0x2d8737e88e96aa22
47694 .xword 0x901f88f5b8457de3
47695 .xword 0x4a82f1f685455cfe
47696 .xword 0x9542a08a2434702b
47697 .xword 0xe332321a99f9a5c2
47698 .xword 0x992035e72b8aed20
47699 .xword 0x2a3bc5ba3dae9f1e
47700 .xword 0xe6d5e81464d3e12b
47701 .xword 0x85184be20596e2b8
47702 .xword 0x42afd2e9f2dd71e9
47703 .xword 0x5c9af681a3a3b303
47704 .xword 0x949082058f48c2f2
47705 .xword 0x745bbb49f4748cfb
47706 .xword 0x06f21e6c362604e4
47707 .xword 0xf7bdbc66ef2d67ea
47708 .xword 0xd2f15f3695e83b9c
47709 .xword 0x4eacd2a75a4a684a
47710 .xword 0xe02f50cda9b98c1e
47711 .xword 0x3ab203cf5bf587b4
47712 .xword 0xa4bb58676157d887
47713 .xword 0xe1d07acea6c95d89
47714 .xword 0x6700be8b5b6e895a
47715 .xword 0x3fa4388e7b7de572
47716 .xword 0xb4851fa45cfcf708
47717 .xword 0xe5efa0b0dd0eafec
47718 .xword 0x8dddf04851749add
47719 .xword 0x2589173511b50a5f
47720 .xword 0xd5f322cbfc4ef8cd
47721 .xword 0x245abacf862368bf
47722 .xword 0xe86d53b7c35f9a51
47723 .xword 0xb8c3a439bca00f25
47724 .xword 0x7e1f3ed3b816d9f5
47725 .xword 0x30abb8594f6a72de
47726 .xword 0xd438e4393ed18ac6
47727 .xword 0x8710783cd15aee38
47728 .xword 0x3e00351e12c13ec7
47729 .xword 0x0cae7777c9195a27
47730 .xword 0x2b08624b4b5274f4
47731 .xword 0xa881cb6719b0ef6f
47732 .xword 0x7d48458f1d14a2aa
47733 .xword 0x66a9ee6ba2beaaff
47734 .xword 0xb8dd6081d227c8a7
47735 .xword 0x6c0b5383340963fb
47736 .xword 0xf7c029b03cf12605
47737 .xword 0x030131ba6c6498e5
47738 .xword 0xece76b0751823642
47739 .xword 0xbf83f195f89b802c
47740 .xword 0xdb0e43d232dbe70b
47741 .xword 0x623a1ba52eca6145
47742 .xword 0xcd47886a15803f24
47743 .xword 0xa54da068270698bd
47744 .xword 0xfdd571efa1368ffd
47745 .xword 0x504a9c23f8ae3e56
47746 .xword 0xdda4e05f84700644
47747 .xword 0x06fe45d8a52425ff
47748 .xword 0x042c5d16c04ce776
47749 .xword 0x296a8abfd6f0bd7e
47750 .xword 0xcf5776d19f8a8d77
47751 .xword 0x73b0968f1f222d72
47752 .xword 0x25c10732c122d5b7
47753 .xword 0xeea350b2e2687e95
47754 .xword 0xf8fbff9b0fe233c5
47755 .xword 0xfe9d18f6f9b1afa1
47756 .xword 0xb2b088c1d7be82c6
47757 .xword 0x61108ac2f51de8d7
47758 .xword 0xe8ad0294b486791f
47759 .xword 0xdeb846a54619d1a9
47760 .xword 0xbd79a9b3a093fa63
47761 .xword 0x13a72a00092ddf45
47762 .xword 0x4d0d155b554c6754
47763 .xword 0xdf92322003cda2f9
47764 .xword 0xb01a2e507cd4b109
47765 .xword 0xf272516a6082070e
47766 .xword 0x5f734162a3612593
47767 .xword 0x85e30c8d5655843f
47768 .xword 0xe544b29f7a7ac81e
47769 .xword 0x78c836949f853448
47770 .xword 0x7e1093d06ea8196a
47771 .xword 0x7e1e030a371d7478
47772 .xword 0x8a32e062e758bc5e
47773 .xword 0x28e3bbab1f879e5e
47774 .xword 0x5ddcb542ff123333
47775 .xword 0x5245185cd7cfc1fc
47776 .xword 0x439431743afbe9cf
47777 .xword 0x1eabe29eb4f0a046
47778 .xword 0x9cdb1666daffcbd9
47779 .xword 0xcced82f12df08f3b
47780 .xword 0x43b716f5b73b1578
47781 .xword 0x2e09a6df8ac9ce6a
47782 .xword 0x0e988b782112020c
47783 .xword 0x4722f60f99f46645
47784 .xword 0x53521a1f565d199a
47785 .xword 0x181679d8d7fb928e
47786 .xword 0xe7ea663c692fd6f2
47787 .xword 0xf464a50a43e948e2
47788 .xword 0xfc0c35cc18668e2c
47789 .xword 0x1dd6c04543cb5ac1
47790 .xword 0x17048138b49591cf
47791 .xword 0xb45d2ba4154ba079
47792 .xword 0xb2bf13061edaeee6
47793 .xword 0x54b29974324beb69
47794 .xword 0x7f20cbe10ceb3cbc
47795 .xword 0xd19999fdc7e35cc9
47796 .xword 0x144db6110cf8ec79
47797 .xword 0xfda37c06349c1c92
47798 .xword 0x2b190a040f5b5172
47799 .xword 0xe74826b4ce8c1417
47800 .xword 0xeed4c35bbf0cc33f
47801 .xword 0xc8e6c3b6d50cc154
47802 .xword 0xae67c50be53bb85c
47803 .xword 0x6f410b6478dde5d6
47804 .xword 0xcba8c97afdf0a7d8
47805 .xword 0xf5b58a9bad67515b
47806 .xword 0xaffe9416c65b49a3
47807 .xword 0x049333b18b2d6290
47808 .xword 0xc5d7c8ca75cd8067
47809 .xword 0x337988e2a555c182
47810 .xword 0x01529bc22d07d843
47811 .xword 0x0b94116aa44f9fe8
47812 .xword 0xda3239d50fcb30db
47813 .xword 0x6e66cb161f287700
47814 .xword 0x20f347d2615c1450
47815 .xword 0x90d929f1b37c4c9f
47816 .xword 0xe8d4ba4a8d50059d
47817 .xword 0xc1e22d7d772b5eb9
47818 .xword 0x1729cee174406646
47819 .xword 0x1a4bc4e12dcda79e
47820 .xword 0xb43069e2ffc39d01
47821 .xword 0x04ed81f3a65d358d
47822 .xword 0x304e15ad75ce28c1
47823 .xword 0x4dee68ba8288a577
47824 .xword 0x7c2df9013aa6e4e5
47825 .xword 0x3ff560ca4c8028a6
47826 .xword 0xa3e0b6c2e6d94e82
47827 .xword 0xfa0dcbc9a12ccf2e
47828 .xword 0xba1a9c4d825f3ed5
47829 .xword 0x15191667818ebb12
47830 .xword 0xe1d988f995c4c9fb
47831 .xword 0x2413cddfd5976365
47832 .xword 0x6fc8442a6c203328
47833 .xword 0x535d0fe098a08f4d
47834 .xword 0x4d341915ddf2013c
47835 .xword 0x721bfbbf746b2ac4
47836 .xword 0x4c655c9dcff0664e
47837 .xword 0x558baf6f88a7ce69
47838 .xword 0x47d64fdab5804cc7
47839 .xword 0x1362714992057c77
47840 .xword 0x29b9b95a68aa9aa1
47841 .xword 0x77eda19bc74ef730
47842 .xword 0xba730f2af73618d1
47843 .xword 0x001eb71dfea2c61d
47844 .xword 0x4f9c593208687f3d
47845 .xword 0xb15b10cc2d3faf6e
47846 .xword 0x5a2211304f4e24c5
47847 .xword 0xc4503fc9686fd85e
47848 .xword 0xca2740b98db1b397
47849 .xword 0x96be0e712888560d
47850 .xword 0xe25838d7a68ef484
47851 .xword 0x1bf4a6102a2a9a14
47852 .xword 0xb36a1e5ff3ef2b96
47853 .xword 0x42986555613e5368
47854 .xword 0xd661192a0facb5e2
47855 .xword 0x19ad9c2b5ebeadd0
47856 .xword 0x5e33c8ddf250d644
47857 .xword 0xa3009f6eaa971f19
47858 .xword 0x593a6cbf13039fe6
47859 .xword 0x2b078970419491df
47860 .xword 0x24fc730c6ad5c882
47861 .xword 0x9a5001247df99721
47862 .xword 0xdcb203f177ce4d2c
47863 .xword 0x431b95862584fa43
47864 .xword 0x0e7ac412dcd91648
47865 .xword 0xe20e77e1316b4deb
47866 .xword 0x269f24a7d10755d4
47867 .xword 0x4ef7f6095452a27f
47868 .xword 0x52c0fd163c32d059
47869 .xword 0xe9db292820a1fce1
47870 .xword 0x9b3dd33180351f77
47871 .xword 0x260f7757522e9df0
47872 .xword 0xdd5dd13f8bbb21ac
47873 .xword 0x2874e372a9f2b858
47874 .xword 0xdd19545d14f5e65f
47875 .xword 0x9986d80e9e410e73
47876 .xword 0x56d79cba43830595
47877 .xword 0xc8bf38cd6dbd50c1
47878 .xword 0x935887fef5470692
47879 .xword 0x0f60cc67cd0fe7bd
47880 .xword 0x84fa9d1aa8a6c9f9
47881 .xword 0x431167ece19d94d1
47882 .xword 0x2bbdbf5dd2a2a8b4
47883 .xword 0x7479c298eb678127
47884 .xword 0x4cddbde485ec5ea4
47885 .xword 0xcfd5830e03a6582e
47886 .xword 0xa0da5bb3db84c832
47887 .xword 0x913e4ec0892fc8aa
47888 .xword 0x44638faaa5bc6bcc
47889 .xword 0xc01d16ac5315ae86
47890 .xword 0x5af695bb4f63b727
47891 .xword 0x737a1ef0d9dd6342
47892 .xword 0xc22e55f5d56d5725
47893 .xword 0x81855c0c04eb688e
47894 .xword 0x1130985cbfcccad5
47895 .xword 0x464e17cc8c140494
47896 .xword 0x4ac410e28d7721ad
47897 .xword 0xa2801f0af9a6dceb
47898 .xword 0x29dd618a68886976
47899 .xword 0xdf1bea342ef3dc1c
47900 .xword 0x90ceebfa5255a663
47901 .xword 0x459ffaab27dc5134
47902 .xword 0xc59f932a1583508f
47903 .xword 0x9afd36cd7283ff62
47904 .xword 0x92899aba222794de
47905 .xword 0x2a673d36eb829740
47906 .xword 0xd8560cb79b3e2265
47907 .xword 0x3c6af929644c5c20
47908 .xword 0xec391fa8dd6f96a1
47909 .xword 0xb8f6a3f6ca06c636
47910 .xword 0x4dcbd61dbe9c9388
47911 .xword 0xe3e74f5b69b84b43
47912 .xword 0x9afb9ed541662dd5
47913 .xword 0x17c4c8c29053f173
47914 .xword 0x01001c11dd86ff86
47915 .xword 0xb391f24266b6fa0e
47916 .xword 0xd7b256dd7497f79e
47917 .xword 0xc25a2850a22163bb
47918 .xword 0x25c6e35555da62cd
47919 .xword 0x6d605a09e9a2b461
47920 .xword 0xa307810f0c2da18c
47921 .xword 0x371f3f3b49c325f1
47922 .xword 0xc673090a6a901381
47923 .xword 0x6ec26d6312a40204
47924 .xword 0x28aac70aa1c5ca90
47925 .xword 0xef5bf7279316d512
47926 .xword 0xb4b94200f38798b3
47927 .xword 0x1c29c944fba6c725
47928 .xword 0x750d1c41641b358f
47929 .xword 0x97e8a7869490ba4d
47930 .xword 0x5e4780127d384334
47931 .xword 0x376053a80411ebdf
47932 .xword 0x774025b131e78e0a
47933_t1_hmac_dest:
47934 .xword 0xDEADBEEFDEADBEEF
47935 .xword 0xDEADBEEFDEADBEEF
47936 .xword 0xDEADBEEFDEADBEEF
47937 .xword 0xDEADBEEFDEADBEEF
47938 .xword 0xDEADBEEFDEADBEEF
47939 .xword 0xDEADBEEFDEADBEEF
47940 .xword 0xDEADBEEFDEADBEEF
47941 .xword 0xDEADBEEFDEADBEEF
47942 .xword 0xDEADBEEFDEADBEEF
47943 .xword 0xDEADBEEFDEADBEEF
47944 .xword 0xDEADBEEFDEADBEEF
47945 .xword 0xDEADBEEFDEADBEEF
47946 .xword 0xDEADBEEFDEADBEEF
47947 .xword 0xDEADBEEFDEADBEEF
47948 .xword 0xDEADBEEFDEADBEEF
47949 .xword 0xDEADBEEFDEADBEEF
47950 .xword 0xDEADBEEFDEADBEEF
47951 .xword 0xDEADBEEFDEADBEEF
47952 .xword 0xDEADBEEFDEADBEEF
47953 .xword 0xDEADBEEFDEADBEEF
47954 .xword 0xDEADBEEFDEADBEEF
47955 .xword 0xDEADBEEFDEADBEEF
47956 .xword 0xDEADBEEFDEADBEEF
47957 .xword 0xDEADBEEFDEADBEEF
47958 .xword 0xDEADBEEFDEADBEEF
47959 .xword 0xDEADBEEFDEADBEEF
47960 .xword 0xDEADBEEFDEADBEEF
47961 .xword 0xDEADBEEFDEADBEEF
47962 .xword 0xDEADBEEFDEADBEEF
47963 .xword 0xDEADBEEFDEADBEEF
47964 .xword 0xDEADBEEFDEADBEEF
47965 .xword 0xDEADBEEFDEADBEEF
47966 .xword 0xDEADBEEFDEADBEEF
47967 .xword 0xDEADBEEFDEADBEEF
47968 .xword 0xDEADBEEFDEADBEEF
47969 .xword 0xDEADBEEFDEADBEEF
47970 .xword 0xDEADBEEFDEADBEEF
47971 .xword 0xDEADBEEFDEADBEEF
47972 .xword 0xDEADBEEFDEADBEEF
47973 .xword 0xDEADBEEFDEADBEEF
47974 .xword 0xDEADBEEFDEADBEEF
47975 .xword 0xDEADBEEFDEADBEEF
47976 .xword 0xDEADBEEFDEADBEEF
47977 .xword 0xDEADBEEFDEADBEEF
47978 .xword 0xDEADBEEFDEADBEEF
47979 .xword 0xDEADBEEFDEADBEEF
47980 .xword 0xDEADBEEFDEADBEEF
47981 .xword 0xDEADBEEFDEADBEEF
47982 .xword 0xDEADBEEFDEADBEEF
47983 .xword 0xDEADBEEFDEADBEEF
47984 .xword 0xDEADBEEFDEADBEEF
47985 .xword 0xDEADBEEFDEADBEEF
47986 .xword 0xDEADBEEFDEADBEEF
47987 .xword 0xDEADBEEFDEADBEEF
47988 .xword 0xDEADBEEFDEADBEEF
47989 .xword 0xDEADBEEFDEADBEEF
47990 .xword 0xDEADBEEFDEADBEEF
47991 .xword 0xDEADBEEFDEADBEEF
47992 .xword 0xDEADBEEFDEADBEEF
47993 .xword 0xDEADBEEFDEADBEEF
47994 .xword 0xDEADBEEFDEADBEEF
47995 .xword 0xDEADBEEFDEADBEEF
47996 .xword 0xDEADBEEFDEADBEEF
47997 .xword 0xDEADBEEFDEADBEEF
47998 .xword 0xDEADBEEFDEADBEEF
47999 .xword 0xDEADBEEFDEADBEEF
48000 .xword 0xDEADBEEFDEADBEEF
48001 .xword 0xDEADBEEFDEADBEEF
48002 .xword 0xDEADBEEFDEADBEEF
48003 .xword 0xDEADBEEFDEADBEEF
48004 .xword 0xDEADBEEFDEADBEEF
48005 .xword 0xDEADBEEFDEADBEEF
48006 .xword 0xDEADBEEFDEADBEEF
48007 .xword 0xDEADBEEFDEADBEEF
48008 .xword 0xDEADBEEFDEADBEEF
48009 .xword 0xDEADBEEFDEADBEEF
48010 .xword 0xDEADBEEFDEADBEEF
48011 .xword 0xDEADBEEFDEADBEEF
48012 .xword 0xDEADBEEFDEADBEEF
48013 .xword 0xDEADBEEFDEADBEEF
48014 .xword 0xDEADBEEFDEADBEEF
48015 .xword 0xDEADBEEFDEADBEEF
48016 .xword 0xDEADBEEFDEADBEEF
48017 .xword 0xDEADBEEFDEADBEEF
48018 .xword 0xDEADBEEFDEADBEEF
48019 .xword 0xDEADBEEFDEADBEEF
48020 .xword 0xDEADBEEFDEADBEEF
48021 .xword 0xDEADBEEFDEADBEEF
48022 .xword 0xDEADBEEFDEADBEEF
48023 .xword 0xDEADBEEFDEADBEEF
48024 .xword 0xDEADBEEFDEADBEEF
48025 .xword 0xDEADBEEFDEADBEEF
48026 .xword 0xDEADBEEFDEADBEEF
48027 .xword 0xDEADBEEFDEADBEEF
48028 .xword 0xDEADBEEFDEADBEEF
48029 .xword 0xDEADBEEFDEADBEEF
48030 .xword 0xDEADBEEFDEADBEEF
48031 .xword 0xDEADBEEFDEADBEEF
48032 .xword 0xDEADBEEFDEADBEEF
48033 .xword 0xDEADBEEFDEADBEEF
48034 .xword 0xDEADBEEFDEADBEEF
48035 .xword 0xDEADBEEFDEADBEEF
48036 .xword 0xDEADBEEFDEADBEEF
48037 .xword 0xDEADBEEFDEADBEEF
48038 .xword 0xDEADBEEFDEADBEEF
48039 .xword 0xDEADBEEFDEADBEEF
48040 .xword 0xDEADBEEFDEADBEEF
48041 .xword 0xDEADBEEFDEADBEEF
48042 .xword 0xDEADBEEFDEADBEEF
48043 .xword 0xDEADBEEFDEADBEEF
48044 .xword 0xDEADBEEFDEADBEEF
48045 .xword 0xDEADBEEFDEADBEEF
48046 .xword 0xDEADBEEFDEADBEEF
48047 .xword 0xDEADBEEFDEADBEEF
48048 .xword 0xDEADBEEFDEADBEEF
48049 .xword 0xDEADBEEFDEADBEEF
48050 .xword 0xDEADBEEFDEADBEEF
48051 .xword 0xDEADBEEFDEADBEEF
48052 .xword 0xDEADBEEFDEADBEEF
48053 .xword 0xDEADBEEFDEADBEEF
48054 .xword 0xDEADBEEFDEADBEEF
48055 .xword 0xDEADBEEFDEADBEEF
48056 .xword 0xDEADBEEFDEADBEEF
48057 .xword 0xDEADBEEFDEADBEEF
48058 .xword 0xDEADBEEFDEADBEEF
48059 .xword 0xDEADBEEFDEADBEEF
48060 .xword 0xDEADBEEFDEADBEEF
48061 .xword 0xDEADBEEFDEADBEEF
48062 .xword 0xDEADBEEFDEADBEEF
48063 .xword 0xDEADBEEFDEADBEEF
48064 .xword 0xDEADBEEFDEADBEEF
48065 .xword 0xDEADBEEFDEADBEEF
48066 .xword 0xDEADBEEFDEADBEEF
48067 .xword 0xDEADBEEFDEADBEEF
48068 .xword 0xDEADBEEFDEADBEEF
48069 .xword 0xDEADBEEFDEADBEEF
48070 .xword 0xDEADBEEFDEADBEEF
48071 .xword 0xDEADBEEFDEADBEEF
48072 .xword 0xDEADBEEFDEADBEEF
48073 .xword 0xDEADBEEFDEADBEEF
48074 .xword 0xDEADBEEFDEADBEEF
48075 .xword 0xDEADBEEFDEADBEEF
48076 .xword 0xDEADBEEFDEADBEEF
48077 .xword 0xDEADBEEFDEADBEEF
48078 .xword 0xDEADBEEFDEADBEEF
48079 .xword 0xDEADBEEFDEADBEEF
48080 .xword 0xDEADBEEFDEADBEEF
48081 .xword 0xDEADBEEFDEADBEEF
48082 .xword 0xDEADBEEFDEADBEEF
48083 .xword 0xDEADBEEFDEADBEEF
48084 .xword 0xDEADBEEFDEADBEEF
48085 .xword 0xDEADBEEFDEADBEEF
48086 .xword 0xDEADBEEFDEADBEEF
48087 .xword 0xDEADBEEFDEADBEEF
48088 .xword 0xDEADBEEFDEADBEEF
48089 .xword 0xDEADBEEFDEADBEEF
48090 .xword 0xDEADBEEFDEADBEEF
48091 .xword 0xDEADBEEFDEADBEEF
48092 .xword 0xDEADBEEFDEADBEEF
48093 .xword 0xDEADBEEFDEADBEEF
48094 .xword 0xDEADBEEFDEADBEEF
48095 .xword 0xDEADBEEFDEADBEEF
48096 .xword 0xDEADBEEFDEADBEEF
48097 .xword 0xDEADBEEFDEADBEEF
48098 .xword 0xDEADBEEFDEADBEEF
48099 .xword 0xDEADBEEFDEADBEEF
48100 .xword 0xDEADBEEFDEADBEEF
48101 .xword 0xDEADBEEFDEADBEEF
48102 .xword 0xDEADBEEFDEADBEEF
48103 .xword 0xDEADBEEFDEADBEEF
48104 .xword 0xDEADBEEFDEADBEEF
48105 .xword 0xDEADBEEFDEADBEEF
48106 .xword 0xDEADBEEFDEADBEEF
48107 .xword 0xDEADBEEFDEADBEEF
48108 .xword 0xDEADBEEFDEADBEEF
48109 .xword 0xDEADBEEFDEADBEEF
48110 .xword 0xDEADBEEFDEADBEEF
48111 .xword 0xDEADBEEFDEADBEEF
48112 .xword 0xDEADBEEFDEADBEEF
48113 .xword 0xDEADBEEFDEADBEEF
48114 .xword 0xDEADBEEFDEADBEEF
48115 .xword 0xDEADBEEFDEADBEEF
48116 .xword 0xDEADBEEFDEADBEEF
48117 .xword 0xDEADBEEFDEADBEEF
48118 .xword 0xDEADBEEFDEADBEEF
48119 .xword 0xDEADBEEFDEADBEEF
48120 .xword 0xDEADBEEFDEADBEEF
48121 .xword 0xDEADBEEFDEADBEEF
48122 .xword 0xDEADBEEFDEADBEEF
48123 .xword 0xDEADBEEFDEADBEEF
48124 .xword 0xDEADBEEFDEADBEEF
48125 .xword 0xDEADBEEFDEADBEEF
48126 .xword 0xDEADBEEFDEADBEEF
48127 .xword 0xDEADBEEFDEADBEEF
48128 .xword 0xDEADBEEFDEADBEEF
48129 .xword 0xDEADBEEFDEADBEEF
48130 .xword 0xDEADBEEFDEADBEEF
48131 .xword 0xDEADBEEFDEADBEEF
48132 .xword 0xDEADBEEFDEADBEEF
48133 .xword 0xDEADBEEFDEADBEEF
48134 .xword 0xDEADBEEFDEADBEEF
48135 .xword 0xDEADBEEFDEADBEEF
48136 .xword 0xDEADBEEFDEADBEEF
48137 .xword 0xDEADBEEFDEADBEEF
48138 .xword 0xDEADBEEFDEADBEEF
48139 .xword 0xDEADBEEFDEADBEEF
48140 .xword 0xDEADBEEFDEADBEEF
48141 .xword 0xDEADBEEFDEADBEEF
48142 .xword 0xDEADBEEFDEADBEEF
48143 .xword 0xDEADBEEFDEADBEEF
48144 .xword 0xDEADBEEFDEADBEEF
48145 .xword 0xDEADBEEFDEADBEEF
48146 .xword 0xDEADBEEFDEADBEEF
48147 .xword 0xDEADBEEFDEADBEEF
48148 .xword 0xDEADBEEFDEADBEEF
48149 .xword 0xDEADBEEFDEADBEEF
48150 .xword 0xDEADBEEFDEADBEEF
48151 .xword 0xDEADBEEFDEADBEEF
48152 .xword 0xDEADBEEFDEADBEEF
48153 .xword 0xDEADBEEFDEADBEEF
48154 .xword 0xDEADBEEFDEADBEEF
48155 .xword 0xDEADBEEFDEADBEEF
48156 .xword 0xDEADBEEFDEADBEEF
48157 .xword 0xDEADBEEFDEADBEEF
48158 .xword 0xDEADBEEFDEADBEEF
48159 .xword 0xDEADBEEFDEADBEEF
48160 .xword 0xDEADBEEFDEADBEEF
48161 .xword 0xDEADBEEFDEADBEEF
48162 .xword 0xDEADBEEFDEADBEEF
48163 .xword 0xDEADBEEFDEADBEEF
48164 .xword 0xDEADBEEFDEADBEEF
48165 .xword 0xDEADBEEFDEADBEEF
48166 .xword 0xDEADBEEFDEADBEEF
48167 .xword 0xDEADBEEFDEADBEEF
48168 .xword 0xDEADBEEFDEADBEEF
48169 .xword 0xDEADBEEFDEADBEEF
48170 .xword 0xDEADBEEFDEADBEEF
48171 .xword 0xDEADBEEFDEADBEEF
48172 .xword 0xDEADBEEFDEADBEEF
48173 .xword 0xDEADBEEFDEADBEEF
48174 .xword 0xDEADBEEFDEADBEEF
48175 .xword 0xDEADBEEFDEADBEEF
48176 .xword 0xDEADBEEFDEADBEEF
48177 .xword 0xDEADBEEFDEADBEEF
48178 .xword 0xDEADBEEFDEADBEEF
48179 .xword 0xDEADBEEFDEADBEEF
48180 .xword 0xDEADBEEFDEADBEEF
48181 .xword 0xDEADBEEFDEADBEEF
48182 .xword 0xDEADBEEFDEADBEEF
48183 .xword 0xDEADBEEFDEADBEEF
48184 .xword 0xDEADBEEFDEADBEEF
48185 .xword 0xDEADBEEFDEADBEEF
48186 .xword 0xDEADBEEFDEADBEEF
48187 .xword 0xDEADBEEFDEADBEEF
48188 .xword 0xDEADBEEFDEADBEEF
48189 .xword 0xDEADBEEFDEADBEEF
48190 .xword 0xDEADBEEFDEADBEEF
48191 .xword 0xDEADBEEFDEADBEEF
48192 .xword 0xDEADBEEFDEADBEEF
48193 .xword 0xDEADBEEFDEADBEEF
48194 .xword 0xDEADBEEFDEADBEEF
48195 .xword 0xDEADBEEFDEADBEEF
48196 .xword 0xDEADBEEFDEADBEEF
48197 .xword 0xDEADBEEFDEADBEEF
48198 .xword 0xDEADBEEFDEADBEEF
48199 .xword 0xDEADBEEFDEADBEEF
48200 .xword 0xDEADBEEFDEADBEEF
48201 .xword 0xDEADBEEFDEADBEEF
48202 .xword 0xDEADBEEFDEADBEEF
48203 .xword 0xDEADBEEFDEADBEEF
48204 .xword 0xDEADBEEFDEADBEEF
48205 .xword 0xDEADBEEFDEADBEEF
48206 .xword 0xDEADBEEFDEADBEEF
48207 .xword 0xDEADBEEFDEADBEEF
48208 .xword 0xDEADBEEFDEADBEEF
48209_t1_hmac_auth_key:
48210 .xword 0xdd24a63e8850d6f0
48211 .xword 0x8a90f2f2627c80f6
48212 .xword 0x63c2edfd221f6f5b
48213 .xword 0xce759418ada80daa
48214 .xword 0x08770632c1300181
48215 .xword 0x6268a61cbcc90c46
48216 .xword 0x5036f36ebfc8b65a
48217 .xword 0xa012024de7d6a120
48218 .xword 0x2d981fff80ab0989
48219 .xword 0x5b785595d92d848c
48220 .xword 0x76ffd4a148f0ed04
48221 .xword 0xa4cbdbf23e772ebd
48222 .xword 0xba86e6963725079f
48223 .xword 0x22342e66e285356c
48224 .xword 0xd81eb5b01f14357e
48225 .xword 0x2841b1cce538f199
48226 .xword 0x2d60b1c745aa08a2
48227 .xword 0x23a932e333227408
48228 .xword 0x6367248485211da7
48229 .xword 0x9013dbe08b38f142
48230 .xword 0xe1e89be3cb7b9eb9
48231 .xword 0xbae4879f41a5d5ea
48232 .xword 0xcfe2ccddfa81f80a
48233_t1_hmac_auth_iv:
48234 .xword 0xe7a1c8ca5e83cb6f
48235 .xword 0xfd2032563dbe2723
48236 .xword 0xfa7f27c030f05d31
48237 .xword 0xfc00bd233b067415
48238 .xword 0x44a841ca668182c4
48239 .xword 0xb5db7776007ddcf8
48240 .xword 0x47e0da7516d205d3
48241 .xword 0x0afe71f84ad80949
48242 .xword 0xde4c93ad4ecb841f
48243 .xword 0x3408ecc097a58e52
48244 .xword 0x83f5a39d51d40a8d
48245 .xword 0x152f4ce0aee81702
48246 .xword 0x215267804e88dafc
48247 .xword 0x8b91311edca4fc40
48248 .xword 0x5c23eaedfa146292
48249 .xword 0xad05081c26e8349c
48250 .xword 0xae327362c0d43c4b
48251 .xword 0xd3b34530babcc0b7
48252 .xword 0xbffd7f8791a1d96d
48253 .xword 0xa1895f979942c808
48254 .xword 0xffe8c64c997b74dc
48255 .xword 0x9836bf5a76582386
48256 .xword 0x0670b05aaedee9af
48257_t1_hmac_fas_result:
48258 .xword 0xDEADBEEFDEADBEEF
48259 .xword 0xDEADBEEFDEADBEEF
48260 .xword 0xDEADBEEFDEADBEEF
48261 .xword 0xDEADBEEFDEADBEEF
48262 .xword 0xDEADBEEFDEADBEEF
48263 .xword 0xDEADBEEFDEADBEEF
48264 .xword 0xDEADBEEFDEADBEEF
48265 .xword 0xDEADBEEFDEADBEEF
48266 .xword 0xDEADBEEFDEADBEEF
48267 .xword 0xDEADBEEFDEADBEEF
48268 .xword 0xDEADBEEFDEADBEEF
48269 .xword 0xDEADBEEFDEADBEEF
48270 .xword 0xDEADBEEFDEADBEEF
48271 .xword 0xDEADBEEFDEADBEEF
48272 .xword 0xDEADBEEFDEADBEEF
48273 .xword 0xDEADBEEFDEADBEEF
48274 .xword 0xDEADBEEFDEADBEEF
48275 .xword 0xDEADBEEFDEADBEEF
48276 .xword 0xDEADBEEFDEADBEEF
48277 .xword 0xDEADBEEFDEADBEEF
48278 .xword 0xDEADBEEFDEADBEEF
48279 .xword 0xDEADBEEFDEADBEEF
48280 .xword 0xDEADBEEFDEADBEEF
48281_t1_rc4_key_array:
48282 .xword 0xfeedf82f07bb3236
48283 .xword 0x329bb235b2fd1b02
48284 .xword 0xed11c2b20a0e7de9
48285 .xword 0x03cfcaabdc5e5092
48286 .xword 0x034a9e261fee3399
48287 .xword 0x66be37ad56c14f93
48288 .xword 0x2c18e58c284116e2
48289 .xword 0xb110375876c88732
48290 .xword 0x67313b02319691e7
48291 .xword 0x830cac94b0b2a1dc
48292 .xword 0x3baecca9e9e7eb20
48293 .xword 0xfb1a364c9d874666
48294 .xword 0x90cf3ec5887559b9
48295 .xword 0x36359539d59d2e4c
48296 .xword 0xc1e721bb1c7c1061
48297 .xword 0x984c6efdd4b857ee
48298 .xword 0x4e4835977aaa9fe5
48299 .xword 0xae3635190a03f6bd
48300 .xword 0x957f4e4e9dda112c
48301 .xword 0xe188d7b2386c8b51
48302 .xword 0x25f9b5865c5b3616
48303 .xword 0x8b1ee08e2bc555e5
48304 .xword 0xc378bb7d513e1666
48305 .xword 0xa696a6fd82ef37a1
48306 .xword 0x8f46d58c8aecfca7
48307 .xword 0xbf9bd045232ae8e2
48308 .xword 0x3ff3d50f14635b18
48309 .xword 0xa83cf62700875f69
48310 .xword 0x66f558f5f92c0f13
48311 .xword 0xad66e254e70df07e
48312 .xword 0x072c7faded05133d
48313 .xword 0xb0053061dcec7311
48314 .xword 0x2c63c9741c82c0f8
48315 .xword 0xa8d4bcee608751d6
48316 .xword 0x5d599b1af6d03b40
48317 .xword 0xacfc4c5869c9800b
48318 .xword 0x9885fe2d47dd46f0
48319 .xword 0xef17289a96ca8fac
48320 .xword 0x0456c91d5954a169
48321 .xword 0x3c5e14d167a2666c
48322 .xword 0xa484c4ed28ab2787
48323 .xword 0x0202d07402c6de37
48324 .xword 0x4346c72bd244f1b5
48325 .xword 0x3eeff0fcf5d17ff5
48326 .xword 0x3f2dc819e59418d2
48327 .xword 0x982738b3a89df8d0
48328 .xword 0xfe6c2e630f3ddb82
48329 .xword 0xec552bfeaffe7f16
48330 .xword 0x96ccd66a6b15c232
48331 .xword 0x0acac94f026cff42
48332 .xword 0xcf1b152cc8596b65
48333_t1_rc4_iv_array:
48334 .xword 0x56bea6752c855e88
48335 .xword 0x07c8d0f22cc51291
48336 .xword 0x28f4679d5356eb0e
48337 .xword 0xa0857c2e37338501
48338 .xword 0x551e679963b3b1c7
48339 .xword 0xe02e9d64b6e35f43
48340 .xword 0xfb643a1c57537afc
48341 .xword 0xe804d71ee247171d
48342 .xword 0x7bed9d10802e5ec5
48343 .xword 0x378bbd1d3c148207
48344 .xword 0x89467ca526fca46d
48345 .xword 0x3cce897a5152ebe7
48346 .xword 0x9332e45d61197ba3
48347 .xword 0x3328de1d7bec410d
48348 .xword 0x1b1c89c0572136a8
48349 .xword 0x36daf5b6b907facf
48350 .xword 0x4aa537addebd7a2b
48351 .xword 0x6585b5bcba969588
48352 .xword 0x634954297b4aee1d
48353 .xword 0xfa5c7e77f33d24d8
48354 .xword 0x80b78aa6fb61dd9a
48355 .xword 0xf313364f1592eb0a
48356 .xword 0x0c93d3bc9a0abda8
48357 .xword 0xd7e024f1c151dfd9
48358 .xword 0x4c235a52e424864a
48359 .xword 0x3c25ecb3c01763b0
48360 .xword 0xef69d8dab3d8f4b8
48361 .xword 0x0c017bbdb9f9a171
48362 .xword 0xb9fa4ea82637a189
48363 .xword 0x67b9950a91986c97
48364 .xword 0x55afbdbeab542e7c
48365 .xword 0x2c1d5ba633e3444c
48366 .xword 0x87b1156127c8a2a9
48367 .xword 0xdb36cbb0467c891e
48368 .xword 0xa00b31d3748675ac
48369 .xword 0x7c86ecefe9b51f0a
48370 .xword 0xcf720381dfa844ad
48371 .xword 0x66749b2d44257ddb
48372 .xword 0xe792e61443f5efc6
48373 .xword 0x006833c2b2ab0784
48374 .xword 0xcb3db8e984aced29
48375 .xword 0x1118b418d0248218
48376 .xword 0x6db5f72683ed070a
48377 .xword 0xcd4c3cd84cbdb65b
48378 .xword 0x7f5a6f16a75fdd25
48379_t1_rc4_alignment_array:
48380 .xword 4
48381 .xword 0
48382 .xword 7
48383 .xword 4
48384 .xword 2
48385 .xword 9
48386 .xword 8
48387 .xword 7
48388 .xword 15
48389 .xword 12
48390 .xword 0
48391 .xword 9
48392 .xword 8
48393 .xword 6
48394 .xword 0
48395 .xword 0
48396 .xword 0
48397 .xword 10
48398 .xword 4
48399 .xword 4
48400 .xword 6
48401 .xword 8
48402 .xword 2
48403 .xword 8
48404 .xword 9
48405 .xword 15
48406 .xword 13
48407 .xword 7
48408 .xword 5
48409 .xword 14
48410 .xword 8
48411 .xword 2
48412 .xword 3
48413 .xword 2
48414 .xword 11
48415 .xword 9
48416 .xword 9
48417 .xword 5
48418 .xword 6
48419 .xword 10
48420 .xword 13
48421 .xword 8
48422 .xword 13
48423 .xword 6
48424 .xword 9
48425 .xword 15
48426 .xword 10
48427 .xword 2
48428 .xword 9
48429 .xword 1
48430 .xword 15
48431 .xword 7
48432 .xword 14
48433 .xword 9
48434 .xword 8
48435 .xword 12
48436 .xword 12
48437 .xword 15
48438 .xword 8
48439 .xword 15
48440 .xword 10
48441 .xword 13
48442 .xword 11
48443 .xword 5
48444 .xword 8
48445 .xword 2
48446 .xword 5
48447 .xword 11
48448 .xword 15
48449 .xword 13
48450 .xword 2
48451 .xword 7
48452 .xword 12
48453 .xword 9
48454 .xword 13
48455 .xword 5
48456 .xword 0
48457 .xword 14
48458 .xword 7
48459 .xword 14
48460 .xword 7
48461 .xword 11
48462 .xword 1
48463 .xword 2
48464 .xword 11
48465 .xword 9
48466 .xword 0
48467 .xword 9
48468 .xword 14
48469 .xword 6
48470 .xword 15
48471 .xword 4
48472 .xword 5
48473 .xword 9
48474 .xword 13
48475 .xword 6
48476 .xword 6
48477 .xword 8
48478 .xword 0
48479 .xword 9
48480 .xword 7
48481 .xword 0
48482 .xword 12
48483 .xword 12
48484 .xword 10
48485_t1_rc4_src:
48486 .xword 0x07820395e72a7763
48487 .xword 0x15f523ae000ff295
48488 .xword 0x4eb7876e589799d9
48489 .xword 0x6c140a59995d6811
48490 .xword 0xa7d6a8a758095b25
48491 .xword 0xa976e9068e91bc7f
48492 .xword 0xaa9f2b08258ea741
48493 .xword 0x7f8497c99732163c
48494 .xword 0xf12c2879bc3b1eec
48495 .xword 0x42aedbc2d3b7a835
48496 .xword 0x62be9140d7b799e9
48497 .xword 0x19c7418c9b018435
48498 .xword 0x4432f472ee737983
48499 .xword 0xe21dbabbddf9ee96
48500 .xword 0x7640c18bd4f1b5a3
48501 .xword 0x576bc49475508254
48502 .xword 0x16ef44ad094af6df
48503 .xword 0x65f9905435eab35a
48504 .xword 0x07554a7c6d4004e0
48505 .xword 0x3587ec2524659f80
48506 .xword 0xf277ee0c7483cda9
48507 .xword 0x5f644e7aa7c05be2
48508 .xword 0x4ebdf79824bc178b
48509 .xword 0x11afa64fe1e0d086
48510 .xword 0xdc1859ab25da877e
48511 .xword 0x4bc857e5753bee65
48512 .xword 0x3d6448bd39874090
48513 .xword 0x95995a26c0a9fff0
48514 .xword 0x3b95424a240a128a
48515 .xword 0xf8bd924af51e6e0c
48516 .xword 0xf589a816a99a48b5
48517 .xword 0xbd9c4972d60a84c8
48518 .xword 0x8f33f1b6742ac7cb
48519 .xword 0x8f185cb3d465200a
48520 .xword 0x3d86067333414305
48521 .xword 0x611a7ace816e3c1a
48522 .xword 0x9252f165d1cb30e2
48523 .xword 0x9745625d6a2b44c0
48524 .xword 0x7c8961f9ce50b01c
48525 .xword 0x0f95afc9dd2993d3
48526 .xword 0x5e882bf30df22229
48527 .xword 0x2d6c766ef590b590
48528 .xword 0x773c8797763bd973
48529 .xword 0xbd657dd95f74c027
48530 .xword 0x26a9641dbb1a786f
48531 .xword 0xd7a2b60d634837b8
48532 .xword 0x6f94bd15347d8ce2
48533 .xword 0x308714850dd73d1e
48534 .xword 0xfab34c9a63c9a230
48535 .xword 0xb7e7df4d7ad988b0
48536 .xword 0xf20b6de2ab986e48
48537 .xword 0x6d7f21e0089d7cc3
48538 .xword 0xe78b3d628d41654c
48539 .xword 0xc01df6209688b217
48540 .xword 0x18dcf7e9a6f25f0d
48541 .xword 0x9b8ac5d720c6e4f3
48542 .xword 0xf2fbf1b39cf148c1
48543 .xword 0x3e3aef533a4a1e5d
48544 .xword 0x503e877bffd7f4df
48545 .xword 0x171c42239599940d
48546 .xword 0x35da8633475db842
48547 .xword 0x31229650571962a4
48548 .xword 0x8eadbf28be20137e
48549 .xword 0xa4b2f604824e1d76
48550 .xword 0xdd774f0811549148
48551 .xword 0x1b56f45560617cdd
48552 .xword 0xb00638303907da8e
48553 .xword 0x92bd7016deb525c0
48554 .xword 0xfaec83ad3468f01f
48555 .xword 0x235b653e5b5d0af6
48556 .xword 0x58593e66c15dd524
48557 .xword 0x609a55ccbc421f2c
48558 .xword 0x67df841bfd323258
48559 .xword 0x6354a95fee25ba77
48560 .xword 0x299a0f160b030602
48561 .xword 0x612ff320a6adf5cd
48562 .xword 0xac59f6ecd0d04dbc
48563 .xword 0x8ef9e98d98035cd3
48564 .xword 0x175b89fd6c1457f3
48565 .xword 0xd517c97f03da29b0
48566 .xword 0x3308e62e07e63315
48567 .xword 0xe839722d729d4817
48568 .xword 0x353bf3f470f37471
48569 .xword 0xf2f368fcfeafab8a
48570 .xword 0xfcd2b6df94849a84
48571 .xword 0xf9ae8cf2a685f169
48572 .xword 0xb670bb60420195be
48573 .xword 0x035e3ecec6d9f585
48574 .xword 0x27ee4fee9c75b211
48575 .xword 0x9f77ffae0fd258d4
48576 .xword 0x996d43915c9d04bd
48577 .xword 0xb9bc3219260efb54
48578 .xword 0xdfa9174e687f7b1d
48579 .xword 0x5dd94d78baa3e747
48580 .xword 0xcd732efb8e1a5ba7
48581 .xword 0x83db6c8beaec84b6
48582 .xword 0x684a0df72d72a4c7
48583 .xword 0x8df254a7126226ff
48584 .xword 0xd149c07cbb0c6c8c
48585 .xword 0xf378283d89c73920
48586 .xword 0x6cf68f71adaa552c
48587 .xword 0xb37ab5fbd95128a5
48588 .xword 0x71a22a8df7fe3c85
48589 .xword 0x8d02439ae6a4d7f0
48590 .xword 0xe14c0936de894d06
48591 .xword 0xaf8c34042612a0c3
48592 .xword 0x039c1ee3a170e97c
48593 .xword 0x9f18cafb707b0f03
48594 .xword 0x24932c39f8de3aaa
48595 .xword 0x0f37d47b72d66ae8
48596 .xword 0x956a46fdc7bc772e
48597 .xword 0x76333fb7f23a5872
48598 .xword 0x078e21464b04ec1a
48599 .xword 0xec8caf2a71cd183e
48600 .xword 0x5ddac4719f981086
48601 .xword 0x71397b001dd8c9b7
48602 .xword 0x51806bf7ef94bc53
48603 .xword 0xe7f1bbd7938e74a2
48604 .xword 0x9f0478b6f60cef20
48605 .xword 0x6bf833dfcef22f84
48606 .xword 0x2f55293590f59444
48607 .xword 0xacef594a675c1ea8
48608 .xword 0xed664e1fd314221b
48609 .xword 0x136ae7d748c9d7a1
48610 .xword 0x101959b96e905471
48611 .xword 0xfb9b8c128e615700
48612 .xword 0xd9b0596ec1a56039
48613 .xword 0xfb68450ea278ab57
48614 .xword 0x7b3bba46e1ccf684
48615 .xword 0x5a4fb0bf9cf653a0
48616 .xword 0x3a8f533f678d728c
48617 .xword 0x5943fafd7fd67f45
48618 .xword 0xc7dae25eebbdb1c5
48619 .xword 0xcc58532d77123067
48620 .xword 0x7eec2b059a42e74a
48621 .xword 0x379e9f038fc7d572
48622 .xword 0x82a987d8da51041f
48623 .xword 0x17e6a1d38ecd1ab6
48624 .xword 0x379fc6312950a9d1
48625 .xword 0x91312ab0e6816fec
48626 .xword 0x81e95dd50c40103e
48627 .xword 0x5f67f42e1c46e515
48628 .xword 0xb49f305899bc9d12
48629 .xword 0xbe60237b37f7ee48
48630 .xword 0xfd1d058018ef59ad
48631 .xword 0xafa5031e3047a07d
48632 .xword 0x97be7c72cc98548c
48633 .xword 0x8383b917edc3dd57
48634 .xword 0xde6d4ee75241b56f
48635 .xword 0xc88808225476044c
48636 .xword 0xda7be2004c7524fa
48637 .xword 0xfa165465d48ae27d
48638 .xword 0x40b846c7233bae8a
48639 .xword 0xdbb5a8a4fd50351b
48640 .xword 0x79832f31989aebc4
48641 .xword 0x97ca7fba15408432
48642 .xword 0x26c93b1532246964
48643 .xword 0xcff88a3f07b89624
48644 .xword 0x9dee906b3e834d9d
48645 .xword 0x8866ea2bbaf93c0d
48646 .xword 0xc98979e3bf9e8db3
48647 .xword 0x05b995a6727f2084
48648 .xword 0xcb6657a8868e8518
48649 .xword 0x2fc5f1f8e6534fdb
48650 .xword 0x7d7f1779e5801990
48651 .xword 0xafaef222bb04e1db
48652 .xword 0xe8966faba2c7b213
48653 .xword 0x6d07e0c89e562894
48654 .xword 0x46ccc3792de4b64a
48655 .xword 0xe51a5aa858edb838
48656 .xword 0x165767451c84af59
48657 .xword 0xef970a5202e556c5
48658 .xword 0xeb1153a66152afd3
48659 .xword 0x5bd2cece910e75b0
48660 .xword 0x3b88472d9f2c8d95
48661 .xword 0x0d10cb240a749de2
48662 .xword 0xa41bb4ecd5e1f893
48663 .xword 0x5ac08a347573f8c6
48664 .xword 0xa841fbaad48d2bfc
48665 .xword 0x29571365e02c20ef
48666 .xword 0x27098c849e54b54b
48667 .xword 0x6e37726fdf571b26
48668 .xword 0x71a8d7b48b531330
48669 .xword 0x1caae03c7e3aeb93
48670 .xword 0xf5daeaebd7ab2f19
48671 .xword 0x4ad2d13d8826915b
48672 .xword 0x0366e78039c8a579
48673 .xword 0x27cd756b664c39ea
48674 .xword 0x7601b1fea9ef00f2
48675 .xword 0x5606bd1e8c85746e
48676 .xword 0x48bf988184a3adb7
48677 .xword 0xcd11106eb16f962f
48678 .xword 0x9574d630025cfcc5
48679 .xword 0x7ef5832f32bca9bf
48680 .xword 0x87d4c3f8e7dd63fd
48681 .xword 0x358b85e44bd7c567
48682 .xword 0xa6561b957e8fd260
48683 .xword 0x4eb4db58f02515ef
48684 .xword 0x55bb9b12fe9d6c71
48685 .xword 0xdcbbf212e811f7d2
48686 .xword 0xb449ace951643113
48687 .xword 0x6ebd6e8a3db01cb8
48688 .xword 0xcfe5fec72889e693
48689 .xword 0xb619125830b3043a
48690 .xword 0x0993c404f8840d7a
48691 .xword 0x9c96936bec1b380a
48692 .xword 0x7de0be0f9479bdd8
48693 .xword 0xd6af40a324de376d
48694 .xword 0x3adfabcb78dff75b
48695 .xword 0x864705b0ce4cc3cc
48696 .xword 0x4b9e69c33664491b
48697 .xword 0x893a626e4071cf15
48698 .xword 0xc71ecc1fd9e18685
48699 .xword 0x0b5c75b644a54b2e
48700 .xword 0xcde21a2506e8a989
48701 .xword 0x613bfeb3928a6c8c
48702 .xword 0x1e3a21985d60ad79
48703 .xword 0xec0b236aa3209c6e
48704 .xword 0x0053b92255153f3d
48705 .xword 0x2e7a2411e1325527
48706 .xword 0xe81be15f01c69a30
48707 .xword 0x9a26cb58cb325ab4
48708 .xword 0xdf3a40a26d6f5f2d
48709 .xword 0x6449f0b5a6a58ecc
48710 .xword 0x71ea13ca1fbd7158
48711 .xword 0x5521530969c33473
48712 .xword 0x677c98a97c2bf473
48713 .xword 0xf82bf310a580b712
48714 .xword 0xdaea78fa21fe873c
48715 .xword 0xd87bd4918cf186bb
48716 .xword 0x9cf7cc8dc323e8a7
48717 .xword 0x0f681e0e039c7f6d
48718 .xword 0x726b3e73de3417d9
48719 .xword 0x3c5adcc0dc7089e6
48720 .xword 0xd4691956e5b4811f
48721 .xword 0x76e39c66caf28473
48722 .xword 0x5921d9d81979e15b
48723 .xword 0x4aafa29c7cddc85e
48724 .xword 0x12bf85bd6a058d48
48725 .xword 0xecbe4545389d6b6e
48726 .xword 0xec98b3bf01bb7137
48727 .xword 0x6062394b494b04bd
48728 .xword 0x4050781c3102101d
48729 .xword 0x38e4ea11e818faae
48730 .xword 0x45b50a16ee550287
48731 .xword 0x0bbdc60047bbc7fb
48732 .xword 0x9abcaf13b32c66b0
48733 .xword 0xb57dad2f20394e19
48734 .xword 0x513ec62231549f80
48735 .xword 0x32d9243f1c0d4f9d
48736 .xword 0xefac6e8b6647a816
48737 .xword 0x1b8a8ee0eae8e66c
48738 .xword 0x4fdcfd0894d64b94
48739 .xword 0x3495a78a011294fb
48740 .xword 0xde2161a01782c8bd
48741 .xword 0x098268385d002987
48742 .xword 0xd07d9e69fb12b7f0
48743 .xword 0xc8c50108d71a8172
48744 .xword 0xa569c620ada1e23e
48745 .xword 0x7c5f6d78764dd2c7
48746 .xword 0x68817394db929116
48747 .xword 0x336fb913a21de221
48748 .xword 0x0a9a4bfc27e9a42b
48749 .xword 0xd87285cda77e96e9
48750 .xword 0xd3a8f4dddcfa8c32
48751 .xword 0xe6d6a2149507789a
48752 .xword 0x9cba06a4e220c946
48753 .xword 0x624cd7db7480d174
48754 .xword 0x4df57ea56b6c8401
48755 .xword 0x2f684dfb4a22e85d
48756 .xword 0x6fb2621d11b25a90
48757 .xword 0x2c5b9b36f44da5cf
48758 .xword 0x0218e28bb09117fb
48759 .xword 0x2ab3552133e6faae
48760 .xword 0x28cfa2423ff671ea
48761_t1_rc4_dest:
48762 .xword 0xDEADBEEFDEADBEEF
48763 .xword 0xDEADBEEFDEADBEEF
48764 .xword 0xDEADBEEFDEADBEEF
48765 .xword 0xDEADBEEFDEADBEEF
48766 .xword 0xDEADBEEFDEADBEEF
48767 .xword 0xDEADBEEFDEADBEEF
48768 .xword 0xDEADBEEFDEADBEEF
48769 .xword 0xDEADBEEFDEADBEEF
48770 .xword 0xDEADBEEFDEADBEEF
48771 .xword 0xDEADBEEFDEADBEEF
48772 .xword 0xDEADBEEFDEADBEEF
48773 .xword 0xDEADBEEFDEADBEEF
48774 .xword 0xDEADBEEFDEADBEEF
48775 .xword 0xDEADBEEFDEADBEEF
48776 .xword 0xDEADBEEFDEADBEEF
48777 .xword 0xDEADBEEFDEADBEEF
48778 .xword 0xDEADBEEFDEADBEEF
48779 .xword 0xDEADBEEFDEADBEEF
48780 .xword 0xDEADBEEFDEADBEEF
48781 .xword 0xDEADBEEFDEADBEEF
48782 .xword 0xDEADBEEFDEADBEEF
48783 .xword 0xDEADBEEFDEADBEEF
48784 .xword 0xDEADBEEFDEADBEEF
48785 .xword 0xDEADBEEFDEADBEEF
48786 .xword 0xDEADBEEFDEADBEEF
48787 .xword 0xDEADBEEFDEADBEEF
48788 .xword 0xDEADBEEFDEADBEEF
48789 .xword 0xDEADBEEFDEADBEEF
48790 .xword 0xDEADBEEFDEADBEEF
48791 .xword 0xDEADBEEFDEADBEEF
48792 .xword 0xDEADBEEFDEADBEEF
48793 .xword 0xDEADBEEFDEADBEEF
48794 .xword 0xDEADBEEFDEADBEEF
48795 .xword 0xDEADBEEFDEADBEEF
48796 .xword 0xDEADBEEFDEADBEEF
48797 .xword 0xDEADBEEFDEADBEEF
48798 .xword 0xDEADBEEFDEADBEEF
48799 .xword 0xDEADBEEFDEADBEEF
48800 .xword 0xDEADBEEFDEADBEEF
48801 .xword 0xDEADBEEFDEADBEEF
48802 .xword 0xDEADBEEFDEADBEEF
48803 .xword 0xDEADBEEFDEADBEEF
48804 .xword 0xDEADBEEFDEADBEEF
48805 .xword 0xDEADBEEFDEADBEEF
48806 .xword 0xDEADBEEFDEADBEEF
48807 .xword 0xDEADBEEFDEADBEEF
48808 .xword 0xDEADBEEFDEADBEEF
48809 .xword 0xDEADBEEFDEADBEEF
48810 .xword 0xDEADBEEFDEADBEEF
48811 .xword 0xDEADBEEFDEADBEEF
48812 .xword 0xDEADBEEFDEADBEEF
48813 .xword 0xDEADBEEFDEADBEEF
48814 .xword 0xDEADBEEFDEADBEEF
48815 .xword 0xDEADBEEFDEADBEEF
48816 .xword 0xDEADBEEFDEADBEEF
48817 .xword 0xDEADBEEFDEADBEEF
48818 .xword 0xDEADBEEFDEADBEEF
48819 .xword 0xDEADBEEFDEADBEEF
48820 .xword 0xDEADBEEFDEADBEEF
48821 .xword 0xDEADBEEFDEADBEEF
48822 .xword 0xDEADBEEFDEADBEEF
48823 .xword 0xDEADBEEFDEADBEEF
48824 .xword 0xDEADBEEFDEADBEEF
48825 .xword 0xDEADBEEFDEADBEEF
48826 .xword 0xDEADBEEFDEADBEEF
48827 .xword 0xDEADBEEFDEADBEEF
48828 .xword 0xDEADBEEFDEADBEEF
48829 .xword 0xDEADBEEFDEADBEEF
48830 .xword 0xDEADBEEFDEADBEEF
48831 .xword 0xDEADBEEFDEADBEEF
48832 .xword 0xDEADBEEFDEADBEEF
48833 .xword 0xDEADBEEFDEADBEEF
48834 .xword 0xDEADBEEFDEADBEEF
48835 .xword 0xDEADBEEFDEADBEEF
48836 .xword 0xDEADBEEFDEADBEEF
48837 .xword 0xDEADBEEFDEADBEEF
48838 .xword 0xDEADBEEFDEADBEEF
48839 .xword 0xDEADBEEFDEADBEEF
48840 .xword 0xDEADBEEFDEADBEEF
48841 .xword 0xDEADBEEFDEADBEEF
48842 .xword 0xDEADBEEFDEADBEEF
48843 .xword 0xDEADBEEFDEADBEEF
48844 .xword 0xDEADBEEFDEADBEEF
48845 .xword 0xDEADBEEFDEADBEEF
48846 .xword 0xDEADBEEFDEADBEEF
48847 .xword 0xDEADBEEFDEADBEEF
48848 .xword 0xDEADBEEFDEADBEEF
48849 .xword 0xDEADBEEFDEADBEEF
48850 .xword 0xDEADBEEFDEADBEEF
48851 .xword 0xDEADBEEFDEADBEEF
48852 .xword 0xDEADBEEFDEADBEEF
48853 .xword 0xDEADBEEFDEADBEEF
48854 .xword 0xDEADBEEFDEADBEEF
48855 .xword 0xDEADBEEFDEADBEEF
48856 .xword 0xDEADBEEFDEADBEEF
48857 .xword 0xDEADBEEFDEADBEEF
48858 .xword 0xDEADBEEFDEADBEEF
48859 .xword 0xDEADBEEFDEADBEEF
48860 .xword 0xDEADBEEFDEADBEEF
48861 .xword 0xDEADBEEFDEADBEEF
48862 .xword 0xDEADBEEFDEADBEEF
48863 .xword 0xDEADBEEFDEADBEEF
48864 .xword 0xDEADBEEFDEADBEEF
48865 .xword 0xDEADBEEFDEADBEEF
48866 .xword 0xDEADBEEFDEADBEEF
48867 .xword 0xDEADBEEFDEADBEEF
48868 .xword 0xDEADBEEFDEADBEEF
48869 .xword 0xDEADBEEFDEADBEEF
48870 .xword 0xDEADBEEFDEADBEEF
48871 .xword 0xDEADBEEFDEADBEEF
48872 .xword 0xDEADBEEFDEADBEEF
48873 .xword 0xDEADBEEFDEADBEEF
48874 .xword 0xDEADBEEFDEADBEEF
48875 .xword 0xDEADBEEFDEADBEEF
48876 .xword 0xDEADBEEFDEADBEEF
48877 .xword 0xDEADBEEFDEADBEEF
48878 .xword 0xDEADBEEFDEADBEEF
48879 .xword 0xDEADBEEFDEADBEEF
48880 .xword 0xDEADBEEFDEADBEEF
48881 .xword 0xDEADBEEFDEADBEEF
48882 .xword 0xDEADBEEFDEADBEEF
48883 .xword 0xDEADBEEFDEADBEEF
48884 .xword 0xDEADBEEFDEADBEEF
48885 .xword 0xDEADBEEFDEADBEEF
48886 .xword 0xDEADBEEFDEADBEEF
48887 .xword 0xDEADBEEFDEADBEEF
48888 .xword 0xDEADBEEFDEADBEEF
48889 .xword 0xDEADBEEFDEADBEEF
48890 .xword 0xDEADBEEFDEADBEEF
48891 .xword 0xDEADBEEFDEADBEEF
48892 .xword 0xDEADBEEFDEADBEEF
48893 .xword 0xDEADBEEFDEADBEEF
48894 .xword 0xDEADBEEFDEADBEEF
48895 .xword 0xDEADBEEFDEADBEEF
48896 .xword 0xDEADBEEFDEADBEEF
48897 .xword 0xDEADBEEFDEADBEEF
48898 .xword 0xDEADBEEFDEADBEEF
48899 .xword 0xDEADBEEFDEADBEEF
48900 .xword 0xDEADBEEFDEADBEEF
48901 .xword 0xDEADBEEFDEADBEEF
48902 .xword 0xDEADBEEFDEADBEEF
48903 .xword 0xDEADBEEFDEADBEEF
48904 .xword 0xDEADBEEFDEADBEEF
48905 .xword 0xDEADBEEFDEADBEEF
48906 .xword 0xDEADBEEFDEADBEEF
48907 .xword 0xDEADBEEFDEADBEEF
48908 .xword 0xDEADBEEFDEADBEEF
48909 .xword 0xDEADBEEFDEADBEEF
48910 .xword 0xDEADBEEFDEADBEEF
48911 .xword 0xDEADBEEFDEADBEEF
48912 .xword 0xDEADBEEFDEADBEEF
48913 .xword 0xDEADBEEFDEADBEEF
48914 .xword 0xDEADBEEFDEADBEEF
48915 .xword 0xDEADBEEFDEADBEEF
48916 .xword 0xDEADBEEFDEADBEEF
48917 .xword 0xDEADBEEFDEADBEEF
48918 .xword 0xDEADBEEFDEADBEEF
48919 .xword 0xDEADBEEFDEADBEEF
48920 .xword 0xDEADBEEFDEADBEEF
48921 .xword 0xDEADBEEFDEADBEEF
48922 .xword 0xDEADBEEFDEADBEEF
48923 .xword 0xDEADBEEFDEADBEEF
48924 .xword 0xDEADBEEFDEADBEEF
48925 .xword 0xDEADBEEFDEADBEEF
48926 .xword 0xDEADBEEFDEADBEEF
48927 .xword 0xDEADBEEFDEADBEEF
48928 .xword 0xDEADBEEFDEADBEEF
48929 .xword 0xDEADBEEFDEADBEEF
48930 .xword 0xDEADBEEFDEADBEEF
48931 .xword 0xDEADBEEFDEADBEEF
48932 .xword 0xDEADBEEFDEADBEEF
48933 .xword 0xDEADBEEFDEADBEEF
48934 .xword 0xDEADBEEFDEADBEEF
48935 .xword 0xDEADBEEFDEADBEEF
48936 .xword 0xDEADBEEFDEADBEEF
48937 .xword 0xDEADBEEFDEADBEEF
48938 .xword 0xDEADBEEFDEADBEEF
48939 .xword 0xDEADBEEFDEADBEEF
48940 .xword 0xDEADBEEFDEADBEEF
48941 .xword 0xDEADBEEFDEADBEEF
48942 .xword 0xDEADBEEFDEADBEEF
48943 .xword 0xDEADBEEFDEADBEEF
48944 .xword 0xDEADBEEFDEADBEEF
48945 .xword 0xDEADBEEFDEADBEEF
48946 .xword 0xDEADBEEFDEADBEEF
48947 .xword 0xDEADBEEFDEADBEEF
48948 .xword 0xDEADBEEFDEADBEEF
48949 .xword 0xDEADBEEFDEADBEEF
48950 .xword 0xDEADBEEFDEADBEEF
48951 .xword 0xDEADBEEFDEADBEEF
48952 .xword 0xDEADBEEFDEADBEEF
48953 .xword 0xDEADBEEFDEADBEEF
48954 .xword 0xDEADBEEFDEADBEEF
48955 .xword 0xDEADBEEFDEADBEEF
48956 .xword 0xDEADBEEFDEADBEEF
48957 .xword 0xDEADBEEFDEADBEEF
48958 .xword 0xDEADBEEFDEADBEEF
48959 .xword 0xDEADBEEFDEADBEEF
48960 .xword 0xDEADBEEFDEADBEEF
48961 .xword 0xDEADBEEFDEADBEEF
48962 .xword 0xDEADBEEFDEADBEEF
48963 .xword 0xDEADBEEFDEADBEEF
48964 .xword 0xDEADBEEFDEADBEEF
48965 .xword 0xDEADBEEFDEADBEEF
48966 .xword 0xDEADBEEFDEADBEEF
48967 .xword 0xDEADBEEFDEADBEEF
48968 .xword 0xDEADBEEFDEADBEEF
48969 .xword 0xDEADBEEFDEADBEEF
48970 .xword 0xDEADBEEFDEADBEEF
48971 .xword 0xDEADBEEFDEADBEEF
48972 .xword 0xDEADBEEFDEADBEEF
48973 .xword 0xDEADBEEFDEADBEEF
48974 .xword 0xDEADBEEFDEADBEEF
48975 .xword 0xDEADBEEFDEADBEEF
48976 .xword 0xDEADBEEFDEADBEEF
48977 .xword 0xDEADBEEFDEADBEEF
48978 .xword 0xDEADBEEFDEADBEEF
48979 .xword 0xDEADBEEFDEADBEEF
48980 .xword 0xDEADBEEFDEADBEEF
48981 .xword 0xDEADBEEFDEADBEEF
48982 .xword 0xDEADBEEFDEADBEEF
48983 .xword 0xDEADBEEFDEADBEEF
48984 .xword 0xDEADBEEFDEADBEEF
48985 .xword 0xDEADBEEFDEADBEEF
48986 .xword 0xDEADBEEFDEADBEEF
48987 .xword 0xDEADBEEFDEADBEEF
48988 .xword 0xDEADBEEFDEADBEEF
48989 .xword 0xDEADBEEFDEADBEEF
48990 .xword 0xDEADBEEFDEADBEEF
48991 .xword 0xDEADBEEFDEADBEEF
48992 .xword 0xDEADBEEFDEADBEEF
48993 .xword 0xDEADBEEFDEADBEEF
48994 .xword 0xDEADBEEFDEADBEEF
48995 .xword 0xDEADBEEFDEADBEEF
48996 .xword 0xDEADBEEFDEADBEEF
48997 .xword 0xDEADBEEFDEADBEEF
48998 .xword 0xDEADBEEFDEADBEEF
48999 .xword 0xDEADBEEFDEADBEEF
49000 .xword 0xDEADBEEFDEADBEEF
49001 .xword 0xDEADBEEFDEADBEEF
49002 .xword 0xDEADBEEFDEADBEEF
49003 .xword 0xDEADBEEFDEADBEEF
49004 .xword 0xDEADBEEFDEADBEEF
49005 .xword 0xDEADBEEFDEADBEEF
49006 .xword 0xDEADBEEFDEADBEEF
49007 .xword 0xDEADBEEFDEADBEEF
49008 .xword 0xDEADBEEFDEADBEEF
49009 .xword 0xDEADBEEFDEADBEEF
49010 .xword 0xDEADBEEFDEADBEEF
49011 .xword 0xDEADBEEFDEADBEEF
49012 .xword 0xDEADBEEFDEADBEEF
49013 .xword 0xDEADBEEFDEADBEEF
49014 .xword 0xDEADBEEFDEADBEEF
49015 .xword 0xDEADBEEFDEADBEEF
49016 .xword 0xDEADBEEFDEADBEEF
49017 .xword 0xDEADBEEFDEADBEEF
49018 .xword 0xDEADBEEFDEADBEEF
49019 .xword 0xDEADBEEFDEADBEEF
49020 .xword 0xDEADBEEFDEADBEEF
49021 .xword 0xDEADBEEFDEADBEEF
49022 .xword 0xDEADBEEFDEADBEEF
49023 .xword 0xDEADBEEFDEADBEEF
49024 .xword 0xDEADBEEFDEADBEEF
49025 .xword 0xDEADBEEFDEADBEEF
49026 .xword 0xDEADBEEFDEADBEEF
49027 .xword 0xDEADBEEFDEADBEEF
49028 .xword 0xDEADBEEFDEADBEEF
49029 .xword 0xDEADBEEFDEADBEEF
49030 .xword 0xDEADBEEFDEADBEEF
49031 .xword 0xDEADBEEFDEADBEEF
49032 .xword 0xDEADBEEFDEADBEEF
49033 .xword 0xDEADBEEFDEADBEEF
49034 .xword 0xDEADBEEFDEADBEEF
49035 .xword 0xDEADBEEFDEADBEEF
49036 .xword 0xDEADBEEFDEADBEEF
49037_t1_rc4_auth_key:
49038 .xword 0x75cdb59a2eebf3b8
49039 .xword 0x98e4c9f880f2c3db
49040 .xword 0xfc935fe96237f5fd
49041 .xword 0xaf4b491a45faef39
49042 .xword 0x8f88eba3ca8c5bd1
49043 .xword 0x9b0d835bfb73472d
49044 .xword 0x19cb6d48d5e90e6f
49045 .xword 0xb397d38b82fc7d2e
49046 .xword 0x136418797ea7f539
49047 .xword 0x900244e146bd8271
49048 .xword 0xb92ca2f4fcd83ce8
49049 .xword 0x4c094c7bc38d1e7a
49050 .xword 0xf65fa7bdd123f7e5
49051 .xword 0x1aa39623b957e06f
49052 .xword 0xc2503982830d6f38
49053 .xword 0x8485d93d9e388699
49054 .xword 0xd4fa4a09c13d878a
49055 .xword 0x91b65d97c9b982ce
49056 .xword 0x7b60761a1b882fe1
49057 .xword 0xd5789039f0cbc041
49058 .xword 0xc03293be779c714b
49059 .xword 0x8742e55e85d50292
49060 .xword 0xed4136b8c144cde1
49061_t1_rc4_auth_iv:
49062 .xword 0x8bca5e80f3a8616d
49063 .xword 0x767fd651e3d0dd1e
49064 .xword 0x3ecd5c4cd3568774
49065 .xword 0x64d65f48a4702d6e
49066 .xword 0x20a4f700a5e14198
49067 .xword 0xb75a18abe5e91667
49068 .xword 0x5d898b2c949e4db4
49069 .xword 0x171188b0b6f1a2f2
49070 .xword 0x3a73135d7bb4f324
49071 .xword 0x05765276c3faad22
49072 .xword 0xa3a5f3576b3748f2
49073 .xword 0x3ffe223d2dba9952
49074 .xword 0x1a10378200e57c97
49075 .xword 0xae86de022a7a38b8
49076 .xword 0x3d1e7c1089f5a84a
49077 .xword 0xce2fc181a97ca6a9
49078 .xword 0xe61253942ba8f59e
49079 .xword 0x373d8448ce2b3319
49080 .xword 0x03e6a3e7fdf60dcb
49081 .xword 0x30ad14aa80d189c8
49082 .xword 0x59ec3906a0b571b7
49083 .xword 0x683f0090479d5ad4
49084 .xword 0x70169bf358a18d68
49085_t1_rc4_fas_result:
49086 .xword 0xDEADBEEFDEADBEEF
49087 .xword 0xDEADBEEFDEADBEEF
49088 .xword 0xDEADBEEFDEADBEEF
49089 .xword 0xDEADBEEFDEADBEEF
49090 .xword 0xDEADBEEFDEADBEEF
49091 .xword 0xDEADBEEFDEADBEEF
49092 .xword 0xDEADBEEFDEADBEEF
49093 .xword 0xDEADBEEFDEADBEEF
49094 .xword 0xDEADBEEFDEADBEEF
49095 .xword 0xDEADBEEFDEADBEEF
49096 .xword 0xDEADBEEFDEADBEEF
49097 .xword 0xDEADBEEFDEADBEEF
49098 .xword 0xDEADBEEFDEADBEEF
49099 .xword 0xDEADBEEFDEADBEEF
49100 .xword 0xDEADBEEFDEADBEEF
49101 .xword 0xDEADBEEFDEADBEEF
49102 .xword 0xDEADBEEFDEADBEEF
49103 .xword 0xDEADBEEFDEADBEEF
49104 .xword 0xDEADBEEFDEADBEEF
49105 .xword 0xDEADBEEFDEADBEEF
49106 .xword 0xDEADBEEFDEADBEEF
49107 .xword 0xDEADBEEFDEADBEEF
49108 .xword 0xDEADBEEFDEADBEEF
49109_t1_sslkey_key_array:
49110 .xword 0x654c7c209bfc993c
49111 .xword 0x9ee02e7334468fa4
49112 .xword 0xb5c71c4617d6f082
49113 .xword 0x09546a928e0301c9
49114 .xword 0xf6d5b80279626e07
49115 .xword 0xda11e692383a3090
49116 .xword 0x1f1fd90decdb9680
49117 .xword 0x8e98a97ba761c905
49118 .xword 0x88e1c8f8392d8e16
49119 .xword 0x58ea30c1d9e8fd7d
49120 .xword 0x73af516c3b465469
49121 .xword 0x99fa08a95bda9a26
49122 .xword 0x890608b958353945
49123 .xword 0x946750675eeb37bb
49124 .xword 0x32e6070044f866f8
49125 .xword 0xb12bf10332e16195
49126 .xword 0xce441370aa874c74
49127 .xword 0x256c68fd3ee87de5
49128 .xword 0x8539822097afd98f
49129 .xword 0xf2d1dfe4ab4970d2
49130 .xword 0xabfbfc7dcd4e3741
49131 .xword 0x90cdc190b2f6f7f0
49132 .xword 0x5224f615a468b400
49133 .xword 0x33bbdef89aa89527
49134 .xword 0xcfc0e1ae5feeafe6
49135 .xword 0x13849fd68cea9e47
49136 .xword 0x581434ffd9c8b676
49137 .xword 0xfd6791d81b2a78aa
49138 .xword 0x8aeb71a6751530d8
49139 .xword 0x96177b6c5b466ded
49140 .xword 0x761a8c5762a41013
49141 .xword 0xc4fdcdda7bcd5925
49142 .xword 0xa9b7082b56878881
49143 .xword 0x30497c49f40fc7ac
49144 .xword 0x768cae02583a3441
49145 .xword 0x0d8122e7ef4996fa
49146 .xword 0x424e576eee49226e
49147 .xword 0x3b304427f16b9979
49148 .xword 0x559834d02369335d
49149 .xword 0x5589449e453c9464
49150 .xword 0xa4b4cb5df9afe76b
49151 .xword 0xa60a196abcb4bdaf
49152 .xword 0x1f7c090a7e76b870
49153 .xword 0x467cb413f8c5ea69
49154 .xword 0x4716b012f051a7b8
49155 .xword 0xaa6bd29aa4009bf5
49156 .xword 0xe2badfbbe125925c
49157 .xword 0x53d3e07e03f7b1a3
49158 .xword 0x07fe47786e742952
49159 .xword 0x2948a8bc56944874
49160 .xword 0x477cf794eedd7a90
49161_t1_sslkey_iv_array:
49162 .xword 0x5dbecdb9aa3070b8
49163 .xword 0x51271fbd59a594f9
49164 .xword 0x1c866a3ed1e29229
49165 .xword 0xa6f67edeea3a981a
49166 .xword 0xc54d65e2e2aa91fc
49167 .xword 0xe8e31cc29ebddceb
49168 .xword 0x1412f0919fc4a829
49169 .xword 0x9419696cb9f52944
49170 .xword 0xb4618ac1f7eb9265
49171 .xword 0x178afe9542ef3c3d
49172 .xword 0x8bda5ad94b0b3b98
49173 .xword 0xc3284a20fbd6080e
49174 .xword 0xff95d3ef8f92536e
49175 .xword 0x2ccf0f42cbdd9c74
49176 .xword 0x8f53109c137921bf
49177 .xword 0xc0573741aa852ffd
49178 .xword 0xce8ac57ab6fbb917
49179 .xword 0x423916c190354b95
49180 .xword 0x8ab83c280474ddb9
49181 .xword 0x50049e9593a61a5b
49182 .xword 0x35527b12391785a9
49183 .xword 0x2126ac9cc3227c95
49184 .xword 0x3cb4b6bbb84a3bfc
49185 .xword 0xe2a75fe6c44f3d2e
49186 .xword 0x83f42eb9e0d9ac91
49187 .xword 0x1968b6b75097bafc
49188 .xword 0x1a4792e2f428a722
49189 .xword 0x5bce23be37cbba8f
49190 .xword 0x8d536bb46375f88c
49191 .xword 0x0d186591ad0d148f
49192 .xword 0xca86a1ba1a55de62
49193 .xword 0x38f42cc27d0870c9
49194 .xword 0xc86bb1af7fba18b3
49195 .xword 0xb74440ffa7e41a9c
49196 .xword 0x375ccff29c41bb7f
49197 .xword 0x6001d1d35bdf500d
49198 .xword 0x39bf15d3915ca2d8
49199 .xword 0x044787fa86a4c4bf
49200 .xword 0x7f2e109bf5a7ee11
49201 .xword 0xe5f91a7ad3611ca1
49202 .xword 0xd068e113c9d9ac8f
49203 .xword 0xbf0b89910038d578
49204 .xword 0x07185b3359635cdb
49205 .xword 0xd206c3483854d408
49206 .xword 0xb29ddb11cbeded63
49207_t1_sslkey_alignment_array:
49208 .xword 0
49209 .xword 0
49210 .xword 0
49211 .xword 0
49212 .xword 0
49213 .xword 0
49214 .xword 0
49215 .xword 0
49216 .xword 0
49217 .xword 0
49218 .xword 0
49219 .xword 0
49220 .xword 0
49221 .xword 0
49222 .xword 0
49223 .xword 0
49224 .xword 0
49225 .xword 0
49226 .xword 0
49227 .xword 0
49228 .xword 0
49229 .xword 0
49230 .xword 0
49231 .xword 0
49232 .xword 0
49233 .xword 0
49234 .xword 0
49235 .xword 0
49236 .xword 0
49237 .xword 0
49238 .xword 0
49239 .xword 0
49240 .xword 0
49241 .xword 0
49242 .xword 0
49243 .xword 0
49244 .xword 0
49245 .xword 0
49246 .xword 0
49247 .xword 0
49248 .xword 0
49249 .xword 0
49250 .xword 0
49251 .xword 0
49252 .xword 0
49253 .xword 0
49254 .xword 0
49255 .xword 0
49256 .xword 0
49257 .xword 0
49258 .xword 0
49259 .xword 0
49260 .xword 0
49261 .xword 0
49262 .xword 0
49263 .xword 0
49264 .xword 0
49265 .xword 0
49266 .xword 0
49267 .xword 0
49268 .xword 0
49269 .xword 0
49270 .xword 0
49271 .xword 0
49272 .xword 0
49273 .xword 0
49274 .xword 0
49275 .xword 0
49276 .xword 0
49277 .xword 0
49278 .xword 0
49279 .xword 0
49280 .xword 0
49281 .xword 0
49282 .xword 0
49283 .xword 0
49284 .xword 0
49285 .xword 0
49286 .xword 0
49287 .xword 0
49288 .xword 0
49289 .xword 0
49290 .xword 0
49291 .xword 0
49292 .xword 0
49293 .xword 0
49294 .xword 0
49295 .xword 0
49296 .xword 0
49297 .xword 0
49298 .xword 0
49299 .xword 0
49300 .xword 0
49301 .xword 0
49302 .xword 0
49303 .xword 0
49304 .xword 0
49305 .xword 0
49306 .xword 0
49307 .xword 0
49308 .xword 0
49309 .xword 0
49310 .xword 0
49311 .xword 0
49312 .xword 0
49313_t1_sslkey_src:
49314 .xword 0xac0d62fe9bf2372f
49315 .xword 0xb8a4f7a56ea013b7
49316 .xword 0xdcedf0ac9a8d73cb
49317 .xword 0xcbca91080adeb760
49318 .xword 0xabf71e236f82635a
49319 .xword 0xee772b704844ee96
49320 .xword 0xfac94668c1c60039
49321 .xword 0x923ffaf6d0654d27
49322 .xword 0x464b9a9571aa98ed
49323 .xword 0x8a5505dc57c67523
49324 .xword 0x24f74ff294d665e2
49325 .xword 0x1b03e93f0d6a5551
49326 .xword 0x9d1f4712342b1449
49327 .xword 0xb7f2bda1173a6238
49328 .xword 0x52c64945925b09af
49329 .xword 0x69e201cb1a370efd
49330 .xword 0x39ed750fe4d8d592
49331 .xword 0x3dd823a96e0d3f72
49332 .xword 0x0058bd613e084303
49333 .xword 0xd1a6536adac8ac45
49334 .xword 0x29099508bd2951fa
49335 .xword 0x45ce56065612e727
49336 .xword 0x56d2ed1ce69ca943
49337 .xword 0x90daf544c7573eef
49338 .xword 0xe2a815b21fa66e0c
49339 .xword 0x2a2b2d8fd270ce9f
49340 .xword 0x7d0a2ab9a4465804
49341 .xword 0x216a2bc6a328eb31
49342 .xword 0xfa329fb7995c246d
49343 .xword 0x1996c010d9f0948f
49344 .xword 0xbe0c79096df2bd25
49345 .xword 0xe7f67a6c237f4d83
49346 .xword 0x0c76c673242f6fd9
49347 .xword 0x45fc8c401f985a27
49348 .xword 0x21a937e3b8cc5074
49349 .xword 0x69c66b2e4f415007
49350 .xword 0x5152f413e3d141af
49351 .xword 0x1d1c9162ed29eb91
49352 .xword 0x473b4575df2ea6b1
49353 .xword 0xbcdb494a20cac2cf
49354 .xword 0xc9869f2855dff7c4
49355 .xword 0x697e7f4f548e87e1
49356 .xword 0xab729285782e1355
49357 .xword 0xdb43794aecb7e37f
49358 .xword 0x1c91ee38b1953972
49359 .xword 0x621f2e08c40117c3
49360 .xword 0x29f9d4c8149428ff
49361 .xword 0xd0427c6a7c7f46f1
49362 .xword 0x1dbacae5904b4f13
49363 .xword 0x1744bd17d5472410
49364 .xword 0x44bf9d378393d83e
49365 .xword 0x5e956679edb89deb
49366 .xword 0xd267b4176c22cb02
49367 .xword 0x8eb8b4e8ba1a9410
49368 .xword 0xab1f34e16958e06e
49369 .xword 0xb207a4a0d5552b31
49370 .xword 0x897da3e2575eef88
49371 .xword 0x18e6403f910ecb20
49372 .xword 0xa82b3b14017305c7
49373 .xword 0xa30266350eb2f979
49374 .xword 0xf31cbe68746230ea
49375 .xword 0x9c2bed837ba37945
49376 .xword 0x76a65e05d70739cd
49377 .xword 0x690a007906f1c295
49378 .xword 0xbffa5270eed1d5ce
49379 .xword 0x75e24e951224ca49
49380 .xword 0x0550bb2f5a20d51a
49381 .xword 0x596b6daf4872ad33
49382 .xword 0xbd96b58273c7f4da
49383 .xword 0xec2e73d9e032a1cf
49384 .xword 0xbae6bbb0c13484d2
49385 .xword 0xc7d64fb4cab9ea64
49386 .xword 0x2b4cf226d6f1020f
49387 .xword 0x6e233b83632fd516
49388 .xword 0x0d8908374521c9cb
49389 .xword 0x6879aca0868b5d69
49390 .xword 0x4ceb7686f0897406
49391 .xword 0xe8df746d5164902f
49392 .xword 0xd58dc89d3d3cb3ab
49393 .xword 0x578b4ba893e5a48f
49394 .xword 0xffe569375ba3e438
49395 .xword 0xd5ba29eba1be9028
49396 .xword 0x94599ffc54a918f1
49397 .xword 0x1436592ad9518ace
49398 .xword 0x9734d50bc858ca40
49399 .xword 0x94da5254bce2e8ab
49400 .xword 0x663b460fee9b01af
49401 .xword 0x12764fec70e250a6
49402 .xword 0x1018dad4c68b198b
49403 .xword 0x310ad1fe70dc2526
49404 .xword 0x94fb0272f96dd858
49405 .xword 0xa48be58bd14d7164
49406 .xword 0xd4331258de717a3e
49407 .xword 0x95405898d1be4a4b
49408 .xword 0x8e7c48995a40d851
49409 .xword 0xe2e6478e7f496cd9
49410 .xword 0x1a3aee190f5e15d0
49411 .xword 0xb04d2d5d2459983f
49412 .xword 0x57696b9948b69c1f
49413 .xword 0x9a9e780b6406445b
49414 .xword 0x0cde2ecc548435f1
49415 .xword 0x35f6b5e029c2ecb7
49416 .xword 0xd52d8184c973dfe6
49417 .xword 0x893adc2741834bda
49418 .xword 0x792ab2c2e49853e4
49419 .xword 0xc78c64e343520ef0
49420 .xword 0x5a03345028659505
49421 .xword 0x1671741b66882a16
49422 .xword 0x7ecf05d62805e533
49423 .xword 0x2aac9f2727e79a99
49424 .xword 0x694cad4dbcb03394
49425 .xword 0xb2ac2795083b4f7b
49426 .xword 0x8e6555e3fd4afe26
49427 .xword 0x4c185a8e9a9eb21e
49428 .xword 0xb328bacb72006931
49429 .xword 0x98c498e13e4e0007
49430 .xword 0x28a3e574fd78d28c
49431 .xword 0x926c8c961612efe2
49432 .xword 0x5f0b748eace51ac2
49433 .xword 0x1685ed9440095f86
49434 .xword 0xdba103d8e9cfce8e
49435 .xword 0xb501da8952b3ff52
49436 .xword 0xe40ec0ccae2e06b1
49437 .xword 0x629be669120af694
49438 .xword 0xa3cc511f1f1ad864
49439 .xword 0xa0aa892b60d741f9
49440 .xword 0x918696d84a1dc34e
49441 .xword 0xe454950faef97d04
49442 .xword 0x7adc6897c51449fd
49443 .xword 0xa6239ff15ada9ebb
49444 .xword 0x8aa3eaeb40f3eb92
49445 .xword 0x45eba21e25ffa2bc
49446 .xword 0xdeaa1e3534235754
49447 .xword 0xdd41119c79d29564
49448 .xword 0xa52926dcb8f94d02
49449 .xword 0x7aeffce8e1cd6c4a
49450 .xword 0x3ab58f58a2e51e1e
49451 .xword 0x77fe8ce589db157f
49452 .xword 0xd755ad92165acd21
49453 .xword 0x226939cae46eb40c
49454 .xword 0x11e3ecc5836233cb
49455 .xword 0x90189a586f8ad129
49456 .xword 0xba0cc5cc77ff877f
49457 .xword 0xc8f95b7a573481df
49458 .xword 0x42b1ab5e3096ccd6
49459 .xword 0x2b79b2c359e58bc4
49460 .xword 0x5067552844a68c36
49461 .xword 0xc1377613a65a8ee8
49462 .xword 0x33751fd9e63b555c
49463 .xword 0x27627e54184bfe2a
49464 .xword 0x3dfe0bff46b167c9
49465 .xword 0xbef2ac6b7c4a705d
49466 .xword 0xc27b0173c66fbc87
49467 .xword 0xa2f9104081d93073
49468 .xword 0x9a19459da97c0a81
49469 .xword 0xdd2c9c58d0a9fbed
49470 .xword 0x3d078c0e758f78ae
49471 .xword 0x65b3e511c599f9b0
49472 .xword 0xaa0cd71237388eda
49473 .xword 0x92d8c04a16eaa522
49474 .xword 0x039c255f81e09c4d
49475 .xword 0x050169321cd031f4
49476 .xword 0xb8bcdd754a73ff6a
49477 .xword 0x88729bd18fe16e1b
49478 .xword 0x07da19de18108d97
49479 .xword 0x4a914cdd5e29ba11
49480 .xword 0xee727c9c0655badd
49481 .xword 0xff60ae8dffffc794
49482 .xword 0x5f8323a95f3ba1a5
49483 .xword 0x0fd59b748e3045ff
49484 .xword 0xb2ae0db69c82809f
49485 .xword 0x687bfb1ad43f1f4b
49486 .xword 0x9e4d531ee23f364d
49487 .xword 0x9b8da2aea67279c8
49488 .xword 0x492e4e8d730c2bcb
49489 .xword 0xd6b0a57a4f379659
49490 .xword 0x3b20f999e5a06903
49491 .xword 0x106e082c528f305d
49492 .xword 0x7634279948e890f7
49493 .xword 0x23e9441d85778f57
49494 .xword 0x47aca8e58299826d
49495 .xword 0xebfcb6c05293aca2
49496 .xword 0xbf48831708d2ed86
49497 .xword 0x01988f24974f5ed2
49498 .xword 0x571be99ab25d9e17
49499 .xword 0xd433d51ba29a04a4
49500 .xword 0xf39f5e48fadea85a
49501 .xword 0x84897a8d7cae0c8f
49502 .xword 0x7c10c8159f1a0701
49503 .xword 0x583f12eb58679e21
49504 .xword 0x5c58c459a9f424b3
49505 .xword 0xccd8f8cad0e166b1
49506 .xword 0xdac535851cd10ece
49507 .xword 0x01671b84ea29a0f2
49508 .xword 0xc68ca214997b3fa0
49509 .xword 0xecded7149f117168
49510 .xword 0x8b2cb6c44ba10b55
49511 .xword 0xbe77e6ddd3c50d41
49512 .xword 0x192db48c792eaf8b
49513 .xword 0xa27a4d46ff212087
49514 .xword 0xe33dd06619710ed5
49515 .xword 0xe4b0d55cc87aa7b8
49516 .xword 0x0924aeb59e97e7e1
49517 .xword 0x021b85002d523280
49518 .xword 0xb97d61dceca04613
49519 .xword 0xe3911568e0e82f17
49520 .xword 0x67617f7106da392c
49521 .xword 0x8d98d620de15aa59
49522 .xword 0xafa9f8d6575802b4
49523 .xword 0x48ddb8e852b840f1
49524 .xword 0xcbafa3637d35edce
49525 .xword 0x39f8802575741743
49526 .xword 0xdfc2118abd85e332
49527 .xword 0xb3aa64606bc2f68a
49528 .xword 0x5eae0271d319f031
49529 .xword 0x270b75ca5b68e3e3
49530 .xword 0x2d7e5bcf1efd781f
49531 .xword 0x138dcac1987e96a7
49532 .xword 0x9cfe5d5da0a9e629
49533 .xword 0x10419bc7b78b94b5
49534 .xword 0x87591d44b3d6adb5
49535 .xword 0x8a02240fdd38cd47
49536 .xword 0x498aa0e87b3e3b86
49537 .xword 0x5d58fd59c55c97bf
49538 .xword 0x37cbeb3bdd7b6fec
49539 .xword 0x7c69691a6d35aff3
49540 .xword 0xe018bd2a7811ae63
49541 .xword 0x586129ecada7adb2
49542 .xword 0x51dd0a33bd584304
49543 .xword 0xa608481618527132
49544 .xword 0x3fbc8b0a0a0d4fb7
49545 .xword 0x02cc0469c9588724
49546 .xword 0x6270c5aa48488484
49547 .xword 0x995c660946e55d6d
49548 .xword 0x089edcf991f29cf6
49549 .xword 0xac22b6adfbc5afb7
49550 .xword 0x61957c843da44f06
49551 .xword 0xcefb9d8e70acd29d
49552 .xword 0x6b3e9055072df158
49553 .xword 0x085c6d13756649ff
49554 .xword 0xb174f56eeb92fc5a
49555 .xword 0x824826e0093152a7
49556 .xword 0xa4ef49d9c8f32e22
49557 .xword 0x18b5df2442bc52a9
49558 .xword 0x300aa74d168c4c4d
49559 .xword 0xb3f0b6223de89c84
49560 .xword 0xdff1847e3f540af4
49561 .xword 0x9acd74c9feaf4977
49562 .xword 0xad930d764f8e07f5
49563 .xword 0xeb72c6208ebab040
49564 .xword 0x5c43a67ef4220e72
49565 .xword 0x1f0dafb78eea7f2e
49566 .xword 0x62a872c346d3bda3
49567 .xword 0xa4ef8e648760d665
49568 .xword 0xf1dcdcd742400664
49569 .xword 0x3e34a1b92294abc3
49570 .xword 0x9fa185aa59cdaee4
49571 .xword 0x83a0600ef12e9669
49572 .xword 0x49d5352a7b9f2244
49573 .xword 0xbb9a07e536c3fba7
49574 .xword 0x13b4dee88a0bbcbc
49575 .xword 0x60404cd9c35826b1
49576 .xword 0xc24cd76226e16a4f
49577 .xword 0x4a079717a1a9b8d8
49578 .xword 0xc9cd8f1200285a04
49579 .xword 0x20028f49998417fb
49580 .xword 0x303611877757b4de
49581 .xword 0xe8b0d5c631e067c5
49582 .xword 0xf98188aa59836d9c
49583 .xword 0xbc494ffc7501d5a9
49584 .xword 0x4dec58ca3bba10d5
49585 .xword 0x3f41d0a81a78ff89
49586 .xword 0x3cbffd2f6d274b11
49587 .xword 0xd6dd5b6719c794a4
49588 .xword 0x0ce562f9949fa038
49589_t1_sslkey_dest:
49590 .xword 0xDEADBEEFDEADBEEF
49591 .xword 0xDEADBEEFDEADBEEF
49592 .xword 0xDEADBEEFDEADBEEF
49593 .xword 0xDEADBEEFDEADBEEF
49594 .xword 0xDEADBEEFDEADBEEF
49595 .xword 0xDEADBEEFDEADBEEF
49596 .xword 0xDEADBEEFDEADBEEF
49597 .xword 0xDEADBEEFDEADBEEF
49598 .xword 0xDEADBEEFDEADBEEF
49599 .xword 0xDEADBEEFDEADBEEF
49600 .xword 0xDEADBEEFDEADBEEF
49601 .xword 0xDEADBEEFDEADBEEF
49602 .xword 0xDEADBEEFDEADBEEF
49603 .xword 0xDEADBEEFDEADBEEF
49604 .xword 0xDEADBEEFDEADBEEF
49605 .xword 0xDEADBEEFDEADBEEF
49606 .xword 0xDEADBEEFDEADBEEF
49607 .xword 0xDEADBEEFDEADBEEF
49608 .xword 0xDEADBEEFDEADBEEF
49609 .xword 0xDEADBEEFDEADBEEF
49610 .xword 0xDEADBEEFDEADBEEF
49611 .xword 0xDEADBEEFDEADBEEF
49612 .xword 0xDEADBEEFDEADBEEF
49613 .xword 0xDEADBEEFDEADBEEF
49614 .xword 0xDEADBEEFDEADBEEF
49615 .xword 0xDEADBEEFDEADBEEF
49616 .xword 0xDEADBEEFDEADBEEF
49617 .xword 0xDEADBEEFDEADBEEF
49618 .xword 0xDEADBEEFDEADBEEF
49619 .xword 0xDEADBEEFDEADBEEF
49620 .xword 0xDEADBEEFDEADBEEF
49621 .xword 0xDEADBEEFDEADBEEF
49622 .xword 0xDEADBEEFDEADBEEF
49623 .xword 0xDEADBEEFDEADBEEF
49624 .xword 0xDEADBEEFDEADBEEF
49625 .xword 0xDEADBEEFDEADBEEF
49626 .xword 0xDEADBEEFDEADBEEF
49627 .xword 0xDEADBEEFDEADBEEF
49628 .xword 0xDEADBEEFDEADBEEF
49629 .xword 0xDEADBEEFDEADBEEF
49630 .xword 0xDEADBEEFDEADBEEF
49631 .xword 0xDEADBEEFDEADBEEF
49632 .xword 0xDEADBEEFDEADBEEF
49633 .xword 0xDEADBEEFDEADBEEF
49634 .xword 0xDEADBEEFDEADBEEF
49635 .xword 0xDEADBEEFDEADBEEF
49636 .xword 0xDEADBEEFDEADBEEF
49637 .xword 0xDEADBEEFDEADBEEF
49638 .xword 0xDEADBEEFDEADBEEF
49639 .xword 0xDEADBEEFDEADBEEF
49640 .xword 0xDEADBEEFDEADBEEF
49641 .xword 0xDEADBEEFDEADBEEF
49642 .xword 0xDEADBEEFDEADBEEF
49643 .xword 0xDEADBEEFDEADBEEF
49644 .xword 0xDEADBEEFDEADBEEF
49645 .xword 0xDEADBEEFDEADBEEF
49646 .xword 0xDEADBEEFDEADBEEF
49647 .xword 0xDEADBEEFDEADBEEF
49648 .xword 0xDEADBEEFDEADBEEF
49649 .xword 0xDEADBEEFDEADBEEF
49650 .xword 0xDEADBEEFDEADBEEF
49651 .xword 0xDEADBEEFDEADBEEF
49652 .xword 0xDEADBEEFDEADBEEF
49653 .xword 0xDEADBEEFDEADBEEF
49654 .xword 0xDEADBEEFDEADBEEF
49655 .xword 0xDEADBEEFDEADBEEF
49656 .xword 0xDEADBEEFDEADBEEF
49657 .xword 0xDEADBEEFDEADBEEF
49658 .xword 0xDEADBEEFDEADBEEF
49659 .xword 0xDEADBEEFDEADBEEF
49660 .xword 0xDEADBEEFDEADBEEF
49661 .xword 0xDEADBEEFDEADBEEF
49662 .xword 0xDEADBEEFDEADBEEF
49663 .xword 0xDEADBEEFDEADBEEF
49664 .xword 0xDEADBEEFDEADBEEF
49665 .xword 0xDEADBEEFDEADBEEF
49666 .xword 0xDEADBEEFDEADBEEF
49667 .xword 0xDEADBEEFDEADBEEF
49668 .xword 0xDEADBEEFDEADBEEF
49669 .xword 0xDEADBEEFDEADBEEF
49670 .xword 0xDEADBEEFDEADBEEF
49671 .xword 0xDEADBEEFDEADBEEF
49672 .xword 0xDEADBEEFDEADBEEF
49673 .xword 0xDEADBEEFDEADBEEF
49674 .xword 0xDEADBEEFDEADBEEF
49675 .xword 0xDEADBEEFDEADBEEF
49676 .xword 0xDEADBEEFDEADBEEF
49677 .xword 0xDEADBEEFDEADBEEF
49678 .xword 0xDEADBEEFDEADBEEF
49679 .xword 0xDEADBEEFDEADBEEF
49680 .xword 0xDEADBEEFDEADBEEF
49681 .xword 0xDEADBEEFDEADBEEF
49682 .xword 0xDEADBEEFDEADBEEF
49683 .xword 0xDEADBEEFDEADBEEF
49684 .xword 0xDEADBEEFDEADBEEF
49685 .xword 0xDEADBEEFDEADBEEF
49686 .xword 0xDEADBEEFDEADBEEF
49687 .xword 0xDEADBEEFDEADBEEF
49688 .xword 0xDEADBEEFDEADBEEF
49689 .xword 0xDEADBEEFDEADBEEF
49690 .xword 0xDEADBEEFDEADBEEF
49691 .xword 0xDEADBEEFDEADBEEF
49692 .xword 0xDEADBEEFDEADBEEF
49693 .xword 0xDEADBEEFDEADBEEF
49694 .xword 0xDEADBEEFDEADBEEF
49695 .xword 0xDEADBEEFDEADBEEF
49696 .xword 0xDEADBEEFDEADBEEF
49697 .xword 0xDEADBEEFDEADBEEF
49698 .xword 0xDEADBEEFDEADBEEF
49699 .xword 0xDEADBEEFDEADBEEF
49700 .xword 0xDEADBEEFDEADBEEF
49701 .xword 0xDEADBEEFDEADBEEF
49702 .xword 0xDEADBEEFDEADBEEF
49703 .xword 0xDEADBEEFDEADBEEF
49704 .xword 0xDEADBEEFDEADBEEF
49705 .xword 0xDEADBEEFDEADBEEF
49706 .xword 0xDEADBEEFDEADBEEF
49707 .xword 0xDEADBEEFDEADBEEF
49708 .xword 0xDEADBEEFDEADBEEF
49709 .xword 0xDEADBEEFDEADBEEF
49710 .xword 0xDEADBEEFDEADBEEF
49711 .xword 0xDEADBEEFDEADBEEF
49712 .xword 0xDEADBEEFDEADBEEF
49713 .xword 0xDEADBEEFDEADBEEF
49714 .xword 0xDEADBEEFDEADBEEF
49715 .xword 0xDEADBEEFDEADBEEF
49716 .xword 0xDEADBEEFDEADBEEF
49717 .xword 0xDEADBEEFDEADBEEF
49718 .xword 0xDEADBEEFDEADBEEF
49719 .xword 0xDEADBEEFDEADBEEF
49720 .xword 0xDEADBEEFDEADBEEF
49721 .xword 0xDEADBEEFDEADBEEF
49722 .xword 0xDEADBEEFDEADBEEF
49723 .xword 0xDEADBEEFDEADBEEF
49724 .xword 0xDEADBEEFDEADBEEF
49725 .xword 0xDEADBEEFDEADBEEF
49726 .xword 0xDEADBEEFDEADBEEF
49727 .xword 0xDEADBEEFDEADBEEF
49728 .xword 0xDEADBEEFDEADBEEF
49729 .xword 0xDEADBEEFDEADBEEF
49730 .xword 0xDEADBEEFDEADBEEF
49731 .xword 0xDEADBEEFDEADBEEF
49732 .xword 0xDEADBEEFDEADBEEF
49733 .xword 0xDEADBEEFDEADBEEF
49734 .xword 0xDEADBEEFDEADBEEF
49735 .xword 0xDEADBEEFDEADBEEF
49736 .xword 0xDEADBEEFDEADBEEF
49737 .xword 0xDEADBEEFDEADBEEF
49738 .xword 0xDEADBEEFDEADBEEF
49739 .xword 0xDEADBEEFDEADBEEF
49740 .xword 0xDEADBEEFDEADBEEF
49741 .xword 0xDEADBEEFDEADBEEF
49742 .xword 0xDEADBEEFDEADBEEF
49743 .xword 0xDEADBEEFDEADBEEF
49744 .xword 0xDEADBEEFDEADBEEF
49745 .xword 0xDEADBEEFDEADBEEF
49746 .xword 0xDEADBEEFDEADBEEF
49747 .xword 0xDEADBEEFDEADBEEF
49748 .xword 0xDEADBEEFDEADBEEF
49749 .xword 0xDEADBEEFDEADBEEF
49750 .xword 0xDEADBEEFDEADBEEF
49751 .xword 0xDEADBEEFDEADBEEF
49752 .xword 0xDEADBEEFDEADBEEF
49753 .xword 0xDEADBEEFDEADBEEF
49754 .xword 0xDEADBEEFDEADBEEF
49755 .xword 0xDEADBEEFDEADBEEF
49756 .xword 0xDEADBEEFDEADBEEF
49757 .xword 0xDEADBEEFDEADBEEF
49758 .xword 0xDEADBEEFDEADBEEF
49759 .xword 0xDEADBEEFDEADBEEF
49760 .xword 0xDEADBEEFDEADBEEF
49761 .xword 0xDEADBEEFDEADBEEF
49762 .xword 0xDEADBEEFDEADBEEF
49763 .xword 0xDEADBEEFDEADBEEF
49764 .xword 0xDEADBEEFDEADBEEF
49765 .xword 0xDEADBEEFDEADBEEF
49766 .xword 0xDEADBEEFDEADBEEF
49767 .xword 0xDEADBEEFDEADBEEF
49768 .xword 0xDEADBEEFDEADBEEF
49769 .xword 0xDEADBEEFDEADBEEF
49770 .xword 0xDEADBEEFDEADBEEF
49771 .xword 0xDEADBEEFDEADBEEF
49772 .xword 0xDEADBEEFDEADBEEF
49773 .xword 0xDEADBEEFDEADBEEF
49774 .xword 0xDEADBEEFDEADBEEF
49775 .xword 0xDEADBEEFDEADBEEF
49776 .xword 0xDEADBEEFDEADBEEF
49777 .xword 0xDEADBEEFDEADBEEF
49778 .xword 0xDEADBEEFDEADBEEF
49779 .xword 0xDEADBEEFDEADBEEF
49780 .xword 0xDEADBEEFDEADBEEF
49781 .xword 0xDEADBEEFDEADBEEF
49782 .xword 0xDEADBEEFDEADBEEF
49783 .xword 0xDEADBEEFDEADBEEF
49784 .xword 0xDEADBEEFDEADBEEF
49785 .xword 0xDEADBEEFDEADBEEF
49786 .xword 0xDEADBEEFDEADBEEF
49787 .xword 0xDEADBEEFDEADBEEF
49788 .xword 0xDEADBEEFDEADBEEF
49789 .xword 0xDEADBEEFDEADBEEF
49790 .xword 0xDEADBEEFDEADBEEF
49791 .xword 0xDEADBEEFDEADBEEF
49792 .xword 0xDEADBEEFDEADBEEF
49793 .xword 0xDEADBEEFDEADBEEF
49794 .xword 0xDEADBEEFDEADBEEF
49795 .xword 0xDEADBEEFDEADBEEF
49796 .xword 0xDEADBEEFDEADBEEF
49797 .xword 0xDEADBEEFDEADBEEF
49798 .xword 0xDEADBEEFDEADBEEF
49799 .xword 0xDEADBEEFDEADBEEF
49800 .xword 0xDEADBEEFDEADBEEF
49801 .xword 0xDEADBEEFDEADBEEF
49802 .xword 0xDEADBEEFDEADBEEF
49803 .xword 0xDEADBEEFDEADBEEF
49804 .xword 0xDEADBEEFDEADBEEF
49805 .xword 0xDEADBEEFDEADBEEF
49806 .xword 0xDEADBEEFDEADBEEF
49807 .xword 0xDEADBEEFDEADBEEF
49808 .xword 0xDEADBEEFDEADBEEF
49809 .xword 0xDEADBEEFDEADBEEF
49810 .xword 0xDEADBEEFDEADBEEF
49811 .xword 0xDEADBEEFDEADBEEF
49812 .xword 0xDEADBEEFDEADBEEF
49813 .xword 0xDEADBEEFDEADBEEF
49814 .xword 0xDEADBEEFDEADBEEF
49815 .xword 0xDEADBEEFDEADBEEF
49816 .xword 0xDEADBEEFDEADBEEF
49817 .xword 0xDEADBEEFDEADBEEF
49818 .xword 0xDEADBEEFDEADBEEF
49819 .xword 0xDEADBEEFDEADBEEF
49820 .xword 0xDEADBEEFDEADBEEF
49821 .xword 0xDEADBEEFDEADBEEF
49822 .xword 0xDEADBEEFDEADBEEF
49823 .xword 0xDEADBEEFDEADBEEF
49824 .xword 0xDEADBEEFDEADBEEF
49825 .xword 0xDEADBEEFDEADBEEF
49826 .xword 0xDEADBEEFDEADBEEF
49827 .xword 0xDEADBEEFDEADBEEF
49828 .xword 0xDEADBEEFDEADBEEF
49829 .xword 0xDEADBEEFDEADBEEF
49830 .xword 0xDEADBEEFDEADBEEF
49831 .xword 0xDEADBEEFDEADBEEF
49832 .xword 0xDEADBEEFDEADBEEF
49833 .xword 0xDEADBEEFDEADBEEF
49834 .xword 0xDEADBEEFDEADBEEF
49835 .xword 0xDEADBEEFDEADBEEF
49836 .xword 0xDEADBEEFDEADBEEF
49837 .xword 0xDEADBEEFDEADBEEF
49838 .xword 0xDEADBEEFDEADBEEF
49839 .xword 0xDEADBEEFDEADBEEF
49840 .xword 0xDEADBEEFDEADBEEF
49841 .xword 0xDEADBEEFDEADBEEF
49842 .xword 0xDEADBEEFDEADBEEF
49843 .xword 0xDEADBEEFDEADBEEF
49844 .xword 0xDEADBEEFDEADBEEF
49845 .xword 0xDEADBEEFDEADBEEF
49846 .xword 0xDEADBEEFDEADBEEF
49847 .xword 0xDEADBEEFDEADBEEF
49848 .xword 0xDEADBEEFDEADBEEF
49849 .xword 0xDEADBEEFDEADBEEF
49850 .xword 0xDEADBEEFDEADBEEF
49851 .xword 0xDEADBEEFDEADBEEF
49852 .xword 0xDEADBEEFDEADBEEF
49853 .xword 0xDEADBEEFDEADBEEF
49854 .xword 0xDEADBEEFDEADBEEF
49855 .xword 0xDEADBEEFDEADBEEF
49856 .xword 0xDEADBEEFDEADBEEF
49857 .xword 0xDEADBEEFDEADBEEF
49858 .xword 0xDEADBEEFDEADBEEF
49859 .xword 0xDEADBEEFDEADBEEF
49860 .xword 0xDEADBEEFDEADBEEF
49861 .xword 0xDEADBEEFDEADBEEF
49862 .xword 0xDEADBEEFDEADBEEF
49863 .xword 0xDEADBEEFDEADBEEF
49864 .xword 0xDEADBEEFDEADBEEF
49865_t1_sslkey_auth_key:
49866 .xword 0xd974ca0c7cceaa85
49867 .xword 0xd1ef356e2b813253
49868 .xword 0xbd3673836cf24efc
49869 .xword 0xa248b759eaeb6622
49870 .xword 0xc04cd522d562dab6
49871 .xword 0x532b6ba119ad542d
49872 .xword 0x467f605555139582
49873 .xword 0x998f2731732ee2fe
49874 .xword 0xa33dceff9645a3ac
49875 .xword 0x04986e1f8156c72e
49876 .xword 0x99f8f8d31ccd7a66
49877 .xword 0x75b0889d8e2ff193
49878 .xword 0xdf743531514255e0
49879 .xword 0x6a47cee30c4b60a2
49880 .xword 0x7be69f6048a30d66
49881 .xword 0xbfbcec7cb76154bf
49882 .xword 0x4501cbfdaec543d8
49883 .xword 0xfda62962b5b3af02
49884 .xword 0x0a4c406b8497ba62
49885 .xword 0x9b76559a4327dce7
49886 .xword 0xe38a5733b20b9aa7
49887 .xword 0x9c091a16d4c81033
49888 .xword 0x65d74efd3410706b
49889_t1_sslkey_auth_iv:
49890 .xword 0xc8e8c4b26eb410c8
49891 .xword 0xceb75a1e11c505ca
49892 .xword 0x3fbe4c455fe71e9f
49893 .xword 0x21ee5f9e711c83f1
49894 .xword 0x0c23c44f2895b505
49895 .xword 0x7f43404ebb8af1ff
49896 .xword 0xab266c583a3af120
49897 .xword 0x3d4ed8a105a9b79b
49898 .xword 0x902ff7ef52809f15
49899 .xword 0x38121412356e9f80
49900 .xword 0xcba9555d0fcee686
49901 .xword 0x7842e52e034a9542
49902 .xword 0x3712fa5a2f546b38
49903 .xword 0x3de25f6380f8b804
49904 .xword 0x0c8246aea0bed774
49905 .xword 0x4486d985245e17a1
49906 .xword 0x2238729354cf1ddd
49907 .xword 0x8488d417c8ba23ab
49908 .xword 0xfd7997b0f0e97058
49909 .xword 0xddfd236dc0bd7d05
49910 .xword 0x009d3e6509b22a20
49911 .xword 0xf926a7b5e16bc226
49912 .xword 0xbc038e1df64e7309
49913_t1_sslkey_fas_result:
49914 .xword 0xDEADBEEFDEADBEEF
49915 .xword 0xDEADBEEFDEADBEEF
49916 .xword 0xDEADBEEFDEADBEEF
49917 .xword 0xDEADBEEFDEADBEEF
49918 .xword 0xDEADBEEFDEADBEEF
49919 .xword 0xDEADBEEFDEADBEEF
49920 .xword 0xDEADBEEFDEADBEEF
49921 .xword 0xDEADBEEFDEADBEEF
49922 .xword 0xDEADBEEFDEADBEEF
49923 .xword 0xDEADBEEFDEADBEEF
49924 .xword 0xDEADBEEFDEADBEEF
49925 .xword 0xDEADBEEFDEADBEEF
49926 .xword 0xDEADBEEFDEADBEEF
49927 .xword 0xDEADBEEFDEADBEEF
49928 .xword 0xDEADBEEFDEADBEEF
49929 .xword 0xDEADBEEFDEADBEEF
49930 .xword 0xDEADBEEFDEADBEEF
49931 .xword 0xDEADBEEFDEADBEEF
49932 .xword 0xDEADBEEFDEADBEEF
49933 .xword 0xDEADBEEFDEADBEEF
49934 .xword 0xDEADBEEFDEADBEEF
49935 .xword 0xDEADBEEFDEADBEEF
49936 .xword 0xDEADBEEFDEADBEEF
49937_t1_aes_toc:
49938 .xword _t1_aes_cwd_array
49939 .xword _t1_aes_src
49940 .xword _t1_aes_auth_key
49941 .xword _t1_aes_auth_iv
49942 .xword _t1_aes_fas_result
49943 .xword _t1_aes_key_array
49944 .xword _t1_aes_iv_array
49945 .xword _t1_aes_dest
49946 .xword _t1_aes_alignment_array
49947_t1_des_toc:
49948 .xword _t1_des_cwd_array
49949 .xword _t1_des_src
49950 .xword _t1_des_auth_key
49951 .xword _t1_des_auth_iv
49952 .xword _t1_des_fas_result
49953 .xword _t1_des_key_array
49954 .xword _t1_des_iv_array
49955 .xword _t1_des_dest
49956 .xword _t1_des_alignment_array
49957_t1_copy_toc:
49958 .xword _t1_copy_cwd_array
49959 .xword _t1_copy_src
49960 .xword _t1_copy_auth_key
49961 .xword _t1_copy_auth_iv
49962 .xword _t1_copy_fas_result
49963 .xword _t1_copy_key_array
49964 .xword _t1_copy_iv_array
49965 .xword _t1_copy_dest
49966 .xword _t1_copy_alignment_array
49967_t1_crc_toc:
49968 .xword _t1_crc_cwd_array
49969 .xword _t1_crc_src
49970 .xword _t1_crc_auth_key
49971 .xword _t1_crc_auth_iv
49972 .xword _t1_crc_fas_result
49973 .xword _t1_crc_key_array
49974 .xword _t1_crc_iv_array
49975 .xword _t1_crc_dest
49976 .xword _t1_crc_alignment_array
49977_t1_hash_toc:
49978 .xword _t1_hash_cwd_array
49979 .xword _t1_hash_src
49980 .xword _t1_hash_auth_key
49981 .xword _t1_hash_auth_iv
49982 .xword _t1_hash_fas_result
49983 .xword _t1_hash_key_array
49984 .xword _t1_hash_iv_array
49985 .xword _t1_hash_dest
49986 .xword _t1_hash_alignment_array
49987_t1_hmac_toc:
49988 .xword _t1_hmac_cwd_array
49989 .xword _t1_hmac_src
49990 .xword _t1_hmac_auth_key
49991 .xword _t1_hmac_auth_iv
49992 .xword _t1_hmac_fas_result
49993 .xword _t1_hmac_key_array
49994 .xword _t1_hmac_iv_array
49995 .xword _t1_hmac_dest
49996 .xword _t1_hmac_alignment_array
49997_t1_rc4_toc:
49998 .xword _t1_rc4_cwd_array
49999 .xword _t1_rc4_src
50000 .xword _t1_rc4_auth_key
50001 .xword _t1_rc4_auth_iv
50002 .xword _t1_rc4_fas_result
50003 .xword _t1_rc4_key_array
50004 .xword _t1_rc4_iv_array
50005 .xword _t1_rc4_dest
50006 .xword _t1_rc4_alignment_array
50007_t1_sslkey_toc:
50008 .xword _t1_sslkey_cwd_array
50009 .xword _t1_sslkey_src
50010 .xword _t1_sslkey_auth_key
50011 .xword _t1_sslkey_auth_iv
50012 .xword _t1_sslkey_fas_result
50013 .xword _t1_sslkey_key_array
50014 .xword _t1_sslkey_iv_array
50015 .xword _t1_sslkey_dest
50016 .xword _t1_sslkey_alignment_array
50017_t1_table_of_context4:
50018 .xword _t1_aes_toc
50019 .xword _t1_des_toc
50020 .xword _t1_copy_toc
50021 .xword _t1_crc_toc
50022 .xword _t1_hash_toc
50023 .xword _t1_hmac_toc
50024 .xword _t1_rc4_toc
50025 .xword _t1_sslkey_toc
50026
50027!# CWQ data area, set aside 512 CW's worth
50028!# 512*8*8 = 32KB
50029.align 32*1024
50030_t1_cwq_base4:
50031 .xword 0xAAAAAAAAAAAAAAA
50032 .xword 0xAAAAAAAAAAAAAAA
50033 .xword 0xAAAAAAAAAAAAAAA
50034 .xword 0xAAAAAAAAAAAAAAA
50035 .xword 0xAAAAAAAAAAAAAAA
50036 .xword 0xAAAAAAAAAAAAAAA
50037 .xword 0xAAAAAAAAAAAAAAA
50038 .xword 0xAAAAAAAAAAAAAAA
50039.align 32*1024
50040_t1_cwq_last4:
50041
50042SECTION ._t1_T_CWQ_DATA5 DATA_VA=290455552
50043attr_data {
50044 Name = ._t1_T_CWQ_DATA5
50045 hypervisor
50046}
50047 .data
50048_t1_user_data_start5:
50049_t1_scratch_area5:
50050
50051.align 16
50052_t1_spu_op_array5:
50053 .xword 1
50054 .xword 0
50055 .xword 1
50056 .xword 4
50057 .xword 1
50058 .xword 1
50059 .xword 6
50060 .xword 6
50061 .xword 6
50062 .xword 7
50063 .xword 4
50064 .xword 3
50065 .xword 1
50066 .xword 7
50067 .xword 3
50068_t1_aes_cwd_array5:
50069 .xword 0x406000601500000f
50070 .xword 0x40e100801400002f
50071 .xword 0xc0e000201700002f
50072 .xword 0xc0e000401000002f
50073 .xword 0xc06000c01700002f
50074 .xword 0x406100801700003f
50075 .xword 0xc0e100401100001f
50076 .xword 0xc06000801000002f
50077 .xword 0xc0e000c01b00001f
50078 .xword 0xc06000801300002f
50079 .xword 0xc0e000601700003f
50080 .xword 0x406000201500001f
50081 .xword 0xc06100401800001f
50082 .xword 0x406000201100002f
50083 .xword 0xc0e100601900001f
50084_t1_des_cwd_array5:
50085 .xword 0xc06000200d000007
50086 .xword 0x40e100c00c000007
50087 .xword 0x40e000600e000017
50088 .xword 0xc06000e00800000f
50089 .xword 0x40e000200a000007
50090 .xword 0xc0e000e00900000f
50091 .xword 0x406100400e00001f
50092 .xword 0x40e100e00a00001f
50093 .xword 0xc0e000000c00001f
50094 .xword 0x40e100000d00000f
50095 .xword 0xc0e000e00800000f
50096 .xword 0x406100c00a00000f
50097 .xword 0x406000600900001f
50098 .xword 0x406100a00d000017
50099 .xword 0x406000c00a00000f
50100_t1_copy_cwd_array5:
50101 .xword 0x2061004000000000
50102 .xword 0x206100a00000000b
50103 .xword 0x2061000000000004
50104 .xword 0xa061000000000002
50105 .xword 0xa060002000000003
50106 .xword 0xa061006000000005
50107 .xword 0xa06100a000000004
50108 .xword 0x2060006000000009
50109 .xword 0xa06000400000000f
50110 .xword 0xa06000000000000d
50111 .xword 0xa060008000000000
50112 .xword 0x206000e00000000c
50113 .xword 0xa061008000000000
50114 .xword 0xa06100e000000007
50115 .xword 0x2060004000000009
50116_t1_crc_cwd_array5:
50117 .xword 0xc16103040000000a
50118 .xword 0x416001c800000000
50119 .xword 0x416303840000000c
50120 .xword 0xc163010800000003
50121 .xword 0x416303c40000000a
50122 .xword 0xc16301a800000003
50123 .xword 0xc16003040000000e
50124 .xword 0x4163014800000001
50125 .xword 0xc16103a400000009
50126 .xword 0x416001a80000000b
50127 .xword 0xc161032400000001
50128 .xword 0xc160010800000006
50129 .xword 0x4160034400000003
50130 .xword 0x4163018800000008
50131_t1_hash_cwd_array5:
50132 .xword 0x41610be10000003d
50133 .xword 0x416100a100000013
50134 .xword 0x41600ac300000010
50135 .xword 0x41620d620000001b
50136 .xword 0x41620b2100000005
50137 .xword 0x41610b4100000020
50138 .xword 0x4160082100000004
50139 .xword 0xc1610a010000003c
50140 .xword 0x416300e10000001b
50141 .xword 0xc163076100000014
50142 .xword 0x41620da100000029
50143 .xword 0xc163114200000009
50144 .xword 0xc1620b230000003c
50145 .xword 0xc16200a200000008
50146 .xword 0x4160018100000009
50147_t1_hmac_cwd_array5:
50148 .xword 0x41630ac5000f0001
50149 .xword 0xc16016c7001f0039
50150 .xword 0x416008660013001e
50151 .xword 0x416013e7001f003a
50152 .xword 0xc1610265000f002e
50153 .xword 0x416317cb001f0021
50154 .xword 0x41620f29000f0029
50155 .xword 0xc1630329000f001e
50156 .xword 0xc16303a5000f000f
50157 .xword 0xc1630589000f0006
50158 .xword 0x41620985000f0028
50159 .xword 0x41620e85000f000b
50160 .xword 0xc1610f05000f0035
50161 .xword 0x416100a5000f0020
50162 .xword 0x41630f25000f0013
50163_t1_rc4_cwd_array5:
50164 .xword 0x40e100a004000002
50165 .xword 0xc0e000c00000000a
50166 .xword 0xc0e000200400000e
50167 .xword 0xc0e0008004000009
50168 .xword 0xc0e100000000000a
50169 .xword 0x40e0000000000002
50170 .xword 0x40e000000400000d
50171 .xword 0xc0e0004004000005
50172 .xword 0x40e0008000000005
50173 .xword 0xc0e100e000000005
50174 .xword 0xc0e0008004000009
50175 .xword 0xc0e100c000000002
50176 .xword 0x40e100e000000004
50177 .xword 0xc0e100800000000e
50178 .xword 0x40e100e004000001
50179_t1_sslkey_cwd_array5:
50180 .xword 0x906024e000000000, 0
50181 .xword 0x9060324000000000, 0
50182 .xword 0x1060100000000000, 0
50183 .xword 0x906040a000000000, 0
50184 .xword 0x1060032000000000, 0
50185 .xword 0x10601da000000000, 0
50186 .xword 0x10601bc000000000, 0
50187 .xword 0x10603dc000000000, 0
50188 .xword 0x9060360000000000, 0
50189 .xword 0x1060164000000000, 0
50190 .xword 0x1060002000000000, 0
50191 .xword 0x90600ca000000000, 0
50192 .xword 0x906017c000000000, 0
50193 .xword 0x9060222000000000, 0
50194 .xword 0x9060250000000000, 0
50195_t1_aes_key_array:
50196 .xword 0xa423fcea2842f029
50197 .xword 0x3ca8a40cdd761402
50198 .xword 0xb093caed351ef7a3
50199 .xword 0xa12e79c96a64c36c
50200 .xword 0x53a6fd670a467bec
50201 .xword 0x63d187ebac0634ec
50202 .xword 0xcf3186822b33cd92
50203 .xword 0x739241c6644af4de
50204 .xword 0x1e6cd3f3e1a5c411
50205 .xword 0xb5712bef9ece610b
50206 .xword 0x3edd9b80a1b6185c
50207 .xword 0x8d27226cdb4654de
50208 .xword 0xf5c62f0a784bb65b
50209 .xword 0x455526c8afb0299c
50210 .xword 0xc60adafa83465ba7
50211 .xword 0xb2df29598636c1cc
50212 .xword 0x60de83a1c8072a6b
50213 .xword 0x7c014728950b8a8d
50214 .xword 0xff59e6fd46086feb
50215 .xword 0x68712eadfc73dfa1
50216 .xword 0x5e313773eeff7b8f
50217 .xword 0x066246a1f50baca2
50218 .xword 0x72e6d0d2f2b10bea
50219 .xword 0x9210dcd4538f8928
50220 .xword 0x35f26630baff4a28
50221 .xword 0xf21955be63564964
50222 .xword 0xb651aa1c2de0ce4e
50223 .xword 0x2cc8955f15a1c276
50224 .xword 0xf001cf2d6f83842d
50225 .xword 0x5e8d551ae7210fa9
50226 .xword 0xc94939d52f57ab53
50227 .xword 0x9ea4de5ac01d8d96
50228 .xword 0xc5a97a89bfb135ad
50229 .xword 0x12eb505a40535790
50230 .xword 0xbee965b1df5410ad
50231 .xword 0x3f882ec17208f22b
50232 .xword 0xb96427dd02088678
50233 .xword 0x3c2cba6c8dc2d39b
50234 .xword 0xb72f101b83b5968f
50235 .xword 0x660418c05cddab6f
50236 .xword 0x7cf6c592ccce861e
50237 .xword 0x604b05ca1e901a4f
50238 .xword 0x8d23bcab52dad937
50239 .xword 0xef0392c02ec6d8cc
50240 .xword 0x82279fac091434fb
50241 .xword 0x66d59c088723a07a
50242 .xword 0xaf068e55d9ae4c0a
50243 .xword 0x11da66efb50ccb62
50244 .xword 0x40d355e1382a7793
50245 .xword 0x339c0b714f6aafb9
50246 .xword 0x4073b4ddb5af885c
50247_t1_aes_iv_array:
50248 .xword 0x264e00c9e1b5b8ab
50249 .xword 0x1c7a1a1f955e37e7
50250 .xword 0xe3630a317e9006a1
50251 .xword 0x13d1d271336d8358
50252 .xword 0xce84ac2226248e25
50253 .xword 0x20918208b1420c48
50254 .xword 0xc3813e45d87d25a1
50255 .xword 0xce5df5c47a7d18c0
50256 .xword 0xcbefe661613356a3
50257 .xword 0x25061c1fae060959
50258 .xword 0x732642ab036e7ef0
50259 .xword 0x0819944eed83eb7b
50260 .xword 0xa0f1e80c8ea39ac9
50261 .xword 0xcdec72aa8c440a5b
50262 .xword 0x7a96ee458ec0001f
50263 .xword 0x3b73ee0a7a16bddc
50264 .xword 0x97a9e5218bf98919
50265 .xword 0x2416109123254406
50266 .xword 0x6fca7cb76a4e3b71
50267 .xword 0xbd01b23274c824f8
50268 .xword 0xc877b296805e0ddd
50269 .xword 0x939ada782b232969
50270 .xword 0xbd231da37490669f
50271 .xword 0x1efc88bf4de39e37
50272 .xword 0xd7de192ad0cb6c24
50273 .xword 0x28dc916ca96b0b56
50274 .xword 0xf23ca52f3a57df75
50275 .xword 0x0ea1057f264fbeee
50276 .xword 0x38ca25269c0a3d09
50277 .xword 0x7564410f52621940
50278 .xword 0x9eca0c6f340c0adc
50279 .xword 0xbc3d0fcb840f2cdd
50280 .xword 0xbc27da363637392f
50281 .xword 0x20010b4153ea1dce
50282 .xword 0xeb6cc41b4bb81ea5
50283 .xword 0xdeeb3eef1aaf2831
50284 .xword 0x1c69b128e604b0fd
50285 .xword 0xd76d957884bc8238
50286 .xword 0x204ab76ddc28237e
50287 .xword 0x837615a33fa95a7f
50288 .xword 0x6dce95e0f4452783
50289 .xword 0xda8355a53f123ece
50290 .xword 0x767b7662025ce958
50291 .xword 0x64cedf8f5bf3941f
50292 .xword 0x2b283661605898d1
50293_t1_aes_alignment_array:
50294 .xword 15
50295 .xword 1
50296 .xword 0
50297 .xword 11
50298 .xword 8
50299 .xword 8
50300 .xword 5
50301 .xword 1
50302 .xword 9
50303 .xword 11
50304 .xword 11
50305 .xword 2
50306 .xword 14
50307 .xword 4
50308 .xword 15
50309 .xword 6
50310 .xword 2
50311 .xword 9
50312 .xword 5
50313 .xword 11
50314 .xword 10
50315 .xword 7
50316 .xword 4
50317 .xword 13
50318 .xword 11
50319 .xword 4
50320 .xword 8
50321 .xword 13
50322 .xword 12
50323 .xword 15
50324 .xword 7
50325 .xword 3
50326 .xword 2
50327 .xword 4
50328 .xword 1
50329 .xword 4
50330 .xword 12
50331 .xword 14
50332 .xword 7
50333 .xword 12
50334 .xword 6
50335 .xword 12
50336 .xword 12
50337 .xword 4
50338 .xword 7
50339 .xword 0
50340 .xword 1
50341 .xword 8
50342 .xword 2
50343 .xword 0
50344 .xword 9
50345 .xword 10
50346 .xword 8
50347 .xword 1
50348 .xword 6
50349 .xword 10
50350 .xword 15
50351 .xword 9
50352 .xword 9
50353 .xword 4
50354 .xword 10
50355 .xword 8
50356 .xword 3
50357 .xword 6
50358 .xword 0
50359 .xword 3
50360 .xword 3
50361 .xword 0
50362 .xword 14
50363 .xword 7
50364 .xword 2
50365 .xword 0
50366 .xword 9
50367 .xword 7
50368 .xword 5
50369 .xword 14
50370 .xword 5
50371 .xword 9
50372 .xword 0
50373 .xword 12
50374 .xword 13
50375 .xword 11
50376 .xword 4
50377 .xword 7
50378 .xword 13
50379 .xword 10
50380 .xword 4
50381 .xword 12
50382 .xword 6
50383 .xword 1
50384 .xword 10
50385 .xword 10
50386 .xword 15
50387 .xword 0
50388 .xword 13
50389 .xword 4
50390 .xword 10
50391 .xword 15
50392 .xword 15
50393 .xword 1
50394 .xword 9
50395 .xword 3
50396 .xword 2
50397 .xword 10
50398 .xword 11
50399_t1_aes_src:
50400 .xword 0xa892c45d2511ff8e
50401 .xword 0xccc041f487107835
50402 .xword 0x4aa82417282984a8
50403 .xword 0x392d2906a2a81158
50404 .xword 0xbf9c68ad99ae1cbd
50405 .xword 0x7d92a86e03da25bc
50406 .xword 0x2af7fb299146a548
50407 .xword 0x00ee809c3e1cb63e
50408 .xword 0x00ca1e9e5b32f928
50409 .xword 0xb3c3e55630d16264
50410 .xword 0x438074cd0055cf35
50411 .xword 0x251708e65fb6644f
50412 .xword 0x8afaa1860c25b99c
50413 .xword 0x93621d8354ba5ad1
50414 .xword 0xad71eba6e8a3ba4b
50415 .xword 0xe4b61f22c2826e4c
50416 .xword 0x627513974e3d1415
50417 .xword 0x172230b86943b2aa
50418 .xword 0x7dee4d9528dfbd68
50419 .xword 0x035f8942f6ebc114
50420 .xword 0x4ba5cfc93a895dbb
50421 .xword 0xa6f5483038d9a6c9
50422 .xword 0x748a35cf129a5695
50423 .xword 0xd55b842bdcf41adf
50424 .xword 0x3e7afa84e15fbe98
50425 .xword 0xefb9b1fd233a63c7
50426 .xword 0xe9b1852e64346a72
50427 .xword 0xd314fd59a5b74a82
50428 .xword 0x26c9b28f35cc77a6
50429 .xword 0x3dddb43555fbfcb9
50430 .xword 0xf85235d9134c3bba
50431 .xword 0x95a20658c7f8d04c
50432 .xword 0x7301fe3eda2833a2
50433 .xword 0xbf4d5c703d75c3da
50434 .xword 0x448186dccbe4a6dd
50435 .xword 0xfdb2eefbd881ca8f
50436 .xword 0xbff62074d9ca6956
50437 .xword 0xc2eee6222ae3d03b
50438 .xword 0x2eaa21d4ba77f0ba
50439 .xword 0x21467335c95d51b5
50440 .xword 0x1c159b0321de5c1e
50441 .xword 0x7d7c41807a444589
50442 .xword 0xddd8c83135369743
50443 .xword 0x6254573485bea025
50444 .xword 0x1539b20dcb519212
50445 .xword 0xcab7938ee7ab1163
50446 .xword 0x59e7a1325dccd3b1
50447 .xword 0xbb05fef373a6337e
50448 .xword 0xab569ec8d09cd577
50449 .xword 0xa135c0385c0af910
50450 .xword 0x506278b3c1f20cf8
50451 .xword 0x240d5ef8df271220
50452 .xword 0x22d1a0246f03e0ed
50453 .xword 0x8afcd768875d9d56
50454 .xword 0x8000b109d73306f1
50455 .xword 0xaf11be1f49f27f37
50456 .xword 0xed2aa8b10614d83b
50457 .xword 0x5f9714241696c3de
50458 .xword 0x62fc84126b699d4a
50459 .xword 0x22d4e7c1a3d6bd55
50460 .xword 0x2b6988c004740f82
50461 .xword 0x76b8df8d4e8d9ee8
50462 .xword 0x407012074b127abb
50463 .xword 0x35a504c99d51cf48
50464 .xword 0xf6486163dd65fa70
50465 .xword 0xc2f1b4dcbdf5e245
50466 .xword 0xc92a2ec188303605
50467 .xword 0x1bbbba7bd6ee849f
50468 .xword 0xdd82cdd42f69204b
50469 .xword 0xd60e09ad71c9711b
50470 .xword 0xe2718faef6b0aba7
50471 .xword 0x1dc6a5227eaba6c1
50472 .xword 0x71d178c09b43080f
50473 .xword 0xc9cc377c01e04e91
50474 .xword 0x7d288182c63a6756
50475 .xword 0x0492be019fa6d434
50476 .xword 0xaefc763c60455ab9
50477 .xword 0x01e5547ed5ba1027
50478 .xword 0x3047e6dc19a924a1
50479 .xword 0xbeac522b205f2da9
50480 .xword 0xd28b3fce6ae6cefc
50481 .xword 0x1be9b7073b70b5c4
50482 .xword 0xc47133fad4eaa6c6
50483 .xword 0x54781a883aae20d8
50484 .xword 0x250b3409a2893005
50485 .xword 0x2da2524dd079c10a
50486 .xword 0x9d9ee71b3b91bdfe
50487 .xword 0x3a6e9c289a2168fb
50488 .xword 0xe94f90012f5ab7e8
50489 .xword 0x44b26ffe6e2816ab
50490 .xword 0x777780867fea477e
50491 .xword 0x25fe37a8b1491e2c
50492 .xword 0xa219ba3506ce68e3
50493 .xword 0x3e15e683d5735ef4
50494 .xword 0x6a0efeb2731f8495
50495 .xword 0x83e5db232102c761
50496 .xword 0xe3b243a2ca00368e
50497 .xword 0xb2adfd05edf98721
50498 .xword 0x28183d8c560b3b86
50499 .xword 0x43edf73acf10190b
50500 .xword 0x8fcdee504b7792ce
50501 .xword 0x992f2f80afe60d12
50502 .xword 0x9cbce58194dd550f
50503 .xword 0x2dad92d6b895aca6
50504 .xword 0x4c69cfc08a819f47
50505 .xword 0xe2269aa1b816f94d
50506 .xword 0x9a536fc451e2a7f3
50507 .xword 0x5d8207119f8d1402
50508 .xword 0xbab76444480fe32c
50509 .xword 0xe9bf4b88745b67c4
50510 .xword 0x1b6c503dc75aa0ab
50511 .xword 0x7db07936f0df550e
50512 .xword 0x5243e1e9e371ae64
50513 .xword 0x8a2452be747e102f
50514 .xword 0x97d94e2d023d146a
50515 .xword 0x8def83288e5e03e7
50516 .xword 0xd896a860eeb29e22
50517 .xword 0xa29a4068c2c7a58f
50518 .xword 0xf88b275030fc4906
50519 .xword 0x5f41b01a88b78a1e
50520 .xword 0x769fa99f738b6d5c
50521 .xword 0x43cbdbed1e95127b
50522 .xword 0xd41e88d5117e2684
50523 .xword 0xc9fb1899438787bf
50524 .xword 0xe9a55520e81fa051
50525 .xword 0x543656dab0ca7e07
50526 .xword 0xcb22bdf45d799ced
50527 .xword 0xd0927bdfc137d912
50528 .xword 0x3c17d082f408a9fc
50529 .xword 0x4c4e8aca77d3f48f
50530 .xword 0x8b687c2516fe8470
50531 .xword 0x360ee7c97549d7d1
50532 .xword 0x5d8031413d90feb0
50533 .xword 0x2b2c0df553fba255
50534 .xword 0xe72a5a058ef6e903
50535 .xword 0x06bd22e0df845729
50536 .xword 0x00816541674f7058
50537 .xword 0xf5a540070e770c74
50538 .xword 0x7bf8b41d8c3c78ec
50539 .xword 0x84e3d0a0be94f8b1
50540 .xword 0xbb8647382cbda4a0
50541 .xword 0x8e95aab80b295d2a
50542 .xword 0x1eae9efc6c71ea3e
50543 .xword 0x37ce4c72df81224d
50544 .xword 0x0fdea455beda535b
50545 .xword 0x53b71b6a8c94a24b
50546 .xword 0xe267c6e3837bf4f2
50547 .xword 0xde2a37cd00e7b98e
50548 .xword 0x02099d71b8ed03f4
50549 .xword 0x2ed19ccd66ade329
50550 .xword 0x6c9be9aabaad2e37
50551 .xword 0xfb3baf3d51897b27
50552 .xword 0x0bf7e9dea1d5d702
50553 .xword 0x04112082f4865fd8
50554 .xword 0x3d0abd79f4a86f4f
50555 .xword 0x03cd83b35667046c
50556 .xword 0xa431d08c6d3bedec
50557 .xword 0x42680c27490038b3
50558 .xword 0xf689f871bb5d50fa
50559 .xword 0x8556fc4916b154ca
50560 .xword 0x9afd598019e914bf
50561 .xword 0x4a03ae0ecb896d75
50562 .xword 0x541f90f83adb67d7
50563 .xword 0x4a80ef1e52b10094
50564 .xword 0xcfe843337956f89a
50565 .xword 0x7b9cf2c4cc029820
50566 .xword 0x19f349c235be67f8
50567 .xword 0x48a0cfdc97425e15
50568 .xword 0xc20d2553f4980af3
50569 .xword 0xa53a1ae355a9494f
50570 .xword 0x4562ac2d37d3631f
50571 .xword 0xf8a4fdf0b25e9b2c
50572 .xword 0xcefab35a5e2b4e20
50573 .xword 0x82ae8258b0fad5d9
50574 .xword 0x562e0e5f9d4d418a
50575 .xword 0x5390662a7b73336f
50576 .xword 0x500a593e51942e26
50577 .xword 0xa333a97724a01d78
50578 .xword 0x5b507bbf7b4e3c01
50579 .xword 0xae6da1d3258a7aa8
50580 .xword 0x68669c4b223d72a3
50581 .xword 0xfa18470f309f463b
50582 .xword 0xfa875d385cb3f3ec
50583 .xword 0xe039b7700b39869a
50584 .xword 0x29aa7fe54cc108b5
50585 .xword 0x5102837e1b2e8bc2
50586 .xword 0x7ac97265df967d32
50587 .xword 0x9cf90b876fb4a1a3
50588 .xword 0xcd8dd59e7c067743
50589 .xword 0xc6f9bcd9ce159250
50590 .xword 0x9659f026c6acf23b
50591 .xword 0xbea0a15c45f97520
50592 .xword 0xb2c6f2ebbcac07d6
50593 .xword 0xc8e61a93aeaf6bca
50594 .xword 0x3bf7181269b2ca0d
50595 .xword 0x1057f327d5b457a9
50596 .xword 0x2a2bc57576e8d41f
50597 .xword 0xa364383a692dcdf4
50598 .xword 0xf7671803847d5001
50599 .xword 0x87ea3961fbfde3aa
50600 .xword 0x741af2bf10e21fa2
50601 .xword 0xa4e8925df5e83b3a
50602 .xword 0x41aaaed0d0189387
50603 .xword 0x79437ba40a6df50a
50604 .xword 0x0c9b943998a7f1f2
50605 .xword 0x9baefa9da1322464
50606 .xword 0xbb91e1b4cb1d5dce
50607 .xword 0x2e4bbe832bcacbc0
50608 .xword 0x022fdceb2055cf14
50609 .xword 0x45da022c03fc4744
50610 .xword 0x4fb03683518acd67
50611 .xword 0x7fa61f58bedf0573
50612 .xword 0x39674f395c834e12
50613 .xword 0x06cd9936625aeb85
50614 .xword 0x762b62a5f463d837
50615 .xword 0xe2032a4197fb98c0
50616 .xword 0x62a7b9d459607e61
50617 .xword 0x9457d9dd52cafc1e
50618 .xword 0x8a697c28252b3d6a
50619 .xword 0x6325897ca79c36de
50620 .xword 0xde50463a0e259dec
50621 .xword 0xb6a903b270191ea5
50622 .xword 0xc74dc84776c909b9
50623 .xword 0x2d05a480b0ab2284
50624 .xword 0x11101b8f225299d8
50625 .xword 0x6c4ddc256ecd388b
50626 .xword 0x5c3fb9fce5d6826b
50627 .xword 0xcaa05f73385106f9
50628 .xword 0xb102cbb2ee7f0ff4
50629 .xword 0x6aadb1edc09c78a3
50630 .xword 0x17b6871815bf620d
50631 .xword 0x60f0b2f1e23db4f6
50632 .xword 0x2dcafa3e9e5e05b0
50633 .xword 0x661f66b02391ef3e
50634 .xword 0xc19ddf7c2c39ffae
50635 .xword 0x269bb0f71ba978c5
50636 .xword 0xd1300ea359c3fec4
50637 .xword 0xd6a7cf1dfc7e06fa
50638 .xword 0xfaf0e560e3346700
50639 .xword 0xcf013ba1568bb831
50640 .xword 0x754ab1097ddc8df8
50641 .xword 0x86e36d1bb5ffab6e
50642 .xword 0x3bfaf2327c499d27
50643 .xword 0x0dc65a6ad3df44c8
50644 .xword 0x7be64fbec96f17ae
50645 .xword 0x530aa566eb3a0684
50646 .xword 0xd09c495614508506
50647 .xword 0xe398462cf24df428
50648 .xword 0x40445bcf93c30fe6
50649 .xword 0x6cce8b58e71a6f9e
50650 .xword 0x7aa2e1bf7a61897d
50651 .xword 0x934c1945a29f41c2
50652 .xword 0x706dc7bbc2fd150c
50653 .xword 0xf74068cdebe0f8d4
50654 .xword 0xcae0428b3d164759
50655 .xword 0x9c29d3821537a1ee
50656 .xword 0x3f475155274f7917
50657 .xword 0xf9f3d2a0da31c642
50658 .xword 0x4d6e1d90d1654f6a
50659 .xword 0xd2027a60d2af12a6
50660 .xword 0xc7ebbea9634bddbf
50661 .xword 0x54824629510a641c
50662 .xword 0xa10d4303b27b6767
50663 .xword 0xd1181d246ac3357c
50664 .xword 0x5c437e0d6bb37a15
50665 .xword 0xa72d02aa67186bf4
50666 .xword 0xd30c509b0772d317
50667 .xword 0xf58a7becc9880f87
50668 .xword 0xf9f9140c698ded0a
50669 .xword 0xa29bdea22e2f3c93
50670 .xword 0x98f4ffa3d29ca516
50671 .xword 0x11239782014c6900
50672 .xword 0x01ef924330e57b4e
50673 .xword 0x96e95899d5ee394f
50674 .xword 0xbde2a16b0181b08b
50675_t1_aes_dest:
50676 .xword 0xDEADBEEFDEADBEEF
50677 .xword 0xDEADBEEFDEADBEEF
50678 .xword 0xDEADBEEFDEADBEEF
50679 .xword 0xDEADBEEFDEADBEEF
50680 .xword 0xDEADBEEFDEADBEEF
50681 .xword 0xDEADBEEFDEADBEEF
50682 .xword 0xDEADBEEFDEADBEEF
50683 .xword 0xDEADBEEFDEADBEEF
50684 .xword 0xDEADBEEFDEADBEEF
50685 .xword 0xDEADBEEFDEADBEEF
50686 .xword 0xDEADBEEFDEADBEEF
50687 .xword 0xDEADBEEFDEADBEEF
50688 .xword 0xDEADBEEFDEADBEEF
50689 .xword 0xDEADBEEFDEADBEEF
50690 .xword 0xDEADBEEFDEADBEEF
50691 .xword 0xDEADBEEFDEADBEEF
50692 .xword 0xDEADBEEFDEADBEEF
50693 .xword 0xDEADBEEFDEADBEEF
50694 .xword 0xDEADBEEFDEADBEEF
50695 .xword 0xDEADBEEFDEADBEEF
50696 .xword 0xDEADBEEFDEADBEEF
50697 .xword 0xDEADBEEFDEADBEEF
50698 .xword 0xDEADBEEFDEADBEEF
50699 .xword 0xDEADBEEFDEADBEEF
50700 .xword 0xDEADBEEFDEADBEEF
50701 .xword 0xDEADBEEFDEADBEEF
50702 .xword 0xDEADBEEFDEADBEEF
50703 .xword 0xDEADBEEFDEADBEEF
50704 .xword 0xDEADBEEFDEADBEEF
50705 .xword 0xDEADBEEFDEADBEEF
50706 .xword 0xDEADBEEFDEADBEEF
50707 .xword 0xDEADBEEFDEADBEEF
50708 .xword 0xDEADBEEFDEADBEEF
50709 .xword 0xDEADBEEFDEADBEEF
50710 .xword 0xDEADBEEFDEADBEEF
50711 .xword 0xDEADBEEFDEADBEEF
50712 .xword 0xDEADBEEFDEADBEEF
50713 .xword 0xDEADBEEFDEADBEEF
50714 .xword 0xDEADBEEFDEADBEEF
50715 .xword 0xDEADBEEFDEADBEEF
50716 .xword 0xDEADBEEFDEADBEEF
50717 .xword 0xDEADBEEFDEADBEEF
50718 .xword 0xDEADBEEFDEADBEEF
50719 .xword 0xDEADBEEFDEADBEEF
50720 .xword 0xDEADBEEFDEADBEEF
50721 .xword 0xDEADBEEFDEADBEEF
50722 .xword 0xDEADBEEFDEADBEEF
50723 .xword 0xDEADBEEFDEADBEEF
50724 .xword 0xDEADBEEFDEADBEEF
50725 .xword 0xDEADBEEFDEADBEEF
50726 .xword 0xDEADBEEFDEADBEEF
50727 .xword 0xDEADBEEFDEADBEEF
50728 .xword 0xDEADBEEFDEADBEEF
50729 .xword 0xDEADBEEFDEADBEEF
50730 .xword 0xDEADBEEFDEADBEEF
50731 .xword 0xDEADBEEFDEADBEEF
50732 .xword 0xDEADBEEFDEADBEEF
50733 .xword 0xDEADBEEFDEADBEEF
50734 .xword 0xDEADBEEFDEADBEEF
50735 .xword 0xDEADBEEFDEADBEEF
50736 .xword 0xDEADBEEFDEADBEEF
50737 .xword 0xDEADBEEFDEADBEEF
50738 .xword 0xDEADBEEFDEADBEEF
50739 .xword 0xDEADBEEFDEADBEEF
50740 .xword 0xDEADBEEFDEADBEEF
50741 .xword 0xDEADBEEFDEADBEEF
50742 .xword 0xDEADBEEFDEADBEEF
50743 .xword 0xDEADBEEFDEADBEEF
50744 .xword 0xDEADBEEFDEADBEEF
50745 .xword 0xDEADBEEFDEADBEEF
50746 .xword 0xDEADBEEFDEADBEEF
50747 .xword 0xDEADBEEFDEADBEEF
50748 .xword 0xDEADBEEFDEADBEEF
50749 .xword 0xDEADBEEFDEADBEEF
50750 .xword 0xDEADBEEFDEADBEEF
50751 .xword 0xDEADBEEFDEADBEEF
50752 .xword 0xDEADBEEFDEADBEEF
50753 .xword 0xDEADBEEFDEADBEEF
50754 .xword 0xDEADBEEFDEADBEEF
50755 .xword 0xDEADBEEFDEADBEEF
50756 .xword 0xDEADBEEFDEADBEEF
50757 .xword 0xDEADBEEFDEADBEEF
50758 .xword 0xDEADBEEFDEADBEEF
50759 .xword 0xDEADBEEFDEADBEEF
50760 .xword 0xDEADBEEFDEADBEEF
50761 .xword 0xDEADBEEFDEADBEEF
50762 .xword 0xDEADBEEFDEADBEEF
50763 .xword 0xDEADBEEFDEADBEEF
50764 .xword 0xDEADBEEFDEADBEEF
50765 .xword 0xDEADBEEFDEADBEEF
50766 .xword 0xDEADBEEFDEADBEEF
50767 .xword 0xDEADBEEFDEADBEEF
50768 .xword 0xDEADBEEFDEADBEEF
50769 .xword 0xDEADBEEFDEADBEEF
50770 .xword 0xDEADBEEFDEADBEEF
50771 .xword 0xDEADBEEFDEADBEEF
50772 .xword 0xDEADBEEFDEADBEEF
50773 .xword 0xDEADBEEFDEADBEEF
50774 .xword 0xDEADBEEFDEADBEEF
50775 .xword 0xDEADBEEFDEADBEEF
50776 .xword 0xDEADBEEFDEADBEEF
50777 .xword 0xDEADBEEFDEADBEEF
50778 .xword 0xDEADBEEFDEADBEEF
50779 .xword 0xDEADBEEFDEADBEEF
50780 .xword 0xDEADBEEFDEADBEEF
50781 .xword 0xDEADBEEFDEADBEEF
50782 .xword 0xDEADBEEFDEADBEEF
50783 .xword 0xDEADBEEFDEADBEEF
50784 .xword 0xDEADBEEFDEADBEEF
50785 .xword 0xDEADBEEFDEADBEEF
50786 .xword 0xDEADBEEFDEADBEEF
50787 .xword 0xDEADBEEFDEADBEEF
50788 .xword 0xDEADBEEFDEADBEEF
50789 .xword 0xDEADBEEFDEADBEEF
50790 .xword 0xDEADBEEFDEADBEEF
50791 .xword 0xDEADBEEFDEADBEEF
50792 .xword 0xDEADBEEFDEADBEEF
50793 .xword 0xDEADBEEFDEADBEEF
50794 .xword 0xDEADBEEFDEADBEEF
50795 .xword 0xDEADBEEFDEADBEEF
50796 .xword 0xDEADBEEFDEADBEEF
50797 .xword 0xDEADBEEFDEADBEEF
50798 .xword 0xDEADBEEFDEADBEEF
50799 .xword 0xDEADBEEFDEADBEEF
50800 .xword 0xDEADBEEFDEADBEEF
50801 .xword 0xDEADBEEFDEADBEEF
50802 .xword 0xDEADBEEFDEADBEEF
50803 .xword 0xDEADBEEFDEADBEEF
50804 .xword 0xDEADBEEFDEADBEEF
50805 .xword 0xDEADBEEFDEADBEEF
50806 .xword 0xDEADBEEFDEADBEEF
50807 .xword 0xDEADBEEFDEADBEEF
50808 .xword 0xDEADBEEFDEADBEEF
50809 .xword 0xDEADBEEFDEADBEEF
50810 .xword 0xDEADBEEFDEADBEEF
50811 .xword 0xDEADBEEFDEADBEEF
50812 .xword 0xDEADBEEFDEADBEEF
50813 .xword 0xDEADBEEFDEADBEEF
50814 .xword 0xDEADBEEFDEADBEEF
50815 .xword 0xDEADBEEFDEADBEEF
50816 .xword 0xDEADBEEFDEADBEEF
50817 .xword 0xDEADBEEFDEADBEEF
50818 .xword 0xDEADBEEFDEADBEEF
50819 .xword 0xDEADBEEFDEADBEEF
50820 .xword 0xDEADBEEFDEADBEEF
50821 .xword 0xDEADBEEFDEADBEEF
50822 .xword 0xDEADBEEFDEADBEEF
50823 .xword 0xDEADBEEFDEADBEEF
50824 .xword 0xDEADBEEFDEADBEEF
50825 .xword 0xDEADBEEFDEADBEEF
50826 .xword 0xDEADBEEFDEADBEEF
50827 .xword 0xDEADBEEFDEADBEEF
50828 .xword 0xDEADBEEFDEADBEEF
50829 .xword 0xDEADBEEFDEADBEEF
50830 .xword 0xDEADBEEFDEADBEEF
50831 .xword 0xDEADBEEFDEADBEEF
50832 .xword 0xDEADBEEFDEADBEEF
50833 .xword 0xDEADBEEFDEADBEEF
50834 .xword 0xDEADBEEFDEADBEEF
50835 .xword 0xDEADBEEFDEADBEEF
50836 .xword 0xDEADBEEFDEADBEEF
50837 .xword 0xDEADBEEFDEADBEEF
50838 .xword 0xDEADBEEFDEADBEEF
50839 .xword 0xDEADBEEFDEADBEEF
50840 .xword 0xDEADBEEFDEADBEEF
50841 .xword 0xDEADBEEFDEADBEEF
50842 .xword 0xDEADBEEFDEADBEEF
50843 .xword 0xDEADBEEFDEADBEEF
50844 .xword 0xDEADBEEFDEADBEEF
50845 .xword 0xDEADBEEFDEADBEEF
50846 .xword 0xDEADBEEFDEADBEEF
50847 .xword 0xDEADBEEFDEADBEEF
50848 .xword 0xDEADBEEFDEADBEEF
50849 .xword 0xDEADBEEFDEADBEEF
50850 .xword 0xDEADBEEFDEADBEEF
50851 .xword 0xDEADBEEFDEADBEEF
50852 .xword 0xDEADBEEFDEADBEEF
50853 .xword 0xDEADBEEFDEADBEEF
50854 .xword 0xDEADBEEFDEADBEEF
50855 .xword 0xDEADBEEFDEADBEEF
50856 .xword 0xDEADBEEFDEADBEEF
50857 .xword 0xDEADBEEFDEADBEEF
50858 .xword 0xDEADBEEFDEADBEEF
50859 .xword 0xDEADBEEFDEADBEEF
50860 .xword 0xDEADBEEFDEADBEEF
50861 .xword 0xDEADBEEFDEADBEEF
50862 .xword 0xDEADBEEFDEADBEEF
50863 .xword 0xDEADBEEFDEADBEEF
50864 .xword 0xDEADBEEFDEADBEEF
50865 .xword 0xDEADBEEFDEADBEEF
50866 .xword 0xDEADBEEFDEADBEEF
50867 .xword 0xDEADBEEFDEADBEEF
50868 .xword 0xDEADBEEFDEADBEEF
50869 .xword 0xDEADBEEFDEADBEEF
50870 .xword 0xDEADBEEFDEADBEEF
50871 .xword 0xDEADBEEFDEADBEEF
50872 .xword 0xDEADBEEFDEADBEEF
50873 .xword 0xDEADBEEFDEADBEEF
50874 .xword 0xDEADBEEFDEADBEEF
50875 .xword 0xDEADBEEFDEADBEEF
50876 .xword 0xDEADBEEFDEADBEEF
50877 .xword 0xDEADBEEFDEADBEEF
50878 .xword 0xDEADBEEFDEADBEEF
50879 .xword 0xDEADBEEFDEADBEEF
50880 .xword 0xDEADBEEFDEADBEEF
50881 .xword 0xDEADBEEFDEADBEEF
50882 .xword 0xDEADBEEFDEADBEEF
50883 .xword 0xDEADBEEFDEADBEEF
50884 .xword 0xDEADBEEFDEADBEEF
50885 .xword 0xDEADBEEFDEADBEEF
50886 .xword 0xDEADBEEFDEADBEEF
50887 .xword 0xDEADBEEFDEADBEEF
50888 .xword 0xDEADBEEFDEADBEEF
50889 .xword 0xDEADBEEFDEADBEEF
50890 .xword 0xDEADBEEFDEADBEEF
50891 .xword 0xDEADBEEFDEADBEEF
50892 .xword 0xDEADBEEFDEADBEEF
50893 .xword 0xDEADBEEFDEADBEEF
50894 .xword 0xDEADBEEFDEADBEEF
50895 .xword 0xDEADBEEFDEADBEEF
50896 .xword 0xDEADBEEFDEADBEEF
50897 .xword 0xDEADBEEFDEADBEEF
50898 .xword 0xDEADBEEFDEADBEEF
50899 .xword 0xDEADBEEFDEADBEEF
50900 .xword 0xDEADBEEFDEADBEEF
50901 .xword 0xDEADBEEFDEADBEEF
50902 .xword 0xDEADBEEFDEADBEEF
50903 .xword 0xDEADBEEFDEADBEEF
50904 .xword 0xDEADBEEFDEADBEEF
50905 .xword 0xDEADBEEFDEADBEEF
50906 .xword 0xDEADBEEFDEADBEEF
50907 .xword 0xDEADBEEFDEADBEEF
50908 .xword 0xDEADBEEFDEADBEEF
50909 .xword 0xDEADBEEFDEADBEEF
50910 .xword 0xDEADBEEFDEADBEEF
50911 .xword 0xDEADBEEFDEADBEEF
50912 .xword 0xDEADBEEFDEADBEEF
50913 .xword 0xDEADBEEFDEADBEEF
50914 .xword 0xDEADBEEFDEADBEEF
50915 .xword 0xDEADBEEFDEADBEEF
50916 .xword 0xDEADBEEFDEADBEEF
50917 .xword 0xDEADBEEFDEADBEEF
50918 .xword 0xDEADBEEFDEADBEEF
50919 .xword 0xDEADBEEFDEADBEEF
50920 .xword 0xDEADBEEFDEADBEEF
50921 .xword 0xDEADBEEFDEADBEEF
50922 .xword 0xDEADBEEFDEADBEEF
50923 .xword 0xDEADBEEFDEADBEEF
50924 .xword 0xDEADBEEFDEADBEEF
50925 .xword 0xDEADBEEFDEADBEEF
50926 .xword 0xDEADBEEFDEADBEEF
50927 .xword 0xDEADBEEFDEADBEEF
50928 .xword 0xDEADBEEFDEADBEEF
50929 .xword 0xDEADBEEFDEADBEEF
50930 .xword 0xDEADBEEFDEADBEEF
50931 .xword 0xDEADBEEFDEADBEEF
50932 .xword 0xDEADBEEFDEADBEEF
50933 .xword 0xDEADBEEFDEADBEEF
50934 .xword 0xDEADBEEFDEADBEEF
50935 .xword 0xDEADBEEFDEADBEEF
50936 .xword 0xDEADBEEFDEADBEEF
50937 .xword 0xDEADBEEFDEADBEEF
50938 .xword 0xDEADBEEFDEADBEEF
50939 .xword 0xDEADBEEFDEADBEEF
50940 .xword 0xDEADBEEFDEADBEEF
50941 .xword 0xDEADBEEFDEADBEEF
50942 .xword 0xDEADBEEFDEADBEEF
50943 .xword 0xDEADBEEFDEADBEEF
50944 .xword 0xDEADBEEFDEADBEEF
50945 .xword 0xDEADBEEFDEADBEEF
50946 .xword 0xDEADBEEFDEADBEEF
50947 .xword 0xDEADBEEFDEADBEEF
50948 .xword 0xDEADBEEFDEADBEEF
50949 .xword 0xDEADBEEFDEADBEEF
50950 .xword 0xDEADBEEFDEADBEEF
50951_t1_aes_auth_key:
50952 .xword 0x95bc3ce1133a3828
50953 .xword 0xae78e18cada9b90c
50954 .xword 0x9399391b5ad3679b
50955 .xword 0x52af0da619662b51
50956 .xword 0x17ca56169642b0c1
50957 .xword 0x93e1718c4c5e284f
50958 .xword 0x7bf82de2d0a5b53d
50959 .xword 0x993b8362f348e4c9
50960 .xword 0xc484dcbdfd5c9599
50961 .xword 0x025f4ec2a3823cdc
50962 .xword 0xb42edd1d671b9f6d
50963 .xword 0x0a3e5a2f5ef75929
50964 .xword 0x000dd598315b67b3
50965 .xword 0x26590bc6036fd39f
50966 .xword 0x83c4ca6e43b41144
50967 .xword 0xf383ed31cb73ba5e
50968 .xword 0x0c7295db60ec7c4e
50969 .xword 0xa1d010978efbd114
50970 .xword 0xae5ca9f84bbec799
50971 .xword 0xd5879c4cd31bd26a
50972 .xword 0xf3c2b830c7edd99d
50973 .xword 0x4567dca3f309f4fb
50974 .xword 0x621f74f4bb9351f4
50975_t1_aes_auth_iv:
50976 .xword 0x6608d582b53c9b7b
50977 .xword 0x87dd7eaf48218f80
50978 .xword 0x41abe18edeafcb9a
50979 .xword 0x40413bb56c4ff138
50980 .xword 0x2828bcf47119b572
50981 .xword 0x1b7a01e36da5f0eb
50982 .xword 0x0e36346198648c80
50983 .xword 0x1e807182e5735989
50984 .xword 0xcfae17c21655ae0b
50985 .xword 0x6f414647f65762e5
50986 .xword 0xa811ecea41b01702
50987 .xword 0x4c1d2a2106f7d28d
50988 .xword 0xd0d4a1c054c43d86
50989 .xword 0x16a3ba06fce47c59
50990 .xword 0x175fbf19172dde4a
50991 .xword 0x9ac524f0be05b9f0
50992 .xword 0xde9aa427ec8f045c
50993 .xword 0xae6547ba1495d354
50994 .xword 0xddade1fadd958633
50995 .xword 0xe2abe21b57a25a27
50996 .xword 0xa6599e5da4c2a8cb
50997 .xword 0xfea1420212b156b9
50998 .xword 0xbfc3ed59baf14594
50999_t1_aes_fas_result:
51000 .xword 0xDEADBEEFDEADBEEF
51001 .xword 0xDEADBEEFDEADBEEF
51002 .xword 0xDEADBEEFDEADBEEF
51003 .xword 0xDEADBEEFDEADBEEF
51004 .xword 0xDEADBEEFDEADBEEF
51005 .xword 0xDEADBEEFDEADBEEF
51006 .xword 0xDEADBEEFDEADBEEF
51007 .xword 0xDEADBEEFDEADBEEF
51008 .xword 0xDEADBEEFDEADBEEF
51009 .xword 0xDEADBEEFDEADBEEF
51010 .xword 0xDEADBEEFDEADBEEF
51011 .xword 0xDEADBEEFDEADBEEF
51012 .xword 0xDEADBEEFDEADBEEF
51013 .xword 0xDEADBEEFDEADBEEF
51014 .xword 0xDEADBEEFDEADBEEF
51015 .xword 0xDEADBEEFDEADBEEF
51016 .xword 0xDEADBEEFDEADBEEF
51017 .xword 0xDEADBEEFDEADBEEF
51018 .xword 0xDEADBEEFDEADBEEF
51019 .xword 0xDEADBEEFDEADBEEF
51020 .xword 0xDEADBEEFDEADBEEF
51021 .xword 0xDEADBEEFDEADBEEF
51022 .xword 0xDEADBEEFDEADBEEF
51023_t1_des_key_array:
51024 .xword 0xe38b4e3091793bff
51025 .xword 0xca6f21bbec3635a0
51026 .xword 0x1c7916d462b402dd
51027 .xword 0x67dcd4ef1f0392b8
51028 .xword 0x0a03068ee494a92a
51029 .xword 0x8013f93dc3f1aa47
51030 .xword 0x2a3a9b324e682e02
51031 .xword 0xe8421fb5bd201453
51032 .xword 0xa640c19ab4df78f7
51033 .xword 0x4fda8b0e2d757094
51034 .xword 0x58192c8ada20f1b8
51035 .xword 0xa33855e8924601e0
51036 .xword 0x82d9d4227cf565a5
51037 .xword 0xd89fc40db925078a
51038 .xword 0xc1db971479dfeaae
51039 .xword 0xba8d4978930b7b31
51040 .xword 0xb09a014cdd989986
51041 .xword 0xed52b4c3650f8fd6
51042 .xword 0x073dcb82796fd0cb
51043 .xword 0x2be1b2a2934b0593
51044 .xword 0x3bb27a0205b658f9
51045 .xword 0x2f514489e8825229
51046 .xword 0xdcfddff73f5bf2e3
51047 .xword 0x61d01b184a9da913
51048 .xword 0xc2bed80362904337
51049 .xword 0x046730d05e611171
51050 .xword 0xef337c15b647dfcf
51051 .xword 0xe3670ce02e5d468d
51052 .xword 0xff58e412c671329a
51053 .xword 0xa15efde44093e7c3
51054 .xword 0xc9dbcd06f941a0fb
51055 .xword 0xe47f8c4f72d0e3b6
51056 .xword 0xb6f045a41274a3d6
51057 .xword 0xa5f5322a8c786c1b
51058 .xword 0xb8fe2a75c99cde9e
51059 .xword 0x7343e0afaa471f09
51060 .xword 0x2bb9563b42b3872f
51061 .xword 0x44b4b931b3d5fa24
51062 .xword 0xa69345889ad1678a
51063 .xword 0x2f9848e82f3132cd
51064 .xword 0xddec9ceca799ffa8
51065 .xword 0x44359aa2c170f378
51066 .xword 0x4b5a79c0fe7e763a
51067 .xword 0x85adf4b796d96826
51068 .xword 0xc8c5ef68d42b43f2
51069 .xword 0xe349f34f5800c35d
51070 .xword 0x17e590bfd759e569
51071 .xword 0xb4b992ef6db07db0
51072 .xword 0x339ae6d2e54282b6
51073 .xword 0x1163fb4d06e0dfd5
51074 .xword 0xe9b154164183d4a6
51075_t1_des_iv_array:
51076 .xword 0xa1ec57acec3832b3
51077 .xword 0x8caf94e2b985b4a7
51078 .xword 0x1d8354964903bc73
51079 .xword 0xb03bdd248f38dd81
51080 .xword 0xd2c6862a419dc01d
51081 .xword 0x5198791f4945aaf0
51082 .xword 0xf8023881360e52f7
51083 .xword 0x22d9216056bb66b2
51084 .xword 0x959b4baa63414b28
51085 .xword 0x8ad567535f65897b
51086 .xword 0x7250c56f97d772af
51087 .xword 0x66009ce992321e30
51088 .xword 0x6b20304760c509fb
51089 .xword 0x9ca3ea30ff957956
51090 .xword 0x289f0779560a7a1b
51091 .xword 0xf15ee31be27190ae
51092 .xword 0xa3b7923317c4ac73
51093 .xword 0x4d42f06670fff8a8
51094 .xword 0x7cc93764e5224aa2
51095 .xword 0xdd2436128a42035d
51096 .xword 0x58d66be1d435d11f
51097 .xword 0xf987576a95240fbf
51098 .xword 0xfb615ac1f895cb0f
51099 .xword 0xdc9ffcdc4cbc7fe3
51100 .xword 0xaabfb06f3361f0cd
51101 .xword 0x857ebb54cf728cd0
51102 .xword 0x90f3fa10d92343d3
51103 .xword 0xbf824776ba32a70d
51104 .xword 0x2ce54236d3336ba9
51105 .xword 0x54dcc801b9f44cc1
51106 .xword 0xd1172bc7324c1225
51107 .xword 0x5f04ff72b8af7936
51108 .xword 0xb74f3b5ab5448104
51109 .xword 0xe41ccad859a0cd45
51110 .xword 0x3f895c6d33915811
51111 .xword 0xb766a44a893d8cfc
51112 .xword 0xc456a8600030f997
51113 .xword 0x6296a45442fdc56c
51114 .xword 0x6f292189a25b7abe
51115 .xword 0xf962a040c7f11cd1
51116 .xword 0xf78cdd3d846718d9
51117 .xword 0x311c79fa461d024e
51118 .xword 0x3b8b4fb3658075f6
51119 .xword 0xb4e91afaa90a050d
51120 .xword 0x6241ba11a4479ec1
51121_t1_des_alignment_array:
51122 .xword 13
51123 .xword 0
51124 .xword 2
51125 .xword 2
51126 .xword 10
51127 .xword 3
51128 .xword 12
51129 .xword 1
51130 .xword 3
51131 .xword 8
51132 .xword 14
51133 .xword 0
51134 .xword 0
51135 .xword 0
51136 .xword 13
51137 .xword 13
51138 .xword 6
51139 .xword 15
51140 .xword 11
51141 .xword 2
51142 .xword 9
51143 .xword 0
51144 .xword 9
51145 .xword 8
51146 .xword 5
51147 .xword 4
51148 .xword 3
51149 .xword 11
51150 .xword 1
51151 .xword 9
51152 .xword 8
51153 .xword 14
51154 .xword 15
51155 .xword 0
51156 .xword 14
51157 .xword 1
51158 .xword 6
51159 .xword 1
51160 .xword 12
51161 .xword 9
51162 .xword 0
51163 .xword 11
51164 .xword 14
51165 .xword 1
51166 .xword 10
51167 .xword 10
51168 .xword 7
51169 .xword 3
51170 .xword 2
51171 .xword 10
51172 .xword 4
51173 .xword 0
51174 .xword 12
51175 .xword 3
51176 .xword 12
51177 .xword 3
51178 .xword 6
51179 .xword 12
51180 .xword 3
51181 .xword 8
51182 .xword 15
51183 .xword 8
51184 .xword 13
51185 .xword 8
51186 .xword 12
51187 .xword 1
51188 .xword 10
51189 .xword 10
51190 .xword 10
51191 .xword 1
51192 .xword 0
51193 .xword 8
51194 .xword 8
51195 .xword 6
51196 .xword 12
51197 .xword 11
51198 .xword 9
51199 .xword 5
51200 .xword 10
51201 .xword 13
51202 .xword 5
51203 .xword 15
51204 .xword 1
51205 .xword 10
51206 .xword 0
51207 .xword 7
51208 .xword 0
51209 .xword 2
51210 .xword 3
51211 .xword 0
51212 .xword 9
51213 .xword 1
51214 .xword 13
51215 .xword 1
51216 .xword 13
51217 .xword 3
51218 .xword 3
51219 .xword 13
51220 .xword 12
51221 .xword 14
51222 .xword 4
51223 .xword 15
51224 .xword 7
51225 .xword 13
51226 .xword 8
51227_t1_des_src:
51228 .xword 0x88a3c1e862e22726
51229 .xword 0x428573ec93e9017f
51230 .xword 0x94ddeb835199d8cb
51231 .xword 0xfcc3a4b1c420ee48
51232 .xword 0x916fa7a8a607cb34
51233 .xword 0xb3748d5319cfd206
51234 .xword 0xf8712ebd65e31727
51235 .xword 0x33fefbb1caba4354
51236 .xword 0xd0402e8a37869302
51237 .xword 0x77cbe61e3a6e379c
51238 .xword 0xe581a2be13df181c
51239 .xword 0xb32a5e697c9c1e87
51240 .xword 0x91c204907f7670e8
51241 .xword 0xa039d0378e542a1e
51242 .xword 0x194171aadafd4c42
51243 .xword 0x571b530413cd20a2
51244 .xword 0xcc394cea2814604c
51245 .xword 0xaa742dc4dd82ea64
51246 .xword 0x9f1e2b51e46ff7ae
51247 .xword 0xe7f1669f29722f2b
51248 .xword 0x030450e8be3ed98d
51249 .xword 0x60a292bdaa9b0ac4
51250 .xword 0x3bd5d782b53a5010
51251 .xword 0x174a1ea8f14a4828
51252 .xword 0xeb4233083044e253
51253 .xword 0x046fbc2b81176f93
51254 .xword 0xaa0e30ad71d31ae9
51255 .xword 0x62d8da8b90cf148a
51256 .xword 0xce7359b203aac655
51257 .xword 0xe5d0e9438a3673da
51258 .xword 0x66198dc60aba5a8a
51259 .xword 0xd75bbc96c7d8a534
51260 .xword 0xdc8d1e0b36352df6
51261 .xword 0x86552511947db754
51262 .xword 0xd36a3076efe0762e
51263 .xword 0x74640edc3585f197
51264 .xword 0x11c6741df0930464
51265 .xword 0xcd6aa51950112868
51266 .xword 0x64dde825f430d8a8
51267 .xword 0xea68a47b026daf81
51268 .xword 0xb2039c4ed93202ca
51269 .xword 0xc0a7c42273f82830
51270 .xword 0x4dac50f121f22a9d
51271 .xword 0x273e4a941413df57
51272 .xword 0x1d8d704ecaeb3ae4
51273 .xword 0xc83848283305fdb1
51274 .xword 0xca156a2a962aac1b
51275 .xword 0xc3d931fb2478261c
51276 .xword 0x4dcfc241541198a9
51277 .xword 0xbe9f010925b8afc2
51278 .xword 0x22ab0b3a57f196e4
51279 .xword 0xb54173db5dd8dffb
51280 .xword 0xa4e0eb0b42127061
51281 .xword 0xb08268d256077044
51282 .xword 0xd4a977da7807c297
51283 .xword 0x07fef98d1e600822
51284 .xword 0xe63c82de3901a779
51285 .xword 0xf38b84e92e9c8273
51286 .xword 0x34ef597868860456
51287 .xword 0x815fc3a62ea618d9
51288 .xword 0x630e6f37ac79af06
51289 .xword 0xca691eef756b40f3
51290 .xword 0xfea1750370c5015a
51291 .xword 0x7278c9d4f2459a05
51292 .xword 0xf494ad29092a53ec
51293 .xword 0xbac0efcdcf52d306
51294 .xword 0x2fd3a0861e24782d
51295 .xword 0x85251711ed6bbf00
51296 .xword 0xea3beef82143dea7
51297 .xword 0x49154fc39227de81
51298 .xword 0xbacd5789ee74493f
51299 .xword 0x30db77ef2ecfa853
51300 .xword 0x290bb87f46476f48
51301 .xword 0x8832e430859b3260
51302 .xword 0x86bfc1c6fcdc948b
51303 .xword 0x0f6469fcb10eb5ef
51304 .xword 0xbd39405433b8255e
51305 .xword 0x387fec0a84f5eb23
51306 .xword 0x0eb6145fccc4f0d3
51307 .xword 0xc5eb2a4be5b17011
51308 .xword 0x062275819ce739ec
51309 .xword 0x136b6c216cd69c76
51310 .xword 0xc655fe573149a1c9
51311 .xword 0x3927d036abfb3b36
51312 .xword 0x4148f7fc54303317
51313 .xword 0x19a1e58fac7e5e7c
51314 .xword 0x7257edda2b844a7d
51315 .xword 0x21b026549d5e877b
51316 .xword 0x87c1203d2775f83e
51317 .xword 0x539f516950d55301
51318 .xword 0x4c9a78b3f988a04a
51319 .xword 0xc3292ffe582f86fa
51320 .xword 0x72f050850b64ce84
51321 .xword 0x625caae346fa3471
51322 .xword 0x456d11e42033a281
51323 .xword 0xbbd41d83d2a5a86c
51324 .xword 0xc50327a244cfdcbe
51325 .xword 0xc8e75b915180d39f
51326 .xword 0x19f16f665d072cc0
51327 .xword 0x7ddf2d9e692490da
51328 .xword 0x291554dd6c92c2ad
51329 .xword 0xafbed879176da588
51330 .xword 0xef5473351172c6c0
51331 .xword 0xf586343e8f20e3ba
51332 .xword 0x71da38c4147b57a6
51333 .xword 0x27d84deb4d0b24b8
51334 .xword 0x8a22d89f4591471a
51335 .xword 0x7b324d9b91d354e9
51336 .xword 0x6427067379817bb4
51337 .xword 0xed3f8a61b0c97fa6
51338 .xword 0x7448c34f4b07560c
51339 .xword 0x68c259b36169d275
51340 .xword 0x0904c4cd4523420b
51341 .xword 0xc388878f75666e06
51342 .xword 0x0e51cc516175c9c1
51343 .xword 0x90cfb37f65874ea5
51344 .xword 0x61b8d0282c8f2f2f
51345 .xword 0xbd4de13a8a823221
51346 .xword 0x1de4d8431f97e486
51347 .xword 0x9b9791246a82ea24
51348 .xword 0x4e360c526c1599d0
51349 .xword 0xa22b8bcf519e2fc4
51350 .xword 0x3d984c50cfac26ed
51351 .xword 0xe01e27d3dce2f13c
51352 .xword 0xdf736956c73294f6
51353 .xword 0xc85d65811c8b95c3
51354 .xword 0x623d18a8be5cfbc6
51355 .xword 0x4b4fdcf485e07ab7
51356 .xword 0x3cbef31d386bcea1
51357 .xword 0x949ebc01f8dcc4b5
51358 .xword 0x557032134a6da5c8
51359 .xword 0xcd9f723a48bbe380
51360 .xword 0x01b35de8782e59df
51361 .xword 0x023c5d4b854d1814
51362 .xword 0xf18185e46f40b40e
51363 .xword 0xfe66d0673f7af413
51364 .xword 0x66f548593698e17f
51365 .xword 0xa01c4736b2c90052
51366 .xword 0x69652d562b86be6f
51367 .xword 0x65e38fb29efd4d1e
51368 .xword 0x1338ad0dc1be22b4
51369 .xword 0xf1e47332f7126f1e
51370 .xword 0x2700d0a153f17106
51371 .xword 0x45354d8ea4fd3a80
51372 .xword 0x786429e62292a61f
51373 .xword 0x891afa8c55a4fb2d
51374 .xword 0x879ac77833baf553
51375 .xword 0x70d82b392ed5c385
51376 .xword 0xb721a59863214389
51377 .xword 0x1b763d206edfc0cb
51378 .xword 0x78e607907e983c38
51379 .xword 0x9073bad6e28208b6
51380 .xword 0x19b821ddd200bb19
51381 .xword 0x9deff3e36cce77a2
51382 .xword 0xcda10e87de360db1
51383 .xword 0x37bd955b8a8d808f
51384 .xword 0xc8fdfa18f0748c5d
51385 .xword 0xa809b11ffb492545
51386 .xword 0x16875fa8a3d52fda
51387 .xword 0xfbbe34a0f6b5cd0c
51388 .xword 0xe23aa8b65ae973aa
51389 .xword 0x748d6c960d8f52cb
51390 .xword 0xf871c9ba799f3c8b
51391 .xword 0xfd1191b8b84cb990
51392 .xword 0xb5ac31cc708dd007
51393 .xword 0xb468014e20f59369
51394 .xword 0x15aaef1ffc5f62a8
51395 .xword 0x7b5ba4b8e3ee6e55
51396 .xword 0x557ae0aad6e00851
51397 .xword 0x9dd4db1ebd8d5d8c
51398 .xword 0x5d94ae23652ba94d
51399 .xword 0x24fbf39c0896eab9
51400 .xword 0x37706fb9054103be
51401 .xword 0xb291445d95a27bc9
51402 .xword 0x604b78d695ffbc09
51403 .xword 0xe6ad69c58229b6a7
51404 .xword 0x7563f83201a71b58
51405 .xword 0x76748817470c5506
51406 .xword 0x2adac0304cbd80cb
51407 .xword 0x5caeeda2c6b4ea18
51408 .xword 0xe8b8a46c13dcb016
51409 .xword 0xb0e10701405f0b1f
51410 .xword 0x957da1eff857c451
51411 .xword 0xda0a86cabde8db39
51412 .xword 0x586c9a719bb6b00b
51413 .xword 0x16b59aa9b898d942
51414 .xword 0x4bedb18ab2d78e44
51415 .xword 0x5cf6dbc98adf8eed
51416 .xword 0xd85864d83ad2f1de
51417 .xword 0xdcdc4caa57db02d5
51418 .xword 0x56b5b930f0992075
51419 .xword 0xd5ccf5efb2d4fd63
51420 .xword 0xd5b9eb574faa97d4
51421 .xword 0x47202ac2c35551d2
51422 .xword 0xe11d05b227453450
51423 .xword 0x58104979cbbba274
51424 .xword 0x86f16ab446b83665
51425 .xword 0x4058cf2ad76f80db
51426 .xword 0xb358adad87a519d9
51427 .xword 0x6880993476b4d9e0
51428 .xword 0xbc7604f15892916e
51429 .xword 0x1bb1335fca0c1e00
51430 .xword 0xea5a876c1c39cef4
51431 .xword 0xe8d3250f8593d185
51432 .xword 0x1fe548007bd65c46
51433 .xword 0xf1c320db43b16382
51434 .xword 0x20b04eb1521f363e
51435 .xword 0x7321bd7594ebca1a
51436 .xword 0xfada91a5ce967d23
51437 .xword 0xdc0f088f63e751c6
51438 .xword 0xf900abc19db44f67
51439 .xword 0x58cf07175991bfca
51440 .xword 0xa8063ac2b99fa728
51441 .xword 0x3783f67a31207b06
51442 .xword 0x393fdd6726358b46
51443 .xword 0x60dbe3a34d752f5d
51444 .xword 0xbc20bf2b3af919a4
51445 .xword 0xe861c0c84461a446
51446 .xword 0xd5fcc6301b77d643
51447 .xword 0x5114ba63843e383c
51448 .xword 0x8b3903e6313cf31a
51449 .xword 0xf7e497b837d56314
51450 .xword 0x0ff35a7f7697608d
51451 .xword 0x762f73d3a055a138
51452 .xword 0x622946afd81ebf3d
51453 .xword 0x0d3a22f93f166f98
51454 .xword 0x9e30ee0888ead8a3
51455 .xword 0x46ee4ed6564cf90a
51456 .xword 0x14e7f12141bbf883
51457 .xword 0x7d4bfb72dbfa3b1a
51458 .xword 0xccebb7601b6442e6
51459 .xword 0x09635380f9ea97a6
51460 .xword 0x282cddea59e30302
51461 .xword 0x1125cdb52ce87e32
51462 .xword 0xa2067ce9945bc47f
51463 .xword 0xc8e183cc0d3fd98b
51464 .xword 0x18e10db7d1fd1214
51465 .xword 0x0fc6da7bcf146c83
51466 .xword 0x51cec6c48a21cbd3
51467 .xword 0x93f0c99e49cbb672
51468 .xword 0x5554a0c567d39788
51469 .xword 0x32bb6e1fe2288135
51470 .xword 0x8a57f908c6ef3a76
51471 .xword 0xbb6bbb8f443285c5
51472 .xword 0x93b4406d72a0bbff
51473 .xword 0x2f192b56c5a4199d
51474 .xword 0xcba54c755703ded1
51475 .xword 0x70ce67a295cb2d88
51476 .xword 0x4db6ff09d0e82397
51477 .xword 0x692f6f0d1527fa7b
51478 .xword 0xf9317b43eed6aa53
51479 .xword 0x11c64bb550dd0796
51480 .xword 0xfc15b59b8e9569b4
51481 .xword 0xedbadb13f47356e1
51482 .xword 0xccb17509bff44c9e
51483 .xword 0x6bca96464fbb3944
51484 .xword 0xf548d36c9280c106
51485 .xword 0xf10d8854115e0062
51486 .xword 0x9e1c8d06d901116e
51487 .xword 0x01179100914551b1
51488 .xword 0x3c06b0d532dd64a5
51489 .xword 0xf62a294c26b3ab5c
51490 .xword 0x0e136abe3c268d15
51491 .xword 0x5b06048fba0a9bda
51492 .xword 0x4a6c552d27cf7457
51493 .xword 0x7c8a39ebdc63cc86
51494 .xword 0x4e053d290db496ce
51495 .xword 0xce0bb7ec1d675900
51496 .xword 0x76258af24ae18159
51497 .xword 0xcf52b447c5b829d3
51498 .xword 0x5ce209854b89e52f
51499 .xword 0x398468b165bdb9a6
51500 .xword 0x9e5394453c156da4
51501 .xword 0xe602c869c5abdaac
51502 .xword 0x249c67c543bdb499
51503_t1_des_dest:
51504 .xword 0xDEADBEEFDEADBEEF
51505 .xword 0xDEADBEEFDEADBEEF
51506 .xword 0xDEADBEEFDEADBEEF
51507 .xword 0xDEADBEEFDEADBEEF
51508 .xword 0xDEADBEEFDEADBEEF
51509 .xword 0xDEADBEEFDEADBEEF
51510 .xword 0xDEADBEEFDEADBEEF
51511 .xword 0xDEADBEEFDEADBEEF
51512 .xword 0xDEADBEEFDEADBEEF
51513 .xword 0xDEADBEEFDEADBEEF
51514 .xword 0xDEADBEEFDEADBEEF
51515 .xword 0xDEADBEEFDEADBEEF
51516 .xword 0xDEADBEEFDEADBEEF
51517 .xword 0xDEADBEEFDEADBEEF
51518 .xword 0xDEADBEEFDEADBEEF
51519 .xword 0xDEADBEEFDEADBEEF
51520 .xword 0xDEADBEEFDEADBEEF
51521 .xword 0xDEADBEEFDEADBEEF
51522 .xword 0xDEADBEEFDEADBEEF
51523 .xword 0xDEADBEEFDEADBEEF
51524 .xword 0xDEADBEEFDEADBEEF
51525 .xword 0xDEADBEEFDEADBEEF
51526 .xword 0xDEADBEEFDEADBEEF
51527 .xword 0xDEADBEEFDEADBEEF
51528 .xword 0xDEADBEEFDEADBEEF
51529 .xword 0xDEADBEEFDEADBEEF
51530 .xword 0xDEADBEEFDEADBEEF
51531 .xword 0xDEADBEEFDEADBEEF
51532 .xword 0xDEADBEEFDEADBEEF
51533 .xword 0xDEADBEEFDEADBEEF
51534 .xword 0xDEADBEEFDEADBEEF
51535 .xword 0xDEADBEEFDEADBEEF
51536 .xword 0xDEADBEEFDEADBEEF
51537 .xword 0xDEADBEEFDEADBEEF
51538 .xword 0xDEADBEEFDEADBEEF
51539 .xword 0xDEADBEEFDEADBEEF
51540 .xword 0xDEADBEEFDEADBEEF
51541 .xword 0xDEADBEEFDEADBEEF
51542 .xword 0xDEADBEEFDEADBEEF
51543 .xword 0xDEADBEEFDEADBEEF
51544 .xword 0xDEADBEEFDEADBEEF
51545 .xword 0xDEADBEEFDEADBEEF
51546 .xword 0xDEADBEEFDEADBEEF
51547 .xword 0xDEADBEEFDEADBEEF
51548 .xword 0xDEADBEEFDEADBEEF
51549 .xword 0xDEADBEEFDEADBEEF
51550 .xword 0xDEADBEEFDEADBEEF
51551 .xword 0xDEADBEEFDEADBEEF
51552 .xword 0xDEADBEEFDEADBEEF
51553 .xword 0xDEADBEEFDEADBEEF
51554 .xword 0xDEADBEEFDEADBEEF
51555 .xword 0xDEADBEEFDEADBEEF
51556 .xword 0xDEADBEEFDEADBEEF
51557 .xword 0xDEADBEEFDEADBEEF
51558 .xword 0xDEADBEEFDEADBEEF
51559 .xword 0xDEADBEEFDEADBEEF
51560 .xword 0xDEADBEEFDEADBEEF
51561 .xword 0xDEADBEEFDEADBEEF
51562 .xword 0xDEADBEEFDEADBEEF
51563 .xword 0xDEADBEEFDEADBEEF
51564 .xword 0xDEADBEEFDEADBEEF
51565 .xword 0xDEADBEEFDEADBEEF
51566 .xword 0xDEADBEEFDEADBEEF
51567 .xword 0xDEADBEEFDEADBEEF
51568 .xword 0xDEADBEEFDEADBEEF
51569 .xword 0xDEADBEEFDEADBEEF
51570 .xword 0xDEADBEEFDEADBEEF
51571 .xword 0xDEADBEEFDEADBEEF
51572 .xword 0xDEADBEEFDEADBEEF
51573 .xword 0xDEADBEEFDEADBEEF
51574 .xword 0xDEADBEEFDEADBEEF
51575 .xword 0xDEADBEEFDEADBEEF
51576 .xword 0xDEADBEEFDEADBEEF
51577 .xword 0xDEADBEEFDEADBEEF
51578 .xword 0xDEADBEEFDEADBEEF
51579 .xword 0xDEADBEEFDEADBEEF
51580 .xword 0xDEADBEEFDEADBEEF
51581 .xword 0xDEADBEEFDEADBEEF
51582 .xword 0xDEADBEEFDEADBEEF
51583 .xword 0xDEADBEEFDEADBEEF
51584 .xword 0xDEADBEEFDEADBEEF
51585 .xword 0xDEADBEEFDEADBEEF
51586 .xword 0xDEADBEEFDEADBEEF
51587 .xword 0xDEADBEEFDEADBEEF
51588 .xword 0xDEADBEEFDEADBEEF
51589 .xword 0xDEADBEEFDEADBEEF
51590 .xword 0xDEADBEEFDEADBEEF
51591 .xword 0xDEADBEEFDEADBEEF
51592 .xword 0xDEADBEEFDEADBEEF
51593 .xword 0xDEADBEEFDEADBEEF
51594 .xword 0xDEADBEEFDEADBEEF
51595 .xword 0xDEADBEEFDEADBEEF
51596 .xword 0xDEADBEEFDEADBEEF
51597 .xword 0xDEADBEEFDEADBEEF
51598 .xword 0xDEADBEEFDEADBEEF
51599 .xword 0xDEADBEEFDEADBEEF
51600 .xword 0xDEADBEEFDEADBEEF
51601 .xword 0xDEADBEEFDEADBEEF
51602 .xword 0xDEADBEEFDEADBEEF
51603 .xword 0xDEADBEEFDEADBEEF
51604 .xword 0xDEADBEEFDEADBEEF
51605 .xword 0xDEADBEEFDEADBEEF
51606 .xword 0xDEADBEEFDEADBEEF
51607 .xword 0xDEADBEEFDEADBEEF
51608 .xword 0xDEADBEEFDEADBEEF
51609 .xword 0xDEADBEEFDEADBEEF
51610 .xword 0xDEADBEEFDEADBEEF
51611 .xword 0xDEADBEEFDEADBEEF
51612 .xword 0xDEADBEEFDEADBEEF
51613 .xword 0xDEADBEEFDEADBEEF
51614 .xword 0xDEADBEEFDEADBEEF
51615 .xword 0xDEADBEEFDEADBEEF
51616 .xword 0xDEADBEEFDEADBEEF
51617 .xword 0xDEADBEEFDEADBEEF
51618 .xword 0xDEADBEEFDEADBEEF
51619 .xword 0xDEADBEEFDEADBEEF
51620 .xword 0xDEADBEEFDEADBEEF
51621 .xword 0xDEADBEEFDEADBEEF
51622 .xword 0xDEADBEEFDEADBEEF
51623 .xword 0xDEADBEEFDEADBEEF
51624 .xword 0xDEADBEEFDEADBEEF
51625 .xword 0xDEADBEEFDEADBEEF
51626 .xword 0xDEADBEEFDEADBEEF
51627 .xword 0xDEADBEEFDEADBEEF
51628 .xword 0xDEADBEEFDEADBEEF
51629 .xword 0xDEADBEEFDEADBEEF
51630 .xword 0xDEADBEEFDEADBEEF
51631 .xword 0xDEADBEEFDEADBEEF
51632 .xword 0xDEADBEEFDEADBEEF
51633 .xword 0xDEADBEEFDEADBEEF
51634 .xword 0xDEADBEEFDEADBEEF
51635 .xword 0xDEADBEEFDEADBEEF
51636 .xword 0xDEADBEEFDEADBEEF
51637 .xword 0xDEADBEEFDEADBEEF
51638 .xword 0xDEADBEEFDEADBEEF
51639 .xword 0xDEADBEEFDEADBEEF
51640 .xword 0xDEADBEEFDEADBEEF
51641 .xword 0xDEADBEEFDEADBEEF
51642 .xword 0xDEADBEEFDEADBEEF
51643 .xword 0xDEADBEEFDEADBEEF
51644 .xword 0xDEADBEEFDEADBEEF
51645 .xword 0xDEADBEEFDEADBEEF
51646 .xword 0xDEADBEEFDEADBEEF
51647 .xword 0xDEADBEEFDEADBEEF
51648 .xword 0xDEADBEEFDEADBEEF
51649 .xword 0xDEADBEEFDEADBEEF
51650 .xword 0xDEADBEEFDEADBEEF
51651 .xword 0xDEADBEEFDEADBEEF
51652 .xword 0xDEADBEEFDEADBEEF
51653 .xword 0xDEADBEEFDEADBEEF
51654 .xword 0xDEADBEEFDEADBEEF
51655 .xword 0xDEADBEEFDEADBEEF
51656 .xword 0xDEADBEEFDEADBEEF
51657 .xword 0xDEADBEEFDEADBEEF
51658 .xword 0xDEADBEEFDEADBEEF
51659 .xword 0xDEADBEEFDEADBEEF
51660 .xword 0xDEADBEEFDEADBEEF
51661 .xword 0xDEADBEEFDEADBEEF
51662 .xword 0xDEADBEEFDEADBEEF
51663 .xword 0xDEADBEEFDEADBEEF
51664 .xword 0xDEADBEEFDEADBEEF
51665 .xword 0xDEADBEEFDEADBEEF
51666 .xword 0xDEADBEEFDEADBEEF
51667 .xword 0xDEADBEEFDEADBEEF
51668 .xword 0xDEADBEEFDEADBEEF
51669 .xword 0xDEADBEEFDEADBEEF
51670 .xword 0xDEADBEEFDEADBEEF
51671 .xword 0xDEADBEEFDEADBEEF
51672 .xword 0xDEADBEEFDEADBEEF
51673 .xword 0xDEADBEEFDEADBEEF
51674 .xword 0xDEADBEEFDEADBEEF
51675 .xword 0xDEADBEEFDEADBEEF
51676 .xword 0xDEADBEEFDEADBEEF
51677 .xword 0xDEADBEEFDEADBEEF
51678 .xword 0xDEADBEEFDEADBEEF
51679 .xword 0xDEADBEEFDEADBEEF
51680 .xword 0xDEADBEEFDEADBEEF
51681 .xword 0xDEADBEEFDEADBEEF
51682 .xword 0xDEADBEEFDEADBEEF
51683 .xword 0xDEADBEEFDEADBEEF
51684 .xword 0xDEADBEEFDEADBEEF
51685 .xword 0xDEADBEEFDEADBEEF
51686 .xword 0xDEADBEEFDEADBEEF
51687 .xword 0xDEADBEEFDEADBEEF
51688 .xword 0xDEADBEEFDEADBEEF
51689 .xword 0xDEADBEEFDEADBEEF
51690 .xword 0xDEADBEEFDEADBEEF
51691 .xword 0xDEADBEEFDEADBEEF
51692 .xword 0xDEADBEEFDEADBEEF
51693 .xword 0xDEADBEEFDEADBEEF
51694 .xword 0xDEADBEEFDEADBEEF
51695 .xword 0xDEADBEEFDEADBEEF
51696 .xword 0xDEADBEEFDEADBEEF
51697 .xword 0xDEADBEEFDEADBEEF
51698 .xword 0xDEADBEEFDEADBEEF
51699 .xword 0xDEADBEEFDEADBEEF
51700 .xword 0xDEADBEEFDEADBEEF
51701 .xword 0xDEADBEEFDEADBEEF
51702 .xword 0xDEADBEEFDEADBEEF
51703 .xword 0xDEADBEEFDEADBEEF
51704 .xword 0xDEADBEEFDEADBEEF
51705 .xword 0xDEADBEEFDEADBEEF
51706 .xword 0xDEADBEEFDEADBEEF
51707 .xword 0xDEADBEEFDEADBEEF
51708 .xword 0xDEADBEEFDEADBEEF
51709 .xword 0xDEADBEEFDEADBEEF
51710 .xword 0xDEADBEEFDEADBEEF
51711 .xword 0xDEADBEEFDEADBEEF
51712 .xword 0xDEADBEEFDEADBEEF
51713 .xword 0xDEADBEEFDEADBEEF
51714 .xword 0xDEADBEEFDEADBEEF
51715 .xword 0xDEADBEEFDEADBEEF
51716 .xword 0xDEADBEEFDEADBEEF
51717 .xword 0xDEADBEEFDEADBEEF
51718 .xword 0xDEADBEEFDEADBEEF
51719 .xword 0xDEADBEEFDEADBEEF
51720 .xword 0xDEADBEEFDEADBEEF
51721 .xword 0xDEADBEEFDEADBEEF
51722 .xword 0xDEADBEEFDEADBEEF
51723 .xword 0xDEADBEEFDEADBEEF
51724 .xword 0xDEADBEEFDEADBEEF
51725 .xword 0xDEADBEEFDEADBEEF
51726 .xword 0xDEADBEEFDEADBEEF
51727 .xword 0xDEADBEEFDEADBEEF
51728 .xword 0xDEADBEEFDEADBEEF
51729 .xword 0xDEADBEEFDEADBEEF
51730 .xword 0xDEADBEEFDEADBEEF
51731 .xword 0xDEADBEEFDEADBEEF
51732 .xword 0xDEADBEEFDEADBEEF
51733 .xword 0xDEADBEEFDEADBEEF
51734 .xword 0xDEADBEEFDEADBEEF
51735 .xword 0xDEADBEEFDEADBEEF
51736 .xword 0xDEADBEEFDEADBEEF
51737 .xword 0xDEADBEEFDEADBEEF
51738 .xword 0xDEADBEEFDEADBEEF
51739 .xword 0xDEADBEEFDEADBEEF
51740 .xword 0xDEADBEEFDEADBEEF
51741 .xword 0xDEADBEEFDEADBEEF
51742 .xword 0xDEADBEEFDEADBEEF
51743 .xword 0xDEADBEEFDEADBEEF
51744 .xword 0xDEADBEEFDEADBEEF
51745 .xword 0xDEADBEEFDEADBEEF
51746 .xword 0xDEADBEEFDEADBEEF
51747 .xword 0xDEADBEEFDEADBEEF
51748 .xword 0xDEADBEEFDEADBEEF
51749 .xword 0xDEADBEEFDEADBEEF
51750 .xword 0xDEADBEEFDEADBEEF
51751 .xword 0xDEADBEEFDEADBEEF
51752 .xword 0xDEADBEEFDEADBEEF
51753 .xword 0xDEADBEEFDEADBEEF
51754 .xword 0xDEADBEEFDEADBEEF
51755 .xword 0xDEADBEEFDEADBEEF
51756 .xword 0xDEADBEEFDEADBEEF
51757 .xword 0xDEADBEEFDEADBEEF
51758 .xword 0xDEADBEEFDEADBEEF
51759 .xword 0xDEADBEEFDEADBEEF
51760 .xword 0xDEADBEEFDEADBEEF
51761 .xword 0xDEADBEEFDEADBEEF
51762 .xword 0xDEADBEEFDEADBEEF
51763 .xword 0xDEADBEEFDEADBEEF
51764 .xword 0xDEADBEEFDEADBEEF
51765 .xword 0xDEADBEEFDEADBEEF
51766 .xword 0xDEADBEEFDEADBEEF
51767 .xword 0xDEADBEEFDEADBEEF
51768 .xword 0xDEADBEEFDEADBEEF
51769 .xword 0xDEADBEEFDEADBEEF
51770 .xword 0xDEADBEEFDEADBEEF
51771 .xword 0xDEADBEEFDEADBEEF
51772 .xword 0xDEADBEEFDEADBEEF
51773 .xword 0xDEADBEEFDEADBEEF
51774 .xword 0xDEADBEEFDEADBEEF
51775 .xword 0xDEADBEEFDEADBEEF
51776 .xword 0xDEADBEEFDEADBEEF
51777 .xword 0xDEADBEEFDEADBEEF
51778 .xword 0xDEADBEEFDEADBEEF
51779_t1_des_auth_key:
51780 .xword 0xe772fe757366624d
51781 .xword 0x6c79bfa6cc53b17b
51782 .xword 0x223a0c40517e25f1
51783 .xword 0xb66bf5b1d381ee42
51784 .xword 0xb76c74ca02e1cb0e
51785 .xword 0xc94bdbcde0ac8f65
51786 .xword 0x21bdb302bb92bf9d
51787 .xword 0x7df9d0504438db70
51788 .xword 0xfff7f50b95c886d1
51789 .xword 0x6c7c2d41c2456805
51790 .xword 0xa2ea810a1eb1a004
51791 .xword 0x8496505e157c7a9a
51792 .xword 0xd346448120ac6989
51793 .xword 0x3f4b1cb7fcaa8551
51794 .xword 0x7ab35a8374340a25
51795 .xword 0x92d73473e135530c
51796 .xword 0x7530d9a8826a8fbd
51797 .xword 0x252afcd41a63a798
51798 .xword 0x7596ea4a52cff208
51799 .xword 0xb60f2d1a435656fc
51800 .xword 0x68af8f47ddb79076
51801 .xword 0x2949807faad04d5b
51802 .xword 0x8035753f13545fc1
51803_t1_des_auth_iv:
51804 .xword 0x72e6f3cc4594c01f
51805 .xword 0x15ce58668e8e646c
51806 .xword 0xa81c251aaaced4b9
51807 .xword 0xc115c676e085004d
51808 .xword 0x7ae7e56f87504cad
51809 .xword 0x5f82f112c073aff0
51810 .xword 0xd04f7b7856ead2b4
51811 .xword 0x9e6f14407c5468e9
51812 .xword 0x31da408083dd5995
51813 .xword 0x21a935767b8dcdfb
51814 .xword 0x73aff05c9630c5ba
51815 .xword 0xb22abd6b5c3dcff9
51816 .xword 0x8f6786fe45325c9c
51817 .xword 0x96db83cbb70473d7
51818 .xword 0x0a6ca1acd254bc7c
51819 .xword 0x62fa75ccc8283d4d
51820 .xword 0xf7bc620b74280e2a
51821 .xword 0xe200f4c7fc87837f
51822 .xword 0x87a9bd353ccdef28
51823 .xword 0x4c61666dacea4a17
51824 .xword 0xabdd921cb0d559e4
51825 .xword 0x4e729ecb35ef5e54
51826 .xword 0x106eaf649737031e
51827_t1_des_fas_result:
51828 .xword 0xDEADBEEFDEADBEEF
51829 .xword 0xDEADBEEFDEADBEEF
51830 .xword 0xDEADBEEFDEADBEEF
51831 .xword 0xDEADBEEFDEADBEEF
51832 .xword 0xDEADBEEFDEADBEEF
51833 .xword 0xDEADBEEFDEADBEEF
51834 .xword 0xDEADBEEFDEADBEEF
51835 .xword 0xDEADBEEFDEADBEEF
51836 .xword 0xDEADBEEFDEADBEEF
51837 .xword 0xDEADBEEFDEADBEEF
51838 .xword 0xDEADBEEFDEADBEEF
51839 .xword 0xDEADBEEFDEADBEEF
51840 .xword 0xDEADBEEFDEADBEEF
51841 .xword 0xDEADBEEFDEADBEEF
51842 .xword 0xDEADBEEFDEADBEEF
51843 .xword 0xDEADBEEFDEADBEEF
51844 .xword 0xDEADBEEFDEADBEEF
51845 .xword 0xDEADBEEFDEADBEEF
51846 .xword 0xDEADBEEFDEADBEEF
51847 .xword 0xDEADBEEFDEADBEEF
51848 .xword 0xDEADBEEFDEADBEEF
51849 .xword 0xDEADBEEFDEADBEEF
51850 .xword 0xDEADBEEFDEADBEEF
51851_t1_copy_key_array:
51852 .xword 0xdfda536d2e90c101
51853 .xword 0xd7bc733084898e26
51854 .xword 0xf23e3bde20e02ed7
51855 .xword 0x082e86a0ca0227f5
51856 .xword 0x02c6f783da772f76
51857 .xword 0x6862d7c62f54437e
51858 .xword 0x558a8ac82832c3f5
51859 .xword 0x239a96dd1c267c11
51860 .xword 0xdcc1dc95e941d458
51861 .xword 0x79633638da6926ef
51862 .xword 0x1fec9a1a9a620dee
51863 .xword 0x5cf5ec86a4767504
51864 .xword 0xc593e461675caf2f
51865 .xword 0xc9034b0672292eb8
51866 .xword 0x6dce12b4956234e7
51867 .xword 0x43a3412e73d6fa6a
51868 .xword 0xb808047a04786e76
51869 .xword 0x2e6426ec363d3c31
51870 .xword 0x4f09e284c8df159b
51871 .xword 0x48e2ec3fd58583cb
51872 .xword 0x9401e6b444ed7c26
51873 .xword 0x62d47a30dbcee4fe
51874 .xword 0xb2415e6ff4f06cff
51875 .xword 0xaf240f4d63cbb281
51876 .xword 0x67717a581a330e27
51877 .xword 0xbe0c76c0fe430f3a
51878 .xword 0xb2f13165b10e762c
51879 .xword 0xc2264f8509c262a7
51880 .xword 0x4d5930349f163c0d
51881 .xword 0x5b217cc37e71aa5b
51882 .xword 0x7bea7138a1b0bb7e
51883 .xword 0xbf43236b802ab590
51884 .xword 0xd500b785880b17ed
51885 .xword 0xd854086035415eb0
51886 .xword 0xd5b516c3ef06b3a9
51887 .xword 0x6a3e702131f91efe
51888 .xword 0x614a3995f7c7214a
51889 .xword 0xf896555d80a67fe7
51890 .xword 0x284cb43b5e0a1e21
51891 .xword 0x27d487a418e691ba
51892 .xword 0x346098b8a90b1c01
51893 .xword 0x4b7fa84dca3c7b8e
51894 .xword 0x2dcbb2c6ce102ac0
51895 .xword 0x692f3d592740d24e
51896 .xword 0x2effd49ccdb2b2ed
51897 .xword 0x468e90b5f57fb16b
51898 .xword 0x3020533ff4efb37e
51899 .xword 0xa594aba4c000a04e
51900 .xword 0x4837e12bf6c51b3d
51901 .xword 0xd1bf0f7979b6100f
51902 .xword 0xda4e4fc070ecc38a
51903_t1_copy_iv_array:
51904 .xword 0x7c3a42bae1f5a5c4
51905 .xword 0xff01fc7e901a7335
51906 .xword 0x8e4c11f68b2c7d5c
51907 .xword 0xe9987f7e6965f0e6
51908 .xword 0xe59481db201d22b6
51909 .xword 0x3c3297d70ae856e7
51910 .xword 0x03ab411931518b80
51911 .xword 0x8bbfec4d433b83fb
51912 .xword 0xdf3348351c43146d
51913 .xword 0x8da0a6cd34c20bdc
51914 .xword 0xb5c5c528794a3569
51915 .xword 0x0737e5ca483e2657
51916 .xword 0x347d0cf152551a96
51917 .xword 0xf48ad6f641a13526
51918 .xword 0x22ea20d1fd2d0386
51919 .xword 0x48d6b9f0b0ae13ce
51920 .xword 0x262d161b26bb648b
51921 .xword 0x5985d4a22fd5821f
51922 .xword 0x4ab8edac5dce9225
51923 .xword 0x621bee396bb03a69
51924 .xword 0xd65872376d3ae400
51925 .xword 0xc69f7238e8610dbc
51926 .xword 0x56ad780bccaeb7f5
51927 .xword 0xe566a8dbb839f95e
51928 .xword 0x6aac1b4d90f6f431
51929 .xword 0xb7a5041d0917c3c3
51930 .xword 0x77930809dd30fadf
51931 .xword 0x44c72ab573429be4
51932 .xword 0xee3a6164c2506af5
51933 .xword 0x9294ec0da2f32a3b
51934 .xword 0x56dc839c8d5ecce8
51935 .xword 0xa0acd8563db5c267
51936 .xword 0x4c122b39ee195e61
51937 .xword 0xc5c990b3963d173b
51938 .xword 0xed2a6e6e0d4c10d0
51939 .xword 0xc3869db833b1ec08
51940 .xword 0xa1df674b717995dc
51941 .xword 0xa2af5d9b15d33295
51942 .xword 0x167bafd170857761
51943 .xword 0x5333e54346e113b4
51944 .xword 0xe55e2718a5572c5d
51945 .xword 0x50f99b61b5730f38
51946 .xword 0x4596bdc7056a1eb9
51947 .xword 0xeb18a2fa248472b8
51948 .xword 0xb6259de0379ebd70
51949_t1_copy_alignment_array:
51950 .xword 4
51951 .xword 7
51952 .xword 8
51953 .xword 10
51954 .xword 12
51955 .xword 3
51956 .xword 6
51957 .xword 11
51958 .xword 14
51959 .xword 6
51960 .xword 0
51961 .xword 7
51962 .xword 13
51963 .xword 9
51964 .xword 7
51965 .xword 1
51966 .xword 0
51967 .xword 1
51968 .xword 2
51969 .xword 10
51970 .xword 8
51971 .xword 13
51972 .xword 11
51973 .xword 12
51974 .xword 3
51975 .xword 11
51976 .xword 7
51977 .xword 13
51978 .xword 7
51979 .xword 6
51980 .xword 8
51981 .xword 6
51982 .xword 6
51983 .xword 11
51984 .xword 9
51985 .xword 12
51986 .xword 3
51987 .xword 14
51988 .xword 11
51989 .xword 1
51990 .xword 5
51991 .xword 12
51992 .xword 6
51993 .xword 7
51994 .xword 6
51995 .xword 0
51996 .xword 9
51997 .xword 12
51998 .xword 11
51999 .xword 3
52000 .xword 2
52001 .xword 12
52002 .xword 1
52003 .xword 10
52004 .xword 5
52005 .xword 1
52006 .xword 14
52007 .xword 3
52008 .xword 14
52009 .xword 3
52010 .xword 8
52011 .xword 14
52012 .xword 0
52013 .xword 15
52014 .xword 10
52015 .xword 4
52016 .xword 10
52017 .xword 2
52018 .xword 4
52019 .xword 5
52020 .xword 12
52021 .xword 7
52022 .xword 5
52023 .xword 12
52024 .xword 9
52025 .xword 14
52026 .xword 11
52027 .xword 3
52028 .xword 5
52029 .xword 0
52030 .xword 10
52031 .xword 10
52032 .xword 4
52033 .xword 13
52034 .xword 2
52035 .xword 13
52036 .xword 15
52037 .xword 3
52038 .xword 7
52039 .xword 0
52040 .xword 13
52041 .xword 9
52042 .xword 6
52043 .xword 12
52044 .xword 2
52045 .xword 11
52046 .xword 5
52047 .xword 15
52048 .xword 13
52049 .xword 6
52050 .xword 4
52051 .xword 0
52052 .xword 11
52053 .xword 10
52054 .xword 1
52055_t1_copy_src:
52056 .xword 0x2a467b9a37379d65
52057 .xword 0xb80d532407a8cbd5
52058 .xword 0x2d7e0d43d509b428
52059 .xword 0x79bfe0eedf081a37
52060 .xword 0xad81afc2ef4e6ece
52061 .xword 0xbe384f328ee9a64e
52062 .xword 0xe7f823b644f1b07b
52063 .xword 0xf9e71ef84b756d2c
52064 .xword 0x8311af8f8786b46d
52065 .xword 0x0b2fcf3b52f9bc76
52066 .xword 0x419765d988e40527
52067 .xword 0x8f81a1d021bec7f0
52068 .xword 0x7f25bcf60d78f1ce
52069 .xword 0xb4e78b894d3c81e2
52070 .xword 0x1a0f59c22bead03f
52071 .xword 0x9232c6f959022d7a
52072 .xword 0xfc0a70c578f26291
52073 .xword 0x11330bd95cbbf2d4
52074 .xword 0xd410f5d4c4c6007a
52075 .xword 0x0690d8580ec0c43b
52076 .xword 0x032dd25cd94968a2
52077 .xword 0xa576a5dd387e48b9
52078 .xword 0x6aa2d52a2a4df8b3
52079 .xword 0xcae0fba9a8302bbe
52080 .xword 0xf5b723f5f8d6dade
52081 .xword 0xee97ed750e9f4717
52082 .xword 0x0d8b467b22503988
52083 .xword 0x5d631a767c786426
52084 .xword 0xbf2bf33d5503d8d3
52085 .xword 0xd723831f49da92ca
52086 .xword 0xabfff6c7e5977f5b
52087 .xword 0xec3a14e94fc60a1e
52088 .xword 0x48a1635d171a92eb
52089 .xword 0x179d4351f0a9cd6b
52090 .xword 0xd80f989258bf5777
52091 .xword 0xc8496d0c273e780b
52092 .xword 0xf5683275131ec979
52093 .xword 0x7d91dcb59254bf77
52094 .xword 0x4796a3f0057cf054
52095 .xword 0xf8b789ecef5e92bb
52096 .xword 0xbc04c395e130815d
52097 .xword 0xb9e0d04dafe01465
52098 .xword 0x4172891991049dff
52099 .xword 0x91600603cf8011a2
52100 .xword 0x7fd110f9e253820e
52101 .xword 0x81a476e592f801a0
52102 .xword 0x3034dc431781b9cf
52103 .xword 0x12f6567dc81020aa
52104 .xword 0xdb1ab2b09767fe62
52105 .xword 0xad008a8137a08fd9
52106 .xword 0x1e54c2a93f7a673f
52107 .xword 0x66eb573e851ee302
52108 .xword 0x2114cedb8a952ae7
52109 .xword 0x4c11bd5bdb315fd1
52110 .xword 0x75877b19d5db49f1
52111 .xword 0x573184c4167a30b3
52112 .xword 0x128d57f47de7df8e
52113 .xword 0x206c03261748a208
52114 .xword 0x10d0dc6b6c30b11d
52115 .xword 0x01710f3a1f0396cd
52116 .xword 0xc425d596036cd4f5
52117 .xword 0xb8c0372c581a209b
52118 .xword 0xecce6a8ca5ae87a1
52119 .xword 0xba7c8be45241f26d
52120 .xword 0x5f1d16760554af3e
52121 .xword 0x7f62438a3eab0660
52122 .xword 0xaf7c0e7c367cc696
52123 .xword 0xed526a833021d0ac
52124 .xword 0x25805456009df46f
52125 .xword 0xc873f4bf023a073d
52126 .xword 0xd1b7d7889641d0d2
52127 .xword 0x7a345be8ab81bc27
52128 .xword 0x91d624ab86c7cf44
52129 .xword 0x63c43b306f7107ea
52130 .xword 0xb418808e723f13b3
52131 .xword 0x293502f8c47c76af
52132 .xword 0x927eb0b52349735f
52133 .xword 0xad6c583f58f57156
52134 .xword 0x42f1d21d12e4342c
52135 .xword 0x728eeea81a68c4df
52136 .xword 0x5a4de13dfbb21317
52137 .xword 0xca38634f91816dbe
52138 .xword 0xc021bddac51badd8
52139 .xword 0x4d6d815f99abb9b7
52140 .xword 0x8a941becddeb2ebb
52141 .xword 0xae637454cee8891c
52142 .xword 0xb9c5bc15afec85cb
52143 .xword 0xdd48b0f6887be481
52144 .xword 0xe0521581025dc0f8
52145 .xword 0x3e86fa70af251b4f
52146 .xword 0x9aba69f2d79bff5d
52147 .xword 0xcec998de2144bd51
52148 .xword 0xa553f63fb07a932c
52149 .xword 0xfe95b5eb5918f315
52150 .xword 0x193a1713caf2ffe4
52151 .xword 0x86161cd83e40cc5e
52152 .xword 0x954c5d3f0955fcd0
52153 .xword 0x8d90634549337c89
52154 .xword 0x83039aa6db05af5e
52155 .xword 0x52a7b873b5d3e3e7
52156 .xword 0x2452d14f49be832b
52157 .xword 0xabf337902ad98537
52158 .xword 0x108985eba7b4a86b
52159 .xword 0x7f347002d99d6ba7
52160 .xword 0xb980131154fb7ce9
52161 .xword 0x39ebf322ba589883
52162 .xword 0x827938ca478ca407
52163 .xword 0x3f7a1b389149aa1c
52164 .xword 0xda4c5aba7a3fdc8d
52165 .xword 0x034532a7a77af69b
52166 .xword 0x7e3f8f27c5ea4c16
52167 .xword 0xa188d0db22cd3945
52168 .xword 0x0bd2660afb09690b
52169 .xword 0x2a5913e97f53343c
52170 .xword 0xeac495dd82825183
52171 .xword 0x4b2e22ffdb73cd79
52172 .xword 0x30146b5ca8c0ad1d
52173 .xword 0x0122417dac820c5e
52174 .xword 0x0210fdfa3a649369
52175 .xword 0x3d1ca5ee4d48248b
52176 .xword 0x3b2b6956ba3ca9e4
52177 .xword 0x44a134b6405a66bb
52178 .xword 0xff9148d185dde6ab
52179 .xword 0xd24b0517962cf816
52180 .xword 0x780e9c1fe2ade748
52181 .xword 0xc4fb0149fb47bb30
52182 .xword 0xf7fc9fe6195ec8ea
52183 .xword 0x0d76e28044d906e2
52184 .xword 0x59619c86818a73a8
52185 .xword 0x596fed56ad6863da
52186 .xword 0xc8a5f1ec4869583f
52187 .xword 0xff97f257ec745efd
52188 .xword 0x8958aef072d7a1be
52189 .xword 0xd9398efac433b632
52190 .xword 0x5a850a5a58059cab
52191 .xword 0x64bcf9b13f3aedc2
52192 .xword 0x36d02d641fba8da5
52193 .xword 0x8dca2f99bbe57016
52194 .xword 0x9942248e45a36990
52195 .xword 0x70daf4e7da9a8cf2
52196 .xword 0x82bbfc4d09fcdd18
52197 .xword 0x7b3ad423a9255385
52198 .xword 0xe35ea9b17a72fc94
52199 .xword 0x2442464fc568f795
52200 .xword 0x6a43310a11dc1a9b
52201 .xword 0x401d7e88463029e6
52202 .xword 0xbfd418d5c8ec9298
52203 .xword 0x8670a659e0225d95
52204 .xword 0xfe362a1675dbf336
52205 .xword 0x7370f23872906820
52206 .xword 0x722ab110dfa8b9e1
52207 .xword 0x6254e08d91666879
52208 .xword 0x9714e207965d103e
52209 .xword 0xc32803ab72ce284c
52210 .xword 0x61bddfba9780fd0f
52211 .xword 0xe9fb23e8e5da5c33
52212 .xword 0xed07d683beb99a34
52213 .xword 0xfe8e23b705030cb1
52214 .xword 0x3c6ba99b869a4091
52215 .xword 0x8894ceb4cc21af81
52216 .xword 0x0a96f057ae4887e4
52217 .xword 0x2254d32018778596
52218 .xword 0x76bd2fd7a0cf08c6
52219 .xword 0x693dc0eb79faf917
52220 .xword 0xa72498ae638e2456
52221 .xword 0x7bfb38813c17b397
52222 .xword 0x6154e207c62bcdf5
52223 .xword 0xa9aaca2540fc9a6f
52224 .xword 0x68ceb2ccfdb7f6f2
52225 .xword 0xafb793c5f978b940
52226 .xword 0xe3ada28277896f51
52227 .xword 0xbbab41e14717b052
52228 .xword 0x8d5f80594aefd0d8
52229 .xword 0xb8af75f398dcccf5
52230 .xword 0x69bcf48aeba7b81c
52231 .xword 0x7556a1ee97e0bf70
52232 .xword 0xa23a67fb3ea3649e
52233 .xword 0xa782e8ed5b9a337c
52234 .xword 0x150032d8e8db9e94
52235 .xword 0x4214e528e1c05a4b
52236 .xword 0xfca87be299e936fd
52237 .xword 0x487d84c0406371d3
52238 .xword 0x32894672914cef90
52239 .xword 0x6a49f7d5b8e01607
52240 .xword 0xe158bea4c7828702
52241 .xword 0x98b58f42dda84fbb
52242 .xword 0xf7e00dbf8f50abf1
52243 .xword 0x3ff473152d87d3e7
52244 .xword 0xcb7747fd7b744208
52245 .xword 0xefcbb87230f09901
52246 .xword 0x5912bc12bad4c022
52247 .xword 0xb3ebf5738fa07ed7
52248 .xword 0xcc73e999bb33b315
52249 .xword 0x369428e3e0d70ccb
52250 .xword 0x7600bb37c2e9c597
52251 .xword 0xf7ffc6d4e7759641
52252 .xword 0x105ab5e80835e5fe
52253 .xword 0xc4d21c4478705a48
52254 .xword 0x113808f7759ce1da
52255 .xword 0xf11f9390c361a224
52256 .xword 0x413d8fadc1776953
52257 .xword 0xd15a0c9e704da00f
52258 .xword 0xc1a9c7e4983853d2
52259 .xword 0xe73b1652f779d424
52260 .xword 0xe50c24401fcb3321
52261 .xword 0x1a3f56c4908e379c
52262 .xword 0x26b1b0fd14e7b344
52263 .xword 0x0d3bba5f4997c2f6
52264 .xword 0xc3bb44e47fe0114c
52265 .xword 0x9180eb81dfcd330b
52266 .xword 0x1be9146562ac1e40
52267 .xword 0x5d0e6bbc0a33d2a7
52268 .xword 0x4e90d621ac16fa01
52269 .xword 0x568cf4b61f3789bc
52270 .xword 0xd3aaed714540e877
52271 .xword 0x8d167aa9457d2400
52272 .xword 0xc63910665cfd7fff
52273 .xword 0xfcd477d4b54a96f5
52274 .xword 0xbed24efaadcd5424
52275 .xword 0x8af71334011ee5fe
52276 .xword 0x0d6c40ff1e2421a2
52277 .xword 0xf2a744d51359c21e
52278 .xword 0x0082dca147073f78
52279 .xword 0x98f2c7f557ee38d0
52280 .xword 0xd519ec10ad240214
52281 .xword 0x819e0fd7c5b7df4f
52282 .xword 0x0b1be4a8fb7f33f9
52283 .xword 0x19c1bc1c8f02f14e
52284 .xword 0x994855cad4005bbf
52285 .xword 0xbb1010e8a3553597
52286 .xword 0xb309d07435a3df78
52287 .xword 0x7b21c29dd933a8b1
52288 .xword 0x2e9501c361e6e5d1
52289 .xword 0x3b7f583f5c104b10
52290 .xword 0x1028edfa1ffd54ab
52291 .xword 0xda0bdb97d524ed79
52292 .xword 0x5988cd54418d27bc
52293 .xword 0x3f07e1147062008d
52294 .xword 0x46d19fde5d1f2f84
52295 .xword 0xf7f28fa2326e9e69
52296 .xword 0xb125e7639972095a
52297 .xword 0x8afcc384ae23091a
52298 .xword 0x12e00c6be547c9f4
52299 .xword 0x085514eef69bdb8a
52300 .xword 0xd7f7f73d443274b9
52301 .xword 0xe69f5e81188ee5b5
52302 .xword 0x59577ca3fb3de9cb
52303 .xword 0xc5139d657ee2a6ee
52304 .xword 0x5349ee7931bc7ec6
52305 .xword 0x2703b98ca42296f4
52306 .xword 0x1c7ab4b4cad59a9f
52307 .xword 0x70688733cd137aaf
52308 .xword 0xb9c369c2661f8e24
52309 .xword 0x153ca2939c957794
52310 .xword 0x6f6048ad9dc52363
52311 .xword 0xaa26bd2c7c9cc6d5
52312 .xword 0xe18489fb17c05ea7
52313 .xword 0x2110d19ea205f3d3
52314 .xword 0xdf43d023b5d01dc9
52315 .xword 0x5b51abc0ad133e22
52316 .xword 0xb015db0ff446582b
52317 .xword 0xce379a92fa480d23
52318 .xword 0x784e29b508353535
52319 .xword 0x1923ad1868ac2b7b
52320 .xword 0xa2cd5c9428d5b602
52321 .xword 0x1a08b4d29a10bab0
52322 .xword 0xc7f2ae23b4717c70
52323 .xword 0x9d0706f1d92e590c
52324 .xword 0x866b0a9d568741a6
52325 .xword 0x537f3e2fdc900183
52326 .xword 0x87ecb3333155b05f
52327 .xword 0xa5dfbd9c0f1ec7b2
52328 .xword 0x637245933ac05a3f
52329 .xword 0x1dd7b744aedda0a2
52330 .xword 0x371f21f79c547c2f
52331_t1_copy_dest:
52332 .xword 0xDEADBEEFDEADBEEF
52333 .xword 0xDEADBEEFDEADBEEF
52334 .xword 0xDEADBEEFDEADBEEF
52335 .xword 0xDEADBEEFDEADBEEF
52336 .xword 0xDEADBEEFDEADBEEF
52337 .xword 0xDEADBEEFDEADBEEF
52338 .xword 0xDEADBEEFDEADBEEF
52339 .xword 0xDEADBEEFDEADBEEF
52340 .xword 0xDEADBEEFDEADBEEF
52341 .xword 0xDEADBEEFDEADBEEF
52342 .xword 0xDEADBEEFDEADBEEF
52343 .xword 0xDEADBEEFDEADBEEF
52344 .xword 0xDEADBEEFDEADBEEF
52345 .xword 0xDEADBEEFDEADBEEF
52346 .xword 0xDEADBEEFDEADBEEF
52347 .xword 0xDEADBEEFDEADBEEF
52348 .xword 0xDEADBEEFDEADBEEF
52349 .xword 0xDEADBEEFDEADBEEF
52350 .xword 0xDEADBEEFDEADBEEF
52351 .xword 0xDEADBEEFDEADBEEF
52352 .xword 0xDEADBEEFDEADBEEF
52353 .xword 0xDEADBEEFDEADBEEF
52354 .xword 0xDEADBEEFDEADBEEF
52355 .xword 0xDEADBEEFDEADBEEF
52356 .xword 0xDEADBEEFDEADBEEF
52357 .xword 0xDEADBEEFDEADBEEF
52358 .xword 0xDEADBEEFDEADBEEF
52359 .xword 0xDEADBEEFDEADBEEF
52360 .xword 0xDEADBEEFDEADBEEF
52361 .xword 0xDEADBEEFDEADBEEF
52362 .xword 0xDEADBEEFDEADBEEF
52363 .xword 0xDEADBEEFDEADBEEF
52364 .xword 0xDEADBEEFDEADBEEF
52365 .xword 0xDEADBEEFDEADBEEF
52366 .xword 0xDEADBEEFDEADBEEF
52367 .xword 0xDEADBEEFDEADBEEF
52368 .xword 0xDEADBEEFDEADBEEF
52369 .xword 0xDEADBEEFDEADBEEF
52370 .xword 0xDEADBEEFDEADBEEF
52371 .xword 0xDEADBEEFDEADBEEF
52372 .xword 0xDEADBEEFDEADBEEF
52373 .xword 0xDEADBEEFDEADBEEF
52374 .xword 0xDEADBEEFDEADBEEF
52375 .xword 0xDEADBEEFDEADBEEF
52376 .xword 0xDEADBEEFDEADBEEF
52377 .xword 0xDEADBEEFDEADBEEF
52378 .xword 0xDEADBEEFDEADBEEF
52379 .xword 0xDEADBEEFDEADBEEF
52380 .xword 0xDEADBEEFDEADBEEF
52381 .xword 0xDEADBEEFDEADBEEF
52382 .xword 0xDEADBEEFDEADBEEF
52383 .xword 0xDEADBEEFDEADBEEF
52384 .xword 0xDEADBEEFDEADBEEF
52385 .xword 0xDEADBEEFDEADBEEF
52386 .xword 0xDEADBEEFDEADBEEF
52387 .xword 0xDEADBEEFDEADBEEF
52388 .xword 0xDEADBEEFDEADBEEF
52389 .xword 0xDEADBEEFDEADBEEF
52390 .xword 0xDEADBEEFDEADBEEF
52391 .xword 0xDEADBEEFDEADBEEF
52392 .xword 0xDEADBEEFDEADBEEF
52393 .xword 0xDEADBEEFDEADBEEF
52394 .xword 0xDEADBEEFDEADBEEF
52395 .xword 0xDEADBEEFDEADBEEF
52396 .xword 0xDEADBEEFDEADBEEF
52397 .xword 0xDEADBEEFDEADBEEF
52398 .xword 0xDEADBEEFDEADBEEF
52399 .xword 0xDEADBEEFDEADBEEF
52400 .xword 0xDEADBEEFDEADBEEF
52401 .xword 0xDEADBEEFDEADBEEF
52402 .xword 0xDEADBEEFDEADBEEF
52403 .xword 0xDEADBEEFDEADBEEF
52404 .xword 0xDEADBEEFDEADBEEF
52405 .xword 0xDEADBEEFDEADBEEF
52406 .xword 0xDEADBEEFDEADBEEF
52407 .xword 0xDEADBEEFDEADBEEF
52408 .xword 0xDEADBEEFDEADBEEF
52409 .xword 0xDEADBEEFDEADBEEF
52410 .xword 0xDEADBEEFDEADBEEF
52411 .xword 0xDEADBEEFDEADBEEF
52412 .xword 0xDEADBEEFDEADBEEF
52413 .xword 0xDEADBEEFDEADBEEF
52414 .xword 0xDEADBEEFDEADBEEF
52415 .xword 0xDEADBEEFDEADBEEF
52416 .xword 0xDEADBEEFDEADBEEF
52417 .xword 0xDEADBEEFDEADBEEF
52418 .xword 0xDEADBEEFDEADBEEF
52419 .xword 0xDEADBEEFDEADBEEF
52420 .xword 0xDEADBEEFDEADBEEF
52421 .xword 0xDEADBEEFDEADBEEF
52422 .xword 0xDEADBEEFDEADBEEF
52423 .xword 0xDEADBEEFDEADBEEF
52424 .xword 0xDEADBEEFDEADBEEF
52425 .xword 0xDEADBEEFDEADBEEF
52426 .xword 0xDEADBEEFDEADBEEF
52427 .xword 0xDEADBEEFDEADBEEF
52428 .xword 0xDEADBEEFDEADBEEF
52429 .xword 0xDEADBEEFDEADBEEF
52430 .xword 0xDEADBEEFDEADBEEF
52431 .xword 0xDEADBEEFDEADBEEF
52432 .xword 0xDEADBEEFDEADBEEF
52433 .xword 0xDEADBEEFDEADBEEF
52434 .xword 0xDEADBEEFDEADBEEF
52435 .xword 0xDEADBEEFDEADBEEF
52436 .xword 0xDEADBEEFDEADBEEF
52437 .xword 0xDEADBEEFDEADBEEF
52438 .xword 0xDEADBEEFDEADBEEF
52439 .xword 0xDEADBEEFDEADBEEF
52440 .xword 0xDEADBEEFDEADBEEF
52441 .xword 0xDEADBEEFDEADBEEF
52442 .xword 0xDEADBEEFDEADBEEF
52443 .xword 0xDEADBEEFDEADBEEF
52444 .xword 0xDEADBEEFDEADBEEF
52445 .xword 0xDEADBEEFDEADBEEF
52446 .xword 0xDEADBEEFDEADBEEF
52447 .xword 0xDEADBEEFDEADBEEF
52448 .xword 0xDEADBEEFDEADBEEF
52449 .xword 0xDEADBEEFDEADBEEF
52450 .xword 0xDEADBEEFDEADBEEF
52451 .xword 0xDEADBEEFDEADBEEF
52452 .xword 0xDEADBEEFDEADBEEF
52453 .xword 0xDEADBEEFDEADBEEF
52454 .xword 0xDEADBEEFDEADBEEF
52455 .xword 0xDEADBEEFDEADBEEF
52456 .xword 0xDEADBEEFDEADBEEF
52457 .xword 0xDEADBEEFDEADBEEF
52458 .xword 0xDEADBEEFDEADBEEF
52459 .xword 0xDEADBEEFDEADBEEF
52460 .xword 0xDEADBEEFDEADBEEF
52461 .xword 0xDEADBEEFDEADBEEF
52462 .xword 0xDEADBEEFDEADBEEF
52463 .xword 0xDEADBEEFDEADBEEF
52464 .xword 0xDEADBEEFDEADBEEF
52465 .xword 0xDEADBEEFDEADBEEF
52466 .xword 0xDEADBEEFDEADBEEF
52467 .xword 0xDEADBEEFDEADBEEF
52468 .xword 0xDEADBEEFDEADBEEF
52469 .xword 0xDEADBEEFDEADBEEF
52470 .xword 0xDEADBEEFDEADBEEF
52471 .xword 0xDEADBEEFDEADBEEF
52472 .xword 0xDEADBEEFDEADBEEF
52473 .xword 0xDEADBEEFDEADBEEF
52474 .xword 0xDEADBEEFDEADBEEF
52475 .xword 0xDEADBEEFDEADBEEF
52476 .xword 0xDEADBEEFDEADBEEF
52477 .xword 0xDEADBEEFDEADBEEF
52478 .xword 0xDEADBEEFDEADBEEF
52479 .xword 0xDEADBEEFDEADBEEF
52480 .xword 0xDEADBEEFDEADBEEF
52481 .xword 0xDEADBEEFDEADBEEF
52482 .xword 0xDEADBEEFDEADBEEF
52483 .xword 0xDEADBEEFDEADBEEF
52484 .xword 0xDEADBEEFDEADBEEF
52485 .xword 0xDEADBEEFDEADBEEF
52486 .xword 0xDEADBEEFDEADBEEF
52487 .xword 0xDEADBEEFDEADBEEF
52488 .xword 0xDEADBEEFDEADBEEF
52489 .xword 0xDEADBEEFDEADBEEF
52490 .xword 0xDEADBEEFDEADBEEF
52491 .xword 0xDEADBEEFDEADBEEF
52492 .xword 0xDEADBEEFDEADBEEF
52493 .xword 0xDEADBEEFDEADBEEF
52494 .xword 0xDEADBEEFDEADBEEF
52495 .xword 0xDEADBEEFDEADBEEF
52496 .xword 0xDEADBEEFDEADBEEF
52497 .xword 0xDEADBEEFDEADBEEF
52498 .xword 0xDEADBEEFDEADBEEF
52499 .xword 0xDEADBEEFDEADBEEF
52500 .xword 0xDEADBEEFDEADBEEF
52501 .xword 0xDEADBEEFDEADBEEF
52502 .xword 0xDEADBEEFDEADBEEF
52503 .xword 0xDEADBEEFDEADBEEF
52504 .xword 0xDEADBEEFDEADBEEF
52505 .xword 0xDEADBEEFDEADBEEF
52506 .xword 0xDEADBEEFDEADBEEF
52507 .xword 0xDEADBEEFDEADBEEF
52508 .xword 0xDEADBEEFDEADBEEF
52509 .xword 0xDEADBEEFDEADBEEF
52510 .xword 0xDEADBEEFDEADBEEF
52511 .xword 0xDEADBEEFDEADBEEF
52512 .xword 0xDEADBEEFDEADBEEF
52513 .xword 0xDEADBEEFDEADBEEF
52514 .xword 0xDEADBEEFDEADBEEF
52515 .xword 0xDEADBEEFDEADBEEF
52516 .xword 0xDEADBEEFDEADBEEF
52517 .xword 0xDEADBEEFDEADBEEF
52518 .xword 0xDEADBEEFDEADBEEF
52519 .xword 0xDEADBEEFDEADBEEF
52520 .xword 0xDEADBEEFDEADBEEF
52521 .xword 0xDEADBEEFDEADBEEF
52522 .xword 0xDEADBEEFDEADBEEF
52523 .xword 0xDEADBEEFDEADBEEF
52524 .xword 0xDEADBEEFDEADBEEF
52525 .xword 0xDEADBEEFDEADBEEF
52526 .xword 0xDEADBEEFDEADBEEF
52527 .xword 0xDEADBEEFDEADBEEF
52528 .xword 0xDEADBEEFDEADBEEF
52529 .xword 0xDEADBEEFDEADBEEF
52530 .xword 0xDEADBEEFDEADBEEF
52531 .xword 0xDEADBEEFDEADBEEF
52532 .xword 0xDEADBEEFDEADBEEF
52533 .xword 0xDEADBEEFDEADBEEF
52534 .xword 0xDEADBEEFDEADBEEF
52535 .xword 0xDEADBEEFDEADBEEF
52536 .xword 0xDEADBEEFDEADBEEF
52537 .xword 0xDEADBEEFDEADBEEF
52538 .xword 0xDEADBEEFDEADBEEF
52539 .xword 0xDEADBEEFDEADBEEF
52540 .xword 0xDEADBEEFDEADBEEF
52541 .xword 0xDEADBEEFDEADBEEF
52542 .xword 0xDEADBEEFDEADBEEF
52543 .xword 0xDEADBEEFDEADBEEF
52544 .xword 0xDEADBEEFDEADBEEF
52545 .xword 0xDEADBEEFDEADBEEF
52546 .xword 0xDEADBEEFDEADBEEF
52547 .xword 0xDEADBEEFDEADBEEF
52548 .xword 0xDEADBEEFDEADBEEF
52549 .xword 0xDEADBEEFDEADBEEF
52550 .xword 0xDEADBEEFDEADBEEF
52551 .xword 0xDEADBEEFDEADBEEF
52552 .xword 0xDEADBEEFDEADBEEF
52553 .xword 0xDEADBEEFDEADBEEF
52554 .xword 0xDEADBEEFDEADBEEF
52555 .xword 0xDEADBEEFDEADBEEF
52556 .xword 0xDEADBEEFDEADBEEF
52557 .xword 0xDEADBEEFDEADBEEF
52558 .xword 0xDEADBEEFDEADBEEF
52559 .xword 0xDEADBEEFDEADBEEF
52560 .xword 0xDEADBEEFDEADBEEF
52561 .xword 0xDEADBEEFDEADBEEF
52562 .xword 0xDEADBEEFDEADBEEF
52563 .xword 0xDEADBEEFDEADBEEF
52564 .xword 0xDEADBEEFDEADBEEF
52565 .xword 0xDEADBEEFDEADBEEF
52566 .xword 0xDEADBEEFDEADBEEF
52567 .xword 0xDEADBEEFDEADBEEF
52568 .xword 0xDEADBEEFDEADBEEF
52569 .xword 0xDEADBEEFDEADBEEF
52570 .xword 0xDEADBEEFDEADBEEF
52571 .xword 0xDEADBEEFDEADBEEF
52572 .xword 0xDEADBEEFDEADBEEF
52573 .xword 0xDEADBEEFDEADBEEF
52574 .xword 0xDEADBEEFDEADBEEF
52575 .xword 0xDEADBEEFDEADBEEF
52576 .xword 0xDEADBEEFDEADBEEF
52577 .xword 0xDEADBEEFDEADBEEF
52578 .xword 0xDEADBEEFDEADBEEF
52579 .xword 0xDEADBEEFDEADBEEF
52580 .xword 0xDEADBEEFDEADBEEF
52581 .xword 0xDEADBEEFDEADBEEF
52582 .xword 0xDEADBEEFDEADBEEF
52583 .xword 0xDEADBEEFDEADBEEF
52584 .xword 0xDEADBEEFDEADBEEF
52585 .xword 0xDEADBEEFDEADBEEF
52586 .xword 0xDEADBEEFDEADBEEF
52587 .xword 0xDEADBEEFDEADBEEF
52588 .xword 0xDEADBEEFDEADBEEF
52589 .xword 0xDEADBEEFDEADBEEF
52590 .xword 0xDEADBEEFDEADBEEF
52591 .xword 0xDEADBEEFDEADBEEF
52592 .xword 0xDEADBEEFDEADBEEF
52593 .xword 0xDEADBEEFDEADBEEF
52594 .xword 0xDEADBEEFDEADBEEF
52595 .xword 0xDEADBEEFDEADBEEF
52596 .xword 0xDEADBEEFDEADBEEF
52597 .xword 0xDEADBEEFDEADBEEF
52598 .xword 0xDEADBEEFDEADBEEF
52599 .xword 0xDEADBEEFDEADBEEF
52600 .xword 0xDEADBEEFDEADBEEF
52601 .xword 0xDEADBEEFDEADBEEF
52602 .xword 0xDEADBEEFDEADBEEF
52603 .xword 0xDEADBEEFDEADBEEF
52604 .xword 0xDEADBEEFDEADBEEF
52605 .xword 0xDEADBEEFDEADBEEF
52606 .xword 0xDEADBEEFDEADBEEF
52607_t1_copy_auth_key:
52608 .xword 0x9e7272322d6ef6e3
52609 .xword 0x1509b66893208de3
52610 .xword 0xc7b4c2e8646e2cfb
52611 .xword 0x0ff70949bb24e96c
52612 .xword 0x78f82d6794909109
52613 .xword 0x4c3fcde649a6a350
52614 .xword 0x74798d3a64e6b5e6
52615 .xword 0xf0e09592785cfd7d
52616 .xword 0x9885b96a610027fd
52617 .xword 0x167ff7ad066c6c84
52618 .xword 0x15ffcaf270b59241
52619 .xword 0x986ce8b3eab28322
52620 .xword 0x0d2683006c089bd8
52621 .xword 0x9bb6689d91fe4dbc
52622 .xword 0xb99871781d9c3a63
52623 .xword 0xb1123eac63dfc385
52624 .xword 0x4db869d6c99b0a2b
52625 .xword 0xdfa2838882c2c5dd
52626 .xword 0x23f212c1abfea4c8
52627 .xword 0xe4151d4ad7845de6
52628 .xword 0x2e69dde57077df00
52629 .xword 0x1763fa04829ce1ad
52630 .xword 0xe52d9309d8708589
52631_t1_copy_auth_iv:
52632 .xword 0xd083264de3a52834
52633 .xword 0x06fbe35c1db0492b
52634 .xword 0xc8f708795d192ad8
52635 .xword 0xa3c815f0ffaa14a0
52636 .xword 0x30b833a42f4f9ad3
52637 .xword 0xed6bf9b38cc441b6
52638 .xword 0xceb9a3139008aa23
52639 .xword 0xbce841132ab7cf1d
52640 .xword 0x97f1abaae17f64b2
52641 .xword 0x94045875f1e25736
52642 .xword 0x2c98ef2cab993b5a
52643 .xword 0x6e76c009b9544bed
52644 .xword 0x83e187a6592f6bc5
52645 .xword 0x51c812a291c987ee
52646 .xword 0x1e07d1754ee35a31
52647 .xword 0xb7734f037e4ee300
52648 .xword 0x735fd2af72518724
52649 .xword 0x20a94c7f0d08722b
52650 .xword 0xb79de360f2e3ba56
52651 .xword 0x6ea2f2918772199e
52652 .xword 0x61298f59a96c4c52
52653 .xword 0x828023f738dfbd63
52654 .xword 0x548e8b3278a9a1fe
52655_t1_copy_fas_result:
52656 .xword 0xDEADBEEFDEADBEEF
52657 .xword 0xDEADBEEFDEADBEEF
52658 .xword 0xDEADBEEFDEADBEEF
52659 .xword 0xDEADBEEFDEADBEEF
52660 .xword 0xDEADBEEFDEADBEEF
52661 .xword 0xDEADBEEFDEADBEEF
52662 .xword 0xDEADBEEFDEADBEEF
52663 .xword 0xDEADBEEFDEADBEEF
52664 .xword 0xDEADBEEFDEADBEEF
52665 .xword 0xDEADBEEFDEADBEEF
52666 .xword 0xDEADBEEFDEADBEEF
52667 .xword 0xDEADBEEFDEADBEEF
52668 .xword 0xDEADBEEFDEADBEEF
52669 .xword 0xDEADBEEFDEADBEEF
52670 .xword 0xDEADBEEFDEADBEEF
52671 .xword 0xDEADBEEFDEADBEEF
52672 .xword 0xDEADBEEFDEADBEEF
52673 .xword 0xDEADBEEFDEADBEEF
52674 .xword 0xDEADBEEFDEADBEEF
52675 .xword 0xDEADBEEFDEADBEEF
52676 .xword 0xDEADBEEFDEADBEEF
52677 .xword 0xDEADBEEFDEADBEEF
52678 .xword 0xDEADBEEFDEADBEEF
52679_t1_crc_key_array:
52680 .xword 0xbf0af4e9db8fefb0
52681 .xword 0x7c2b4d162d380acf
52682 .xword 0xeac837762a4252ce
52683 .xword 0x52ed4cc48f1c883e
52684 .xword 0x53a6e52af76e3037
52685 .xword 0x436e5f407447c26a
52686 .xword 0xb60cfe8ded37fffc
52687 .xword 0xedee42e4d393d8e5
52688 .xword 0xd99e81894b169251
52689 .xword 0xa72ebb375b73769c
52690 .xword 0x2a0a2db74542e041
52691 .xword 0xf65304bbb878c408
52692 .xword 0x8eb1b7079e936e1c
52693 .xword 0x6ae0401b0450eac3
52694 .xword 0xa54ac2de1bf2002a
52695 .xword 0xa3f4c1ebaf47ebb5
52696 .xword 0x4541f3e85c3babf0
52697 .xword 0x139d6ac406356f23
52698 .xword 0xedb640cd59c88e7d
52699 .xword 0x50dbc30d24636023
52700 .xword 0x59e4045210ac7c21
52701 .xword 0x8b299e7385e09e10
52702 .xword 0x19021901458acddd
52703 .xword 0x57a0340a931d3581
52704 .xword 0x5c119714733c59aa
52705 .xword 0x952a193c45f94990
52706 .xword 0x1b635fce5509f08e
52707 .xword 0xaecabc0780cf35b6
52708 .xword 0x596450aa40af0d4c
52709 .xword 0x5642eae731138942
52710 .xword 0xae1471d371a3866e
52711 .xword 0x2a6a408e4f0f1b9b
52712 .xword 0x79a3fa749e58e075
52713 .xword 0x2c8d415e7a919afe
52714 .xword 0x4f3cd06c39d00d12
52715 .xword 0x30f15a25fe9ce962
52716 .xword 0x6910789085961615
52717 .xword 0x15fa81d10a87ca99
52718 .xword 0x6b290b676a1ae158
52719 .xword 0xd9f55cbf8fabb13f
52720 .xword 0xf7a061722c9c1de3
52721 .xword 0x17be1c9f09cfaa89
52722 .xword 0xb864ed212555ce23
52723 .xword 0x0b69001dbcb7fd12
52724 .xword 0xdc2c05fe88909f15
52725 .xword 0x60c84b52c5110d73
52726 .xword 0x871602c5d2bb5869
52727 .xword 0x0289ae74a2ca47ae
52728 .xword 0xbd9af51d0f27e287
52729 .xword 0x7ed92fc2eff0a203
52730 .xword 0x6d2ad3a3b404eb23
52731_t1_crc_iv_array:
52732 .xword 0x11630301bf4e88e3
52733 .xword 0xdaad7ff77a5b3340
52734 .xword 0x945ff6357c3e16cc
52735 .xword 0x21e0171779bc8e27
52736 .xword 0xfa8a777a6b8d4f40
52737 .xword 0x0ce4fb350abe9aa0
52738 .xword 0xdcff13a66fb50b23
52739 .xword 0x108af3ae5b1c47c8
52740 .xword 0xfc9768f5d7fd43f8
52741 .xword 0xca0467993c426592
52742 .xword 0x4d21939fe8fa90e3
52743 .xword 0x829ff3e41b309c26
52744 .xword 0xb780110ede01ad8a
52745 .xword 0xd3f2f24080d73f0b
52746 .xword 0x25928e3bee0c3c49
52747 .xword 0xec658558afe8ec48
52748 .xword 0x38e91b7904493eac
52749 .xword 0x1518ccb9cb13f948
52750 .xword 0x44adbfba173a5f2b
52751 .xword 0x71b0899347b030b7
52752 .xword 0xff650f8f76a94c4f
52753 .xword 0x1007c122de786fcf
52754 .xword 0x0760dfca68a5f2cf
52755 .xword 0x28d774a2cec38085
52756 .xword 0xdd24b3b75b6c4e77
52757 .xword 0xb4c2d3bd0561b757
52758 .xword 0xae05588baad5d6f2
52759 .xword 0x9fdd3cf6dc54a541
52760 .xword 0xd09cd03b81c4aee7
52761 .xword 0x45f0bb6f0c39e213
52762 .xword 0xbe1263291a4ee6e2
52763 .xword 0x8b0581f710d3bb11
52764 .xword 0x32d54f8d8fc44ebe
52765 .xword 0x87a4431e441f160b
52766 .xword 0xd63df3988ebae0a2
52767 .xword 0x2fef0e94b7b2cb5c
52768 .xword 0xbb1029d5d86dccb8
52769 .xword 0xb992bcd20b45082c
52770 .xword 0x91fe550f59801e4a
52771 .xword 0x2c9f50c577386e4c
52772 .xword 0x98d1bdb039e8839c
52773 .xword 0x6099e0c7a272e532
52774 .xword 0x9ef3af6cdb6a2868
52775 .xword 0xfcd74bb37585d2af
52776 .xword 0x643b8f26404e3d2a
52777_t1_crc_alignment_array:
52778 .xword 15
52779 .xword 10
52780 .xword 4
52781 .xword 14
52782 .xword 8
52783 .xword 13
52784 .xword 14
52785 .xword 13
52786 .xword 8
52787 .xword 10
52788 .xword 11
52789 .xword 15
52790 .xword 13
52791 .xword 15
52792 .xword 2
52793 .xword 2
52794 .xword 11
52795 .xword 2
52796 .xword 4
52797 .xword 13
52798 .xword 13
52799 .xword 14
52800 .xword 4
52801 .xword 5
52802 .xword 0
52803 .xword 15
52804 .xword 13
52805 .xword 15
52806 .xword 4
52807 .xword 9
52808 .xword 11
52809 .xword 0
52810 .xword 4
52811 .xword 7
52812 .xword 8
52813 .xword 8
52814 .xword 6
52815 .xword 7
52816 .xword 6
52817 .xword 12
52818 .xword 0
52819 .xword 9
52820 .xword 14
52821 .xword 13
52822 .xword 7
52823 .xword 4
52824 .xword 2
52825 .xword 2
52826 .xword 9
52827 .xword 5
52828 .xword 1
52829 .xword 3
52830 .xword 1
52831 .xword 7
52832 .xword 1
52833 .xword 3
52834 .xword 2
52835 .xword 8
52836 .xword 10
52837 .xword 11
52838 .xword 6
52839 .xword 8
52840 .xword 1
52841 .xword 4
52842 .xword 4
52843 .xword 15
52844 .xword 2
52845 .xword 6
52846 .xword 11
52847 .xword 9
52848 .xword 6
52849 .xword 0
52850 .xword 12
52851 .xword 15
52852 .xword 5
52853 .xword 7
52854 .xword 4
52855 .xword 4
52856 .xword 15
52857 .xword 9
52858 .xword 10
52859 .xword 14
52860 .xword 10
52861 .xword 12
52862 .xword 5
52863 .xword 5
52864 .xword 13
52865 .xword 5
52866 .xword 0
52867 .xword 1
52868 .xword 0
52869 .xword 14
52870 .xword 12
52871 .xword 12
52872 .xword 12
52873 .xword 7
52874 .xword 11
52875 .xword 14
52876 .xword 0
52877 .xword 5
52878 .xword 14
52879 .xword 2
52880 .xword 4
52881 .xword 1
52882 .xword 11
52883_t1_crc_src:
52884 .xword 0x317eca29d85ee4e2
52885 .xword 0x91a37d65992d78c9
52886 .xword 0xe31a828a178fbf93
52887 .xword 0xd5826d449ceb4ea2
52888 .xword 0x851366573e3046ec
52889 .xword 0x98cc6e4f76f2ba36
52890 .xword 0x12fa72f68740c25b
52891 .xword 0x69d4a9e322d061f0
52892 .xword 0x5ace0fa8a9bc2af5
52893 .xword 0x8da1984e5d2f4506
52894 .xword 0x8d3d7745632fcaab
52895 .xword 0xbde0f8b31bc1b319
52896 .xword 0xdc718580ce90ac62
52897 .xword 0x9cf080d064576a8f
52898 .xword 0x3c6895a8e8c5b721
52899 .xword 0xfdf8cd1641e0f6bc
52900 .xword 0xdac9cc03f4d3e9f3
52901 .xword 0xb1e7f4f94da51ee4
52902 .xword 0xc6bb0ed415cb6367
52903 .xword 0xd999c9fbca1535cc
52904 .xword 0xabe654c79589300b
52905 .xword 0x35d12d28b6341042
52906 .xword 0xe36be062aa4c31c7
52907 .xword 0x4600c5b760802f11
52908 .xword 0x47d1c1e8aeedca76
52909 .xword 0x3a10fd0ab80dac33
52910 .xword 0x9d86199549a13e51
52911 .xword 0xf266098fa531129f
52912 .xword 0xbf42bc51cb0f1039
52913 .xword 0x99d954f91f2c069b
52914 .xword 0xe3ce9ae2e389d366
52915 .xword 0x261428a0dfb7416b
52916 .xword 0x3b8fc81551ab7ee4
52917 .xword 0x1ac26ebdda04c1b2
52918 .xword 0xa70b0b7ab2d959ee
52919 .xword 0xcad6e72894128f25
52920 .xword 0x09186e3582f8c57b
52921 .xword 0x13ce8af22ab49ba5
52922 .xword 0x7dabfda44d6d7212
52923 .xword 0xc5bd79760b6aeb0f
52924 .xword 0x54a0be170b3416db
52925 .xword 0x11b0dde662dfdb2b
52926 .xword 0x9dedcba1c4894925
52927 .xword 0xb51d5c28c1eaed73
52928 .xword 0x28c2052a30a59869
52929 .xword 0x7cc640a0e839670c
52930 .xword 0xf32c4bfdb1b90b1d
52931 .xword 0xc560e383d45a7898
52932 .xword 0xf4897799b91271e9
52933 .xword 0xee0af9e271b09d66
52934 .xword 0xab37482c7930e5f3
52935 .xword 0xb0746af2fbdae8ee
52936 .xword 0xb8cb569e75e19379
52937 .xword 0xa7997d6116f510ba
52938 .xword 0x636416f7dedbca87
52939 .xword 0x67eb8d8c6c15b44b
52940 .xword 0xda3a86c4ef29f520
52941 .xword 0xa20e6cdc9f65abac
52942 .xword 0x1a47316c3900539a
52943 .xword 0xee4cdaee906691c0
52944 .xword 0xfcb5737dd9b63572
52945 .xword 0x4e62a008c2b8dda3
52946 .xword 0xd2c97c0485330a42
52947 .xword 0x85058fb0a2c5d0ed
52948 .xword 0x8c5fb4875c5023ba
52949 .xword 0xec41eaef7c7b2d2c
52950 .xword 0xd989449f3d57f92b
52951 .xword 0x89458d0609caa1d8
52952 .xword 0x7cc98e1e90b3d748
52953 .xword 0x1e6278198fbd42c7
52954 .xword 0x0e163056da7f6e06
52955 .xword 0xf06687a88e9da430
52956 .xword 0x6cfd02c3b2f53198
52957 .xword 0x90fcdcdaee554230
52958 .xword 0xa90cda6b81ff98fa
52959 .xword 0xfdf944d67574dbf3
52960 .xword 0x08f5a70126c83b6c
52961 .xword 0xce0cf56880337b07
52962 .xword 0x373bf73e2747b050
52963 .xword 0x011d507026d69e77
52964 .xword 0x742f889e441859de
52965 .xword 0x9e612aad95371668
52966 .xword 0x6c12bebbb6a10f85
52967 .xword 0x15d782290132fca1
52968 .xword 0x59dfc0ad2ae2dc87
52969 .xword 0x55a6f7278648368d
52970 .xword 0xb0998b2fd395c948
52971 .xword 0xd5c49c50f3650a1d
52972 .xword 0x5fdbf8bb1b04c103
52973 .xword 0x0bb0b9aa8846326f
52974 .xword 0x1dbaaa5570b16860
52975 .xword 0x02e4d096f928754f
52976 .xword 0x9256dc2915aaf007
52977 .xword 0xf767d3abed191585
52978 .xword 0x99badeaccc67bce2
52979 .xword 0x88159dc2f65915ec
52980 .xword 0xd1e92c075dfb45de
52981 .xword 0x59afc268da6e4e22
52982 .xword 0x5c960bc5925d8b1c
52983 .xword 0x78059fe6b4a9164b
52984 .xword 0x8a2a1a64accfd673
52985 .xword 0x860d2d08834aba81
52986 .xword 0x4fa17f6faef7e5d6
52987 .xword 0x162368f4a376d350
52988 .xword 0xd45e0926c21ffb0f
52989 .xword 0xd5a0c34bbfb6c20e
52990 .xword 0x5fe97f7d44254b3c
52991 .xword 0x1a1269c80f2ec291
52992 .xword 0x813d0fa4c28ee272
52993 .xword 0x17ef1cb0eb5749c0
52994 .xword 0x84f582c80e906373
52995 .xword 0xcc2414524636d906
52996 .xword 0x30af93cc6bf1c340
52997 .xword 0x4bfbc44eab870a57
52998 .xword 0xa70775dda555b59c
52999 .xword 0xdca8d69054babd5b
53000 .xword 0xd664fda554730576
53001 .xword 0x9337087a8beb75f2
53002 .xword 0xfd2f7af8edffb994
53003 .xword 0x40a2a653e5bffadb
53004 .xword 0x8284a577c5cf85c6
53005 .xword 0x034f6f0914b37bf5
53006 .xword 0x9866b2b1293ba30d
53007 .xword 0x406b2f7ef483c89d
53008 .xword 0xc4e01c1b00b468dd
53009 .xword 0x86da019b2fb24b1f
53010 .xword 0x6487f3a17b824cd3
53011 .xword 0x76a8a023c6077491
53012 .xword 0x2b7d4e0fb734a6bc
53013 .xword 0xf4b01e097d7fa9c4
53014 .xword 0xa0b9547704f99fda
53015 .xword 0x18ebf1508e2d28f6
53016 .xword 0xbd9f039c4bca7ab4
53017 .xword 0x5fc1f6424527e491
53018 .xword 0xea3c0bfbe47010e7
53019 .xword 0x3736241a41ed4b16
53020 .xword 0x3f09961efa10b6de
53021 .xword 0xffec127e4ceaa2fc
53022 .xword 0xf5c956523dc8b634
53023 .xword 0x330f816a30fe0c60
53024 .xword 0x0fa52f8b2f297676
53025 .xword 0xcbd7c2d89ce433a6
53026 .xword 0xceb663ffd467c58d
53027 .xword 0x687ab6edf2351768
53028 .xword 0x407fc46d8c236076
53029 .xword 0x4ab7f743800c25e9
53030 .xword 0xa0c691d369405d57
53031 .xword 0xf4860b9225729bd3
53032 .xword 0xe790d5735dc2f15d
53033 .xword 0xb0f098b856b2214c
53034 .xword 0x73e6698f07c2ef70
53035 .xword 0xa8a0585cac5b4683
53036 .xword 0xa6c2cb71fa01c76d
53037 .xword 0xd9fa97b247b619d0
53038 .xword 0x4ed1b09f93355ee2
53039 .xword 0x6b4604703ea3eb73
53040 .xword 0xb83ad15449964601
53041 .xword 0x949156863626d04c
53042 .xword 0xfc2a515faf04bd59
53043 .xword 0x4e7f8ce9796b683e
53044 .xword 0x76d459a4696bfd69
53045 .xword 0x46c6c428e304f621
53046 .xword 0x6779c4f5f5ccccc0
53047 .xword 0x9aa79a7cc62fa4ef
53048 .xword 0x296838098f9791df
53049 .xword 0x84cba972abad0317
53050 .xword 0xe4a494b9c13b5f88
53051 .xword 0xd043f31d2d3e23d7
53052 .xword 0xda9a29f18ef361a3
53053 .xword 0x7474e9c026858fe6
53054 .xword 0xdebb4ec51d92149d
53055 .xword 0xa5d8976bb3785bab
53056 .xword 0xfcd5c2004861db78
53057 .xword 0x1543dc9ad583b0bb
53058 .xword 0xd76ce297bd363d81
53059 .xword 0xe58bafef8e0502dc
53060 .xword 0x36f1fce8e5a57f2f
53061 .xword 0x2d7caf214fe8d7e5
53062 .xword 0x971bfc23b5009a64
53063 .xword 0xa2c174eacb27a79f
53064 .xword 0xe23e22e150f774aa
53065 .xword 0x941e0a9d233c6969
53066 .xword 0x17a22ccc67956f66
53067 .xword 0x5eff9fb52cae5d34
53068 .xword 0x32467a54b626c27f
53069 .xword 0xd6f20c423404d714
53070 .xword 0x4d75fa0a61633b3a
53071 .xword 0x229c516f7af05c7e
53072 .xword 0x9509ed7546478335
53073 .xword 0x263fbaa98dc2b925
53074 .xword 0x4ca937f9d1ba46a5
53075 .xword 0x0da071cf834fd40a
53076 .xword 0xe036f9e7e6c0211c
53077 .xword 0x345c0e5ff6c3b90e
53078 .xword 0x9780ed828427301a
53079 .xword 0xe7e32aa710e7535e
53080 .xword 0xde31e433306dc632
53081 .xword 0x9eb9236ffa1771cf
53082 .xword 0x548cd37488761cea
53083 .xword 0x4d687a229667410b
53084 .xword 0x440efe6ee38cccbb
53085 .xword 0xd1fbb6098abab79c
53086 .xword 0x930585d3c3eec367
53087 .xword 0x02b6d3bbf5e7ecfb
53088 .xword 0x70720628ba834149
53089 .xword 0x8c817e9912c30a8a
53090 .xword 0x88999474a7aa21e6
53091 .xword 0x24b0f6fd5320c17b
53092 .xword 0x50e60e14d9a72bff
53093 .xword 0xcddb496f705f3cfa
53094 .xword 0x118639eb13f0dbe0
53095 .xword 0x4da94d82464cb700
53096 .xword 0xe08519820d9648af
53097 .xword 0xde4bb5113326a995
53098 .xword 0x7daf426134545eea
53099 .xword 0x408d75de27730da0
53100 .xword 0x911ca87f471af02a
53101 .xword 0x54654ca9a1ac1922
53102 .xword 0xfe483e36d56b6a8d
53103 .xword 0x20427408a265d97e
53104 .xword 0x14307811c301af7e
53105 .xword 0x0acbda15f97d2cb4
53106 .xword 0xeb0cff4c4dff058b
53107 .xword 0x558bc58f18ecf64c
53108 .xword 0x07e5c93e60fbc9bc
53109 .xword 0xfbdc18d8832fdd0a
53110 .xword 0xc1a248a21b194f5e
53111 .xword 0x5fd65ccd7c26271c
53112 .xword 0xa142f60047116cf2
53113 .xword 0x55588705d17fea78
53114 .xword 0xb81c0c828070f5ea
53115 .xword 0x1e6841d012cc946a
53116 .xword 0x926e0410e8202565
53117 .xword 0x690c0a9eed7afb53
53118 .xword 0x03c22c34612534a2
53119 .xword 0xa0024204ba4634dc
53120 .xword 0xe08fa7a4c322e9e3
53121 .xword 0x05969b2d5fd0e315
53122 .xword 0xab0b4fb384e5dc73
53123 .xword 0x1882dccede1cf500
53124 .xword 0xada90d970dd89e35
53125 .xword 0x4754fa39b2068eba
53126 .xword 0x01d4545629e040e8
53127 .xword 0xe88d6febe4dd38bc
53128 .xword 0x87099536af6d2e0d
53129 .xword 0xd5f7bbbeca4cae96
53130 .xword 0x1d24d4541eb29e99
53131 .xword 0x4752470beaf706a8
53132 .xword 0xccbef5c42a4cac53
53133 .xword 0x5061150d8bfcd651
53134 .xword 0x06398e81d0f15b44
53135 .xword 0x74cde7e3e0f29ee3
53136 .xword 0x32df90d110f3d3dd
53137 .xword 0x7431e25bf248da02
53138 .xword 0xb517a051644cfc88
53139 .xword 0xed7e3c07f9657a39
53140 .xword 0x565ac6dec33159a4
53141 .xword 0x7a847f0205e67b29
53142 .xword 0x4bc5812472f05006
53143 .xword 0x2868e6407a0d0232
53144 .xword 0xa77bf4215b62bfe7
53145 .xword 0xcc2f025de19744cf
53146 .xword 0x1d3763bef57b77f4
53147 .xword 0xd70e246f3cb5de5b
53148 .xword 0x3d9142352b22d338
53149 .xword 0xe4d88d504193029a
53150 .xword 0x615430f356e2df7c
53151 .xword 0x55673a65d0aa8e50
53152 .xword 0x1251ad3ebfff164f
53153 .xword 0x6db4881533b0a8ca
53154 .xword 0x54ea0567d127c58b
53155 .xword 0x44b2af650f27be1d
53156 .xword 0x1734fc33a4933db6
53157 .xword 0xa6712606a4becdb4
53158 .xword 0xeeb736ac7f90b67d
53159_t1_crc_dest:
53160 .xword 0xDEADBEEFDEADBEEF
53161 .xword 0xDEADBEEFDEADBEEF
53162 .xword 0xDEADBEEFDEADBEEF
53163 .xword 0xDEADBEEFDEADBEEF
53164 .xword 0xDEADBEEFDEADBEEF
53165 .xword 0xDEADBEEFDEADBEEF
53166 .xword 0xDEADBEEFDEADBEEF
53167 .xword 0xDEADBEEFDEADBEEF
53168 .xword 0xDEADBEEFDEADBEEF
53169 .xword 0xDEADBEEFDEADBEEF
53170 .xword 0xDEADBEEFDEADBEEF
53171 .xword 0xDEADBEEFDEADBEEF
53172 .xword 0xDEADBEEFDEADBEEF
53173 .xword 0xDEADBEEFDEADBEEF
53174 .xword 0xDEADBEEFDEADBEEF
53175 .xword 0xDEADBEEFDEADBEEF
53176 .xword 0xDEADBEEFDEADBEEF
53177 .xword 0xDEADBEEFDEADBEEF
53178 .xword 0xDEADBEEFDEADBEEF
53179 .xword 0xDEADBEEFDEADBEEF
53180 .xword 0xDEADBEEFDEADBEEF
53181 .xword 0xDEADBEEFDEADBEEF
53182 .xword 0xDEADBEEFDEADBEEF
53183 .xword 0xDEADBEEFDEADBEEF
53184 .xword 0xDEADBEEFDEADBEEF
53185 .xword 0xDEADBEEFDEADBEEF
53186 .xword 0xDEADBEEFDEADBEEF
53187 .xword 0xDEADBEEFDEADBEEF
53188 .xword 0xDEADBEEFDEADBEEF
53189 .xword 0xDEADBEEFDEADBEEF
53190 .xword 0xDEADBEEFDEADBEEF
53191 .xword 0xDEADBEEFDEADBEEF
53192 .xword 0xDEADBEEFDEADBEEF
53193 .xword 0xDEADBEEFDEADBEEF
53194 .xword 0xDEADBEEFDEADBEEF
53195 .xword 0xDEADBEEFDEADBEEF
53196 .xword 0xDEADBEEFDEADBEEF
53197 .xword 0xDEADBEEFDEADBEEF
53198 .xword 0xDEADBEEFDEADBEEF
53199 .xword 0xDEADBEEFDEADBEEF
53200 .xword 0xDEADBEEFDEADBEEF
53201 .xword 0xDEADBEEFDEADBEEF
53202 .xword 0xDEADBEEFDEADBEEF
53203 .xword 0xDEADBEEFDEADBEEF
53204 .xword 0xDEADBEEFDEADBEEF
53205 .xword 0xDEADBEEFDEADBEEF
53206 .xword 0xDEADBEEFDEADBEEF
53207 .xword 0xDEADBEEFDEADBEEF
53208 .xword 0xDEADBEEFDEADBEEF
53209 .xword 0xDEADBEEFDEADBEEF
53210 .xword 0xDEADBEEFDEADBEEF
53211 .xword 0xDEADBEEFDEADBEEF
53212 .xword 0xDEADBEEFDEADBEEF
53213 .xword 0xDEADBEEFDEADBEEF
53214 .xword 0xDEADBEEFDEADBEEF
53215 .xword 0xDEADBEEFDEADBEEF
53216 .xword 0xDEADBEEFDEADBEEF
53217 .xword 0xDEADBEEFDEADBEEF
53218 .xword 0xDEADBEEFDEADBEEF
53219 .xword 0xDEADBEEFDEADBEEF
53220 .xword 0xDEADBEEFDEADBEEF
53221 .xword 0xDEADBEEFDEADBEEF
53222 .xword 0xDEADBEEFDEADBEEF
53223 .xword 0xDEADBEEFDEADBEEF
53224 .xword 0xDEADBEEFDEADBEEF
53225 .xword 0xDEADBEEFDEADBEEF
53226 .xword 0xDEADBEEFDEADBEEF
53227 .xword 0xDEADBEEFDEADBEEF
53228 .xword 0xDEADBEEFDEADBEEF
53229 .xword 0xDEADBEEFDEADBEEF
53230 .xword 0xDEADBEEFDEADBEEF
53231 .xword 0xDEADBEEFDEADBEEF
53232 .xword 0xDEADBEEFDEADBEEF
53233 .xword 0xDEADBEEFDEADBEEF
53234 .xword 0xDEADBEEFDEADBEEF
53235 .xword 0xDEADBEEFDEADBEEF
53236 .xword 0xDEADBEEFDEADBEEF
53237 .xword 0xDEADBEEFDEADBEEF
53238 .xword 0xDEADBEEFDEADBEEF
53239 .xword 0xDEADBEEFDEADBEEF
53240 .xword 0xDEADBEEFDEADBEEF
53241 .xword 0xDEADBEEFDEADBEEF
53242 .xword 0xDEADBEEFDEADBEEF
53243 .xword 0xDEADBEEFDEADBEEF
53244 .xword 0xDEADBEEFDEADBEEF
53245 .xword 0xDEADBEEFDEADBEEF
53246 .xword 0xDEADBEEFDEADBEEF
53247 .xword 0xDEADBEEFDEADBEEF
53248 .xword 0xDEADBEEFDEADBEEF
53249 .xword 0xDEADBEEFDEADBEEF
53250 .xword 0xDEADBEEFDEADBEEF
53251 .xword 0xDEADBEEFDEADBEEF
53252 .xword 0xDEADBEEFDEADBEEF
53253 .xword 0xDEADBEEFDEADBEEF
53254 .xword 0xDEADBEEFDEADBEEF
53255 .xword 0xDEADBEEFDEADBEEF
53256 .xword 0xDEADBEEFDEADBEEF
53257 .xword 0xDEADBEEFDEADBEEF
53258 .xword 0xDEADBEEFDEADBEEF
53259 .xword 0xDEADBEEFDEADBEEF
53260 .xword 0xDEADBEEFDEADBEEF
53261 .xword 0xDEADBEEFDEADBEEF
53262 .xword 0xDEADBEEFDEADBEEF
53263 .xword 0xDEADBEEFDEADBEEF
53264 .xword 0xDEADBEEFDEADBEEF
53265 .xword 0xDEADBEEFDEADBEEF
53266 .xword 0xDEADBEEFDEADBEEF
53267 .xword 0xDEADBEEFDEADBEEF
53268 .xword 0xDEADBEEFDEADBEEF
53269 .xword 0xDEADBEEFDEADBEEF
53270 .xword 0xDEADBEEFDEADBEEF
53271 .xword 0xDEADBEEFDEADBEEF
53272 .xword 0xDEADBEEFDEADBEEF
53273 .xword 0xDEADBEEFDEADBEEF
53274 .xword 0xDEADBEEFDEADBEEF
53275 .xword 0xDEADBEEFDEADBEEF
53276 .xword 0xDEADBEEFDEADBEEF
53277 .xword 0xDEADBEEFDEADBEEF
53278 .xword 0xDEADBEEFDEADBEEF
53279 .xword 0xDEADBEEFDEADBEEF
53280 .xword 0xDEADBEEFDEADBEEF
53281 .xword 0xDEADBEEFDEADBEEF
53282 .xword 0xDEADBEEFDEADBEEF
53283 .xword 0xDEADBEEFDEADBEEF
53284 .xword 0xDEADBEEFDEADBEEF
53285 .xword 0xDEADBEEFDEADBEEF
53286 .xword 0xDEADBEEFDEADBEEF
53287 .xword 0xDEADBEEFDEADBEEF
53288 .xword 0xDEADBEEFDEADBEEF
53289 .xword 0xDEADBEEFDEADBEEF
53290 .xword 0xDEADBEEFDEADBEEF
53291 .xword 0xDEADBEEFDEADBEEF
53292 .xword 0xDEADBEEFDEADBEEF
53293 .xword 0xDEADBEEFDEADBEEF
53294 .xword 0xDEADBEEFDEADBEEF
53295 .xword 0xDEADBEEFDEADBEEF
53296 .xword 0xDEADBEEFDEADBEEF
53297 .xword 0xDEADBEEFDEADBEEF
53298 .xword 0xDEADBEEFDEADBEEF
53299 .xword 0xDEADBEEFDEADBEEF
53300 .xword 0xDEADBEEFDEADBEEF
53301 .xword 0xDEADBEEFDEADBEEF
53302 .xword 0xDEADBEEFDEADBEEF
53303 .xword 0xDEADBEEFDEADBEEF
53304 .xword 0xDEADBEEFDEADBEEF
53305 .xword 0xDEADBEEFDEADBEEF
53306 .xword 0xDEADBEEFDEADBEEF
53307 .xword 0xDEADBEEFDEADBEEF
53308 .xword 0xDEADBEEFDEADBEEF
53309 .xword 0xDEADBEEFDEADBEEF
53310 .xword 0xDEADBEEFDEADBEEF
53311 .xword 0xDEADBEEFDEADBEEF
53312 .xword 0xDEADBEEFDEADBEEF
53313 .xword 0xDEADBEEFDEADBEEF
53314 .xword 0xDEADBEEFDEADBEEF
53315 .xword 0xDEADBEEFDEADBEEF
53316 .xword 0xDEADBEEFDEADBEEF
53317 .xword 0xDEADBEEFDEADBEEF
53318 .xword 0xDEADBEEFDEADBEEF
53319 .xword 0xDEADBEEFDEADBEEF
53320 .xword 0xDEADBEEFDEADBEEF
53321 .xword 0xDEADBEEFDEADBEEF
53322 .xword 0xDEADBEEFDEADBEEF
53323 .xword 0xDEADBEEFDEADBEEF
53324 .xword 0xDEADBEEFDEADBEEF
53325 .xword 0xDEADBEEFDEADBEEF
53326 .xword 0xDEADBEEFDEADBEEF
53327 .xword 0xDEADBEEFDEADBEEF
53328 .xword 0xDEADBEEFDEADBEEF
53329 .xword 0xDEADBEEFDEADBEEF
53330 .xword 0xDEADBEEFDEADBEEF
53331 .xword 0xDEADBEEFDEADBEEF
53332 .xword 0xDEADBEEFDEADBEEF
53333 .xword 0xDEADBEEFDEADBEEF
53334 .xword 0xDEADBEEFDEADBEEF
53335 .xword 0xDEADBEEFDEADBEEF
53336 .xword 0xDEADBEEFDEADBEEF
53337 .xword 0xDEADBEEFDEADBEEF
53338 .xword 0xDEADBEEFDEADBEEF
53339 .xword 0xDEADBEEFDEADBEEF
53340 .xword 0xDEADBEEFDEADBEEF
53341 .xword 0xDEADBEEFDEADBEEF
53342 .xword 0xDEADBEEFDEADBEEF
53343 .xword 0xDEADBEEFDEADBEEF
53344 .xword 0xDEADBEEFDEADBEEF
53345 .xword 0xDEADBEEFDEADBEEF
53346 .xword 0xDEADBEEFDEADBEEF
53347 .xword 0xDEADBEEFDEADBEEF
53348 .xword 0xDEADBEEFDEADBEEF
53349 .xword 0xDEADBEEFDEADBEEF
53350 .xword 0xDEADBEEFDEADBEEF
53351 .xword 0xDEADBEEFDEADBEEF
53352 .xword 0xDEADBEEFDEADBEEF
53353 .xword 0xDEADBEEFDEADBEEF
53354 .xword 0xDEADBEEFDEADBEEF
53355 .xword 0xDEADBEEFDEADBEEF
53356 .xword 0xDEADBEEFDEADBEEF
53357 .xword 0xDEADBEEFDEADBEEF
53358 .xword 0xDEADBEEFDEADBEEF
53359 .xword 0xDEADBEEFDEADBEEF
53360 .xword 0xDEADBEEFDEADBEEF
53361 .xword 0xDEADBEEFDEADBEEF
53362 .xword 0xDEADBEEFDEADBEEF
53363 .xword 0xDEADBEEFDEADBEEF
53364 .xword 0xDEADBEEFDEADBEEF
53365 .xword 0xDEADBEEFDEADBEEF
53366 .xword 0xDEADBEEFDEADBEEF
53367 .xword 0xDEADBEEFDEADBEEF
53368 .xword 0xDEADBEEFDEADBEEF
53369 .xword 0xDEADBEEFDEADBEEF
53370 .xword 0xDEADBEEFDEADBEEF
53371 .xword 0xDEADBEEFDEADBEEF
53372 .xword 0xDEADBEEFDEADBEEF
53373 .xword 0xDEADBEEFDEADBEEF
53374 .xword 0xDEADBEEFDEADBEEF
53375 .xword 0xDEADBEEFDEADBEEF
53376 .xword 0xDEADBEEFDEADBEEF
53377 .xword 0xDEADBEEFDEADBEEF
53378 .xword 0xDEADBEEFDEADBEEF
53379 .xword 0xDEADBEEFDEADBEEF
53380 .xword 0xDEADBEEFDEADBEEF
53381 .xword 0xDEADBEEFDEADBEEF
53382 .xword 0xDEADBEEFDEADBEEF
53383 .xword 0xDEADBEEFDEADBEEF
53384 .xword 0xDEADBEEFDEADBEEF
53385 .xword 0xDEADBEEFDEADBEEF
53386 .xword 0xDEADBEEFDEADBEEF
53387 .xword 0xDEADBEEFDEADBEEF
53388 .xword 0xDEADBEEFDEADBEEF
53389 .xword 0xDEADBEEFDEADBEEF
53390 .xword 0xDEADBEEFDEADBEEF
53391 .xword 0xDEADBEEFDEADBEEF
53392 .xword 0xDEADBEEFDEADBEEF
53393 .xword 0xDEADBEEFDEADBEEF
53394 .xword 0xDEADBEEFDEADBEEF
53395 .xword 0xDEADBEEFDEADBEEF
53396 .xword 0xDEADBEEFDEADBEEF
53397 .xword 0xDEADBEEFDEADBEEF
53398 .xword 0xDEADBEEFDEADBEEF
53399 .xword 0xDEADBEEFDEADBEEF
53400 .xword 0xDEADBEEFDEADBEEF
53401 .xword 0xDEADBEEFDEADBEEF
53402 .xword 0xDEADBEEFDEADBEEF
53403 .xword 0xDEADBEEFDEADBEEF
53404 .xword 0xDEADBEEFDEADBEEF
53405 .xword 0xDEADBEEFDEADBEEF
53406 .xword 0xDEADBEEFDEADBEEF
53407 .xword 0xDEADBEEFDEADBEEF
53408 .xword 0xDEADBEEFDEADBEEF
53409 .xword 0xDEADBEEFDEADBEEF
53410 .xword 0xDEADBEEFDEADBEEF
53411 .xword 0xDEADBEEFDEADBEEF
53412 .xword 0xDEADBEEFDEADBEEF
53413 .xword 0xDEADBEEFDEADBEEF
53414 .xword 0xDEADBEEFDEADBEEF
53415 .xword 0xDEADBEEFDEADBEEF
53416 .xword 0xDEADBEEFDEADBEEF
53417 .xword 0xDEADBEEFDEADBEEF
53418 .xword 0xDEADBEEFDEADBEEF
53419 .xword 0xDEADBEEFDEADBEEF
53420 .xword 0xDEADBEEFDEADBEEF
53421 .xword 0xDEADBEEFDEADBEEF
53422 .xword 0xDEADBEEFDEADBEEF
53423 .xword 0xDEADBEEFDEADBEEF
53424 .xword 0xDEADBEEFDEADBEEF
53425 .xword 0xDEADBEEFDEADBEEF
53426 .xword 0xDEADBEEFDEADBEEF
53427 .xword 0xDEADBEEFDEADBEEF
53428 .xword 0xDEADBEEFDEADBEEF
53429 .xword 0xDEADBEEFDEADBEEF
53430 .xword 0xDEADBEEFDEADBEEF
53431 .xword 0xDEADBEEFDEADBEEF
53432 .xword 0xDEADBEEFDEADBEEF
53433 .xword 0xDEADBEEFDEADBEEF
53434 .xword 0xDEADBEEFDEADBEEF
53435_t1_crc_auth_key:
53436 .xword 0x7f5a4211a12f3d78
53437 .xword 0x9b6472334d56f20b
53438 .xword 0x757470fb992ba91d
53439 .xword 0xb96f75cb4e07a7d9
53440 .xword 0x47e446709797dd19
53441 .xword 0xad75762fc46b7e18
53442 .xword 0x5ddaecde1c18c65f
53443 .xword 0xebd6effbfcc2d04b
53444 .xword 0x7bd38190adf1e223
53445 .xword 0xc9be0316f4ef2b63
53446 .xword 0x8284cd090a04b13b
53447 .xword 0x6ed15828f28199ab
53448 .xword 0xbaffb34dcd207006
53449 .xword 0x63b4434bd37fa50a
53450 .xword 0xeebbbb6970e8a7dc
53451 .xword 0x9e8a194244f4fc1e
53452 .xword 0x1de6b17ab16a5d7f
53453 .xword 0x5186b352c6ee7789
53454 .xword 0x15d55a73a5921124
53455 .xword 0xab2517c12ca4e39a
53456 .xword 0x5ce64cbc38179ab1
53457 .xword 0x5d5c4ec143aa910e
53458 .xword 0x5d0cc55eaa6bc5f0
53459_t1_crc_auth_iv:
53460 .xword 0x26beb47db887b995
53461 .xword 0xd3738069ee99fa3d
53462 .xword 0x63101ff9ae8e71c0
53463 .xword 0xdc56983c3fb5b47a
53464 .xword 0x14e4d79c99b48af1
53465 .xword 0x914494f953a8958c
53466 .xword 0xbf4cd3b5d702d1a6
53467 .xword 0xa5fec7440ad1823c
53468 .xword 0xa2146a76bd65f608
53469 .xword 0x6b8a6fcbbe94972a
53470 .xword 0xdefd867230b31d8a
53471 .xword 0x09be6ec2798ca7cf
53472 .xword 0x0323269f2f3155b6
53473 .xword 0x506632d2532438b8
53474 .xword 0x81af7c844effda17
53475 .xword 0x58461988c0ba9f55
53476 .xword 0x30f0712c291b6dab
53477 .xword 0xa577dff7eefd1969
53478 .xword 0x2303e8ab859f4669
53479 .xword 0x2a28747b1e677bb6
53480 .xword 0x28dd650c172d6747
53481 .xword 0x3c8bbf65ed0332b1
53482 .xword 0x160d54c27a1a3f43
53483_t1_crc_fas_result:
53484 .xword 0xDEADBEEFDEADBEEF
53485 .xword 0xDEADBEEFDEADBEEF
53486 .xword 0xDEADBEEFDEADBEEF
53487 .xword 0xDEADBEEFDEADBEEF
53488 .xword 0xDEADBEEFDEADBEEF
53489 .xword 0xDEADBEEFDEADBEEF
53490 .xword 0xDEADBEEFDEADBEEF
53491 .xword 0xDEADBEEFDEADBEEF
53492 .xword 0xDEADBEEFDEADBEEF
53493 .xword 0xDEADBEEFDEADBEEF
53494 .xword 0xDEADBEEFDEADBEEF
53495 .xword 0xDEADBEEFDEADBEEF
53496 .xword 0xDEADBEEFDEADBEEF
53497 .xword 0xDEADBEEFDEADBEEF
53498 .xword 0xDEADBEEFDEADBEEF
53499 .xword 0xDEADBEEFDEADBEEF
53500 .xword 0xDEADBEEFDEADBEEF
53501 .xword 0xDEADBEEFDEADBEEF
53502 .xword 0xDEADBEEFDEADBEEF
53503 .xword 0xDEADBEEFDEADBEEF
53504 .xword 0xDEADBEEFDEADBEEF
53505 .xword 0xDEADBEEFDEADBEEF
53506 .xword 0xDEADBEEFDEADBEEF
53507_t1_hash_key_array:
53508 .xword 0xf8edcaac5cb994aa
53509 .xword 0x871a66d77d58f149
53510 .xword 0x831d75a843e9b8d2
53511 .xword 0x2322ebf46fac034e
53512 .xword 0xd10efe206a98061b
53513 .xword 0xd05157905d47bdbf
53514 .xword 0x4b3fed8351b0bf4d
53515 .xword 0x0506d4f3d7251f2a
53516 .xword 0x423088793879cc8e
53517 .xword 0x972364320bf3432f
53518 .xword 0x2cb9f8d04be7e122
53519 .xword 0x834d41c378bec40b
53520 .xword 0x5ac35059b6911079
53521 .xword 0xe762a5f2fc849ed5
53522 .xword 0xae92d19d114a2528
53523 .xword 0xe8c6aaf63eebe8be
53524 .xword 0x2f7b7db0d95e2465
53525 .xword 0x428b793367ef5c2c
53526 .xword 0x10bc2da7a65570d4
53527 .xword 0x8914de1669c05655
53528 .xword 0xf913771f898a5653
53529 .xword 0x44bc93d674d6c995
53530 .xword 0xa5c092b5b1a8e090
53531 .xword 0xb60691d5b77838d0
53532 .xword 0x71149100e72186f6
53533 .xword 0x7ea8e34b0a72ea9e
53534 .xword 0x4b859adaaf053645
53535 .xword 0x5b20d18489d4141b
53536 .xword 0x10363a508fa85dae
53537 .xword 0x0c2e77d84fb9cfc2
53538 .xword 0x548119e8063eab92
53539 .xword 0x329a11c75eaefe67
53540 .xword 0x9bca06998af230ce
53541 .xword 0x5eeee1a03bbeeeef
53542 .xword 0x3c706a2ef9b7e5e1
53543 .xword 0x212b1d1e0921347d
53544 .xword 0x596620399044e7c7
53545 .xword 0xd83e5b7da32f5fd6
53546 .xword 0x066b3b4f210ebffc
53547 .xword 0x1363627f447d5585
53548 .xword 0xa840b0f4d017c104
53549 .xword 0xa258194a81bee283
53550 .xword 0x55a5b08aedf23591
53551 .xword 0x2a25a86e1b4ca359
53552 .xword 0xfbf76ff0395e9bb2
53553 .xword 0xb9fd7959d99f6c1e
53554 .xword 0xb1d92a28d7cf30e1
53555 .xword 0x9cb7fe46564f03f7
53556 .xword 0xda1251b49d4e4fb6
53557 .xword 0xd5893c81a33e54ac
53558 .xword 0x74a11a2eac398f13
53559_t1_hash_iv_array:
53560 .xword 0x0e8557d810cdb594
53561 .xword 0x8c8a25b6db6922a4
53562 .xword 0x3ff95e294a6e81c7
53563 .xword 0x25b0f8bb566da159
53564 .xword 0x6e3ac522c824f0da
53565 .xword 0x5b118af98086223b
53566 .xword 0x68d9e31628428940
53567 .xword 0xee19fc1a0ea17972
53568 .xword 0xdc006fd6dd73d93f
53569 .xword 0x1fdc11521153d622
53570 .xword 0x43d0248a32c0373f
53571 .xword 0x2114806d77fc3345
53572 .xword 0x5f38b4cbb971076f
53573 .xword 0xdf1dc9a4880d7fb3
53574 .xword 0x5d905b8ceab64768
53575 .xword 0x1b5ab58c683acf46
53576 .xword 0xc7e69893fb38f192
53577 .xword 0x46d232d5bedde3e2
53578 .xword 0x11a9d8066a83a5c5
53579 .xword 0x90f0dd6c691ad043
53580 .xword 0xfe4b52e746dea5be
53581 .xword 0xd04a00778463d3d1
53582 .xword 0x6bcb6406451bed90
53583 .xword 0x4c130b140d3ad4fd
53584 .xword 0x9ca8e6bd131e5927
53585 .xword 0xb97000fbb3117f33
53586 .xword 0x9075e3a7faaae905
53587 .xword 0x2a4d99de30c68f5e
53588 .xword 0xa668c12028722c59
53589 .xword 0x5024e76b60d74beb
53590 .xword 0x441f82e99b2b3973
53591 .xword 0xb40254526613c18a
53592 .xword 0x74a62fd78ac25a72
53593 .xword 0x0237e07197942f84
53594 .xword 0x89276121d421c635
53595 .xword 0xdb3853dc08d76e58
53596 .xword 0x1f4cb3db25a008be
53597 .xword 0x17283e0e21e6736d
53598 .xword 0x27feb4c5bffe23de
53599 .xword 0x9c718e27bca48317
53600 .xword 0x7f8fc21ac55edef9
53601 .xword 0x00f199932c8fa7b6
53602 .xword 0x4c268691a68374d2
53603 .xword 0xe991453c8ae6b30f
53604 .xword 0xf5e49a173ea579e5
53605_t1_hash_alignment_array:
53606 .xword 1
53607 .xword 10
53608 .xword 8
53609 .xword 14
53610 .xword 15
53611 .xword 10
53612 .xword 14
53613 .xword 13
53614 .xword 4
53615 .xword 6
53616 .xword 5
53617 .xword 10
53618 .xword 3
53619 .xword 8
53620 .xword 2
53621 .xword 8
53622 .xword 8
53623 .xword 1
53624 .xword 12
53625 .xword 2
53626 .xword 8
53627 .xword 3
53628 .xword 7
53629 .xword 4
53630 .xword 15
53631 .xword 9
53632 .xword 1
53633 .xword 9
53634 .xword 7
53635 .xword 0
53636 .xword 14
53637 .xword 13
53638 .xword 14
53639 .xword 7
53640 .xword 2
53641 .xword 10
53642 .xword 2
53643 .xword 7
53644 .xword 8
53645 .xword 13
53646 .xword 14
53647 .xword 14
53648 .xword 5
53649 .xword 1
53650 .xword 14
53651 .xword 1
53652 .xword 8
53653 .xword 2
53654 .xword 4
53655 .xword 2
53656 .xword 7
53657 .xword 14
53658 .xword 7
53659 .xword 5
53660 .xword 0
53661 .xword 5
53662 .xword 5
53663 .xword 9
53664 .xword 12
53665 .xword 12
53666 .xword 1
53667 .xword 5
53668 .xword 13
53669 .xword 8
53670 .xword 11
53671 .xword 11
53672 .xword 0
53673 .xword 13
53674 .xword 9
53675 .xword 6
53676 .xword 3
53677 .xword 13
53678 .xword 3
53679 .xword 11
53680 .xword 15
53681 .xword 2
53682 .xword 7
53683 .xword 9
53684 .xword 8
53685 .xword 14
53686 .xword 15
53687 .xword 4
53688 .xword 6
53689 .xword 7
53690 .xword 7
53691 .xword 11
53692 .xword 13
53693 .xword 9
53694 .xword 7
53695 .xword 7
53696 .xword 8
53697 .xword 14
53698 .xword 14
53699 .xword 6
53700 .xword 10
53701 .xword 2
53702 .xword 0
53703 .xword 4
53704 .xword 1
53705 .xword 10
53706 .xword 3
53707 .xword 4
53708 .xword 2
53709 .xword 1
53710 .xword 13
53711_t1_hash_src:
53712 .xword 0x4b9b3aa82ef3966d
53713 .xword 0x8f0c9bd2984bc2a9
53714 .xword 0xc4a493a34d1a2781
53715 .xword 0x9dd233ad7f844a68
53716 .xword 0x8b4dafd0ff2ce692
53717 .xword 0x8eeca21afba14b80
53718 .xword 0xbea6ff9a2f7cac20
53719 .xword 0x3adfb3eba7b48daf
53720 .xword 0x730f53096d657779
53721 .xword 0xed2d31b32e86b23b
53722 .xword 0xda53a815abd33404
53723 .xword 0x6107a27064daceb3
53724 .xword 0xd625c3284d11390c
53725 .xword 0x38b8eb53ced40374
53726 .xword 0xb90a4eb374c934ae
53727 .xword 0xfaa5a3fd9c6e42bf
53728 .xword 0x6907425e63272670
53729 .xword 0xf9425a4cd8c2db80
53730 .xword 0x15d3a13e572bcd3d
53731 .xword 0xec15598db248d0c1
53732 .xword 0x2909475ab00e1047
53733 .xword 0x36b23fed75fb8e54
53734 .xword 0xb2c77a351163aa48
53735 .xword 0x22ea1f21eecb137e
53736 .xword 0xe1ac3bbfc3ee147c
53737 .xword 0xf7663594307d6552
53738 .xword 0xaee7692816ac499f
53739 .xword 0x111f77a345416306
53740 .xword 0x32e00ffa438b70e5
53741 .xword 0xc91f4eab02214ba4
53742 .xword 0xef700d2498d37392
53743 .xword 0xc70b6ebf1fc28c06
53744 .xword 0xdb69c76a4d208a61
53745 .xword 0xc484f85ee504bef6
53746 .xword 0x1c59c51bcd67f0e5
53747 .xword 0x74e8707aaf5f71c2
53748 .xword 0xc0b13883b38271de
53749 .xword 0xa138457efc4d6b88
53750 .xword 0x469392e2b6265446
53751 .xword 0xcce6e6a9950be9ba
53752 .xword 0xe4c9051b72032541
53753 .xword 0x2b3a770b360533c4
53754 .xword 0xfb42ba0d0702b6cc
53755 .xword 0x24ee0cffa219b397
53756 .xword 0x0ae2083c10b947ab
53757 .xword 0xd05b464e70825fc7
53758 .xword 0x5b34cd79ae0f8ec2
53759 .xword 0x25276bae943d81bc
53760 .xword 0x8a8ba3c5c5d236ba
53761 .xword 0x2483894a6df508e1
53762 .xword 0x7f76d4b51c30bb48
53763 .xword 0xb41b7111c094181a
53764 .xword 0x3df3f3d35035d51a
53765 .xword 0x974e2f3e6a6c44ee
53766 .xword 0x62bddbcb10009419
53767 .xword 0x5277042749503582
53768 .xword 0x0329571e99daa98a
53769 .xword 0x215386226e941dae
53770 .xword 0x604f921acfd67c15
53771 .xword 0xbf781b1bfb1047b8
53772 .xword 0x2c889ccafba2cbc8
53773 .xword 0xd8fd2f6431bf6fc2
53774 .xword 0x37d1b06e9969f6a9
53775 .xword 0x184b2dd0faac063e
53776 .xword 0xd918a59c572f9c20
53777 .xword 0xd9b2e5ae491091ce
53778 .xword 0x4eed16ed3ea55e05
53779 .xword 0x4cd8351059930d8e
53780 .xword 0x88d963ca540a4037
53781 .xword 0x46a03830f20eaf61
53782 .xword 0x694d2ca425668e68
53783 .xword 0x6d6b0f7eb5b7e92a
53784 .xword 0xdecdd66e5ec399fb
53785 .xword 0x5f05f9c76c7b3af0
53786 .xword 0x68b407349c6ed397
53787 .xword 0x37ef1e0bbbe30d69
53788 .xword 0xbaafb964740ee3db
53789 .xword 0xfa91af94e5574e96
53790 .xword 0x81469310bffe9ca0
53791 .xword 0x825aa90b2ac67621
53792 .xword 0x204e01114f5c43d2
53793 .xword 0xa0558b2adb5804ad
53794 .xword 0xbc9bb167cb8f1bd8
53795 .xword 0xe45ea085470ccb57
53796 .xword 0x6e0a2f5a356c2463
53797 .xword 0x26b6757f6f50f316
53798 .xword 0x2e41340069d233be
53799 .xword 0x8b6a8d3b8181cdcf
53800 .xword 0xd96aad4959e77c33
53801 .xword 0x9b2408efba6e9d1e
53802 .xword 0x4509c06d140748f7
53803 .xword 0x9998dab6d7a60ba8
53804 .xword 0xac74726f2eef2dd3
53805 .xword 0xdb360bad904906d0
53806 .xword 0xe3bec27ab30312ee
53807 .xword 0xb5f7d887addcb81a
53808 .xword 0x7d7af901ff9c6b2c
53809 .xword 0x972d75f06703c56e
53810 .xword 0x7e88f3e207c39545
53811 .xword 0x78596c2784726cd1
53812 .xword 0xd7848f28abae12c8
53813 .xword 0x171d2bdc0bfd5e90
53814 .xword 0x1e673f2ccf63e76d
53815 .xword 0xa0f0b5a8028fe3a1
53816 .xword 0xe9b78d9484fc29a1
53817 .xword 0x2e71bbdbb75d2de2
53818 .xword 0xd7ab5213d27bf890
53819 .xword 0xd562cee0f1dee587
53820 .xword 0x85f4bdb284626d0a
53821 .xword 0x402e574c1a598f30
53822 .xword 0xcb00817c980a40b5
53823 .xword 0xf007ead296484ec3
53824 .xword 0x07983fcbca9f4629
53825 .xword 0x0f2a433a3c1a5f27
53826 .xword 0x23ab7aa248682696
53827 .xword 0x3941d4b7f9e3fb62
53828 .xword 0xc0598f1a6b505be9
53829 .xword 0xdb314700102ed3cf
53830 .xword 0xf1c306439bddaf45
53831 .xword 0x1e4b82b55058324f
53832 .xword 0x77476c1192c41a2d
53833 .xword 0x7d3b9a522ebb5b6f
53834 .xword 0x1f4633ec873213e2
53835 .xword 0xf4818ad32d6b27e6
53836 .xword 0x0beb424fc056df5e
53837 .xword 0x33e1325656dd0a77
53838 .xword 0xdb658c6cac2297d7
53839 .xword 0x21220270aa2f2fac
53840 .xword 0x0d85862cd573548b
53841 .xword 0x640a0581f0e24326
53842 .xword 0x310555bf52aa2f77
53843 .xword 0x2736c4fadc1b8c87
53844 .xword 0xf294c6f024f1d5f0
53845 .xword 0xac1328820570bcbe
53846 .xword 0xdbccb9f22a4c676c
53847 .xword 0x3c2eff9a593b8646
53848 .xword 0x686ef583e4b9b223
53849 .xword 0xc974700219872888
53850 .xword 0xaa62a66c81d2c37f
53851 .xword 0x74b3cb2db458dadb
53852 .xword 0x885e86ecc7a840fb
53853 .xword 0xa9306b1e6ac8b78a
53854 .xword 0x97d2ceb3406b7d78
53855 .xword 0x143e24413cd88078
53856 .xword 0x6b3ea81d42d807cc
53857 .xword 0xdfd8a237e6123b6f
53858 .xword 0x31942da6bd3908d2
53859 .xword 0xd691b5a1d8a4ebd3
53860 .xword 0xe11ccc48c7e05a89
53861 .xword 0x074d1c50944d9596
53862 .xword 0x3924312e407e73aa
53863 .xword 0x9da223e0c2c4b524
53864 .xword 0xd3239ce0648bcdfa
53865 .xword 0x96a2a6508eb0ca32
53866 .xword 0xe172a91406e689e9
53867 .xword 0x4c5457f2b8508889
53868 .xword 0x8bfc509858b94cb9
53869 .xword 0xd7dedbe31eae7f64
53870 .xword 0xc8230de68aa6d802
53871 .xword 0x5c69f5404b5caad2
53872 .xword 0x9978d6ce2e249528
53873 .xword 0xaa88ff1031466ec8
53874 .xword 0x067069c16ff9b891
53875 .xword 0xb8fe99af6384d4bb
53876 .xword 0x4bddd89ff9756cd4
53877 .xword 0xc60afa8d18c90c87
53878 .xword 0x65f5af92c92899db
53879 .xword 0x3a0cbe141a145a17
53880 .xword 0x0f1ceeb1ec8044df
53881 .xword 0xa41e0d86ee426507
53882 .xword 0xe103211e3bef8b43
53883 .xword 0x0b72b4eae453e943
53884 .xword 0x36dff35a0158e01c
53885 .xword 0x99fc60795a54ce73
53886 .xword 0xc2bad4fa13afd083
53887 .xword 0x1a11a97891976ff9
53888 .xword 0x1940a68051632671
53889 .xword 0x537b04c90a32d9f2
53890 .xword 0x5098b48cd676096f
53891 .xword 0x790cdcd2c4c48899
53892 .xword 0x5ecb3a7e2cf6b959
53893 .xword 0x4ea70a940094f6b4
53894 .xword 0xe5ad6a3938441823
53895 .xword 0xb253162f298527b6
53896 .xword 0xaf7b6ac66603e6f6
53897 .xword 0x809eda248a6310d6
53898 .xword 0x782ee84e17a888f8
53899 .xword 0x8721484ba13992f0
53900 .xword 0x045fa8827b972e37
53901 .xword 0x1a942f57b0b6e510
53902 .xword 0xc64a087d4e64c28e
53903 .xword 0xf865c5318f433f24
53904 .xword 0x395dd0cea568322b
53905 .xword 0xe707774adf193b9a
53906 .xword 0xcbdcef984a12dd36
53907 .xword 0xe5885af40b4f1bb1
53908 .xword 0xdc507f2119566653
53909 .xword 0xd0aa47a8ea3e30ee
53910 .xword 0x4e1fadb136523a5f
53911 .xword 0x428a2fb171c7b57a
53912 .xword 0x3f9954dd3c5c2742
53913 .xword 0x6c719cee3f805059
53914 .xword 0xd3a7831f1aaf6d07
53915 .xword 0x3c4996db6b682cea
53916 .xword 0xcdeeafc1ff19c17d
53917 .xword 0xd75eedccf8c8e87e
53918 .xword 0x91ace374a124f665
53919 .xword 0xd73df44760edaf7f
53920 .xword 0x3b4ed52032532172
53921 .xword 0xac16bd335d9f6524
53922 .xword 0x982040541249696d
53923 .xword 0x04c9fbbe4fefa1c0
53924 .xword 0x24019ae10f3c4db8
53925 .xword 0x114fc80ef49f625e
53926 .xword 0xcabe0ad25b354e16
53927 .xword 0xe2e28dd4df8e8320
53928 .xword 0x3f00c0c15d68ec24
53929 .xword 0x405d8562e54087a7
53930 .xword 0x60ab1379898b5c76
53931 .xword 0x44bb61abacd4d0b6
53932 .xword 0x9acd61a4303f3ddf
53933 .xword 0xfcc7e0b3eb0b7004
53934 .xword 0xd5fd3664e06cc160
53935 .xword 0x2b3a8ce61089d1a0
53936 .xword 0xd74a18f6879914fc
53937 .xword 0x7b3561d9e481ff25
53938 .xword 0x1ca3406fbf76e3a2
53939 .xword 0x661903b316c8c309
53940 .xword 0x06980f1592971721
53941 .xword 0xbd3a928cc03406c8
53942 .xword 0x11f15f248a83e3f2
53943 .xword 0xb319fa74a7a3d164
53944 .xword 0x8c30bf516c93dfc5
53945 .xword 0x1b0c6ea3f42ce47d
53946 .xword 0xed783fa633b07bcc
53947 .xword 0x133ec5288435e8aa
53948 .xword 0x6fb7c1c667c42a68
53949 .xword 0xf8d2657257a9e91a
53950 .xword 0xd37954e29c140afc
53951 .xword 0x3f252ea165b9430e
53952 .xword 0xbbd758a8b1e943d1
53953 .xword 0x1f0e036dc17f3a39
53954 .xword 0xdf16108a0d3d31b9
53955 .xword 0x31ab0dfbd8223a26
53956 .xword 0x7909d7eb05c08c57
53957 .xword 0xfc871a725c98d65d
53958 .xword 0x799823b09102b663
53959 .xword 0xa050223fd38e126f
53960 .xword 0xb564d4fa91a19b70
53961 .xword 0xc34d465706eb3e1d
53962 .xword 0x3b87c00fc4563cbc
53963 .xword 0x67a5fbbdfb8fc78a
53964 .xword 0xfb0eec68268707ab
53965 .xword 0x188aa56fbb030c84
53966 .xword 0x9c5e6c4cd1509129
53967 .xword 0x559e7256b7c63e49
53968 .xword 0xa3e6e8faa54b4ed4
53969 .xword 0xd65b2fc932986ab0
53970 .xword 0x8be0aea1bcfb170e
53971 .xword 0x8490352c6439cb40
53972 .xword 0x43ebbf8e03436ad4
53973 .xword 0x89cdd70ebdda31be
53974 .xword 0xa4031d17cc998555
53975 .xword 0xa3e4f42c37c1fe9f
53976 .xword 0x2372d7b949adf7dc
53977 .xword 0x393b31ffc3296cef
53978 .xword 0x6db240c22981fdad
53979 .xword 0x3a43a693ed5cae0b
53980 .xword 0x640130e1dc69249c
53981 .xword 0xaf77df17b65e4538
53982 .xword 0xe06dd6595f38412e
53983 .xword 0x96a9f08b77c85b85
53984 .xword 0xf194151f5eb28716
53985 .xword 0x0171fe31fb4fdbbf
53986 .xword 0xb3b60fb965aafcef
53987_t1_hash_dest:
53988 .xword 0xDEADBEEFDEADBEEF
53989 .xword 0xDEADBEEFDEADBEEF
53990 .xword 0xDEADBEEFDEADBEEF
53991 .xword 0xDEADBEEFDEADBEEF
53992 .xword 0xDEADBEEFDEADBEEF
53993 .xword 0xDEADBEEFDEADBEEF
53994 .xword 0xDEADBEEFDEADBEEF
53995 .xword 0xDEADBEEFDEADBEEF
53996 .xword 0xDEADBEEFDEADBEEF
53997 .xword 0xDEADBEEFDEADBEEF
53998 .xword 0xDEADBEEFDEADBEEF
53999 .xword 0xDEADBEEFDEADBEEF
54000 .xword 0xDEADBEEFDEADBEEF
54001 .xword 0xDEADBEEFDEADBEEF
54002 .xword 0xDEADBEEFDEADBEEF
54003 .xword 0xDEADBEEFDEADBEEF
54004 .xword 0xDEADBEEFDEADBEEF
54005 .xword 0xDEADBEEFDEADBEEF
54006 .xword 0xDEADBEEFDEADBEEF
54007 .xword 0xDEADBEEFDEADBEEF
54008 .xword 0xDEADBEEFDEADBEEF
54009 .xword 0xDEADBEEFDEADBEEF
54010 .xword 0xDEADBEEFDEADBEEF
54011 .xword 0xDEADBEEFDEADBEEF
54012 .xword 0xDEADBEEFDEADBEEF
54013 .xword 0xDEADBEEFDEADBEEF
54014 .xword 0xDEADBEEFDEADBEEF
54015 .xword 0xDEADBEEFDEADBEEF
54016 .xword 0xDEADBEEFDEADBEEF
54017 .xword 0xDEADBEEFDEADBEEF
54018 .xword 0xDEADBEEFDEADBEEF
54019 .xword 0xDEADBEEFDEADBEEF
54020 .xword 0xDEADBEEFDEADBEEF
54021 .xword 0xDEADBEEFDEADBEEF
54022 .xword 0xDEADBEEFDEADBEEF
54023 .xword 0xDEADBEEFDEADBEEF
54024 .xword 0xDEADBEEFDEADBEEF
54025 .xword 0xDEADBEEFDEADBEEF
54026 .xword 0xDEADBEEFDEADBEEF
54027 .xword 0xDEADBEEFDEADBEEF
54028 .xword 0xDEADBEEFDEADBEEF
54029 .xword 0xDEADBEEFDEADBEEF
54030 .xword 0xDEADBEEFDEADBEEF
54031 .xword 0xDEADBEEFDEADBEEF
54032 .xword 0xDEADBEEFDEADBEEF
54033 .xword 0xDEADBEEFDEADBEEF
54034 .xword 0xDEADBEEFDEADBEEF
54035 .xword 0xDEADBEEFDEADBEEF
54036 .xword 0xDEADBEEFDEADBEEF
54037 .xword 0xDEADBEEFDEADBEEF
54038 .xword 0xDEADBEEFDEADBEEF
54039 .xword 0xDEADBEEFDEADBEEF
54040 .xword 0xDEADBEEFDEADBEEF
54041 .xword 0xDEADBEEFDEADBEEF
54042 .xword 0xDEADBEEFDEADBEEF
54043 .xword 0xDEADBEEFDEADBEEF
54044 .xword 0xDEADBEEFDEADBEEF
54045 .xword 0xDEADBEEFDEADBEEF
54046 .xword 0xDEADBEEFDEADBEEF
54047 .xword 0xDEADBEEFDEADBEEF
54048 .xword 0xDEADBEEFDEADBEEF
54049 .xword 0xDEADBEEFDEADBEEF
54050 .xword 0xDEADBEEFDEADBEEF
54051 .xword 0xDEADBEEFDEADBEEF
54052 .xword 0xDEADBEEFDEADBEEF
54053 .xword 0xDEADBEEFDEADBEEF
54054 .xword 0xDEADBEEFDEADBEEF
54055 .xword 0xDEADBEEFDEADBEEF
54056 .xword 0xDEADBEEFDEADBEEF
54057 .xword 0xDEADBEEFDEADBEEF
54058 .xword 0xDEADBEEFDEADBEEF
54059 .xword 0xDEADBEEFDEADBEEF
54060 .xword 0xDEADBEEFDEADBEEF
54061 .xword 0xDEADBEEFDEADBEEF
54062 .xword 0xDEADBEEFDEADBEEF
54063 .xword 0xDEADBEEFDEADBEEF
54064 .xword 0xDEADBEEFDEADBEEF
54065 .xword 0xDEADBEEFDEADBEEF
54066 .xword 0xDEADBEEFDEADBEEF
54067 .xword 0xDEADBEEFDEADBEEF
54068 .xword 0xDEADBEEFDEADBEEF
54069 .xword 0xDEADBEEFDEADBEEF
54070 .xword 0xDEADBEEFDEADBEEF
54071 .xword 0xDEADBEEFDEADBEEF
54072 .xword 0xDEADBEEFDEADBEEF
54073 .xword 0xDEADBEEFDEADBEEF
54074 .xword 0xDEADBEEFDEADBEEF
54075 .xword 0xDEADBEEFDEADBEEF
54076 .xword 0xDEADBEEFDEADBEEF
54077 .xword 0xDEADBEEFDEADBEEF
54078 .xword 0xDEADBEEFDEADBEEF
54079 .xword 0xDEADBEEFDEADBEEF
54080 .xword 0xDEADBEEFDEADBEEF
54081 .xword 0xDEADBEEFDEADBEEF
54082 .xword 0xDEADBEEFDEADBEEF
54083 .xword 0xDEADBEEFDEADBEEF
54084 .xword 0xDEADBEEFDEADBEEF
54085 .xword 0xDEADBEEFDEADBEEF
54086 .xword 0xDEADBEEFDEADBEEF
54087 .xword 0xDEADBEEFDEADBEEF
54088 .xword 0xDEADBEEFDEADBEEF
54089 .xword 0xDEADBEEFDEADBEEF
54090 .xword 0xDEADBEEFDEADBEEF
54091 .xword 0xDEADBEEFDEADBEEF
54092 .xword 0xDEADBEEFDEADBEEF
54093 .xword 0xDEADBEEFDEADBEEF
54094 .xword 0xDEADBEEFDEADBEEF
54095 .xword 0xDEADBEEFDEADBEEF
54096 .xword 0xDEADBEEFDEADBEEF
54097 .xword 0xDEADBEEFDEADBEEF
54098 .xword 0xDEADBEEFDEADBEEF
54099 .xword 0xDEADBEEFDEADBEEF
54100 .xword 0xDEADBEEFDEADBEEF
54101 .xword 0xDEADBEEFDEADBEEF
54102 .xword 0xDEADBEEFDEADBEEF
54103 .xword 0xDEADBEEFDEADBEEF
54104 .xword 0xDEADBEEFDEADBEEF
54105 .xword 0xDEADBEEFDEADBEEF
54106 .xword 0xDEADBEEFDEADBEEF
54107 .xword 0xDEADBEEFDEADBEEF
54108 .xword 0xDEADBEEFDEADBEEF
54109 .xword 0xDEADBEEFDEADBEEF
54110 .xword 0xDEADBEEFDEADBEEF
54111 .xword 0xDEADBEEFDEADBEEF
54112 .xword 0xDEADBEEFDEADBEEF
54113 .xword 0xDEADBEEFDEADBEEF
54114 .xword 0xDEADBEEFDEADBEEF
54115 .xword 0xDEADBEEFDEADBEEF
54116 .xword 0xDEADBEEFDEADBEEF
54117 .xword 0xDEADBEEFDEADBEEF
54118 .xword 0xDEADBEEFDEADBEEF
54119 .xword 0xDEADBEEFDEADBEEF
54120 .xword 0xDEADBEEFDEADBEEF
54121 .xword 0xDEADBEEFDEADBEEF
54122 .xword 0xDEADBEEFDEADBEEF
54123 .xword 0xDEADBEEFDEADBEEF
54124 .xword 0xDEADBEEFDEADBEEF
54125 .xword 0xDEADBEEFDEADBEEF
54126 .xword 0xDEADBEEFDEADBEEF
54127 .xword 0xDEADBEEFDEADBEEF
54128 .xword 0xDEADBEEFDEADBEEF
54129 .xword 0xDEADBEEFDEADBEEF
54130 .xword 0xDEADBEEFDEADBEEF
54131 .xword 0xDEADBEEFDEADBEEF
54132 .xword 0xDEADBEEFDEADBEEF
54133 .xword 0xDEADBEEFDEADBEEF
54134 .xword 0xDEADBEEFDEADBEEF
54135 .xword 0xDEADBEEFDEADBEEF
54136 .xword 0xDEADBEEFDEADBEEF
54137 .xword 0xDEADBEEFDEADBEEF
54138 .xword 0xDEADBEEFDEADBEEF
54139 .xword 0xDEADBEEFDEADBEEF
54140 .xword 0xDEADBEEFDEADBEEF
54141 .xword 0xDEADBEEFDEADBEEF
54142 .xword 0xDEADBEEFDEADBEEF
54143 .xword 0xDEADBEEFDEADBEEF
54144 .xword 0xDEADBEEFDEADBEEF
54145 .xword 0xDEADBEEFDEADBEEF
54146 .xword 0xDEADBEEFDEADBEEF
54147 .xword 0xDEADBEEFDEADBEEF
54148 .xword 0xDEADBEEFDEADBEEF
54149 .xword 0xDEADBEEFDEADBEEF
54150 .xword 0xDEADBEEFDEADBEEF
54151 .xword 0xDEADBEEFDEADBEEF
54152 .xword 0xDEADBEEFDEADBEEF
54153 .xword 0xDEADBEEFDEADBEEF
54154 .xword 0xDEADBEEFDEADBEEF
54155 .xword 0xDEADBEEFDEADBEEF
54156 .xword 0xDEADBEEFDEADBEEF
54157 .xword 0xDEADBEEFDEADBEEF
54158 .xword 0xDEADBEEFDEADBEEF
54159 .xword 0xDEADBEEFDEADBEEF
54160 .xword 0xDEADBEEFDEADBEEF
54161 .xword 0xDEADBEEFDEADBEEF
54162 .xword 0xDEADBEEFDEADBEEF
54163 .xword 0xDEADBEEFDEADBEEF
54164 .xword 0xDEADBEEFDEADBEEF
54165 .xword 0xDEADBEEFDEADBEEF
54166 .xword 0xDEADBEEFDEADBEEF
54167 .xword 0xDEADBEEFDEADBEEF
54168 .xword 0xDEADBEEFDEADBEEF
54169 .xword 0xDEADBEEFDEADBEEF
54170 .xword 0xDEADBEEFDEADBEEF
54171 .xword 0xDEADBEEFDEADBEEF
54172 .xword 0xDEADBEEFDEADBEEF
54173 .xword 0xDEADBEEFDEADBEEF
54174 .xword 0xDEADBEEFDEADBEEF
54175 .xword 0xDEADBEEFDEADBEEF
54176 .xword 0xDEADBEEFDEADBEEF
54177 .xword 0xDEADBEEFDEADBEEF
54178 .xword 0xDEADBEEFDEADBEEF
54179 .xword 0xDEADBEEFDEADBEEF
54180 .xword 0xDEADBEEFDEADBEEF
54181 .xword 0xDEADBEEFDEADBEEF
54182 .xword 0xDEADBEEFDEADBEEF
54183 .xword 0xDEADBEEFDEADBEEF
54184 .xword 0xDEADBEEFDEADBEEF
54185 .xword 0xDEADBEEFDEADBEEF
54186 .xword 0xDEADBEEFDEADBEEF
54187 .xword 0xDEADBEEFDEADBEEF
54188 .xword 0xDEADBEEFDEADBEEF
54189 .xword 0xDEADBEEFDEADBEEF
54190 .xword 0xDEADBEEFDEADBEEF
54191 .xword 0xDEADBEEFDEADBEEF
54192 .xword 0xDEADBEEFDEADBEEF
54193 .xword 0xDEADBEEFDEADBEEF
54194 .xword 0xDEADBEEFDEADBEEF
54195 .xword 0xDEADBEEFDEADBEEF
54196 .xword 0xDEADBEEFDEADBEEF
54197 .xword 0xDEADBEEFDEADBEEF
54198 .xword 0xDEADBEEFDEADBEEF
54199 .xword 0xDEADBEEFDEADBEEF
54200 .xword 0xDEADBEEFDEADBEEF
54201 .xword 0xDEADBEEFDEADBEEF
54202 .xword 0xDEADBEEFDEADBEEF
54203 .xword 0xDEADBEEFDEADBEEF
54204 .xword 0xDEADBEEFDEADBEEF
54205 .xword 0xDEADBEEFDEADBEEF
54206 .xword 0xDEADBEEFDEADBEEF
54207 .xword 0xDEADBEEFDEADBEEF
54208 .xword 0xDEADBEEFDEADBEEF
54209 .xword 0xDEADBEEFDEADBEEF
54210 .xword 0xDEADBEEFDEADBEEF
54211 .xword 0xDEADBEEFDEADBEEF
54212 .xword 0xDEADBEEFDEADBEEF
54213 .xword 0xDEADBEEFDEADBEEF
54214 .xword 0xDEADBEEFDEADBEEF
54215 .xword 0xDEADBEEFDEADBEEF
54216 .xword 0xDEADBEEFDEADBEEF
54217 .xword 0xDEADBEEFDEADBEEF
54218 .xword 0xDEADBEEFDEADBEEF
54219 .xword 0xDEADBEEFDEADBEEF
54220 .xword 0xDEADBEEFDEADBEEF
54221 .xword 0xDEADBEEFDEADBEEF
54222 .xword 0xDEADBEEFDEADBEEF
54223 .xword 0xDEADBEEFDEADBEEF
54224 .xword 0xDEADBEEFDEADBEEF
54225 .xword 0xDEADBEEFDEADBEEF
54226 .xword 0xDEADBEEFDEADBEEF
54227 .xword 0xDEADBEEFDEADBEEF
54228 .xword 0xDEADBEEFDEADBEEF
54229 .xword 0xDEADBEEFDEADBEEF
54230 .xword 0xDEADBEEFDEADBEEF
54231 .xword 0xDEADBEEFDEADBEEF
54232 .xword 0xDEADBEEFDEADBEEF
54233 .xword 0xDEADBEEFDEADBEEF
54234 .xword 0xDEADBEEFDEADBEEF
54235 .xword 0xDEADBEEFDEADBEEF
54236 .xword 0xDEADBEEFDEADBEEF
54237 .xword 0xDEADBEEFDEADBEEF
54238 .xword 0xDEADBEEFDEADBEEF
54239 .xword 0xDEADBEEFDEADBEEF
54240 .xword 0xDEADBEEFDEADBEEF
54241 .xword 0xDEADBEEFDEADBEEF
54242 .xword 0xDEADBEEFDEADBEEF
54243 .xword 0xDEADBEEFDEADBEEF
54244 .xword 0xDEADBEEFDEADBEEF
54245 .xword 0xDEADBEEFDEADBEEF
54246 .xword 0xDEADBEEFDEADBEEF
54247 .xword 0xDEADBEEFDEADBEEF
54248 .xword 0xDEADBEEFDEADBEEF
54249 .xword 0xDEADBEEFDEADBEEF
54250 .xword 0xDEADBEEFDEADBEEF
54251 .xword 0xDEADBEEFDEADBEEF
54252 .xword 0xDEADBEEFDEADBEEF
54253 .xword 0xDEADBEEFDEADBEEF
54254 .xword 0xDEADBEEFDEADBEEF
54255 .xword 0xDEADBEEFDEADBEEF
54256 .xword 0xDEADBEEFDEADBEEF
54257 .xword 0xDEADBEEFDEADBEEF
54258 .xword 0xDEADBEEFDEADBEEF
54259 .xword 0xDEADBEEFDEADBEEF
54260 .xword 0xDEADBEEFDEADBEEF
54261 .xword 0xDEADBEEFDEADBEEF
54262 .xword 0xDEADBEEFDEADBEEF
54263_t1_hash_auth_key:
54264 .xword 0xa1fc7401ac443212
54265 .xword 0x1843bcd6aa950184
54266 .xword 0xc3c88b73e2e34a00
54267 .xword 0x39a04a1c001d63dc
54268 .xword 0x52cd24294ed6206f
54269 .xword 0xb61df190fce3318a
54270 .xword 0xaf0414fa0bc60c4c
54271 .xword 0x3392898e4dd16896
54272 .xword 0xed2f8cce9c69c692
54273 .xword 0x4fd2757ed2b712ca
54274 .xword 0xe65375bf2c83cd21
54275 .xword 0xbb436f40f15c1710
54276 .xword 0x7cb56217010bd415
54277 .xword 0x6d9b5c53497ca2b8
54278 .xword 0x4867689d52b995f2
54279 .xword 0x112ca4cd5f8ef09c
54280 .xword 0xfa07c208ff1d63fd
54281 .xword 0x38d0add6b993c486
54282 .xword 0xfd351012ce209155
54283 .xword 0xe30ff7fb21244c1c
54284 .xword 0x3cd89eebb7085fb0
54285 .xword 0xdd16a330a6ef634d
54286 .xword 0xa122af458e1b7106
54287_t1_hash_auth_iv:
54288 .xword 0x468833a26460b1fa
54289 .xword 0xb9c0d4923adc044d
54290 .xword 0xfaf155db43d1cb08
54291 .xword 0xeedd5c53dba19e66
54292 .xword 0x50a39b8973351327
54293 .xword 0xbe17d9c8e667ac85
54294 .xword 0xa529206b3f2c5d45
54295 .xword 0xf37ecdcd5bcce425
54296 .xword 0x3170df5dabcc158c
54297 .xword 0x192189629693a032
54298 .xword 0x379efdd7d76dedf7
54299 .xword 0x8006bbc95e738d8e
54300 .xword 0xfc037a7375b74b50
54301 .xword 0xfa82460691c6b17b
54302 .xword 0x5e52d08bf79631a9
54303 .xword 0x1b12576e2c27fc40
54304 .xword 0xb3d2e68a4bf93215
54305 .xword 0x1b4f9902a51e6457
54306 .xword 0xf4067023c2aca7d7
54307 .xword 0x139f769b25149445
54308 .xword 0x8fc52f9cafdb1ac9
54309 .xword 0x8c9fbba8525efeb4
54310 .xword 0xd2f9f717057ba7ea
54311_t1_hash_fas_result:
54312 .xword 0xDEADBEEFDEADBEEF
54313 .xword 0xDEADBEEFDEADBEEF
54314 .xword 0xDEADBEEFDEADBEEF
54315 .xword 0xDEADBEEFDEADBEEF
54316 .xword 0xDEADBEEFDEADBEEF
54317 .xword 0xDEADBEEFDEADBEEF
54318 .xword 0xDEADBEEFDEADBEEF
54319 .xword 0xDEADBEEFDEADBEEF
54320 .xword 0xDEADBEEFDEADBEEF
54321 .xword 0xDEADBEEFDEADBEEF
54322 .xword 0xDEADBEEFDEADBEEF
54323 .xword 0xDEADBEEFDEADBEEF
54324 .xword 0xDEADBEEFDEADBEEF
54325 .xword 0xDEADBEEFDEADBEEF
54326 .xword 0xDEADBEEFDEADBEEF
54327 .xword 0xDEADBEEFDEADBEEF
54328 .xword 0xDEADBEEFDEADBEEF
54329 .xword 0xDEADBEEFDEADBEEF
54330 .xword 0xDEADBEEFDEADBEEF
54331 .xword 0xDEADBEEFDEADBEEF
54332 .xword 0xDEADBEEFDEADBEEF
54333 .xword 0xDEADBEEFDEADBEEF
54334 .xword 0xDEADBEEFDEADBEEF
54335_t1_hmac_key_array:
54336 .xword 0x2e8a1fc085823b86
54337 .xword 0xb8078764354622bb
54338 .xword 0x721c494fed4dd39a
54339 .xword 0x810cd285dccd9821
54340 .xword 0x5de2e7741ddd2c54
54341 .xword 0x917954e7d766f37d
54342 .xword 0x02bfdeaa6fbf53a6
54343 .xword 0x184de71e1992d659
54344 .xword 0xe4eea35fd540dad7
54345 .xword 0x1f00b22a11ed4bd0
54346 .xword 0xd55348fb75b51292
54347 .xword 0x5e15a22f8f43e121
54348 .xword 0x8f536f6b67a8474d
54349 .xword 0x84b287faf5a0135b
54350 .xword 0xae60c7cc9892abe6
54351 .xword 0xe59063027b497d90
54352 .xword 0x697c7e98abf40540
54353 .xword 0xe9f411e9d13da399
54354 .xword 0x5ad9ad10e14da76d
54355 .xword 0xbb49c14a9bbaab33
54356 .xword 0xda5c998a62795f39
54357 .xword 0xcbd8ae04addfb85c
54358 .xword 0x40614d4003040a6d
54359 .xword 0x0c06b441974f7435
54360 .xword 0x13a0363a7f934339
54361 .xword 0xb2316b29faa4e4d1
54362 .xword 0xe26a810208157835
54363 .xword 0x39fd1744afdbb285
54364 .xword 0xf005c3f187e18df4
54365 .xword 0x644d12a76a5e50b6
54366 .xword 0x884b2d36368efdc2
54367 .xword 0x082aab5fed30a10f
54368 .xword 0xca02598d76ca86bb
54369 .xword 0xd92b761679c76ea5
54370 .xword 0x8dc202d73401c76a
54371 .xword 0xc48aa3212b409ff2
54372 .xword 0x32760e6bdf8e37a5
54373 .xword 0xecc4e90046bf8ec7
54374 .xword 0xa4911a6fdf8dcb1c
54375 .xword 0x55e1383a00151dba
54376 .xword 0x459cc629a533a8b7
54377 .xword 0xa889514d229517da
54378 .xword 0xa8b97d3e277fecbd
54379 .xword 0x5cc8da17816690dc
54380 .xword 0x668a6010ee5c29bc
54381 .xword 0xe9907dc71f68b406
54382 .xword 0x3c1ffb36c4c2be23
54383 .xword 0x401c68bc3e823b0e
54384 .xword 0xf2a87e329225be00
54385 .xword 0x27a9e5c8a15f4396
54386 .xword 0x232bdd5ca472d8ed
54387_t1_hmac_iv_array:
54388 .xword 0x72fa2a05057a502d
54389 .xword 0x4150cace5d736d40
54390 .xword 0x83654cfa62416d32
54391 .xword 0x73b81cddea03ac57
54392 .xword 0xa97146d6574a18c4
54393 .xword 0xfd77bd58792bb0c6
54394 .xword 0xc85693239a1eba80
54395 .xword 0x9cab6fdd5872ffd9
54396 .xword 0xc667d6fbe200c1fc
54397 .xword 0x903a566210b63b1a
54398 .xword 0x3cabc261f5e4fb45
54399 .xword 0xb9f76b25c589fc05
54400 .xword 0x664c70ebaceb7a37
54401 .xword 0x1f0278a42a96c4e4
54402 .xword 0x26e5a8344738d2bc
54403 .xword 0x64216d9fa7974b5a
54404 .xword 0x4ad38b832adcfacf
54405 .xword 0xe571b11dcae31b25
54406 .xword 0x3a00fcbbfb6867a6
54407 .xword 0x392c55391a9e603e
54408 .xword 0x78c5fe3fd4f6ffd4
54409 .xword 0x4d7bd3b0dfd8098a
54410 .xword 0x3bfee8806dc5bf5d
54411 .xword 0xde9d2d5bfcccc1e3
54412 .xword 0xd7dbeae2cf823431
54413 .xword 0xac76382518b8c165
54414 .xword 0x73113e65c6db55ad
54415 .xword 0x1bcc8809bc2c80b7
54416 .xword 0x4df818dd2f95484a
54417 .xword 0x40c97d760b15b4c3
54418 .xword 0x13a1c915db90eeb6
54419 .xword 0x10d2984c92bf1b3f
54420 .xword 0xe67f0d686e259728
54421 .xword 0xaccdf9c4846ce5ac
54422 .xword 0x57bbc4290cd4d386
54423 .xword 0x4e337d7ffb53f7d6
54424 .xword 0xbfe5192b7d8df1db
54425 .xword 0xa0c9c040896b9a92
54426 .xword 0x155cf7b27b8dad85
54427 .xword 0x0bb54d7e0dd7eecb
54428 .xword 0xb2046917f7ea73f4
54429 .xword 0x203688dfada6d76d
54430 .xword 0x2a0b2e0b15bfe590
54431 .xword 0x1e85c7748f1032cf
54432 .xword 0x5bffa118f16efa87
54433_t1_hmac_alignment_array:
54434 .xword 4
54435 .xword 7
54436 .xword 12
54437 .xword 3
54438 .xword 0
54439 .xword 6
54440 .xword 3
54441 .xword 10
54442 .xword 0
54443 .xword 10
54444 .xword 7
54445 .xword 12
54446 .xword 5
54447 .xword 9
54448 .xword 13
54449 .xword 14
54450 .xword 7
54451 .xword 10
54452 .xword 12
54453 .xword 10
54454 .xword 14
54455 .xword 13
54456 .xword 4
54457 .xword 12
54458 .xword 14
54459 .xword 4
54460 .xword 7
54461 .xword 15
54462 .xword 13
54463 .xword 3
54464 .xword 14
54465 .xword 3
54466 .xword 2
54467 .xword 10
54468 .xword 1
54469 .xword 11
54470 .xword 3
54471 .xword 0
54472 .xword 8
54473 .xword 14
54474 .xword 6
54475 .xword 13
54476 .xword 10
54477 .xword 13
54478 .xword 11
54479 .xword 14
54480 .xword 3
54481 .xword 10
54482 .xword 11
54483 .xword 3
54484 .xword 2
54485 .xword 1
54486 .xword 1
54487 .xword 4
54488 .xword 5
54489 .xword 8
54490 .xword 6
54491 .xword 11
54492 .xword 9
54493 .xword 2
54494 .xword 10
54495 .xword 14
54496 .xword 2
54497 .xword 9
54498 .xword 15
54499 .xword 2
54500 .xword 0
54501 .xword 10
54502 .xword 9
54503 .xword 9
54504 .xword 1
54505 .xword 5
54506 .xword 9
54507 .xword 6
54508 .xword 11
54509 .xword 6
54510 .xword 14
54511 .xword 14
54512 .xword 5
54513 .xword 13
54514 .xword 5
54515 .xword 13
54516 .xword 14
54517 .xword 6
54518 .xword 0
54519 .xword 13
54520 .xword 11
54521 .xword 12
54522 .xword 0
54523 .xword 2
54524 .xword 0
54525 .xword 11
54526 .xword 11
54527 .xword 10
54528 .xword 14
54529 .xword 14
54530 .xword 7
54531 .xword 15
54532 .xword 6
54533 .xword 9
54534 .xword 8
54535 .xword 8
54536 .xword 9
54537 .xword 15
54538 .xword 7
54539_t1_hmac_src:
54540 .xword 0x63e903f5ab72577d
54541 .xword 0xd29c531f0d90395d
54542 .xword 0xe8f812a1c6c1a5d0
54543 .xword 0x91932aaee15ce5b8
54544 .xword 0xfc6988a3f8f7dfd3
54545 .xword 0x3820e72cc54bcfe9
54546 .xword 0xb465a5b1b02ae251
54547 .xword 0x8fe46a6a80dc4842
54548 .xword 0x84f522fcba12b03e
54549 .xword 0xb3966286df2a131d
54550 .xword 0x1aadea273bf12ec3
54551 .xword 0xdec830d1378aa529
54552 .xword 0x8698039d684e7fea
54553 .xword 0x0ffa1ce882e5191d
54554 .xword 0x3c93c6409568afae
54555 .xword 0xb1f3b242ddce445a
54556 .xword 0x5ccc69d80c45a7bf
54557 .xword 0x3710d995dfb71e10
54558 .xword 0x756a8bc6616e92b3
54559 .xword 0xc64d4f34b41376f5
54560 .xword 0x800198cabdfb5a81
54561 .xword 0xee2f7b9267805c8a
54562 .xword 0xb102e2fd1e7b05a3
54563 .xword 0x4a3877d50debdaeb
54564 .xword 0xa5d8829a3b25f41e
54565 .xword 0x89c9a9e370614f6a
54566 .xword 0x40b30e47cf8c28bb
54567 .xword 0x231629ae2055140a
54568 .xword 0xac37163837842cd8
54569 .xword 0x27edb3b36016b45e
54570 .xword 0xd498d6179dcc49b1
54571 .xword 0x61b468674161e3c4
54572 .xword 0x82d533ddf7417809
54573 .xword 0xa3be057b5e7ce430
54574 .xword 0x1bff50b4e6669f69
54575 .xword 0x4e489341dc8c99bf
54576 .xword 0x36f23d228f33266e
54577 .xword 0xe9eacc60aeead101
54578 .xword 0xbca2dfefb67010c6
54579 .xword 0xfa2cbf44e979b115
54580 .xword 0x217bb138d7fafcaf
54581 .xword 0x53047f69c3e340fa
54582 .xword 0x85f0f1ee9dd6ce23
54583 .xword 0x55541adb387c3b1f
54584 .xword 0x4471cb806eef17cf
54585 .xword 0x9587a70c7f74ffc8
54586 .xword 0x9eb4d0a43de78861
54587 .xword 0x85c4ed2dca413f79
54588 .xword 0xb212cbefbc629895
54589 .xword 0xfddb2ac565b85093
54590 .xword 0x99de1486cee6d745
54591 .xword 0x9a2e847cb6f2fae9
54592 .xword 0xccefa593b7fc82d6
54593 .xword 0x3d1b586e53d0ae6e
54594 .xword 0x373bbb5da4b535be
54595 .xword 0x457b34daf4b0bb73
54596 .xword 0xe6d3569257310286
54597 .xword 0x76f4caaf444eb00a
54598 .xword 0x250b17bdc4a42955
54599 .xword 0x4cf8e5d16fa7d955
54600 .xword 0x67a10b50e41f2383
54601 .xword 0xbd67778352c9ce29
54602 .xword 0xd4a0764f398d47df
54603 .xword 0x84fdd9503ec3e2aa
54604 .xword 0x8ecbb0be9a7882c3
54605 .xword 0xf0d35d5b8f326862
54606 .xword 0x17766c5e26b5821d
54607 .xword 0x3d37a9d725a2df0d
54608 .xword 0xe911c94fc35ede7e
54609 .xword 0x6164c772a1058bf4
54610 .xword 0x7c085295d66a8706
54611 .xword 0x68cf92a2cfc23611
54612 .xword 0x489c72eb3a038a46
54613 .xword 0xd1483c38f9b96746
54614 .xword 0x22eb1c5716cdb214
54615 .xword 0xce92c9b4e4c80e5a
54616 .xword 0x26eac6e5d60ebf5e
54617 .xword 0x52a21a62345f31b3
54618 .xword 0x01072e1d58117d23
54619 .xword 0x80e819f118b30f8d
54620 .xword 0x2af7785ae7559967
54621 .xword 0x0968d4f906c00ffa
54622 .xword 0xcc18b70fc8f08344
54623 .xword 0xe889c633ebc38ff8
54624 .xword 0x5be118557d58973c
54625 .xword 0xccd121e08cb3cc8e
54626 .xword 0x8675eeb75e8899a0
54627 .xword 0xcaa895176669dd0d
54628 .xword 0x8dec6ef3220d01c4
54629 .xword 0x88d5e84b9da639df
54630 .xword 0x26eeb896d54f354b
54631 .xword 0xe8557f5db41122d4
54632 .xword 0x29269a8fa35a2095
54633 .xword 0x67626abb2a657999
54634 .xword 0xc83ad3c48ae69c61
54635 .xword 0xb1d2f59ac83751ac
54636 .xword 0x7636ae9502161cf1
54637 .xword 0x1c5a7d2606bf0f43
54638 .xword 0xfa6c9df3f308d975
54639 .xword 0x5b5ae31117fa6c5f
54640 .xword 0xcb6b197e83cc0b49
54641 .xword 0xf988037749cf2457
54642 .xword 0x937f9bf234e46b4c
54643 .xword 0x84d6597bc8c5e375
54644 .xword 0xa3086ccbb5a3edcb
54645 .xword 0xffc544ac498563b3
54646 .xword 0x16feb364199521c5
54647 .xword 0xf3195a63450a8689
54648 .xword 0xcea30b90a0e67fff
54649 .xword 0xab3c21ed0d922c98
54650 .xword 0xcf2018521d967233
54651 .xword 0x66699ffae3f4cee5
54652 .xword 0x1064d6e3ab693801
54653 .xword 0x4ee4f8a1d0239f78
54654 .xword 0x80125fa3a0dff224
54655 .xword 0xb97f93e29e3ae187
54656 .xword 0x5e00c8875e537581
54657 .xword 0x68b6f6123f4b9d39
54658 .xword 0x67c302d9d2305549
54659 .xword 0x70ac56531229d32e
54660 .xword 0xdf4ab7ed5c3974e3
54661 .xword 0x9a02e7406e47e2f6
54662 .xword 0x514ebfa5d948a7b1
54663 .xword 0x2149f3bbcec56190
54664 .xword 0x404d4100e07d7b20
54665 .xword 0x453740fd1ab9b556
54666 .xword 0x28fb0b62596ee196
54667 .xword 0xecc01d94845db16f
54668 .xword 0x1985fd315d862b68
54669 .xword 0x31fe0eeb76fc1b69
54670 .xword 0xa9fde4bdb1455736
54671 .xword 0xd9746ea9a6850137
54672 .xword 0x0d535715a42fb746
54673 .xword 0xa6487ecd625396f9
54674 .xword 0x895855e98113d306
54675 .xword 0x7205fb9ffdeca49d
54676 .xword 0x1db7e91eb54b78a7
54677 .xword 0xf04594d13017090f
54678 .xword 0xf9ddcc9c937df404
54679 .xword 0x61646a308ef1433d
54680 .xword 0xed8c56f8a950da28
54681 .xword 0x02db1c7861fe6bfd
54682 .xword 0xbb5d985eb94dab9e
54683 .xword 0xfa94545fd789cc57
54684 .xword 0xe89365f84ed04e2a
54685 .xword 0x2ad3788fd88bd4c2
54686 .xword 0x87c9e2d026d6c0fa
54687 .xword 0x4a312061f00edbc7
54688 .xword 0x8be2db0a39fcc339
54689 .xword 0x425a4b8060d3bb71
54690 .xword 0x849998de7ceb46de
54691 .xword 0xb63784c4e4dd3337
54692 .xword 0x4b6bcfaffb0c5107
54693 .xword 0x3df4f5f509d665b1
54694 .xword 0xf2b0c1461995e22c
54695 .xword 0x3db23c137b1148b2
54696 .xword 0x96ee6d1e08f2e37e
54697 .xword 0xc823e6c19d91c353
54698 .xword 0xc6d12d2c1a134f16
54699 .xword 0x5c2a59ca39474759
54700 .xword 0xe6d7aa86d4bee801
54701 .xword 0x67474ca13be2151d
54702 .xword 0xb121139aef07f146
54703 .xword 0x7e3a7f18d46fd787
54704 .xword 0xd7cde7e8ad6dc2f8
54705 .xword 0xa71e0e5c748f215e
54706 .xword 0xcbe8b2d1be73d6f0
54707 .xword 0xf5aa7cac1115912d
54708 .xword 0x9e740959e6731746
54709 .xword 0x1c92de6f6101a6df
54710 .xword 0xc6e462de5cf8821f
54711 .xword 0x9278fa33cfa57fff
54712 .xword 0xe224729328bfb8f2
54713 .xword 0xaab452d172c94898
54714 .xword 0xd8fa5a1c5484c074
54715 .xword 0xaca6891efa5f64ea
54716 .xword 0x4a8c52e30b48f6d9
54717 .xword 0xb447955d1b0d80de
54718 .xword 0x063fdc25987b2483
54719 .xword 0x189a6aa6b949b5ce
54720 .xword 0xff5d4eb9c623f9f4
54721 .xword 0x8344e9c4d3668255
54722 .xword 0xdc325c45eea857a1
54723 .xword 0x6d8f4bc677bf082b
54724 .xword 0x3968709137a4d830
54725 .xword 0x4c9cd10b9f98a702
54726 .xword 0x8ab4ede70cdab626
54727 .xword 0xb338f24efb0f4514
54728 .xword 0x6b8d4c79db1a52b4
54729 .xword 0xaea6d1ba9e611c4a
54730 .xword 0xccee796d80c96550
54731 .xword 0x1ae81ea73e236d86
54732 .xword 0xdc4cff1d6cae0aba
54733 .xword 0x0bb9b65d10931429
54734 .xword 0xfe44c5750347a217
54735 .xword 0x16fa7b252e26e06b
54736 .xword 0x1d5d8e7e92a8263b
54737 .xword 0x191292d078e83e44
54738 .xword 0xe12811e3b1a24524
54739 .xword 0xb7f3b6b64b1f4206
54740 .xword 0x5b80c1240dc00012
54741 .xword 0x454883e9e70e0ad9
54742 .xword 0xf928ac3bc568daf7
54743 .xword 0x2f77500d19b3ce73
54744 .xword 0xc0367ef4ad3f73e1
54745 .xword 0x9200560ecd23c285
54746 .xword 0x6fe8fcc48043c7d0
54747 .xword 0xb74d1c010b7f511e
54748 .xword 0x0ddbe4f9a978acdc
54749 .xword 0x6a85a590741cce8d
54750 .xword 0x00c4f40a511eeeaf
54751 .xword 0xb21180554ecd3f43
54752 .xword 0xbbf1f27e975575e7
54753 .xword 0x0e6cf50cc86cd68e
54754 .xword 0x9786a6d726e1de0b
54755 .xword 0xf6078133964a965d
54756 .xword 0x628f8b02fd203879
54757 .xword 0x5e86638854f3e2e4
54758 .xword 0xfde0076a8ed7c427
54759 .xword 0x2011605d29ac4940
54760 .xword 0xb6d4cbde042ed43a
54761 .xword 0x4f5dd1ac3691fae1
54762 .xword 0x19ea6206fb6cb248
54763 .xword 0x7061aa08dffceba7
54764 .xword 0x358f3bf26af4aca8
54765 .xword 0x0430bebe31bf580a
54766 .xword 0x3b51ff0d873a2ecb
54767 .xword 0xeff5150ca1f84a3c
54768 .xword 0xea936716425c0975
54769 .xword 0x45460ca0aa40c66e
54770 .xword 0x8535695a33fe45f0
54771 .xword 0x8a7adc60749c6a35
54772 .xword 0xaba8f64a74423781
54773 .xword 0x3562c91f36b5677d
54774 .xword 0x2844cf66c257f641
54775 .xword 0x86ad16259b5c6e89
54776 .xword 0x99ff52c40d19a084
54777 .xword 0x48176b0d69b6df92
54778 .xword 0x89143a6a191175e6
54779 .xword 0x49da6c2464b375b8
54780 .xword 0x536ede9d52836557
54781 .xword 0x9b7ffffcbdce4d43
54782 .xword 0x0cd4952173c8c3d5
54783 .xword 0x2244efb777c43812
54784 .xword 0xe98d2ba19d0d18ce
54785 .xword 0x6ea2d4aa9639641e
54786 .xword 0x378ed2efe2838c8f
54787 .xword 0x7ffc33c53317677f
54788 .xword 0xd07b4362d058d3a1
54789 .xword 0xe777003f5b7db29a
54790 .xword 0x7890ea5a021633e8
54791 .xword 0xe5f6ecc9b2eece16
54792 .xword 0x22b8679f0e45fac1
54793 .xword 0x2f9ad8b10a87cf49
54794 .xword 0x0abb52f6e34e247b
54795 .xword 0xb64368b83b0e2232
54796 .xword 0xc90435f25342a77a
54797 .xword 0xb11a363d34814498
54798 .xword 0xd95e15226e3d0099
54799 .xword 0x44631a36f5902dcc
54800 .xword 0xebff05bbc23681a8
54801 .xword 0xc0b91c4e7019aa55
54802 .xword 0x3071e6cc537ca3aa
54803 .xword 0x30bdd45edfef7055
54804 .xword 0xdbc289a7a99acdce
54805 .xword 0x44fa1e20ad8e6de8
54806 .xword 0x9703a4d525b65287
54807 .xword 0x37ea76e3c74dacbc
54808 .xword 0x4e12ae577aead625
54809 .xword 0xeba1c368c1bd5fa6
54810 .xword 0xb8a64531f38dceb5
54811 .xword 0x9fb17e038f74c8a7
54812 .xword 0x4aa1775752f1328e
54813 .xword 0xdd9a5b4ffeedddd5
54814 .xword 0x56eed52f03fca53f
54815_t1_hmac_dest:
54816 .xword 0xDEADBEEFDEADBEEF
54817 .xword 0xDEADBEEFDEADBEEF
54818 .xword 0xDEADBEEFDEADBEEF
54819 .xword 0xDEADBEEFDEADBEEF
54820 .xword 0xDEADBEEFDEADBEEF
54821 .xword 0xDEADBEEFDEADBEEF
54822 .xword 0xDEADBEEFDEADBEEF
54823 .xword 0xDEADBEEFDEADBEEF
54824 .xword 0xDEADBEEFDEADBEEF
54825 .xword 0xDEADBEEFDEADBEEF
54826 .xword 0xDEADBEEFDEADBEEF
54827 .xword 0xDEADBEEFDEADBEEF
54828 .xword 0xDEADBEEFDEADBEEF
54829 .xword 0xDEADBEEFDEADBEEF
54830 .xword 0xDEADBEEFDEADBEEF
54831 .xword 0xDEADBEEFDEADBEEF
54832 .xword 0xDEADBEEFDEADBEEF
54833 .xword 0xDEADBEEFDEADBEEF
54834 .xword 0xDEADBEEFDEADBEEF
54835 .xword 0xDEADBEEFDEADBEEF
54836 .xword 0xDEADBEEFDEADBEEF
54837 .xword 0xDEADBEEFDEADBEEF
54838 .xword 0xDEADBEEFDEADBEEF
54839 .xword 0xDEADBEEFDEADBEEF
54840 .xword 0xDEADBEEFDEADBEEF
54841 .xword 0xDEADBEEFDEADBEEF
54842 .xword 0xDEADBEEFDEADBEEF
54843 .xword 0xDEADBEEFDEADBEEF
54844 .xword 0xDEADBEEFDEADBEEF
54845 .xword 0xDEADBEEFDEADBEEF
54846 .xword 0xDEADBEEFDEADBEEF
54847 .xword 0xDEADBEEFDEADBEEF
54848 .xword 0xDEADBEEFDEADBEEF
54849 .xword 0xDEADBEEFDEADBEEF
54850 .xword 0xDEADBEEFDEADBEEF
54851 .xword 0xDEADBEEFDEADBEEF
54852 .xword 0xDEADBEEFDEADBEEF
54853 .xword 0xDEADBEEFDEADBEEF
54854 .xword 0xDEADBEEFDEADBEEF
54855 .xword 0xDEADBEEFDEADBEEF
54856 .xword 0xDEADBEEFDEADBEEF
54857 .xword 0xDEADBEEFDEADBEEF
54858 .xword 0xDEADBEEFDEADBEEF
54859 .xword 0xDEADBEEFDEADBEEF
54860 .xword 0xDEADBEEFDEADBEEF
54861 .xword 0xDEADBEEFDEADBEEF
54862 .xword 0xDEADBEEFDEADBEEF
54863 .xword 0xDEADBEEFDEADBEEF
54864 .xword 0xDEADBEEFDEADBEEF
54865 .xword 0xDEADBEEFDEADBEEF
54866 .xword 0xDEADBEEFDEADBEEF
54867 .xword 0xDEADBEEFDEADBEEF
54868 .xword 0xDEADBEEFDEADBEEF
54869 .xword 0xDEADBEEFDEADBEEF
54870 .xword 0xDEADBEEFDEADBEEF
54871 .xword 0xDEADBEEFDEADBEEF
54872 .xword 0xDEADBEEFDEADBEEF
54873 .xword 0xDEADBEEFDEADBEEF
54874 .xword 0xDEADBEEFDEADBEEF
54875 .xword 0xDEADBEEFDEADBEEF
54876 .xword 0xDEADBEEFDEADBEEF
54877 .xword 0xDEADBEEFDEADBEEF
54878 .xword 0xDEADBEEFDEADBEEF
54879 .xword 0xDEADBEEFDEADBEEF
54880 .xword 0xDEADBEEFDEADBEEF
54881 .xword 0xDEADBEEFDEADBEEF
54882 .xword 0xDEADBEEFDEADBEEF
54883 .xword 0xDEADBEEFDEADBEEF
54884 .xword 0xDEADBEEFDEADBEEF
54885 .xword 0xDEADBEEFDEADBEEF
54886 .xword 0xDEADBEEFDEADBEEF
54887 .xword 0xDEADBEEFDEADBEEF
54888 .xword 0xDEADBEEFDEADBEEF
54889 .xword 0xDEADBEEFDEADBEEF
54890 .xword 0xDEADBEEFDEADBEEF
54891 .xword 0xDEADBEEFDEADBEEF
54892 .xword 0xDEADBEEFDEADBEEF
54893 .xword 0xDEADBEEFDEADBEEF
54894 .xword 0xDEADBEEFDEADBEEF
54895 .xword 0xDEADBEEFDEADBEEF
54896 .xword 0xDEADBEEFDEADBEEF
54897 .xword 0xDEADBEEFDEADBEEF
54898 .xword 0xDEADBEEFDEADBEEF
54899 .xword 0xDEADBEEFDEADBEEF
54900 .xword 0xDEADBEEFDEADBEEF
54901 .xword 0xDEADBEEFDEADBEEF
54902 .xword 0xDEADBEEFDEADBEEF
54903 .xword 0xDEADBEEFDEADBEEF
54904 .xword 0xDEADBEEFDEADBEEF
54905 .xword 0xDEADBEEFDEADBEEF
54906 .xword 0xDEADBEEFDEADBEEF
54907 .xword 0xDEADBEEFDEADBEEF
54908 .xword 0xDEADBEEFDEADBEEF
54909 .xword 0xDEADBEEFDEADBEEF
54910 .xword 0xDEADBEEFDEADBEEF
54911 .xword 0xDEADBEEFDEADBEEF
54912 .xword 0xDEADBEEFDEADBEEF
54913 .xword 0xDEADBEEFDEADBEEF
54914 .xword 0xDEADBEEFDEADBEEF
54915 .xword 0xDEADBEEFDEADBEEF
54916 .xword 0xDEADBEEFDEADBEEF
54917 .xword 0xDEADBEEFDEADBEEF
54918 .xword 0xDEADBEEFDEADBEEF
54919 .xword 0xDEADBEEFDEADBEEF
54920 .xword 0xDEADBEEFDEADBEEF
54921 .xword 0xDEADBEEFDEADBEEF
54922 .xword 0xDEADBEEFDEADBEEF
54923 .xword 0xDEADBEEFDEADBEEF
54924 .xword 0xDEADBEEFDEADBEEF
54925 .xword 0xDEADBEEFDEADBEEF
54926 .xword 0xDEADBEEFDEADBEEF
54927 .xword 0xDEADBEEFDEADBEEF
54928 .xword 0xDEADBEEFDEADBEEF
54929 .xword 0xDEADBEEFDEADBEEF
54930 .xword 0xDEADBEEFDEADBEEF
54931 .xword 0xDEADBEEFDEADBEEF
54932 .xword 0xDEADBEEFDEADBEEF
54933 .xword 0xDEADBEEFDEADBEEF
54934 .xword 0xDEADBEEFDEADBEEF
54935 .xword 0xDEADBEEFDEADBEEF
54936 .xword 0xDEADBEEFDEADBEEF
54937 .xword 0xDEADBEEFDEADBEEF
54938 .xword 0xDEADBEEFDEADBEEF
54939 .xword 0xDEADBEEFDEADBEEF
54940 .xword 0xDEADBEEFDEADBEEF
54941 .xword 0xDEADBEEFDEADBEEF
54942 .xword 0xDEADBEEFDEADBEEF
54943 .xword 0xDEADBEEFDEADBEEF
54944 .xword 0xDEADBEEFDEADBEEF
54945 .xword 0xDEADBEEFDEADBEEF
54946 .xword 0xDEADBEEFDEADBEEF
54947 .xword 0xDEADBEEFDEADBEEF
54948 .xword 0xDEADBEEFDEADBEEF
54949 .xword 0xDEADBEEFDEADBEEF
54950 .xword 0xDEADBEEFDEADBEEF
54951 .xword 0xDEADBEEFDEADBEEF
54952 .xword 0xDEADBEEFDEADBEEF
54953 .xword 0xDEADBEEFDEADBEEF
54954 .xword 0xDEADBEEFDEADBEEF
54955 .xword 0xDEADBEEFDEADBEEF
54956 .xword 0xDEADBEEFDEADBEEF
54957 .xword 0xDEADBEEFDEADBEEF
54958 .xword 0xDEADBEEFDEADBEEF
54959 .xword 0xDEADBEEFDEADBEEF
54960 .xword 0xDEADBEEFDEADBEEF
54961 .xword 0xDEADBEEFDEADBEEF
54962 .xword 0xDEADBEEFDEADBEEF
54963 .xword 0xDEADBEEFDEADBEEF
54964 .xword 0xDEADBEEFDEADBEEF
54965 .xword 0xDEADBEEFDEADBEEF
54966 .xword 0xDEADBEEFDEADBEEF
54967 .xword 0xDEADBEEFDEADBEEF
54968 .xword 0xDEADBEEFDEADBEEF
54969 .xword 0xDEADBEEFDEADBEEF
54970 .xword 0xDEADBEEFDEADBEEF
54971 .xword 0xDEADBEEFDEADBEEF
54972 .xword 0xDEADBEEFDEADBEEF
54973 .xword 0xDEADBEEFDEADBEEF
54974 .xword 0xDEADBEEFDEADBEEF
54975 .xword 0xDEADBEEFDEADBEEF
54976 .xword 0xDEADBEEFDEADBEEF
54977 .xword 0xDEADBEEFDEADBEEF
54978 .xword 0xDEADBEEFDEADBEEF
54979 .xword 0xDEADBEEFDEADBEEF
54980 .xword 0xDEADBEEFDEADBEEF
54981 .xword 0xDEADBEEFDEADBEEF
54982 .xword 0xDEADBEEFDEADBEEF
54983 .xword 0xDEADBEEFDEADBEEF
54984 .xword 0xDEADBEEFDEADBEEF
54985 .xword 0xDEADBEEFDEADBEEF
54986 .xword 0xDEADBEEFDEADBEEF
54987 .xword 0xDEADBEEFDEADBEEF
54988 .xword 0xDEADBEEFDEADBEEF
54989 .xword 0xDEADBEEFDEADBEEF
54990 .xword 0xDEADBEEFDEADBEEF
54991 .xword 0xDEADBEEFDEADBEEF
54992 .xword 0xDEADBEEFDEADBEEF
54993 .xword 0xDEADBEEFDEADBEEF
54994 .xword 0xDEADBEEFDEADBEEF
54995 .xword 0xDEADBEEFDEADBEEF
54996 .xword 0xDEADBEEFDEADBEEF
54997 .xword 0xDEADBEEFDEADBEEF
54998 .xword 0xDEADBEEFDEADBEEF
54999 .xword 0xDEADBEEFDEADBEEF
55000 .xword 0xDEADBEEFDEADBEEF
55001 .xword 0xDEADBEEFDEADBEEF
55002 .xword 0xDEADBEEFDEADBEEF
55003 .xword 0xDEADBEEFDEADBEEF
55004 .xword 0xDEADBEEFDEADBEEF
55005 .xword 0xDEADBEEFDEADBEEF
55006 .xword 0xDEADBEEFDEADBEEF
55007 .xword 0xDEADBEEFDEADBEEF
55008 .xword 0xDEADBEEFDEADBEEF
55009 .xword 0xDEADBEEFDEADBEEF
55010 .xword 0xDEADBEEFDEADBEEF
55011 .xword 0xDEADBEEFDEADBEEF
55012 .xword 0xDEADBEEFDEADBEEF
55013 .xword 0xDEADBEEFDEADBEEF
55014 .xword 0xDEADBEEFDEADBEEF
55015 .xword 0xDEADBEEFDEADBEEF
55016 .xword 0xDEADBEEFDEADBEEF
55017 .xword 0xDEADBEEFDEADBEEF
55018 .xword 0xDEADBEEFDEADBEEF
55019 .xword 0xDEADBEEFDEADBEEF
55020 .xword 0xDEADBEEFDEADBEEF
55021 .xword 0xDEADBEEFDEADBEEF
55022 .xword 0xDEADBEEFDEADBEEF
55023 .xword 0xDEADBEEFDEADBEEF
55024 .xword 0xDEADBEEFDEADBEEF
55025 .xword 0xDEADBEEFDEADBEEF
55026 .xword 0xDEADBEEFDEADBEEF
55027 .xword 0xDEADBEEFDEADBEEF
55028 .xword 0xDEADBEEFDEADBEEF
55029 .xword 0xDEADBEEFDEADBEEF
55030 .xword 0xDEADBEEFDEADBEEF
55031 .xword 0xDEADBEEFDEADBEEF
55032 .xword 0xDEADBEEFDEADBEEF
55033 .xword 0xDEADBEEFDEADBEEF
55034 .xword 0xDEADBEEFDEADBEEF
55035 .xword 0xDEADBEEFDEADBEEF
55036 .xword 0xDEADBEEFDEADBEEF
55037 .xword 0xDEADBEEFDEADBEEF
55038 .xword 0xDEADBEEFDEADBEEF
55039 .xword 0xDEADBEEFDEADBEEF
55040 .xword 0xDEADBEEFDEADBEEF
55041 .xword 0xDEADBEEFDEADBEEF
55042 .xword 0xDEADBEEFDEADBEEF
55043 .xword 0xDEADBEEFDEADBEEF
55044 .xword 0xDEADBEEFDEADBEEF
55045 .xword 0xDEADBEEFDEADBEEF
55046 .xword 0xDEADBEEFDEADBEEF
55047 .xword 0xDEADBEEFDEADBEEF
55048 .xword 0xDEADBEEFDEADBEEF
55049 .xword 0xDEADBEEFDEADBEEF
55050 .xword 0xDEADBEEFDEADBEEF
55051 .xword 0xDEADBEEFDEADBEEF
55052 .xword 0xDEADBEEFDEADBEEF
55053 .xword 0xDEADBEEFDEADBEEF
55054 .xword 0xDEADBEEFDEADBEEF
55055 .xword 0xDEADBEEFDEADBEEF
55056 .xword 0xDEADBEEFDEADBEEF
55057 .xword 0xDEADBEEFDEADBEEF
55058 .xword 0xDEADBEEFDEADBEEF
55059 .xword 0xDEADBEEFDEADBEEF
55060 .xword 0xDEADBEEFDEADBEEF
55061 .xword 0xDEADBEEFDEADBEEF
55062 .xword 0xDEADBEEFDEADBEEF
55063 .xword 0xDEADBEEFDEADBEEF
55064 .xword 0xDEADBEEFDEADBEEF
55065 .xword 0xDEADBEEFDEADBEEF
55066 .xword 0xDEADBEEFDEADBEEF
55067 .xword 0xDEADBEEFDEADBEEF
55068 .xword 0xDEADBEEFDEADBEEF
55069 .xword 0xDEADBEEFDEADBEEF
55070 .xword 0xDEADBEEFDEADBEEF
55071 .xword 0xDEADBEEFDEADBEEF
55072 .xword 0xDEADBEEFDEADBEEF
55073 .xword 0xDEADBEEFDEADBEEF
55074 .xword 0xDEADBEEFDEADBEEF
55075 .xword 0xDEADBEEFDEADBEEF
55076 .xword 0xDEADBEEFDEADBEEF
55077 .xword 0xDEADBEEFDEADBEEF
55078 .xword 0xDEADBEEFDEADBEEF
55079 .xword 0xDEADBEEFDEADBEEF
55080 .xword 0xDEADBEEFDEADBEEF
55081 .xword 0xDEADBEEFDEADBEEF
55082 .xword 0xDEADBEEFDEADBEEF
55083 .xword 0xDEADBEEFDEADBEEF
55084 .xword 0xDEADBEEFDEADBEEF
55085 .xword 0xDEADBEEFDEADBEEF
55086 .xword 0xDEADBEEFDEADBEEF
55087 .xword 0xDEADBEEFDEADBEEF
55088 .xword 0xDEADBEEFDEADBEEF
55089 .xword 0xDEADBEEFDEADBEEF
55090 .xword 0xDEADBEEFDEADBEEF
55091_t1_hmac_auth_key:
55092 .xword 0x3bf8d9045c7e74eb
55093 .xword 0xb1f5500ba672a2eb
55094 .xword 0x0e89ac22d118d1cc
55095 .xword 0x21c89f910b4681a1
55096 .xword 0x6a6d5d7d5108e6be
55097 .xword 0x56786b9a7c299748
55098 .xword 0x7d72df4c89962131
55099 .xword 0xdafb9624b106c952
55100 .xword 0x348cc81f4a9dedc8
55101 .xword 0xa1672bbcb9a2fc2f
55102 .xword 0x5a17a714835a7d10
55103 .xword 0xc25d162c4b45ae10
55104 .xword 0x0fa936c3fed622da
55105 .xword 0x6dabb78130c2965e
55106 .xword 0xd746c6e1a148ca1a
55107 .xword 0x8b22248e87e02430
55108 .xword 0xa694d5a7d9cd37c2
55109 .xword 0xdbe66d7d4d76fc43
55110 .xword 0x3d6067d1eb50ef8a
55111 .xword 0x1c667243d95042e5
55112 .xword 0x70526dfd2aff76a4
55113 .xword 0x7f7b3f8caab74899
55114 .xword 0xaabdff31728fbb99
55115_t1_hmac_auth_iv:
55116 .xword 0xffd8e220bceee4c3
55117 .xword 0x3aca21023bbf2e29
55118 .xword 0xde1c603a9bbe3e0c
55119 .xword 0x750a65ee22ef760b
55120 .xword 0xf9488f72f33be18a
55121 .xword 0x6a5b8144c5c44e06
55122 .xword 0xb347f3400566c9d2
55123 .xword 0xf4ac6fa338299971
55124 .xword 0xa02f5e1f142e6222
55125 .xword 0x06d30a363581a815
55126 .xword 0x20458e94f4343d94
55127 .xword 0x734c3158300bc153
55128 .xword 0xdd28ffe49e348b86
55129 .xword 0xea981e13a2c9640b
55130 .xword 0xd1187f154cceaef6
55131 .xword 0x9687f97ee6178917
55132 .xword 0x107c5924642f3a54
55133 .xword 0x3ff6784cae7344bb
55134 .xword 0xbd1904d2dfaddeda
55135 .xword 0x4bb01a22980db53f
55136 .xword 0x8cde933bed9c7d45
55137 .xword 0xb49da281eafb6a3e
55138 .xword 0xf94249397713c632
55139_t1_hmac_fas_result:
55140 .xword 0xDEADBEEFDEADBEEF
55141 .xword 0xDEADBEEFDEADBEEF
55142 .xword 0xDEADBEEFDEADBEEF
55143 .xword 0xDEADBEEFDEADBEEF
55144 .xword 0xDEADBEEFDEADBEEF
55145 .xword 0xDEADBEEFDEADBEEF
55146 .xword 0xDEADBEEFDEADBEEF
55147 .xword 0xDEADBEEFDEADBEEF
55148 .xword 0xDEADBEEFDEADBEEF
55149 .xword 0xDEADBEEFDEADBEEF
55150 .xword 0xDEADBEEFDEADBEEF
55151 .xword 0xDEADBEEFDEADBEEF
55152 .xword 0xDEADBEEFDEADBEEF
55153 .xword 0xDEADBEEFDEADBEEF
55154 .xword 0xDEADBEEFDEADBEEF
55155 .xword 0xDEADBEEFDEADBEEF
55156 .xword 0xDEADBEEFDEADBEEF
55157 .xword 0xDEADBEEFDEADBEEF
55158 .xword 0xDEADBEEFDEADBEEF
55159 .xword 0xDEADBEEFDEADBEEF
55160 .xword 0xDEADBEEFDEADBEEF
55161 .xword 0xDEADBEEFDEADBEEF
55162 .xword 0xDEADBEEFDEADBEEF
55163_t1_rc4_key_array:
55164 .xword 0xe11925270a44f213
55165 .xword 0xce9d9b0802af9c59
55166 .xword 0x113df9f8a5cefd70
55167 .xword 0x35fc7e822d3cc7e0
55168 .xword 0xf58f9dda2c836db0
55169 .xword 0x0b3750025b1b767c
55170 .xword 0xe36c8ded003229c1
55171 .xword 0xc16821bc1e05f6e8
55172 .xword 0x23d09526f261b0fa
55173 .xword 0x1f3dde1f9b83fae1
55174 .xword 0x996c8bfac527c7cd
55175 .xword 0xc1314fb9679e19b0
55176 .xword 0x765e249ab018a6a3
55177 .xword 0x488cf6da8db2de48
55178 .xword 0x1105159c2979f5b6
55179 .xword 0xa367a7b83420f52f
55180 .xword 0xc212b03cdd36ec82
55181 .xword 0x550ab30d19394006
55182 .xword 0xd6d2c3d1628e8eff
55183 .xword 0x323e15418bdbb844
55184 .xword 0x788b73967434a7f2
55185 .xword 0xd3cfc638011c92a3
55186 .xword 0xed774708a478c22c
55187 .xword 0x6665f3f50936ab65
55188 .xword 0xb9768391bb31f313
55189 .xword 0xdaf8ed0c283ce96f
55190 .xword 0xaea3a59b996eb62c
55191 .xword 0x3bfb98bb6b140b6c
55192 .xword 0x37fab6d14738de89
55193 .xword 0x8bccc1dcc38b34ad
55194 .xword 0xe4323e2bd7dc5cba
55195 .xword 0x8fea368b9d4d9875
55196 .xword 0x834ad961a3c85fc9
55197 .xword 0x4f5950886b675a78
55198 .xword 0x70193951c99a8a31
55199 .xword 0x0eacbccaf7e57e4c
55200 .xword 0x8d4e80e5f40d35cb
55201 .xword 0x0a4fe73b058f2047
55202 .xword 0x6af160d37dbf586c
55203 .xword 0x260f9d267b9cfcfc
55204 .xword 0x361812a26ca132d2
55205 .xword 0x2d38a0a2549543ae
55206 .xword 0x1d0bac960ab89ce4
55207 .xword 0x2ad465b396134842
55208 .xword 0x4972fa864f55ba58
55209 .xword 0xe90fffc2e762f43d
55210 .xword 0x20f4ed081dc50129
55211 .xword 0x2a4517d1efbbf6f7
55212 .xword 0x1f0a862e361401c6
55213 .xword 0xd097715e6398a68e
55214 .xword 0x5c4dbf46f28a0034
55215_t1_rc4_iv_array:
55216 .xword 0xc453ef31f0d5531b
55217 .xword 0x895f26139191b32c
55218 .xword 0x476c7f953878332e
55219 .xword 0xdf1585b7df0c9d9a
55220 .xword 0xd0e65a7e368c8ac1
55221 .xword 0xf0bf4d59392a3791
55222 .xword 0x2f7ff44b1bb94f43
55223 .xword 0x8ade2b024f93b96b
55224 .xword 0x43b41448aa0ebf3c
55225 .xword 0xbc82cf878eabf815
55226 .xword 0xfdd692ff7aa388e3
55227 .xword 0x3fb6efaf6ea1d6ef
55228 .xword 0xfcf065604e637b9a
55229 .xword 0x032ffe9dac7f55c6
55230 .xword 0x2933f7008aec4e78
55231 .xword 0xe2ed0985482bc64f
55232 .xword 0x4dff82cd82fd2f97
55233 .xword 0x853deb9b4cb0c25b
55234 .xword 0x093137d66df34c92
55235 .xword 0x128a452ee4b60177
55236 .xword 0xe863ed85d5eeaea2
55237 .xword 0x714f23545e1ae747
55238 .xword 0x2bc287139617b2b2
55239 .xword 0x8f1c4e25ebe5f77d
55240 .xword 0x8c42d65fe09373d5
55241 .xword 0x5a9dda051071c92e
55242 .xword 0x100cb7895b0da5fe
55243 .xword 0x270e6d473351fa08
55244 .xword 0xacc7ab167eaadd7a
55245 .xword 0x9dc43deec1c72377
55246 .xword 0xdb61b681806c340b
55247 .xword 0x31eb28c698925635
55248 .xword 0x8775d5bc61877a2d
55249 .xword 0xe7cf6d67fe4f4b91
55250 .xword 0x4d5e7fb928177c72
55251 .xword 0xe91874e2ca6a94be
55252 .xword 0xe5a1b547e7c922dc
55253 .xword 0xa953fdfc89ca64ee
55254 .xword 0x5715d4775b00b721
55255 .xword 0x774723f6075e4043
55256 .xword 0x255c74a7c54b65b9
55257 .xword 0xd83e4466119cadb3
55258 .xword 0x2d98cecebc942adb
55259 .xword 0xa41e93b26f02d7f9
55260 .xword 0x5d37ee3e9e0be896
55261_t1_rc4_alignment_array:
55262 .xword 10
55263 .xword 4
55264 .xword 4
55265 .xword 15
55266 .xword 12
55267 .xword 4
55268 .xword 6
55269 .xword 15
55270 .xword 8
55271 .xword 14
55272 .xword 15
55273 .xword 14
55274 .xword 14
55275 .xword 1
55276 .xword 9
55277 .xword 7
55278 .xword 14
55279 .xword 15
55280 .xword 10
55281 .xword 9
55282 .xword 2
55283 .xword 11
55284 .xword 8
55285 .xword 6
55286 .xword 10
55287 .xword 13
55288 .xword 8
55289 .xword 4
55290 .xword 4
55291 .xword 3
55292 .xword 14
55293 .xword 15
55294 .xword 0
55295 .xword 14
55296 .xword 15
55297 .xword 15
55298 .xword 0
55299 .xword 15
55300 .xword 6
55301 .xword 0
55302 .xword 15
55303 .xword 9
55304 .xword 10
55305 .xword 7
55306 .xword 4
55307 .xword 15
55308 .xword 0
55309 .xword 14
55310 .xword 6
55311 .xword 4
55312 .xword 2
55313 .xword 12
55314 .xword 10
55315 .xword 2
55316 .xword 6
55317 .xword 4
55318 .xword 11
55319 .xword 9
55320 .xword 10
55321 .xword 8
55322 .xword 14
55323 .xword 8
55324 .xword 12
55325 .xword 11
55326 .xword 11
55327 .xword 4
55328 .xword 6
55329 .xword 9
55330 .xword 13
55331 .xword 1
55332 .xword 15
55333 .xword 6
55334 .xword 10
55335 .xword 12
55336 .xword 10
55337 .xword 10
55338 .xword 10
55339 .xword 14
55340 .xword 0
55341 .xword 13
55342 .xword 4
55343 .xword 0
55344 .xword 8
55345 .xword 2
55346 .xword 15
55347 .xword 11
55348 .xword 11
55349 .xword 1
55350 .xword 3
55351 .xword 8
55352 .xword 1
55353 .xword 13
55354 .xword 0
55355 .xword 9
55356 .xword 6
55357 .xword 10
55358 .xword 0
55359 .xword 2
55360 .xword 11
55361 .xword 12
55362 .xword 7
55363 .xword 9
55364 .xword 14
55365 .xword 0
55366 .xword 8
55367_t1_rc4_src:
55368 .xword 0x94e543ca9ee76fab
55369 .xword 0x2c85237b24e51141
55370 .xword 0x999649569c215d89
55371 .xword 0x7ffe6c2d5114e58d
55372 .xword 0x02e87e577cdb1654
55373 .xword 0x742425d47cd08a4d
55374 .xword 0x61a63b9dfe06f94d
55375 .xword 0x15d8656e034ee915
55376 .xword 0xe97ffaf50df200e1
55377 .xword 0x46bef1822dc125d8
55378 .xword 0xc666ae47dcbc36c4
55379 .xword 0x799d15533e9026e9
55380 .xword 0xc6840fdc6f4c9aa4
55381 .xword 0x36de141ce4b2306f
55382 .xword 0x863d6b23e0656535
55383 .xword 0x35d9c03130445666
55384 .xword 0xa3d357b648a05b65
55385 .xword 0x5afddcde7e600010
55386 .xword 0x0e9cb26a094851dc
55387 .xword 0xf4fb3aedcef11f1c
55388 .xword 0x63499dbe1cf82236
55389 .xword 0x404efea48f8a5536
55390 .xword 0xa434cf45bfae216a
55391 .xword 0x551b81a49048caa5
55392 .xword 0x9700869fc05e0a35
55393 .xword 0xb8bc0fc405058cda
55394 .xword 0xaad7d20ed7cb7052
55395 .xword 0x3e5e56bb3bbee02e
55396 .xword 0xea241215556b91f3
55397 .xword 0x3fdf460b4c9550b5
55398 .xword 0x0ef95729cc3daeec
55399 .xword 0xd7f0df22781dc6f4
55400 .xword 0xb790dbf83ddf0f3d
55401 .xword 0xf499bcb6118d7e72
55402 .xword 0x99984f76f0de18a7
55403 .xword 0x1440d5b3ae76e72b
55404 .xword 0x4aa247ab3aca8d10
55405 .xword 0x3adaf3c8e8ee028b
55406 .xword 0x216ccea5f94f7b1f
55407 .xword 0xfd27750707cdb22e
55408 .xword 0x62a45a17d0fb9b38
55409 .xword 0x583ed437c958c7a7
55410 .xword 0xeade0d3c2df7787a
55411 .xword 0xa9538193e59cc03f
55412 .xword 0x3e30175fcadb7016
55413 .xword 0xe9e11cc3440ba53f
55414 .xword 0x0d24ed4f91a4d77e
55415 .xword 0xc7a102bb7df14bb2
55416 .xword 0xb4e775ee13b67977
55417 .xword 0x60685d821a48023e
55418 .xword 0xac635e4bd5fa4ddf
55419 .xword 0x4613f33c93516c6a
55420 .xword 0x0e9015fb940cf866
55421 .xword 0x2eafabe87a4330a5
55422 .xword 0x96d9ca6a916ecbba
55423 .xword 0x456e456f478f851e
55424 .xword 0x0ffbafc62cfa7470
55425 .xword 0x3fe51a9c0dcc1004
55426 .xword 0x8548844535d69a85
55427 .xword 0x03e7fb1c7c63647b
55428 .xword 0x8c6e6a841a45c539
55429 .xword 0x7d95f18120748586
55430 .xword 0xddf8d911a8046660
55431 .xword 0x57aaf2ad7761779a
55432 .xword 0x2c19dcfeece2cbce
55433 .xword 0x4c410104a2b71923
55434 .xword 0xbb39b797c1d05f1b
55435 .xword 0x5e293e363d764d92
55436 .xword 0x5ad95e0fc8d4f4ca
55437 .xword 0xefcb8720d31a05cf
55438 .xword 0xc87aba9af06e988e
55439 .xword 0xe8525b2b43fa9931
55440 .xword 0x58951206b458f17e
55441 .xword 0xd17c2cec69163ded
55442 .xword 0x3a33b25dbf4e26dd
55443 .xword 0xbf7f6a4c3bffc24f
55444 .xword 0xf71cb1721aac0e1b
55445 .xword 0xfe877f186a32b57c
55446 .xword 0x05a88912998cd6d0
55447 .xword 0x0213bb8daec84dbf
55448 .xword 0xd58986deffb8722f
55449 .xword 0xdaa2e1d0d79e502a
55450 .xword 0x22f087e40d6dfd2a
55451 .xword 0x89635b7196af1cd8
55452 .xword 0x484f44338c3cf7cd
55453 .xword 0x0f9bf3ff3dba403b
55454 .xword 0x02dfcf1c54b4ce80
55455 .xword 0x291d2c015e770502
55456 .xword 0x6bc17b254acd94ef
55457 .xword 0x9322b4d053f06d9c
55458 .xword 0xa59096937de8d75f
55459 .xword 0x6c6513d35eec6616
55460 .xword 0x8fdb3f68f4b9d5c5
55461 .xword 0x81b7d68b78f4efc3
55462 .xword 0xdaccd6196562ef2a
55463 .xword 0xf11b4ec81be7f3cf
55464 .xword 0xa65c380f9071ab00
55465 .xword 0x9840c5323ed56d5d
55466 .xword 0x9ea68c133a4090d3
55467 .xword 0xe902edeed654d947
55468 .xword 0xd1f0c7834e9c2ca5
55469 .xword 0x0e5de06a5cd7e55b
55470 .xword 0xf2e550a90533784a
55471 .xword 0x2afc9185344f7bd0
55472 .xword 0x94c1e10d9428ed49
55473 .xword 0xd06261ebf0d1e6bc
55474 .xword 0x5cb6ec60f2b2feff
55475 .xword 0x8a676ac54e8a1e33
55476 .xword 0x0d12951d5c045f07
55477 .xword 0x6d253605b59d93b0
55478 .xword 0x684243d9ef32c870
55479 .xword 0x8944870cb33077a4
55480 .xword 0xca9a88d72d1ab8a3
55481 .xword 0xcdd2bfa0b328841c
55482 .xword 0x9601b95e91c0a0fe
55483 .xword 0xf04d99c6270d5f29
55484 .xword 0xcfc61c73a27e7e63
55485 .xword 0x8f7e3e53f69a0105
55486 .xword 0x81c5f8f3f6933cbc
55487 .xword 0x563566390aff884e
55488 .xword 0xa039adcda8ed74fc
55489 .xword 0x0ea222132eff9104
55490 .xword 0x9d86ebc4b24eb23a
55491 .xword 0x1780134bd367ec44
55492 .xword 0x90c5db6799b12b7f
55493 .xword 0x4d18210b70e25783
55494 .xword 0x5883fcfcf8a60bb9
55495 .xword 0xb5e35e0d32a482f1
55496 .xword 0x873502bfc606d3d7
55497 .xword 0xdda382f91279f2d5
55498 .xword 0x7454a06c7de71797
55499 .xword 0xed3fcede251af0a5
55500 .xword 0x1ed463ca5c0eee82
55501 .xword 0x5d20995bc2cf36e5
55502 .xword 0xe2ae9784c3ca3592
55503 .xword 0x3df675d096b3aded
55504 .xword 0x3f8fcb54cbd9c241
55505 .xword 0x7d61e79582d8a872
55506 .xword 0xe0325ac1e06c6911
55507 .xword 0xb952d3759fa09e7f
55508 .xword 0xc60175f77901ccff
55509 .xword 0xa33eb26db697c040
55510 .xword 0xd2d47d7b5fd86e68
55511 .xword 0x7f5553313f966422
55512 .xword 0xfca836418247796c
55513 .xword 0xf5b0cc57669cad6c
55514 .xword 0x3f7e793d36bc6333
55515 .xword 0x0ef85795d5a5e1ee
55516 .xword 0x7121d98c4b91dc76
55517 .xword 0x06834e28e9863c7d
55518 .xword 0x292f7057f157ab9d
55519 .xword 0x00999e1d790b1ead
55520 .xword 0x7dcc3b321cfe0688
55521 .xword 0xc77c343613ae7b4d
55522 .xword 0x62a8898efbfcc7a7
55523 .xword 0x2265a0faf7a0654f
55524 .xword 0x36fec05ff9fc69ae
55525 .xword 0x7a2b660d3512dfa4
55526 .xword 0x20c82d0299254f9e
55527 .xword 0xfcfdcf4d1fd6eb98
55528 .xword 0xac665ffd1a091b6e
55529 .xword 0x75d23de97deac443
55530 .xword 0x2ded8364eaaf964d
55531 .xword 0x997cbb9b8df93216
55532 .xword 0x0b62409377fcf93e
55533 .xword 0x86b05e825209773f
55534 .xword 0xe268f80f96293394
55535 .xword 0xace61f5eb9e91b42
55536 .xword 0x337383cb2dda1319
55537 .xword 0x842df11f33ff4850
55538 .xword 0xfdc2633432af9045
55539 .xword 0xb8c0915a5345739a
55540 .xword 0x5054eccacfecf0a0
55541 .xword 0x3370cebded8ee305
55542 .xword 0xe125921f79d8ac2d
55543 .xword 0x93c8909cda2c7810
55544 .xword 0xd6c9e0cbf103ed83
55545 .xword 0x14738b995a1accf0
55546 .xword 0xc008eabce4375844
55547 .xword 0x1bd890bd8ad3aee0
55548 .xword 0x6a5353bb1d5c4865
55549 .xword 0xa51fd9b0f213b15e
55550 .xword 0xca796e057856adfd
55551 .xword 0x4e2c50cd6a314163
55552 .xword 0x3103f56d5ceba514
55553 .xword 0x76c73c00791f62e5
55554 .xword 0xb1e2e379749b78e5
55555 .xword 0xc122deef7eb9c529
55556 .xword 0xf7879dad94e1b5aa
55557 .xword 0xade077147351ead1
55558 .xword 0x8eace89215e746ab
55559 .xword 0x2f90fea5a9cc68e8
55560 .xword 0xdaa46711c68ba38f
55561 .xword 0xbea2b42a5667677e
55562 .xword 0x88032fe15db81af2
55563 .xword 0x109f68654258ea24
55564 .xword 0x1bec198f822ea248
55565 .xword 0x559ff2a2d4cafd00
55566 .xword 0x0e72dab235adbd76
55567 .xword 0x7f426e9d3e17c6f1
55568 .xword 0xdb7c29c8dfd3e283
55569 .xword 0xa3cffd61127563ac
55570 .xword 0x72679d58d5b3df09
55571 .xword 0xbf3c3dc598d1d7b3
55572 .xword 0x2bfe3a147d4106d6
55573 .xword 0x63fadcaa25d00e80
55574 .xword 0xfc19ac48a4725e05
55575 .xword 0x5d0e09c0a2fe8f5a
55576 .xword 0xbdcf3c5c15cf7932
55577 .xword 0xcfbba584bde62649
55578 .xword 0x7c7f72365d0f31e1
55579 .xword 0x22a65d45221c8523
55580 .xword 0x08762e9b69657568
55581 .xword 0x478cafdb60130874
55582 .xword 0x2979dc7b5de58753
55583 .xword 0x925b4d2bdd016c1d
55584 .xword 0xa2ca6bca8fff48b3
55585 .xword 0x94d2a2cc6a7254d3
55586 .xword 0x49ee33d0f0028a5b
55587 .xword 0x04cd0f12eb33e4f0
55588 .xword 0xce9c57213ad4a290
55589 .xword 0xb91feeed4109923b
55590 .xword 0x679590efbf5bd86b
55591 .xword 0xf95f2f6256f28b07
55592 .xword 0x97c2be848c0d3f05
55593 .xword 0x0e1bbf175a64dd51
55594 .xword 0x0492deab0ae8e65c
55595 .xword 0xefde500127b5e700
55596 .xword 0x131582bfde80a297
55597 .xword 0xa8518e91608928db
55598 .xword 0x881e0916a3ead4f1
55599 .xword 0xa0db0a0a689b9e2a
55600 .xword 0x43115284e6c245e1
55601 .xword 0x7e499a36764f04a0
55602 .xword 0x0268a39d61b46cfb
55603 .xword 0x7a0c4087733ad0b1
55604 .xword 0x8fa321592a3760be
55605 .xword 0xc613fa3c0ce96bfd
55606 .xword 0xcc64dc87eac3bf41
55607 .xword 0x073e3557fcd7c3fd
55608 .xword 0x494cb37eac9190dc
55609 .xword 0x04c9fbc7dc3577c6
55610 .xword 0xa7fa09a8783b1274
55611 .xword 0x408abb88ee9d6df1
55612 .xword 0x506725271ed10cfb
55613 .xword 0x75a1bcd6ef89306e
55614 .xword 0x5a0eac82b7467859
55615 .xword 0xcc390da2e56f27b7
55616 .xword 0x18725344e1913502
55617 .xword 0x83a90b7dd2fcda26
55618 .xword 0x44ea7b223515d92c
55619 .xword 0x254bba2c678f9ae2
55620 .xword 0x80ce78a0d301b315
55621 .xword 0x4f3debae4ab6aa65
55622 .xword 0xef17938e44bd2ff1
55623 .xword 0xeb422d6363e850c2
55624 .xword 0xbd693a25bfa66118
55625 .xword 0x7e0eb90f83a5df16
55626 .xword 0x8d11a4bce56976b8
55627 .xword 0x8d612421d841f0b9
55628 .xword 0x68cebfef6727cd4f
55629 .xword 0xe7362a7cdfb9d4ff
55630 .xword 0x48b6585e4768e78f
55631 .xword 0x45f31cc51c75feea
55632 .xword 0x6247e6245c00c4ff
55633 .xword 0x88e2a046e5941317
55634 .xword 0x60986c0c486989df
55635 .xword 0xcca0618ba246b2d7
55636 .xword 0x79a1059115b202ef
55637 .xword 0xe51b49acb6757fd3
55638 .xword 0xd3b02700303b67be
55639 .xword 0xbcba703d03242b26
55640 .xword 0xa69aee9ee2cd134a
55641 .xword 0x85f7c541f21e0309
55642 .xword 0x899109477276c13b
55643_t1_rc4_dest:
55644 .xword 0xDEADBEEFDEADBEEF
55645 .xword 0xDEADBEEFDEADBEEF
55646 .xword 0xDEADBEEFDEADBEEF
55647 .xword 0xDEADBEEFDEADBEEF
55648 .xword 0xDEADBEEFDEADBEEF
55649 .xword 0xDEADBEEFDEADBEEF
55650 .xword 0xDEADBEEFDEADBEEF
55651 .xword 0xDEADBEEFDEADBEEF
55652 .xword 0xDEADBEEFDEADBEEF
55653 .xword 0xDEADBEEFDEADBEEF
55654 .xword 0xDEADBEEFDEADBEEF
55655 .xword 0xDEADBEEFDEADBEEF
55656 .xword 0xDEADBEEFDEADBEEF
55657 .xword 0xDEADBEEFDEADBEEF
55658 .xword 0xDEADBEEFDEADBEEF
55659 .xword 0xDEADBEEFDEADBEEF
55660 .xword 0xDEADBEEFDEADBEEF
55661 .xword 0xDEADBEEFDEADBEEF
55662 .xword 0xDEADBEEFDEADBEEF
55663 .xword 0xDEADBEEFDEADBEEF
55664 .xword 0xDEADBEEFDEADBEEF
55665 .xword 0xDEADBEEFDEADBEEF
55666 .xword 0xDEADBEEFDEADBEEF
55667 .xword 0xDEADBEEFDEADBEEF
55668 .xword 0xDEADBEEFDEADBEEF
55669 .xword 0xDEADBEEFDEADBEEF
55670 .xword 0xDEADBEEFDEADBEEF
55671 .xword 0xDEADBEEFDEADBEEF
55672 .xword 0xDEADBEEFDEADBEEF
55673 .xword 0xDEADBEEFDEADBEEF
55674 .xword 0xDEADBEEFDEADBEEF
55675 .xword 0xDEADBEEFDEADBEEF
55676 .xword 0xDEADBEEFDEADBEEF
55677 .xword 0xDEADBEEFDEADBEEF
55678 .xword 0xDEADBEEFDEADBEEF
55679 .xword 0xDEADBEEFDEADBEEF
55680 .xword 0xDEADBEEFDEADBEEF
55681 .xword 0xDEADBEEFDEADBEEF
55682 .xword 0xDEADBEEFDEADBEEF
55683 .xword 0xDEADBEEFDEADBEEF
55684 .xword 0xDEADBEEFDEADBEEF
55685 .xword 0xDEADBEEFDEADBEEF
55686 .xword 0xDEADBEEFDEADBEEF
55687 .xword 0xDEADBEEFDEADBEEF
55688 .xword 0xDEADBEEFDEADBEEF
55689 .xword 0xDEADBEEFDEADBEEF
55690 .xword 0xDEADBEEFDEADBEEF
55691 .xword 0xDEADBEEFDEADBEEF
55692 .xword 0xDEADBEEFDEADBEEF
55693 .xword 0xDEADBEEFDEADBEEF
55694 .xword 0xDEADBEEFDEADBEEF
55695 .xword 0xDEADBEEFDEADBEEF
55696 .xword 0xDEADBEEFDEADBEEF
55697 .xword 0xDEADBEEFDEADBEEF
55698 .xword 0xDEADBEEFDEADBEEF
55699 .xword 0xDEADBEEFDEADBEEF
55700 .xword 0xDEADBEEFDEADBEEF
55701 .xword 0xDEADBEEFDEADBEEF
55702 .xword 0xDEADBEEFDEADBEEF
55703 .xword 0xDEADBEEFDEADBEEF
55704 .xword 0xDEADBEEFDEADBEEF
55705 .xword 0xDEADBEEFDEADBEEF
55706 .xword 0xDEADBEEFDEADBEEF
55707 .xword 0xDEADBEEFDEADBEEF
55708 .xword 0xDEADBEEFDEADBEEF
55709 .xword 0xDEADBEEFDEADBEEF
55710 .xword 0xDEADBEEFDEADBEEF
55711 .xword 0xDEADBEEFDEADBEEF
55712 .xword 0xDEADBEEFDEADBEEF
55713 .xword 0xDEADBEEFDEADBEEF
55714 .xword 0xDEADBEEFDEADBEEF
55715 .xword 0xDEADBEEFDEADBEEF
55716 .xword 0xDEADBEEFDEADBEEF
55717 .xword 0xDEADBEEFDEADBEEF
55718 .xword 0xDEADBEEFDEADBEEF
55719 .xword 0xDEADBEEFDEADBEEF
55720 .xword 0xDEADBEEFDEADBEEF
55721 .xword 0xDEADBEEFDEADBEEF
55722 .xword 0xDEADBEEFDEADBEEF
55723 .xword 0xDEADBEEFDEADBEEF
55724 .xword 0xDEADBEEFDEADBEEF
55725 .xword 0xDEADBEEFDEADBEEF
55726 .xword 0xDEADBEEFDEADBEEF
55727 .xword 0xDEADBEEFDEADBEEF
55728 .xword 0xDEADBEEFDEADBEEF
55729 .xword 0xDEADBEEFDEADBEEF
55730 .xword 0xDEADBEEFDEADBEEF
55731 .xword 0xDEADBEEFDEADBEEF
55732 .xword 0xDEADBEEFDEADBEEF
55733 .xword 0xDEADBEEFDEADBEEF
55734 .xword 0xDEADBEEFDEADBEEF
55735 .xword 0xDEADBEEFDEADBEEF
55736 .xword 0xDEADBEEFDEADBEEF
55737 .xword 0xDEADBEEFDEADBEEF
55738 .xword 0xDEADBEEFDEADBEEF
55739 .xword 0xDEADBEEFDEADBEEF
55740 .xword 0xDEADBEEFDEADBEEF
55741 .xword 0xDEADBEEFDEADBEEF
55742 .xword 0xDEADBEEFDEADBEEF
55743 .xword 0xDEADBEEFDEADBEEF
55744 .xword 0xDEADBEEFDEADBEEF
55745 .xword 0xDEADBEEFDEADBEEF
55746 .xword 0xDEADBEEFDEADBEEF
55747 .xword 0xDEADBEEFDEADBEEF
55748 .xword 0xDEADBEEFDEADBEEF
55749 .xword 0xDEADBEEFDEADBEEF
55750 .xword 0xDEADBEEFDEADBEEF
55751 .xword 0xDEADBEEFDEADBEEF
55752 .xword 0xDEADBEEFDEADBEEF
55753 .xword 0xDEADBEEFDEADBEEF
55754 .xword 0xDEADBEEFDEADBEEF
55755 .xword 0xDEADBEEFDEADBEEF
55756 .xword 0xDEADBEEFDEADBEEF
55757 .xword 0xDEADBEEFDEADBEEF
55758 .xword 0xDEADBEEFDEADBEEF
55759 .xword 0xDEADBEEFDEADBEEF
55760 .xword 0xDEADBEEFDEADBEEF
55761 .xword 0xDEADBEEFDEADBEEF
55762 .xword 0xDEADBEEFDEADBEEF
55763 .xword 0xDEADBEEFDEADBEEF
55764 .xword 0xDEADBEEFDEADBEEF
55765 .xword 0xDEADBEEFDEADBEEF
55766 .xword 0xDEADBEEFDEADBEEF
55767 .xword 0xDEADBEEFDEADBEEF
55768 .xword 0xDEADBEEFDEADBEEF
55769 .xword 0xDEADBEEFDEADBEEF
55770 .xword 0xDEADBEEFDEADBEEF
55771 .xword 0xDEADBEEFDEADBEEF
55772 .xword 0xDEADBEEFDEADBEEF
55773 .xword 0xDEADBEEFDEADBEEF
55774 .xword 0xDEADBEEFDEADBEEF
55775 .xword 0xDEADBEEFDEADBEEF
55776 .xword 0xDEADBEEFDEADBEEF
55777 .xword 0xDEADBEEFDEADBEEF
55778 .xword 0xDEADBEEFDEADBEEF
55779 .xword 0xDEADBEEFDEADBEEF
55780 .xword 0xDEADBEEFDEADBEEF
55781 .xword 0xDEADBEEFDEADBEEF
55782 .xword 0xDEADBEEFDEADBEEF
55783 .xword 0xDEADBEEFDEADBEEF
55784 .xword 0xDEADBEEFDEADBEEF
55785 .xword 0xDEADBEEFDEADBEEF
55786 .xword 0xDEADBEEFDEADBEEF
55787 .xword 0xDEADBEEFDEADBEEF
55788 .xword 0xDEADBEEFDEADBEEF
55789 .xword 0xDEADBEEFDEADBEEF
55790 .xword 0xDEADBEEFDEADBEEF
55791 .xword 0xDEADBEEFDEADBEEF
55792 .xword 0xDEADBEEFDEADBEEF
55793 .xword 0xDEADBEEFDEADBEEF
55794 .xword 0xDEADBEEFDEADBEEF
55795 .xword 0xDEADBEEFDEADBEEF
55796 .xword 0xDEADBEEFDEADBEEF
55797 .xword 0xDEADBEEFDEADBEEF
55798 .xword 0xDEADBEEFDEADBEEF
55799 .xword 0xDEADBEEFDEADBEEF
55800 .xword 0xDEADBEEFDEADBEEF
55801 .xword 0xDEADBEEFDEADBEEF
55802 .xword 0xDEADBEEFDEADBEEF
55803 .xword 0xDEADBEEFDEADBEEF
55804 .xword 0xDEADBEEFDEADBEEF
55805 .xword 0xDEADBEEFDEADBEEF
55806 .xword 0xDEADBEEFDEADBEEF
55807 .xword 0xDEADBEEFDEADBEEF
55808 .xword 0xDEADBEEFDEADBEEF
55809 .xword 0xDEADBEEFDEADBEEF
55810 .xword 0xDEADBEEFDEADBEEF
55811 .xword 0xDEADBEEFDEADBEEF
55812 .xword 0xDEADBEEFDEADBEEF
55813 .xword 0xDEADBEEFDEADBEEF
55814 .xword 0xDEADBEEFDEADBEEF
55815 .xword 0xDEADBEEFDEADBEEF
55816 .xword 0xDEADBEEFDEADBEEF
55817 .xword 0xDEADBEEFDEADBEEF
55818 .xword 0xDEADBEEFDEADBEEF
55819 .xword 0xDEADBEEFDEADBEEF
55820 .xword 0xDEADBEEFDEADBEEF
55821 .xword 0xDEADBEEFDEADBEEF
55822 .xword 0xDEADBEEFDEADBEEF
55823 .xword 0xDEADBEEFDEADBEEF
55824 .xword 0xDEADBEEFDEADBEEF
55825 .xword 0xDEADBEEFDEADBEEF
55826 .xword 0xDEADBEEFDEADBEEF
55827 .xword 0xDEADBEEFDEADBEEF
55828 .xword 0xDEADBEEFDEADBEEF
55829 .xword 0xDEADBEEFDEADBEEF
55830 .xword 0xDEADBEEFDEADBEEF
55831 .xword 0xDEADBEEFDEADBEEF
55832 .xword 0xDEADBEEFDEADBEEF
55833 .xword 0xDEADBEEFDEADBEEF
55834 .xword 0xDEADBEEFDEADBEEF
55835 .xword 0xDEADBEEFDEADBEEF
55836 .xword 0xDEADBEEFDEADBEEF
55837 .xword 0xDEADBEEFDEADBEEF
55838 .xword 0xDEADBEEFDEADBEEF
55839 .xword 0xDEADBEEFDEADBEEF
55840 .xword 0xDEADBEEFDEADBEEF
55841 .xword 0xDEADBEEFDEADBEEF
55842 .xword 0xDEADBEEFDEADBEEF
55843 .xword 0xDEADBEEFDEADBEEF
55844 .xword 0xDEADBEEFDEADBEEF
55845 .xword 0xDEADBEEFDEADBEEF
55846 .xword 0xDEADBEEFDEADBEEF
55847 .xword 0xDEADBEEFDEADBEEF
55848 .xword 0xDEADBEEFDEADBEEF
55849 .xword 0xDEADBEEFDEADBEEF
55850 .xword 0xDEADBEEFDEADBEEF
55851 .xword 0xDEADBEEFDEADBEEF
55852 .xword 0xDEADBEEFDEADBEEF
55853 .xword 0xDEADBEEFDEADBEEF
55854 .xword 0xDEADBEEFDEADBEEF
55855 .xword 0xDEADBEEFDEADBEEF
55856 .xword 0xDEADBEEFDEADBEEF
55857 .xword 0xDEADBEEFDEADBEEF
55858 .xword 0xDEADBEEFDEADBEEF
55859 .xword 0xDEADBEEFDEADBEEF
55860 .xword 0xDEADBEEFDEADBEEF
55861 .xword 0xDEADBEEFDEADBEEF
55862 .xword 0xDEADBEEFDEADBEEF
55863 .xword 0xDEADBEEFDEADBEEF
55864 .xword 0xDEADBEEFDEADBEEF
55865 .xword 0xDEADBEEFDEADBEEF
55866 .xword 0xDEADBEEFDEADBEEF
55867 .xword 0xDEADBEEFDEADBEEF
55868 .xword 0xDEADBEEFDEADBEEF
55869 .xword 0xDEADBEEFDEADBEEF
55870 .xword 0xDEADBEEFDEADBEEF
55871 .xword 0xDEADBEEFDEADBEEF
55872 .xword 0xDEADBEEFDEADBEEF
55873 .xword 0xDEADBEEFDEADBEEF
55874 .xword 0xDEADBEEFDEADBEEF
55875 .xword 0xDEADBEEFDEADBEEF
55876 .xword 0xDEADBEEFDEADBEEF
55877 .xword 0xDEADBEEFDEADBEEF
55878 .xword 0xDEADBEEFDEADBEEF
55879 .xword 0xDEADBEEFDEADBEEF
55880 .xword 0xDEADBEEFDEADBEEF
55881 .xword 0xDEADBEEFDEADBEEF
55882 .xword 0xDEADBEEFDEADBEEF
55883 .xword 0xDEADBEEFDEADBEEF
55884 .xword 0xDEADBEEFDEADBEEF
55885 .xword 0xDEADBEEFDEADBEEF
55886 .xword 0xDEADBEEFDEADBEEF
55887 .xword 0xDEADBEEFDEADBEEF
55888 .xword 0xDEADBEEFDEADBEEF
55889 .xword 0xDEADBEEFDEADBEEF
55890 .xword 0xDEADBEEFDEADBEEF
55891 .xword 0xDEADBEEFDEADBEEF
55892 .xword 0xDEADBEEFDEADBEEF
55893 .xword 0xDEADBEEFDEADBEEF
55894 .xword 0xDEADBEEFDEADBEEF
55895 .xword 0xDEADBEEFDEADBEEF
55896 .xword 0xDEADBEEFDEADBEEF
55897 .xword 0xDEADBEEFDEADBEEF
55898 .xword 0xDEADBEEFDEADBEEF
55899 .xword 0xDEADBEEFDEADBEEF
55900 .xword 0xDEADBEEFDEADBEEF
55901 .xword 0xDEADBEEFDEADBEEF
55902 .xword 0xDEADBEEFDEADBEEF
55903 .xword 0xDEADBEEFDEADBEEF
55904 .xword 0xDEADBEEFDEADBEEF
55905 .xword 0xDEADBEEFDEADBEEF
55906 .xword 0xDEADBEEFDEADBEEF
55907 .xword 0xDEADBEEFDEADBEEF
55908 .xword 0xDEADBEEFDEADBEEF
55909 .xword 0xDEADBEEFDEADBEEF
55910 .xword 0xDEADBEEFDEADBEEF
55911 .xword 0xDEADBEEFDEADBEEF
55912 .xword 0xDEADBEEFDEADBEEF
55913 .xword 0xDEADBEEFDEADBEEF
55914 .xword 0xDEADBEEFDEADBEEF
55915 .xword 0xDEADBEEFDEADBEEF
55916 .xword 0xDEADBEEFDEADBEEF
55917 .xword 0xDEADBEEFDEADBEEF
55918 .xword 0xDEADBEEFDEADBEEF
55919_t1_rc4_auth_key:
55920 .xword 0xc6ca1d2817a0aa4a
55921 .xword 0x597b68dfe4e6a587
55922 .xword 0xe8fff083f84e8f0c
55923 .xword 0xda9e5e818610c290
55924 .xword 0x53eff05b29b334b2
55925 .xword 0xa95f45e5519362c6
55926 .xword 0xd57c9c36a29cb770
55927 .xword 0x0816301bfe4329e8
55928 .xword 0x93189c3222f66571
55929 .xword 0xcaa766b04df80f5c
55930 .xword 0x79693c92de18a946
55931 .xword 0x189d541c2d968328
55932 .xword 0xfe71a07e6a770e58
55933 .xword 0xc714fd1d8df330bf
55934 .xword 0x2d6d788d0c40344e
55935 .xword 0x21ea8e6197977824
55936 .xword 0xb6809684062f8185
55937 .xword 0xbe36cb696387e89a
55938 .xword 0x89203eedde0e0a39
55939 .xword 0xc5d476f1dd3950e2
55940 .xword 0xfa7ab964365c43c5
55941 .xword 0x7900b10249f1e389
55942 .xword 0x8debc5b364c455cd
55943_t1_rc4_auth_iv:
55944 .xword 0xfa1b5120d36ce7a7
55945 .xword 0xf92a042e2ecb2223
55946 .xword 0x489437df8c31554e
55947 .xword 0xd45bfba1490fda08
55948 .xword 0x8032761a21b27ea7
55949 .xword 0xbb2ca27495629323
55950 .xword 0xe0972f9c4ed0da79
55951 .xword 0xa9cb93fff34b8a80
55952 .xword 0x1b2f506813f62b4f
55953 .xword 0xef6828768d12c076
55954 .xword 0x1359f2cfd729bdda
55955 .xword 0xa642055d44a2da10
55956 .xword 0xe66165440caf87bc
55957 .xword 0x8672930ba8ba3b7b
55958 .xword 0x7b412002276f9c49
55959 .xword 0x9c1c57cd53f9250a
55960 .xword 0x77e918ab17bd0326
55961 .xword 0x90ab4f2305f2c44b
55962 .xword 0xac5760274315d3a7
55963 .xword 0x2a2355a1150d59ac
55964 .xword 0x5eb8da4378d6ee8a
55965 .xword 0xf580cbcba4f9c9d1
55966 .xword 0x7add154a7cdd3087
55967_t1_rc4_fas_result:
55968 .xword 0xDEADBEEFDEADBEEF
55969 .xword 0xDEADBEEFDEADBEEF
55970 .xword 0xDEADBEEFDEADBEEF
55971 .xword 0xDEADBEEFDEADBEEF
55972 .xword 0xDEADBEEFDEADBEEF
55973 .xword 0xDEADBEEFDEADBEEF
55974 .xword 0xDEADBEEFDEADBEEF
55975 .xword 0xDEADBEEFDEADBEEF
55976 .xword 0xDEADBEEFDEADBEEF
55977 .xword 0xDEADBEEFDEADBEEF
55978 .xword 0xDEADBEEFDEADBEEF
55979 .xword 0xDEADBEEFDEADBEEF
55980 .xword 0xDEADBEEFDEADBEEF
55981 .xword 0xDEADBEEFDEADBEEF
55982 .xword 0xDEADBEEFDEADBEEF
55983 .xword 0xDEADBEEFDEADBEEF
55984 .xword 0xDEADBEEFDEADBEEF
55985 .xword 0xDEADBEEFDEADBEEF
55986 .xword 0xDEADBEEFDEADBEEF
55987 .xword 0xDEADBEEFDEADBEEF
55988 .xword 0xDEADBEEFDEADBEEF
55989 .xword 0xDEADBEEFDEADBEEF
55990 .xword 0xDEADBEEFDEADBEEF
55991_t1_sslkey_key_array:
55992 .xword 0xe34a52b5bb868acf
55993 .xword 0xfef709f207e02e84
55994 .xword 0x061e59d7a5ebf4ac
55995 .xword 0xec0b201ac97ced13
55996 .xword 0x88cc0f728499208b
55997 .xword 0x2dd81b9323020112
55998 .xword 0x6c7641248882b9d1
55999 .xword 0x9f041eb7101aa951
56000 .xword 0x580f6f560884fc96
56001 .xword 0xde104e4ef61a85e9
56002 .xword 0x9fc486c778c1104f
56003 .xword 0x5420b9b0b51af367
56004 .xword 0x4b2a842822edc9f3
56005 .xword 0x1e11e3e7ff249b17
56006 .xword 0x30aa4ce68546e078
56007 .xword 0xd7d985db25cc667e
56008 .xword 0x97ed0d0f326a8c41
56009 .xword 0xc8ffacd45b5e03a5
56010 .xword 0x57b51d6204c7f561
56011 .xword 0x640a2334685e8d6f
56012 .xword 0x67a9707a9d16de34
56013 .xword 0x2f931fd8148d6566
56014 .xword 0xe4a5570fb01fbcb6
56015 .xword 0x929403f4070f3701
56016 .xword 0x0695f3c0e469047d
56017 .xword 0xdac2e04c1d3ed31d
56018 .xword 0x8b2cc566ff10b527
56019 .xword 0x5d10d822bb0dfb3e
56020 .xword 0x715959231dc8afa2
56021 .xword 0x996277f82fd56f13
56022 .xword 0x120f1a4b81ce0c41
56023 .xword 0x5e7a7a9e3dfb2a59
56024 .xword 0xdb48cd688e5d76d4
56025 .xword 0x5069e5408c5f2fd3
56026 .xword 0x4030c5510f030a41
56027 .xword 0x771da8ac024911c3
56028 .xword 0x54da8cef629628b3
56029 .xword 0xec789682fa65ee2b
56030 .xword 0x4392e49fccef892e
56031 .xword 0xdefdb3cfe9b40a66
56032 .xword 0xf924f6daf120a667
56033 .xword 0xf75e9d36b8016d60
56034 .xword 0xea43de8f55f2cc9a
56035 .xword 0xb4ae9409efbad518
56036 .xword 0x24deba50c20e8590
56037 .xword 0x87ed48582b946977
56038 .xword 0xf4f0270dd93b080e
56039 .xword 0xd4b832a3d81da6da
56040 .xword 0xfacad79da7571de8
56041 .xword 0xf8bdb670aa4b6253
56042 .xword 0xf13777e2ad8d3887
56043_t1_sslkey_iv_array:
56044 .xword 0xdf80717ceb019bc9
56045 .xword 0x62fda8dd7cab7ea8
56046 .xword 0x1b3d5b3c4d40d728
56047 .xword 0x71a4362d8c493ffe
56048 .xword 0x3a98985ae1a116ee
56049 .xword 0xbe9e9932f4a04829
56050 .xword 0x633e99f302b6087b
56051 .xword 0xd880433e431b6ac0
56052 .xword 0x8142fcf0d9bb1393
56053 .xword 0x25e81fd252f647e5
56054 .xword 0x786e5c440cb15ed4
56055 .xword 0x1bed130d9140dc83
56056 .xword 0x0578316fd329b8c0
56057 .xword 0x0ec76d7b2ac74ffa
56058 .xword 0x70ab8d107152c77f
56059 .xword 0xf674ffe2c6d2d566
56060 .xword 0xcb9fcc6cc47c3149
56061 .xword 0xd026b79039569043
56062 .xword 0x8f0e04fe11d09ad1
56063 .xword 0xa606cdc8fc29072a
56064 .xword 0xcc1ef5bbf6954f74
56065 .xword 0x6360d2e6d1ba95b2
56066 .xword 0x20631a86c4176911
56067 .xword 0x6461c03d0adee532
56068 .xword 0x72f1dfc51850237f
56069 .xword 0x0c0f7d6f61423c05
56070 .xword 0xf6f7c7a8ef76bde2
56071 .xword 0x1fee2ae9cb3c2236
56072 .xword 0x931fce9456cc9d5a
56073 .xword 0xc0cc44643fff5466
56074 .xword 0x79e1746433209d22
56075 .xword 0xce7f2cfecd6060b1
56076 .xword 0x4acdee45d623dd14
56077 .xword 0xe11fae697c9e30af
56078 .xword 0xdc6ea773f771a5b2
56079 .xword 0x25ea6bf6c14e46ed
56080 .xword 0xf01e755d1dbe7b75
56081 .xword 0x46db0f26919eda4a
56082 .xword 0x8256483999af1301
56083 .xword 0xcde09e1b00050ad5
56084 .xword 0x028057a7585d56ed
56085 .xword 0x4cb7ed0ca7201931
56086 .xword 0x64e353861c52dd21
56087 .xword 0x8aae0900484cc100
56088 .xword 0xd8acfa7a29118e81
56089_t1_sslkey_alignment_array:
56090 .xword 0
56091 .xword 0
56092 .xword 0
56093 .xword 0
56094 .xword 0
56095 .xword 0
56096 .xword 0
56097 .xword 0
56098 .xword 0
56099 .xword 0
56100 .xword 0
56101 .xword 0
56102 .xword 0
56103 .xword 0
56104 .xword 0
56105 .xword 0
56106 .xword 0
56107 .xword 0
56108 .xword 0
56109 .xword 0
56110 .xword 0
56111 .xword 0
56112 .xword 0
56113 .xword 0
56114 .xword 0
56115 .xword 0
56116 .xword 0
56117 .xword 0
56118 .xword 0
56119 .xword 0
56120 .xword 0
56121 .xword 0
56122 .xword 0
56123 .xword 0
56124 .xword 0
56125 .xword 0
56126 .xword 0
56127 .xword 0
56128 .xword 0
56129 .xword 0
56130 .xword 0
56131 .xword 0
56132 .xword 0
56133 .xword 0
56134 .xword 0
56135 .xword 0
56136 .xword 0
56137 .xword 0
56138 .xword 0
56139 .xword 0
56140 .xword 0
56141 .xword 0
56142 .xword 0
56143 .xword 0
56144 .xword 0
56145 .xword 0
56146 .xword 0
56147 .xword 0
56148 .xword 0
56149 .xword 0
56150 .xword 0
56151 .xword 0
56152 .xword 0
56153 .xword 0
56154 .xword 0
56155 .xword 0
56156 .xword 0
56157 .xword 0
56158 .xword 0
56159 .xword 0
56160 .xword 0
56161 .xword 0
56162 .xword 0
56163 .xword 0
56164 .xword 0
56165 .xword 0
56166 .xword 0
56167 .xword 0
56168 .xword 0
56169 .xword 0
56170 .xword 0
56171 .xword 0
56172 .xword 0
56173 .xword 0
56174 .xword 0
56175 .xword 0
56176 .xword 0
56177 .xword 0
56178 .xword 0
56179 .xword 0
56180 .xword 0
56181 .xword 0
56182 .xword 0
56183 .xword 0
56184 .xword 0
56185 .xword 0
56186 .xword 0
56187 .xword 0
56188 .xword 0
56189 .xword 0
56190 .xword 0
56191 .xword 0
56192 .xword 0
56193 .xword 0
56194 .xword 0
56195_t1_sslkey_src:
56196 .xword 0x7763ac314594bca3
56197 .xword 0x99652884d023b85f
56198 .xword 0x110abb21f3586cfd
56199 .xword 0x252c311810292313
56200 .xword 0x1ae4afe663069b34
56201 .xword 0x993be7c0e7ace71a
56202 .xword 0x2d9f596aaa3e1c9d
56203 .xword 0x1b6137830dafd1d1
56204 .xword 0x9ea54cd71c539224
56205 .xword 0xecb7e56ffa0b4831
56206 .xword 0x1c771c7807872696
56207 .xword 0x44359e313d2397f7
56208 .xword 0x1b727baa8b784c1a
56209 .xword 0x10f86b2dc53ffc7a
56210 .xword 0xe6b473b14fece399
56211 .xword 0xfa9b753d7690c9d8
56212 .xword 0x0c39f3b56fd911f2
56213 .xword 0x2b589197489fb3e3
56214 .xword 0xa2b1a9c661caa39d
56215 .xword 0xda1ef49e98633d5d
56216 .xword 0xd4482b01e31d126e
56217 .xword 0x9f89ef0e14ace515
56218 .xword 0xe21e226798430e92
56219 .xword 0xe7ca082569fd60f3
56220 .xword 0x73689cd908a1793d
56221 .xword 0xecb4923d5d202e6e
56222 .xword 0xfa495b2de96d1798
56223 .xword 0xd1c83d76e4a4ae17
56224 .xword 0x72588d08f4fd775a
56225 .xword 0xcd39307ed32c452b
56226 .xword 0x3af30675b3213d0d
56227 .xword 0x8f5f2322b4afe62b
56228 .xword 0x5ec9c69520223047
56229 .xword 0xc10cbbcf8dc9b7fa
56230 .xword 0x6052ba53852ade7d
56231 .xword 0x280d05ab1bd86157
56232 .xword 0xf157ad92bdb4cbc4
56233 .xword 0xafbd69fdc5267481
56234 .xword 0x4088091ba40037f2
56235 .xword 0x3caf20ac569a8aec
56236 .xword 0x269e29f3581fc3cb
56237 .xword 0x348c57e6c32949b7
56238 .xword 0x7ba892b06cb8a68e
56239 .xword 0x04a41b896868a6bd
56240 .xword 0x180e2f2c34827099
56241 .xword 0x047b70daadead8b4
56242 .xword 0x7538d1807dd2e0fa
56243 .xword 0xa98ec4440f2eb42e
56244 .xword 0x614cf133ff2630a5
56245 .xword 0x20919cb9bf3d658c
56246 .xword 0xfd86391c9dd57014
56247 .xword 0x7850724f9e94df11
56248 .xword 0xf8dddf6ad7a44f5e
56249 .xword 0x61860e1f52a9faa1
56250 .xword 0xb6b36b1236c3ee43
56251 .xword 0x9905371584f7ce23
56252 .xword 0xad59d3f3f6cbe098
56253 .xword 0x2649130fb2f20a35
56254 .xword 0x40fc5c65fea275f7
56255 .xword 0x2cf5c0d559dec08f
56256 .xword 0x90031277d18b04d8
56257 .xword 0xc09b7814e853c338
56258 .xword 0x450edbd4ba7abbf2
56259 .xword 0x406b313a879404d1
56260 .xword 0x38625fd7735a006a
56261 .xword 0x041e4b73f59a153f
56262 .xword 0xf5c4749e952ad8fc
56263 .xword 0x5285de2d544af420
56264 .xword 0xd744f6b3c566f8b6
56265 .xword 0xe09a39c6eb8cf409
56266 .xword 0x84238133323d676e
56267 .xword 0x86e327da1a3eff3e
56268 .xword 0xf6b6c236bcedc620
56269 .xword 0x466889dad044a077
56270 .xword 0xd203753cc37f7100
56271 .xword 0xd8afb47f21fdb2e1
56272 .xword 0x3782df463009768d
56273 .xword 0xcb53cbe5e6ebf87e
56274 .xword 0x3355129521598f45
56275 .xword 0x06af2ada85d225cf
56276 .xword 0xaafc322c2dd0bd5e
56277 .xword 0xbc4ada0ab4578f36
56278 .xword 0x2bc8fd6738171b46
56279 .xword 0xd417f60b0a2ef775
56280 .xword 0xcaa2d5c58f0fc0a9
56281 .xword 0x432169e4c4b3333c
56282 .xword 0x54ff01e13eacb753
56283 .xword 0x1559ed1a34da84c3
56284 .xword 0x1aaaa659479dd784
56285 .xword 0x7a23324a5e721b17
56286 .xword 0x752f3da1e2b41305
56287 .xword 0xa6d8566ba1ff83b2
56288 .xword 0xd0bceace00cb41cd
56289 .xword 0x5fb69d8cce17d5f0
56290 .xword 0x388fd23164db9a20
56291 .xword 0x83100e27f6f91701
56292 .xword 0xb6c31df9ad07624e
56293 .xword 0x4b365ce303ce9faa
56294 .xword 0x22361d16b6c2b1a9
56295 .xword 0x3dd860bbffe838ef
56296 .xword 0x24d98ef6d8e4d3d7
56297 .xword 0xcab2cc01ff72956a
56298 .xword 0x2d3a8e2e1869b3b8
56299 .xword 0x54c72c46e4dc08e9
56300 .xword 0xf93c91d043ad720e
56301 .xword 0x86dac3729e007d38
56302 .xword 0x11351ad3eee20176
56303 .xword 0x6e5d69a6289faaf2
56304 .xword 0xdb406c6171dfd6d6
56305 .xword 0x15e03126c085255b
56306 .xword 0xfc98fcef55e2ba71
56307 .xword 0x711755758b126f80
56308 .xword 0xea96859b9f4e02d8
56309 .xword 0x32d903c060265ca6
56310 .xword 0xf3a56c39732d916f
56311 .xword 0x5b17b7298bf53d9b
56312 .xword 0xef722786fdc791ea
56313 .xword 0xe95e3343f4dd6cc8
56314 .xword 0x2de07229a76678b8
56315 .xword 0x8592bea8a496cca1
56316 .xword 0x8400fb6bc0ad9db5
56317 .xword 0x501c823ecb01b435
56318 .xword 0xa372b8e4b2eb2fe4
56319 .xword 0x0201f035204c9cdd
56320 .xword 0xbaf7443c8e07a842
56321 .xword 0xac65d3fc067cbb2b
56322 .xword 0x3dde50c284403839
56323 .xword 0x5de66c42d7cfc5c0
56324 .xword 0x3dcaf4217337b8c9
56325 .xword 0x3c55626e307e2a7e
56326 .xword 0xcf83eb72b01ee008
56327 .xword 0x36e3205330dfc6b6
56328 .xword 0x4ae6cf6bbef6ff43
56329 .xword 0x952a57e81c98e0f6
56330 .xword 0x3721838f4b5b1ec1
56331 .xword 0x7536b51db1f93273
56332 .xword 0x2a73081c8b745152
56333 .xword 0xfd38b8cb0ba53804
56334 .xword 0xe02f259f61513832
56335 .xword 0x320f9e096d12be8b
56336 .xword 0xc23799102fc0d20f
56337 .xword 0x7519beec19ab6d3b
56338 .xword 0xcb03d5ef8b9a68c6
56339 .xword 0xf26626f8c9ff8965
56340 .xword 0xef00284ed142540d
56341 .xword 0x9d8f8ba210d3bd7d
56342 .xword 0xfa89448a977f594d
56343 .xword 0xe5063c1825c765b2
56344 .xword 0x4063e488cee3be65
56345 .xword 0x3a325e33892e2d4e
56346 .xword 0x45287d96854c317b
56347 .xword 0xe1e86f68af73d5c2
56348 .xword 0x8cea3d6235a7e7fd
56349 .xword 0xc4fb094a5ec88d44
56350 .xword 0xccfc74169b07370e
56351 .xword 0xb1c862325325ec3a
56352 .xword 0x1db45a477dce4f55
56353 .xword 0xb06e0e38e6fe5a03
56354 .xword 0xaf9f64cd5060787a
56355 .xword 0xbc2507d911adbef6
56356 .xword 0x823974bad556059d
56357 .xword 0xca2484b983239371
56358 .xword 0x2dc4963270bb97e9
56359 .xword 0x12fde8c7f9d5472c
56360 .xword 0x29bfc904a248fa29
56361 .xword 0x0f79a42095812245
56362 .xword 0x14344c9aa63c4c5c
56363 .xword 0xcc5c2e1982c5b346
56364 .xword 0x7a0cd0f50c2bcf5a
56365 .xword 0x11b3d3f2ceb20955
56366 .xword 0x6612f35819df3979
56367 .xword 0x6d89fe63f80985e0
56368 .xword 0x527ff575f748874f
56369 .xword 0xabb06749f1558503
56370 .xword 0xeb34ce7d6bfb5d57
56371 .xword 0x2a891e7be441da92
56372 .xword 0x614f2fd85bf3df0a
56373 .xword 0x99a65cc3cca7e76d
56374 .xword 0xedc4c83a54359586
56375 .xword 0xe538c8727eb26566
56376 .xword 0x8be90a94181b5130
56377 .xword 0xd3eb2850bd10b75c
56378 .xword 0x616b63cd9d04cd96
56379 .xword 0xd6e1384503c7817e
56380 .xword 0xd2000e86aa651d58
56381 .xword 0x4c8e7a1af37deabc
56382 .xword 0x918b6ca04fdae004
56383 .xword 0x30622b297c788d8b
56384 .xword 0x397a0c3fa72dcf7e
56385 .xword 0x03682aaabca99187
56386 .xword 0xca97ab3d1cc17074
56387 .xword 0x699959347145dae5
56388 .xword 0x918e637495a7f359
56389 .xword 0xaa829e6372960442
56390 .xword 0x4679e4020f349cb0
56391 .xword 0x45082588b3797c20
56392 .xword 0xc558eb0c694b1cdd
56393 .xword 0xacda7874d64ba42f
56394 .xword 0x0aa9172bc1dfc151
56395 .xword 0xcbda49fcb8eb303e
56396 .xword 0x5963cbcd59b3f845
56397 .xword 0x286b729b70ca5fcd
56398 .xword 0x5a7dbfdcff06912d
56399 .xword 0xa18d44adeeca331e
56400 .xword 0xb3838cd8a6dc0f53
56401 .xword 0xc36f83a9d6d7fb26
56402 .xword 0xf75cfc91046c80ed
56403 .xword 0x3c5616b6185a5a29
56404 .xword 0xe86fcd86f8e0b162
56405 .xword 0xbb763ae65f944069
56406 .xword 0xb1bf20ad0d161968
56407 .xword 0xea6e06136581d97d
56408 .xword 0x437a7feb10a5b9b2
56409 .xword 0x8af2be209200f758
56410 .xword 0xbf82100f04d7692f
56411 .xword 0x5196a13e543c7377
56412 .xword 0xa9daa05809ee8ee8
56413 .xword 0xe852e6dc97ac2001
56414 .xword 0x171b74d4e40e6503
56415 .xword 0x3b36a1019c805ac5
56416 .xword 0xfd4a8c24ee10c3c2
56417 .xword 0x84eb13a95fe564e6
56418 .xword 0x8966eb337e3c3d30
56419 .xword 0x85b23a918faeab90
56420 .xword 0xee04325ec28ad18c
56421 .xword 0x246772b7d1b12280
56422 .xword 0x936230c70bc3a23a
56423 .xword 0x6646ce24109a302c
56424 .xword 0x2794ab2c60a4fcb5
56425 .xword 0xceebd4d3ffba258c
56426 .xword 0xe04b4855144f3ef2
56427 .xword 0x4067a1e51134bdb7
56428 .xword 0xc091b8c834bc50d6
56429 .xword 0xdfbad40834ebf7ca
56430 .xword 0xc6bc9becae8b6a20
56431 .xword 0x459aade454fa43bd
56432 .xword 0x99b45f9eeeb6b5cb
56433 .xword 0xf30a1ac25b0616dd
56434 .xword 0x130a56a74bed13dc
56435 .xword 0xabaf237b8078a939
56436 .xword 0xb082d37c53ab3d90
56437 .xword 0x567df8fe99ffed7f
56438 .xword 0x594661d990321b33
56439 .xword 0x4d862e4f4b7928fd
56440 .xword 0xd8e1807c43e5a171
56441 .xword 0x3e1eb2d3e5752be4
56442 .xword 0x94a929dde19dcf7f
56443 .xword 0x853150c2de9155cc
56444 .xword 0xc959c664595d54b6
56445 .xword 0xa4ce519bdd9b028f
56446 .xword 0xa6b558d476213308
56447 .xword 0x9f3e93dea133d1b4
56448 .xword 0x95934b47d904f697
56449 .xword 0xab9bf6c51de40a78
56450 .xword 0x2e804025a25e979f
56451 .xword 0x0aa47ad1a156e0c4
56452 .xword 0xb69cf16e757792ca
56453 .xword 0x78cc13caabec369b
56454 .xword 0x3ae6379ee1dd2031
56455 .xword 0x0282d075676850ee
56456 .xword 0x3557df46aa1b1b2d
56457 .xword 0x804321b6f0a3eae6
56458 .xword 0x9ef0ac3efcb10b91
56459 .xword 0x91f404e700cc0676
56460 .xword 0x7eb25f0c3c7e1c63
56461 .xword 0x92ef5c5b3c950b7d
56462 .xword 0xb95749fe9795ce7a
56463 .xword 0x45268081f92265cc
56464 .xword 0xda0181244c3bd04c
56465 .xword 0x6e0cb6f2a5a785dc
56466 .xword 0xd7376c4f0142b81b
56467 .xword 0x19b60909b5ac82a2
56468 .xword 0xaecedb2bd47ba9cf
56469 .xword 0x2c18bad7786649aa
56470 .xword 0x228deadee8b78051
56471_t1_sslkey_dest:
56472 .xword 0xDEADBEEFDEADBEEF
56473 .xword 0xDEADBEEFDEADBEEF
56474 .xword 0xDEADBEEFDEADBEEF
56475 .xword 0xDEADBEEFDEADBEEF
56476 .xword 0xDEADBEEFDEADBEEF
56477 .xword 0xDEADBEEFDEADBEEF
56478 .xword 0xDEADBEEFDEADBEEF
56479 .xword 0xDEADBEEFDEADBEEF
56480 .xword 0xDEADBEEFDEADBEEF
56481 .xword 0xDEADBEEFDEADBEEF
56482 .xword 0xDEADBEEFDEADBEEF
56483 .xword 0xDEADBEEFDEADBEEF
56484 .xword 0xDEADBEEFDEADBEEF
56485 .xword 0xDEADBEEFDEADBEEF
56486 .xword 0xDEADBEEFDEADBEEF
56487 .xword 0xDEADBEEFDEADBEEF
56488 .xword 0xDEADBEEFDEADBEEF
56489 .xword 0xDEADBEEFDEADBEEF
56490 .xword 0xDEADBEEFDEADBEEF
56491 .xword 0xDEADBEEFDEADBEEF
56492 .xword 0xDEADBEEFDEADBEEF
56493 .xword 0xDEADBEEFDEADBEEF
56494 .xword 0xDEADBEEFDEADBEEF
56495 .xword 0xDEADBEEFDEADBEEF
56496 .xword 0xDEADBEEFDEADBEEF
56497 .xword 0xDEADBEEFDEADBEEF
56498 .xword 0xDEADBEEFDEADBEEF
56499 .xword 0xDEADBEEFDEADBEEF
56500 .xword 0xDEADBEEFDEADBEEF
56501 .xword 0xDEADBEEFDEADBEEF
56502 .xword 0xDEADBEEFDEADBEEF
56503 .xword 0xDEADBEEFDEADBEEF
56504 .xword 0xDEADBEEFDEADBEEF
56505 .xword 0xDEADBEEFDEADBEEF
56506 .xword 0xDEADBEEFDEADBEEF
56507 .xword 0xDEADBEEFDEADBEEF
56508 .xword 0xDEADBEEFDEADBEEF
56509 .xword 0xDEADBEEFDEADBEEF
56510 .xword 0xDEADBEEFDEADBEEF
56511 .xword 0xDEADBEEFDEADBEEF
56512 .xword 0xDEADBEEFDEADBEEF
56513 .xword 0xDEADBEEFDEADBEEF
56514 .xword 0xDEADBEEFDEADBEEF
56515 .xword 0xDEADBEEFDEADBEEF
56516 .xword 0xDEADBEEFDEADBEEF
56517 .xword 0xDEADBEEFDEADBEEF
56518 .xword 0xDEADBEEFDEADBEEF
56519 .xword 0xDEADBEEFDEADBEEF
56520 .xword 0xDEADBEEFDEADBEEF
56521 .xword 0xDEADBEEFDEADBEEF
56522 .xword 0xDEADBEEFDEADBEEF
56523 .xword 0xDEADBEEFDEADBEEF
56524 .xword 0xDEADBEEFDEADBEEF
56525 .xword 0xDEADBEEFDEADBEEF
56526 .xword 0xDEADBEEFDEADBEEF
56527 .xword 0xDEADBEEFDEADBEEF
56528 .xword 0xDEADBEEFDEADBEEF
56529 .xword 0xDEADBEEFDEADBEEF
56530 .xword 0xDEADBEEFDEADBEEF
56531 .xword 0xDEADBEEFDEADBEEF
56532 .xword 0xDEADBEEFDEADBEEF
56533 .xword 0xDEADBEEFDEADBEEF
56534 .xword 0xDEADBEEFDEADBEEF
56535 .xword 0xDEADBEEFDEADBEEF
56536 .xword 0xDEADBEEFDEADBEEF
56537 .xword 0xDEADBEEFDEADBEEF
56538 .xword 0xDEADBEEFDEADBEEF
56539 .xword 0xDEADBEEFDEADBEEF
56540 .xword 0xDEADBEEFDEADBEEF
56541 .xword 0xDEADBEEFDEADBEEF
56542 .xword 0xDEADBEEFDEADBEEF
56543 .xword 0xDEADBEEFDEADBEEF
56544 .xword 0xDEADBEEFDEADBEEF
56545 .xword 0xDEADBEEFDEADBEEF
56546 .xword 0xDEADBEEFDEADBEEF
56547 .xword 0xDEADBEEFDEADBEEF
56548 .xword 0xDEADBEEFDEADBEEF
56549 .xword 0xDEADBEEFDEADBEEF
56550 .xword 0xDEADBEEFDEADBEEF
56551 .xword 0xDEADBEEFDEADBEEF
56552 .xword 0xDEADBEEFDEADBEEF
56553 .xword 0xDEADBEEFDEADBEEF
56554 .xword 0xDEADBEEFDEADBEEF
56555 .xword 0xDEADBEEFDEADBEEF
56556 .xword 0xDEADBEEFDEADBEEF
56557 .xword 0xDEADBEEFDEADBEEF
56558 .xword 0xDEADBEEFDEADBEEF
56559 .xword 0xDEADBEEFDEADBEEF
56560 .xword 0xDEADBEEFDEADBEEF
56561 .xword 0xDEADBEEFDEADBEEF
56562 .xword 0xDEADBEEFDEADBEEF
56563 .xword 0xDEADBEEFDEADBEEF
56564 .xword 0xDEADBEEFDEADBEEF
56565 .xword 0xDEADBEEFDEADBEEF
56566 .xword 0xDEADBEEFDEADBEEF
56567 .xword 0xDEADBEEFDEADBEEF
56568 .xword 0xDEADBEEFDEADBEEF
56569 .xword 0xDEADBEEFDEADBEEF
56570 .xword 0xDEADBEEFDEADBEEF
56571 .xword 0xDEADBEEFDEADBEEF
56572 .xword 0xDEADBEEFDEADBEEF
56573 .xword 0xDEADBEEFDEADBEEF
56574 .xword 0xDEADBEEFDEADBEEF
56575 .xword 0xDEADBEEFDEADBEEF
56576 .xword 0xDEADBEEFDEADBEEF
56577 .xword 0xDEADBEEFDEADBEEF
56578 .xword 0xDEADBEEFDEADBEEF
56579 .xword 0xDEADBEEFDEADBEEF
56580 .xword 0xDEADBEEFDEADBEEF
56581 .xword 0xDEADBEEFDEADBEEF
56582 .xword 0xDEADBEEFDEADBEEF
56583 .xword 0xDEADBEEFDEADBEEF
56584 .xword 0xDEADBEEFDEADBEEF
56585 .xword 0xDEADBEEFDEADBEEF
56586 .xword 0xDEADBEEFDEADBEEF
56587 .xword 0xDEADBEEFDEADBEEF
56588 .xword 0xDEADBEEFDEADBEEF
56589 .xword 0xDEADBEEFDEADBEEF
56590 .xword 0xDEADBEEFDEADBEEF
56591 .xword 0xDEADBEEFDEADBEEF
56592 .xword 0xDEADBEEFDEADBEEF
56593 .xword 0xDEADBEEFDEADBEEF
56594 .xword 0xDEADBEEFDEADBEEF
56595 .xword 0xDEADBEEFDEADBEEF
56596 .xword 0xDEADBEEFDEADBEEF
56597 .xword 0xDEADBEEFDEADBEEF
56598 .xword 0xDEADBEEFDEADBEEF
56599 .xword 0xDEADBEEFDEADBEEF
56600 .xword 0xDEADBEEFDEADBEEF
56601 .xword 0xDEADBEEFDEADBEEF
56602 .xword 0xDEADBEEFDEADBEEF
56603 .xword 0xDEADBEEFDEADBEEF
56604 .xword 0xDEADBEEFDEADBEEF
56605 .xword 0xDEADBEEFDEADBEEF
56606 .xword 0xDEADBEEFDEADBEEF
56607 .xword 0xDEADBEEFDEADBEEF
56608 .xword 0xDEADBEEFDEADBEEF
56609 .xword 0xDEADBEEFDEADBEEF
56610 .xword 0xDEADBEEFDEADBEEF
56611 .xword 0xDEADBEEFDEADBEEF
56612 .xword 0xDEADBEEFDEADBEEF
56613 .xword 0xDEADBEEFDEADBEEF
56614 .xword 0xDEADBEEFDEADBEEF
56615 .xword 0xDEADBEEFDEADBEEF
56616 .xword 0xDEADBEEFDEADBEEF
56617 .xword 0xDEADBEEFDEADBEEF
56618 .xword 0xDEADBEEFDEADBEEF
56619 .xword 0xDEADBEEFDEADBEEF
56620 .xword 0xDEADBEEFDEADBEEF
56621 .xword 0xDEADBEEFDEADBEEF
56622 .xword 0xDEADBEEFDEADBEEF
56623 .xword 0xDEADBEEFDEADBEEF
56624 .xword 0xDEADBEEFDEADBEEF
56625 .xword 0xDEADBEEFDEADBEEF
56626 .xword 0xDEADBEEFDEADBEEF
56627 .xword 0xDEADBEEFDEADBEEF
56628 .xword 0xDEADBEEFDEADBEEF
56629 .xword 0xDEADBEEFDEADBEEF
56630 .xword 0xDEADBEEFDEADBEEF
56631 .xword 0xDEADBEEFDEADBEEF
56632 .xword 0xDEADBEEFDEADBEEF
56633 .xword 0xDEADBEEFDEADBEEF
56634 .xword 0xDEADBEEFDEADBEEF
56635 .xword 0xDEADBEEFDEADBEEF
56636 .xword 0xDEADBEEFDEADBEEF
56637 .xword 0xDEADBEEFDEADBEEF
56638 .xword 0xDEADBEEFDEADBEEF
56639 .xword 0xDEADBEEFDEADBEEF
56640 .xword 0xDEADBEEFDEADBEEF
56641 .xword 0xDEADBEEFDEADBEEF
56642 .xword 0xDEADBEEFDEADBEEF
56643 .xword 0xDEADBEEFDEADBEEF
56644 .xword 0xDEADBEEFDEADBEEF
56645 .xword 0xDEADBEEFDEADBEEF
56646 .xword 0xDEADBEEFDEADBEEF
56647 .xword 0xDEADBEEFDEADBEEF
56648 .xword 0xDEADBEEFDEADBEEF
56649 .xword 0xDEADBEEFDEADBEEF
56650 .xword 0xDEADBEEFDEADBEEF
56651 .xword 0xDEADBEEFDEADBEEF
56652 .xword 0xDEADBEEFDEADBEEF
56653 .xword 0xDEADBEEFDEADBEEF
56654 .xword 0xDEADBEEFDEADBEEF
56655 .xword 0xDEADBEEFDEADBEEF
56656 .xword 0xDEADBEEFDEADBEEF
56657 .xword 0xDEADBEEFDEADBEEF
56658 .xword 0xDEADBEEFDEADBEEF
56659 .xword 0xDEADBEEFDEADBEEF
56660 .xword 0xDEADBEEFDEADBEEF
56661 .xword 0xDEADBEEFDEADBEEF
56662 .xword 0xDEADBEEFDEADBEEF
56663 .xword 0xDEADBEEFDEADBEEF
56664 .xword 0xDEADBEEFDEADBEEF
56665 .xword 0xDEADBEEFDEADBEEF
56666 .xword 0xDEADBEEFDEADBEEF
56667 .xword 0xDEADBEEFDEADBEEF
56668 .xword 0xDEADBEEFDEADBEEF
56669 .xword 0xDEADBEEFDEADBEEF
56670 .xword 0xDEADBEEFDEADBEEF
56671 .xword 0xDEADBEEFDEADBEEF
56672 .xword 0xDEADBEEFDEADBEEF
56673 .xword 0xDEADBEEFDEADBEEF
56674 .xword 0xDEADBEEFDEADBEEF
56675 .xword 0xDEADBEEFDEADBEEF
56676 .xword 0xDEADBEEFDEADBEEF
56677 .xword 0xDEADBEEFDEADBEEF
56678 .xword 0xDEADBEEFDEADBEEF
56679 .xword 0xDEADBEEFDEADBEEF
56680 .xword 0xDEADBEEFDEADBEEF
56681 .xword 0xDEADBEEFDEADBEEF
56682 .xword 0xDEADBEEFDEADBEEF
56683 .xword 0xDEADBEEFDEADBEEF
56684 .xword 0xDEADBEEFDEADBEEF
56685 .xword 0xDEADBEEFDEADBEEF
56686 .xword 0xDEADBEEFDEADBEEF
56687 .xword 0xDEADBEEFDEADBEEF
56688 .xword 0xDEADBEEFDEADBEEF
56689 .xword 0xDEADBEEFDEADBEEF
56690 .xword 0xDEADBEEFDEADBEEF
56691 .xword 0xDEADBEEFDEADBEEF
56692 .xword 0xDEADBEEFDEADBEEF
56693 .xword 0xDEADBEEFDEADBEEF
56694 .xword 0xDEADBEEFDEADBEEF
56695 .xword 0xDEADBEEFDEADBEEF
56696 .xword 0xDEADBEEFDEADBEEF
56697 .xword 0xDEADBEEFDEADBEEF
56698 .xword 0xDEADBEEFDEADBEEF
56699 .xword 0xDEADBEEFDEADBEEF
56700 .xword 0xDEADBEEFDEADBEEF
56701 .xword 0xDEADBEEFDEADBEEF
56702 .xword 0xDEADBEEFDEADBEEF
56703 .xword 0xDEADBEEFDEADBEEF
56704 .xword 0xDEADBEEFDEADBEEF
56705 .xword 0xDEADBEEFDEADBEEF
56706 .xword 0xDEADBEEFDEADBEEF
56707 .xword 0xDEADBEEFDEADBEEF
56708 .xword 0xDEADBEEFDEADBEEF
56709 .xword 0xDEADBEEFDEADBEEF
56710 .xword 0xDEADBEEFDEADBEEF
56711 .xword 0xDEADBEEFDEADBEEF
56712 .xword 0xDEADBEEFDEADBEEF
56713 .xword 0xDEADBEEFDEADBEEF
56714 .xword 0xDEADBEEFDEADBEEF
56715 .xword 0xDEADBEEFDEADBEEF
56716 .xword 0xDEADBEEFDEADBEEF
56717 .xword 0xDEADBEEFDEADBEEF
56718 .xword 0xDEADBEEFDEADBEEF
56719 .xword 0xDEADBEEFDEADBEEF
56720 .xword 0xDEADBEEFDEADBEEF
56721 .xword 0xDEADBEEFDEADBEEF
56722 .xword 0xDEADBEEFDEADBEEF
56723 .xword 0xDEADBEEFDEADBEEF
56724 .xword 0xDEADBEEFDEADBEEF
56725 .xword 0xDEADBEEFDEADBEEF
56726 .xword 0xDEADBEEFDEADBEEF
56727 .xword 0xDEADBEEFDEADBEEF
56728 .xword 0xDEADBEEFDEADBEEF
56729 .xword 0xDEADBEEFDEADBEEF
56730 .xword 0xDEADBEEFDEADBEEF
56731 .xword 0xDEADBEEFDEADBEEF
56732 .xword 0xDEADBEEFDEADBEEF
56733 .xword 0xDEADBEEFDEADBEEF
56734 .xword 0xDEADBEEFDEADBEEF
56735 .xword 0xDEADBEEFDEADBEEF
56736 .xword 0xDEADBEEFDEADBEEF
56737 .xword 0xDEADBEEFDEADBEEF
56738 .xword 0xDEADBEEFDEADBEEF
56739 .xword 0xDEADBEEFDEADBEEF
56740 .xword 0xDEADBEEFDEADBEEF
56741 .xword 0xDEADBEEFDEADBEEF
56742 .xword 0xDEADBEEFDEADBEEF
56743 .xword 0xDEADBEEFDEADBEEF
56744 .xword 0xDEADBEEFDEADBEEF
56745 .xword 0xDEADBEEFDEADBEEF
56746 .xword 0xDEADBEEFDEADBEEF
56747_t1_sslkey_auth_key:
56748 .xword 0x82a5d7fd9c087381
56749 .xword 0x00dbd328ed220340
56750 .xword 0x4c460f1ba95f8cca
56751 .xword 0xb8bdb83a250b7171
56752 .xword 0x35d4e008b947b1d5
56753 .xword 0x6b5b793699d54489
56754 .xword 0x1d034f29341239ab
56755 .xword 0x27b9a87ecadf86d7
56756 .xword 0x79646fe07009c862
56757 .xword 0xd200d2141fda2d57
56758 .xword 0x9660e3f6d1d0d266
56759 .xword 0xadce9617df65afbf
56760 .xword 0xdc1b2ccfeee33284
56761 .xword 0x21ba33299c854202
56762 .xword 0x57d33ffd269af556
56763 .xword 0xc9937556427761ca
56764 .xword 0xcf798790d35215ed
56765 .xword 0x7e993a0062142ace
56766 .xword 0x33798c3cc8fbe2a6
56767 .xword 0x2a4e36efd7730bc0
56768 .xword 0xed625f07e9680ba2
56769 .xword 0x2e29fd7427852b80
56770 .xword 0xf43648975fb22cf0
56771_t1_sslkey_auth_iv:
56772 .xword 0xb454727e47e65173
56773 .xword 0xb8d222e9c640b48d
56774 .xword 0x4785d8b9993a6174
56775 .xword 0x1d800abf0d47c02f
56776 .xword 0xb7dcdc7f921ef55b
56777 .xword 0x2181a4f5a895ce77
56778 .xword 0x47e4302254d76d80
56779 .xword 0xbf74db1af94429da
56780 .xword 0x25078da5d42890a5
56781 .xword 0xdadde98754b80217
56782 .xword 0x2e875d2fede9178a
56783 .xword 0x7c12486686630f9a
56784 .xword 0x48efe1e838b464e4
56785 .xword 0x80e11bd450d5129e
56786 .xword 0x8a5904c2ded8c2f2
56787 .xword 0xd22035c829220ce9
56788 .xword 0x00f0f8b51c3eaef2
56789 .xword 0xc1b27c610d608390
56790 .xword 0xfbdefa9de7cef6b2
56791 .xword 0x57f908c361c92d6f
56792 .xword 0x78e6c38b6fa81272
56793 .xword 0xcdfcabb715a59e0b
56794 .xword 0xf292ff69ce3a7dea
56795_t1_sslkey_fas_result:
56796 .xword 0xDEADBEEFDEADBEEF
56797 .xword 0xDEADBEEFDEADBEEF
56798 .xword 0xDEADBEEFDEADBEEF
56799 .xword 0xDEADBEEFDEADBEEF
56800 .xword 0xDEADBEEFDEADBEEF
56801 .xword 0xDEADBEEFDEADBEEF
56802 .xword 0xDEADBEEFDEADBEEF
56803 .xword 0xDEADBEEFDEADBEEF
56804 .xword 0xDEADBEEFDEADBEEF
56805 .xword 0xDEADBEEFDEADBEEF
56806 .xword 0xDEADBEEFDEADBEEF
56807 .xword 0xDEADBEEFDEADBEEF
56808 .xword 0xDEADBEEFDEADBEEF
56809 .xword 0xDEADBEEFDEADBEEF
56810 .xword 0xDEADBEEFDEADBEEF
56811 .xword 0xDEADBEEFDEADBEEF
56812 .xword 0xDEADBEEFDEADBEEF
56813 .xword 0xDEADBEEFDEADBEEF
56814 .xword 0xDEADBEEFDEADBEEF
56815 .xword 0xDEADBEEFDEADBEEF
56816 .xword 0xDEADBEEFDEADBEEF
56817 .xword 0xDEADBEEFDEADBEEF
56818 .xword 0xDEADBEEFDEADBEEF
56819_t1_aes_toc:
56820 .xword _t1_aes_cwd_array
56821 .xword _t1_aes_src
56822 .xword _t1_aes_auth_key
56823 .xword _t1_aes_auth_iv
56824 .xword _t1_aes_fas_result
56825 .xword _t1_aes_key_array
56826 .xword _t1_aes_iv_array
56827 .xword _t1_aes_dest
56828 .xword _t1_aes_alignment_array
56829_t1_des_toc:
56830 .xword _t1_des_cwd_array
56831 .xword _t1_des_src
56832 .xword _t1_des_auth_key
56833 .xword _t1_des_auth_iv
56834 .xword _t1_des_fas_result
56835 .xword _t1_des_key_array
56836 .xword _t1_des_iv_array
56837 .xword _t1_des_dest
56838 .xword _t1_des_alignment_array
56839_t1_copy_toc:
56840 .xword _t1_copy_cwd_array
56841 .xword _t1_copy_src
56842 .xword _t1_copy_auth_key
56843 .xword _t1_copy_auth_iv
56844 .xword _t1_copy_fas_result
56845 .xword _t1_copy_key_array
56846 .xword _t1_copy_iv_array
56847 .xword _t1_copy_dest
56848 .xword _t1_copy_alignment_array
56849_t1_crc_toc:
56850 .xword _t1_crc_cwd_array
56851 .xword _t1_crc_src
56852 .xword _t1_crc_auth_key
56853 .xword _t1_crc_auth_iv
56854 .xword _t1_crc_fas_result
56855 .xword _t1_crc_key_array
56856 .xword _t1_crc_iv_array
56857 .xword _t1_crc_dest
56858 .xword _t1_crc_alignment_array
56859_t1_hash_toc:
56860 .xword _t1_hash_cwd_array
56861 .xword _t1_hash_src
56862 .xword _t1_hash_auth_key
56863 .xword _t1_hash_auth_iv
56864 .xword _t1_hash_fas_result
56865 .xword _t1_hash_key_array
56866 .xword _t1_hash_iv_array
56867 .xword _t1_hash_dest
56868 .xword _t1_hash_alignment_array
56869_t1_hmac_toc:
56870 .xword _t1_hmac_cwd_array
56871 .xword _t1_hmac_src
56872 .xword _t1_hmac_auth_key
56873 .xword _t1_hmac_auth_iv
56874 .xword _t1_hmac_fas_result
56875 .xword _t1_hmac_key_array
56876 .xword _t1_hmac_iv_array
56877 .xword _t1_hmac_dest
56878 .xword _t1_hmac_alignment_array
56879_t1_rc4_toc:
56880 .xword _t1_rc4_cwd_array
56881 .xword _t1_rc4_src
56882 .xword _t1_rc4_auth_key
56883 .xword _t1_rc4_auth_iv
56884 .xword _t1_rc4_fas_result
56885 .xword _t1_rc4_key_array
56886 .xword _t1_rc4_iv_array
56887 .xword _t1_rc4_dest
56888 .xword _t1_rc4_alignment_array
56889_t1_sslkey_toc:
56890 .xword _t1_sslkey_cwd_array
56891 .xword _t1_sslkey_src
56892 .xword _t1_sslkey_auth_key
56893 .xword _t1_sslkey_auth_iv
56894 .xword _t1_sslkey_fas_result
56895 .xword _t1_sslkey_key_array
56896 .xword _t1_sslkey_iv_array
56897 .xword _t1_sslkey_dest
56898 .xword _t1_sslkey_alignment_array
56899_t1_table_of_context5:
56900 .xword _t1_aes_toc
56901 .xword _t1_des_toc
56902 .xword _t1_copy_toc
56903 .xword _t1_crc_toc
56904 .xword _t1_hash_toc
56905 .xword _t1_hmac_toc
56906 .xword _t1_rc4_toc
56907 .xword _t1_sslkey_toc
56908
56909!# CWQ data area, set aside 512 CW's worth
56910!# 512*8*8 = 32KB
56911.align 32*1024
56912_t1_cwq_base5:
56913 .xword 0xAAAAAAAAAAAAAAA
56914 .xword 0xAAAAAAAAAAAAAAA
56915 .xword 0xAAAAAAAAAAAAAAA
56916 .xword 0xAAAAAAAAAAAAAAA
56917 .xword 0xAAAAAAAAAAAAAAA
56918 .xword 0xAAAAAAAAAAAAAAA
56919 .xword 0xAAAAAAAAAAAAAAA
56920 .xword 0xAAAAAAAAAAAAAAA
56921.align 32*1024
56922_t1_cwq_last5:
56923
56924SECTION ._t1_T_CWQ_DATA6 DATA_VA=291504128
56925attr_data {
56926 Name = ._t1_T_CWQ_DATA6
56927 hypervisor
56928}
56929 .data
56930_t1_user_data_start6:
56931_t1_scratch_area6:
56932
56933.align 16
56934_t1_spu_op_array6:
56935 .xword 4
56936 .xword 6
56937 .xword 1
56938 .xword 0
56939 .xword 1
56940 .xword 7
56941 .xword 4
56942 .xword 4
56943 .xword 5
56944 .xword 0
56945 .xword 3
56946 .xword 0
56947 .xword 0
56948 .xword 6
56949 .xword 4
56950_t1_aes_cwd_array6:
56951 .xword 0x40e000c01000001f
56952 .xword 0xc0e100e01500000f
56953 .xword 0x40e100401100001f
56954 .xword 0xc0e100e01b00001f
56955 .xword 0x406000c01000000f
56956 .xword 0x406100401900002f
56957 .xword 0x406100e01700002f
56958 .xword 0xc0e000401800000f
56959 .xword 0xc06000401100003f
56960 .xword 0xc06000401400003f
56961 .xword 0x406000201b00003f
56962 .xword 0x40e100801500003f
56963 .xword 0x406000601800001f
56964 .xword 0x40e000001400002f
56965 .xword 0x40e000e01800001f
56966_t1_des_cwd_array6:
56967 .xword 0xc06000a00900001f
56968 .xword 0x4060006009000017
56969 .xword 0x406100000c000017
56970 .xword 0x406100000d000007
56971 .xword 0xc06100200c000007
56972 .xword 0xc06000e00c000007
56973 .xword 0x40e100400a000007
56974 .xword 0x406000a00c00000f
56975 .xword 0x406000c00e000007
56976 .xword 0xc06100800e00001f
56977 .xword 0x40e0000008000007
56978 .xword 0x40e100600e000007
56979 .xword 0x40e100800d000017
56980 .xword 0xc0e000a00a000017
56981 .xword 0xc06100800a00000f
56982_t1_copy_cwd_array6:
56983 .xword 0x206000c000000003
56984 .xword 0x206000c00000000d
56985 .xword 0xa061004000000009
56986 .xword 0x206000200000000e
56987 .xword 0xa06100a000000004
56988 .xword 0x206000a000000005
56989 .xword 0x2061008000000003
56990 .xword 0x206100e000000004
56991 .xword 0xa06000c000000004
56992 .xword 0x206100e000000007
56993 .xword 0x2061006000000001
56994 .xword 0xa06000800000000c
56995 .xword 0x206100e000000007
56996 .xword 0x2060008000000005
56997 .xword 0x2061004000000007
56998_t1_crc_cwd_array6:
56999 .xword 0x416203840000000e
57000 .xword 0xc160014800000007
57001 .xword 0xc16203a400000006
57002 .xword 0xc16201280000000f
57003 .xword 0xc16203840000000b
57004 .xword 0x4160014800000004
57005 .xword 0xc16303040000000a
57006 .xword 0xc16101880000000f
57007 .xword 0xc16203a40000000f
57008 .xword 0xc16001480000000a
57009 .xword 0xc163034400000006
57010 .xword 0xc16301680000000c
57011 .xword 0xc16203a40000000c
57012 .xword 0xc160014800000005
57013_t1_hash_cwd_array6:
57014 .xword 0xc1631de300000011
57015 .xword 0x416311c20000003c
57016 .xword 0x41630ae100000006
57017 .xword 0x416214a300000000
57018 .xword 0xc1620b0100000026
57019 .xword 0xc1630ac10000003a
57020 .xword 0x416003c10000001d
57021 .xword 0xc16108e100000024
57022 .xword 0xc1600a610000002f
57023 .xword 0x41620ea100000035
57024 .xword 0xc16009a100000004
57025 .xword 0xc163082100000039
57026 .xword 0x4161034100000017
57027 .xword 0xc1610fc10000000e
57028 .xword 0xc161060100000008
57029_t1_hmac_cwd_array6:
57030 .xword 0x41630605000f002a
57031 .xword 0x41610869000f0004
57032 .xword 0x41620e65000f002c
57033 .xword 0xc1630749000f003c
57034 .xword 0x416008eb001f000f
57035 .xword 0xc16000e600130035
57036 .xword 0xc1630687001f0006
57037 .xword 0x41610645000f0013
57038 .xword 0x41600f09000f003a
57039 .xword 0x41600c45000f001d
57040 .xword 0xc1610ec60013003b
57041 .xword 0xc16200e7001f0018
57042 .xword 0x41600885000f000b
57043 .xword 0xc162034b001f0033
57044 .xword 0xc1620229000f0027
57045_t1_rc4_cwd_array6:
57046 .xword 0x40e100a004000007
57047 .xword 0xc0e100200000000d
57048 .xword 0xc0e000a004000004
57049 .xword 0x40e1002000000002
57050 .xword 0xc0e0002004000001
57051 .xword 0x40e1008004000003
57052 .xword 0xc0e1004004000005
57053 .xword 0x40e000c004000003
57054 .xword 0x40e0006004000009
57055 .xword 0x40e0000000000001
57056 .xword 0xc0e100000400000a
57057 .xword 0xc0e0000000000001
57058 .xword 0x40e000a000000005
57059 .xword 0x40e1002000000001
57060 .xword 0x40e000c004000003
57061_t1_sslkey_cwd_array6:
57062 .xword 0x10603e0000000000, 0
57063 .xword 0x106000a000000000, 0
57064 .xword 0x90603a8000000000, 0
57065 .xword 0x906018c000000000, 0
57066 .xword 0x10603fc000000000, 0
57067 .xword 0x90602d2000000000, 0
57068 .xword 0x9060310000000000, 0
57069 .xword 0x106014a000000000, 0
57070 .xword 0x106033c000000000, 0
57071 .xword 0x906026a000000000, 0
57072 .xword 0x906013e000000000, 0
57073 .xword 0x1060090000000000, 0
57074 .xword 0x1060212000000000, 0
57075 .xword 0x90603e2000000000, 0
57076 .xword 0x106011a000000000, 0
57077_t1_aes_key_array:
57078 .xword 0x179f3cc9c537d561
57079 .xword 0x5d8591649fbe0829
57080 .xword 0x9fe92f58541465bc
57081 .xword 0x24ece8ebf3048954
57082 .xword 0x440226901645b892
57083 .xword 0x4e3363f4b0a5b365
57084 .xword 0x27e1e7adef874c01
57085 .xword 0x209f18edf6c03954
57086 .xword 0xecaac30e6b40c416
57087 .xword 0x3a88020ef6681dbe
57088 .xword 0x649accc4fe51c67b
57089 .xword 0x93e15242af03a743
57090 .xword 0x7a9917f572064de6
57091 .xword 0xe5ad74ea83967a32
57092 .xword 0xff15c7ad5820c12d
57093 .xword 0x418a29c042b2919c
57094 .xword 0x577913bc607fbc14
57095 .xword 0xdb79602b62a17ccc
57096 .xword 0x09e185976a8d1ee6
57097 .xword 0x04b1ece323935278
57098 .xword 0x04dd9e2a39a46a14
57099 .xword 0x48c41e79ad4993d0
57100 .xword 0x89a4f144131c51c4
57101 .xword 0xdf8920e551524b06
57102 .xword 0xa68523348c8304ac
57103 .xword 0x416fe692b083c835
57104 .xword 0xb4f16203d3c55720
57105 .xword 0x611973665af40dbc
57106 .xword 0xc739ab420b1b2f28
57107 .xword 0x4d8fa4bbe8c1163a
57108 .xword 0x18bda82230755d13
57109 .xword 0xb12faa1080ec7635
57110 .xword 0xddbefa3387890d6f
57111 .xword 0xca34e5d9ebcb1e9b
57112 .xword 0x64af3a12fc982d9f
57113 .xword 0x8c74a6ad4a6d88fe
57114 .xword 0x09ace0a02c1e0add
57115 .xword 0xfd2bc59f795eea14
57116 .xword 0x3d832e703f5af5e1
57117 .xword 0x7d4b0a4932347d50
57118 .xword 0xf39e481ece08c126
57119 .xword 0xbaddfb6711103aca
57120 .xword 0x00c17e3d57b993f8
57121 .xword 0x33c3e7032bffa22a
57122 .xword 0xdf83b30aa3b17518
57123 .xword 0xe8377dc5d5f3c4d2
57124 .xword 0xd7e221c6d9e89de9
57125 .xword 0x3bac0c50a3a7551d
57126 .xword 0x02bc9e995b09fdc5
57127 .xword 0xaea92df31fc2ee14
57128 .xword 0x7c3ee69cc0cdcbf0
57129_t1_aes_iv_array:
57130 .xword 0xcb7c0fa97c559bf1
57131 .xword 0x7f04e0a6c679aa22
57132 .xword 0x285f8bcbecac19d4
57133 .xword 0x4cfcdc58a97660a3
57134 .xword 0x23a16b45a19185bf
57135 .xword 0xc559ee9dde977165
57136 .xword 0xebf1dc35fbc96c39
57137 .xword 0xb0572b2bfc8d268a
57138 .xword 0x10c3c7f9026a5435
57139 .xword 0x1bbac7725b9592de
57140 .xword 0x91d55c1762974c5e
57141 .xword 0xb174546e19a95aa5
57142 .xword 0x857c2d173a6a0d9e
57143 .xword 0xd51963cb99cdab7d
57144 .xword 0xf96341a09361a806
57145 .xword 0x44ee1254569e81b3
57146 .xword 0x2a2f4ad7ee055140
57147 .xword 0xc9ca00026f4fa3b5
57148 .xword 0x5df28da94b5a3581
57149 .xword 0x32ccb13f58e5488a
57150 .xword 0xcc6a5573248c6cc4
57151 .xword 0x9bbe64549423664a
57152 .xword 0x838fd0ff4e0f703f
57153 .xword 0x1ea87f88d027d4da
57154 .xword 0x2543f0d3649f6078
57155 .xword 0x735425facd7881d1
57156 .xword 0x697c902f351ee957
57157 .xword 0x4d9d1f5bb4b9cc54
57158 .xword 0xc0c27a5bdad1eca2
57159 .xword 0x4b41791368902d28
57160 .xword 0xca32cae38a665d97
57161 .xword 0x6f96b9e39889279e
57162 .xword 0xa9db842137ec2a34
57163 .xword 0x16fe8285462f9b8e
57164 .xword 0xaea6ac25652e9d77
57165 .xword 0xaf56e091130adcde
57166 .xword 0x431cf8678e6a494d
57167 .xword 0xa159d6fcd582a07e
57168 .xword 0x31fedf5eabd91f8b
57169 .xword 0x51acc829ce3b18a6
57170 .xword 0xd4bf2821f43a7081
57171 .xword 0x72ac357db463e55e
57172 .xword 0x28a7f21b1d3aaac6
57173 .xword 0x0283b20f7cf1b378
57174 .xword 0xe9ea109a74f0ee2e
57175_t1_aes_alignment_array:
57176 .xword 12
57177 .xword 10
57178 .xword 2
57179 .xword 5
57180 .xword 15
57181 .xword 11
57182 .xword 3
57183 .xword 15
57184 .xword 1
57185 .xword 3
57186 .xword 12
57187 .xword 15
57188 .xword 11
57189 .xword 6
57190 .xword 14
57191 .xword 12
57192 .xword 4
57193 .xword 15
57194 .xword 8
57195 .xword 12
57196 .xword 3
57197 .xword 7
57198 .xword 14
57199 .xword 13
57200 .xword 8
57201 .xword 11
57202 .xword 13
57203 .xword 14
57204 .xword 6
57205 .xword 12
57206 .xword 0
57207 .xword 0
57208 .xword 0
57209 .xword 15
57210 .xword 10
57211 .xword 13
57212 .xword 15
57213 .xword 1
57214 .xword 1
57215 .xword 8
57216 .xword 0
57217 .xword 10
57218 .xword 0
57219 .xword 14
57220 .xword 3
57221 .xword 11
57222 .xword 7
57223 .xword 12
57224 .xword 9
57225 .xword 9
57226 .xword 14
57227 .xword 0
57228 .xword 3
57229 .xword 4
57230 .xword 11
57231 .xword 13
57232 .xword 12
57233 .xword 9
57234 .xword 8
57235 .xword 7
57236 .xword 4
57237 .xword 6
57238 .xword 3
57239 .xword 15
57240 .xword 1
57241 .xword 6
57242 .xword 5
57243 .xword 14
57244 .xword 11
57245 .xword 2
57246 .xword 6
57247 .xword 2
57248 .xword 13
57249 .xword 0
57250 .xword 13
57251 .xword 3
57252 .xword 4
57253 .xword 11
57254 .xword 1
57255 .xword 6
57256 .xword 4
57257 .xword 8
57258 .xword 4
57259 .xword 0
57260 .xword 13
57261 .xword 4
57262 .xword 3
57263 .xword 7
57264 .xword 3
57265 .xword 9
57266 .xword 3
57267 .xword 1
57268 .xword 12
57269 .xword 10
57270 .xword 8
57271 .xword 3
57272 .xword 11
57273 .xword 8
57274 .xword 3
57275 .xword 5
57276 .xword 7
57277 .xword 4
57278 .xword 3
57279 .xword 5
57280 .xword 12
57281_t1_aes_src:
57282 .xword 0xbe7427632d96fc76
57283 .xword 0x48fd4b5d0e2227e0
57284 .xword 0xc955236914bf4b13
57285 .xword 0xb05aeb61c504ed60
57286 .xword 0xffffbd7f5a597d57
57287 .xword 0x7745eddbc59efd91
57288 .xword 0xebe409fff638ad9f
57289 .xword 0xc47b69c7f67c1c2f
57290 .xword 0xddddfb25e782aa9d
57291 .xword 0xbfb75707413e4b53
57292 .xword 0xff6b67500036a971
57293 .xword 0xee5c6d80d6c3e61b
57294 .xword 0x43d5af642a241ad6
57295 .xword 0x72cec08980b35ee7
57296 .xword 0x6750fbb3e5f44047
57297 .xword 0x4eab5e48fd17562b
57298 .xword 0xc28738eff2e4d747
57299 .xword 0x1b619943e65fc7ce
57300 .xword 0x43d0b1b18e48b938
57301 .xword 0x60b71029c3d2e361
57302 .xword 0xb2889133b4eb5f15
57303 .xword 0xe898802828bf1f21
57304 .xword 0x6ba10083db328cd1
57305 .xword 0xca57470365926068
57306 .xword 0xf42eddee8b448998
57307 .xword 0xd7b311bf8488a9a9
57308 .xword 0x5a3d3d3dfebdede4
57309 .xword 0x73069153ca5829d4
57310 .xword 0x131f314995dcb104
57311 .xword 0xcac8588fef916659
57312 .xword 0x4855862470f2baae
57313 .xword 0x98a99f534fe7b31e
57314 .xword 0x977ad150e96cb483
57315 .xword 0xc7e1a43dee1f6b0b
57316 .xword 0xd59f7cbc8aeed402
57317 .xword 0xd765db8171e418de
57318 .xword 0xb3ae6ec5045bde39
57319 .xword 0xf251ebccd129101b
57320 .xword 0x1c85bb206524ead4
57321 .xword 0xa5cd14c08e25114a
57322 .xword 0xb614e44509354855
57323 .xword 0x197fe249f226b231
57324 .xword 0xa2d0a2a3f829cda2
57325 .xword 0xe9955a7ff23ff99c
57326 .xword 0x198a94895ed84f78
57327 .xword 0x978d02e77a49664b
57328 .xword 0xf538a62b88179e0f
57329 .xword 0xb68033eac85ce735
57330 .xword 0xf374f940ab5ae829
57331 .xword 0x628182e0dc3b99d7
57332 .xword 0x85c6a9f6b57f4568
57333 .xword 0xe21ae6d98c67f87e
57334 .xword 0x716141d5dcdf05ed
57335 .xword 0x66174f7efa2e8e23
57336 .xword 0xded506903c4ed0db
57337 .xword 0xcfab7830f3ceaaf0
57338 .xword 0xead3ab7f7a2ae01e
57339 .xword 0xde936908ebef1c56
57340 .xword 0x4e765d5bd8b6bfa0
57341 .xword 0xe837cbe28bf6cfa2
57342 .xword 0x884f054e6ee5ac0f
57343 .xword 0x1b206581164e6278
57344 .xword 0xcf5d5d688c3c7884
57345 .xword 0xf429c52e99d9444a
57346 .xword 0xebbd64757ce9d5cc
57347 .xword 0xddad46c0d0a137f8
57348 .xword 0xc37ff30963d78d9d
57349 .xword 0x506b57de035ca0cf
57350 .xword 0xcf554eab68b88330
57351 .xword 0xa16e6aeb93c0a135
57352 .xword 0xbd7b86263a09b922
57353 .xword 0x9c2df722af4a52b0
57354 .xword 0x7be7e5dcd21503fb
57355 .xword 0x982309c2077f93fe
57356 .xword 0x18872bbb6b47e13a
57357 .xword 0x276331d074ddc78d
57358 .xword 0x845fcfd7d4ac1ddf
57359 .xword 0x60c4314e9467187c
57360 .xword 0x2d93233b0130db4d
57361 .xword 0xa53ac17373edd069
57362 .xword 0xdede43fc27f16a43
57363 .xword 0x4e59b468623d6cd8
57364 .xword 0x7bdec50952c5b54a
57365 .xword 0xde8800abc6501145
57366 .xword 0x52d3bcbb808391d2
57367 .xword 0x514ac4e48de60e58
57368 .xword 0x9d9442425c48f384
57369 .xword 0xddb041f07d9ef5b2
57370 .xword 0x12f0072b0563f0f2
57371 .xword 0xbeeed54a584c6102
57372 .xword 0xd25fa04f17b96197
57373 .xword 0x5fb9a91b580865bd
57374 .xword 0xf311dba2ec8f7209
57375 .xword 0xc8903eb821e6fff1
57376 .xword 0x2d093ada81ebd397
57377 .xword 0xc312857a7af2e9f9
57378 .xword 0x618de202cb3f2951
57379 .xword 0x9affe986de3979aa
57380 .xword 0x7e538c0111ff40fb
57381 .xword 0xa7c3cff4de2efe19
57382 .xword 0xca3be2eedf09105f
57383 .xword 0x81ef8f7f14f90772
57384 .xword 0xc2c6e0f9f47aad0f
57385 .xword 0xee11102784b02d7e
57386 .xword 0xb42301f22ad3606c
57387 .xword 0xdaef8471667f8af1
57388 .xword 0x9cd81721d6cb0677
57389 .xword 0x0f139f6d337f36b4
57390 .xword 0x57dc64e179f88d30
57391 .xword 0xc967209ae95a678a
57392 .xword 0x2e9b576bf7a8c12d
57393 .xword 0x1e84061a7b815c16
57394 .xword 0xc1c9ce66f1ade136
57395 .xword 0x854da4265dea3f6d
57396 .xword 0x5863af64af17bb32
57397 .xword 0xa6f89eef7c56220e
57398 .xword 0x70123bb2313d72ef
57399 .xword 0x65bc12cc0fe8e640
57400 .xword 0xeed2f6dbefe82001
57401 .xword 0x5d8e14fbff0e55f6
57402 .xword 0x8ba8bb0e8fada37a
57403 .xword 0x84b68a0ef80ad7d2
57404 .xword 0xdb2f1b961c62f545
57405 .xword 0xc9eef650688404e0
57406 .xword 0xd82e370edb8e2fd6
57407 .xword 0x8ad4182f83fcc43a
57408 .xword 0xb3829c6c45c43649
57409 .xword 0x9c5b51507f7b9fea
57410 .xword 0xe9ecf3d3df567495
57411 .xword 0x079ac4d986abab71
57412 .xword 0xdc75e46a68a1d024
57413 .xword 0x000d5bb6360c6823
57414 .xword 0x6233b17cfb16d427
57415 .xword 0xf3935c602611de0b
57416 .xword 0xc2b5d5cc53858698
57417 .xword 0x780de2811e7989c4
57418 .xword 0xc2be71c745cdcae5
57419 .xword 0xc56f6d247aaa9c4e
57420 .xword 0x378be0d4aeb0f6c7
57421 .xword 0x27e1012a0087a590
57422 .xword 0x29c2554defa42d3a
57423 .xword 0xeb2cbe1c7213f09b
57424 .xword 0x1aafb5654c3e69ac
57425 .xword 0x6fbef4fd168579fd
57426 .xword 0x2117e132862f34d4
57427 .xword 0x728c5cd5c5c5716a
57428 .xword 0x2f79da15ed67ac7e
57429 .xword 0x1146deaaa28c4fe1
57430 .xword 0xa52a750779159d43
57431 .xword 0xf5be5e6f40e5790a
57432 .xword 0x1872f460858fbc01
57433 .xword 0x9b1a18d5525a6f30
57434 .xword 0xd52cd4d0497ae171
57435 .xword 0xc50581eadcbb180b
57436 .xword 0x0203ad89044c3892
57437 .xword 0x5ac5761e60434009
57438 .xword 0x0fe688cd73c89bb7
57439 .xword 0x3974208ca4a686c6
57440 .xword 0x3825d1f5200b0a38
57441 .xword 0xc7be29a98e8e2bd1
57442 .xword 0xc383167c73ab684d
57443 .xword 0x6c42d273623cc3e0
57444 .xword 0xec729094683ba545
57445 .xword 0x583b409ca724d17a
57446 .xword 0xd7a1e4e949b7038b
57447 .xword 0xd3684cc40dfd00fd
57448 .xword 0xb340af5780d4be06
57449 .xword 0xa90051223106a148
57450 .xword 0x8efed8db09849714
57451 .xword 0x362c0fa0038941f3
57452 .xword 0xb5ece85217d370f8
57453 .xword 0x15a1350fca9d7394
57454 .xword 0x23a4fb37f1914301
57455 .xword 0x0b8186c4b3e3cfe2
57456 .xword 0x71d10f325c4e3d48
57457 .xword 0x31d01ab887e64eb1
57458 .xword 0xac9633ae276d3657
57459 .xword 0xa6de67831c91aaa6
57460 .xword 0x952aff3aef299db8
57461 .xword 0x5055b4fc2b1f53a3
57462 .xword 0x943bf3f41d37dc4f
57463 .xword 0x1e69536261d8902e
57464 .xword 0x45ad0014cf4ba640
57465 .xword 0xc25947339c869bbd
57466 .xword 0x3512bbf597082481
57467 .xword 0x100a9c9e06226244
57468 .xword 0xc80ffe3611c7a00c
57469 .xword 0xf4315c386848270a
57470 .xword 0x9ed89e69d5c15ada
57471 .xword 0xcd1f3d80f8609e60
57472 .xword 0x26eb3e428ce0b98c
57473 .xword 0x515bc6e3a4380bc4
57474 .xword 0xdc3f851cffac28ac
57475 .xword 0x4722d495b4241e60
57476 .xword 0x200de6f9d0a7a5d9
57477 .xword 0xc541e974cf76acdc
57478 .xword 0x7e6ed18886374217
57479 .xword 0x1be3248b34e45eb6
57480 .xword 0x91277de04aef24a4
57481 .xword 0xce4ca4c22acf552d
57482 .xword 0xc4d574ed20b8291c
57483 .xword 0xc77e878d83ec0ed3
57484 .xword 0x3553cb49424b1310
57485 .xword 0xc1b74ff63460db70
57486 .xword 0xaf41d3127da36d71
57487 .xword 0xcc66bef96d4d0fbd
57488 .xword 0xb2f4651597ec3d4a
57489 .xword 0xa6798a401ffad1a8
57490 .xword 0xd1862879ee70f72b
57491 .xword 0xe688e41974d2a7ad
57492 .xword 0x70daf11812da8dbd
57493 .xword 0xa8d9e23c687f1044
57494 .xword 0xdfd309c2a89c0f58
57495 .xword 0xd6a2ecb736fe98a2
57496 .xword 0xed075f2b72a6ea6e
57497 .xword 0xd485ec480caba668
57498 .xword 0xcd47c43599bc4db6
57499 .xword 0x9f8c763b673a158b
57500 .xword 0x971d8393c4b03e9c
57501 .xword 0xf2ab34aac96915fa
57502 .xword 0x25fff486790dc102
57503 .xword 0x3df93589ba00f3c0
57504 .xword 0xb4cbca0818de6edd
57505 .xword 0x11435b6cdbe5a292
57506 .xword 0x8fb77ddd09e1a01e
57507 .xword 0x0afb75a8931bb52d
57508 .xword 0xfa6e1365bfc16377
57509 .xword 0x7db19d09f4eb44f4
57510 .xword 0x5cc674c95c8d1061
57511 .xword 0x6825fe2b12878107
57512 .xword 0xe16a799548679a0f
57513 .xword 0x928ed475faa21206
57514 .xword 0x79c769994a9dc6a2
57515 .xword 0x4e3f2dac1c345e2a
57516 .xword 0x3daa01fc923b2132
57517 .xword 0x12e051ebdc88e6a7
57518 .xword 0xe4313b527b0e0987
57519 .xword 0x095cefef211bdd32
57520 .xword 0x1e96cf27043bd511
57521 .xword 0xb989ca2b03ec3e00
57522 .xword 0x0e88b4610c7b15f8
57523 .xword 0x04c45ebc34bc7de1
57524 .xword 0xe3a0048e940788b6
57525 .xword 0xf0024fd33f22df84
57526 .xword 0x57d5d39e31dfeba7
57527 .xword 0x55962755a120ff2f
57528 .xword 0xcd5c7ad5fbeedc11
57529 .xword 0x5ee7df2b7c3de1b5
57530 .xword 0x188a0d0299631468
57531 .xword 0x1b6e8e9db900c2ac
57532 .xword 0xb1c542b76f6fca51
57533 .xword 0xf18a002abdec2d9d
57534 .xword 0xaef5dc9f6aee048b
57535 .xword 0xb38b294cff38d8c2
57536 .xword 0x5a57bb2b5fd63e2f
57537 .xword 0x52deba8b001ef12f
57538 .xword 0x29d8788245c093b5
57539 .xword 0xe1dca64abd2faa69
57540 .xword 0x61a4c169c5867fe7
57541 .xword 0x0cc9fe9407e4723e
57542 .xword 0x3f93a34a2702fde5
57543 .xword 0x9f32e11d2a644989
57544 .xword 0x8cfd4def5fc2485a
57545 .xword 0x845777cc47922762
57546 .xword 0xa35b1d365c671989
57547 .xword 0x3ba1e1cfdbd2917b
57548 .xword 0x2faf419048b0d3fb
57549 .xword 0x7cbbebc2b0720ae9
57550 .xword 0x6783b8fc7d006713
57551 .xword 0xf56cb04e70c81d4d
57552 .xword 0x4d543ae9956241b1
57553 .xword 0xb210dad25bdd9975
57554 .xword 0x9d9b494e75e36f86
57555 .xword 0xd817452b382ed4ec
57556 .xword 0x7d3b6eac89405a7c
57557_t1_aes_dest:
57558 .xword 0xDEADBEEFDEADBEEF
57559 .xword 0xDEADBEEFDEADBEEF
57560 .xword 0xDEADBEEFDEADBEEF
57561 .xword 0xDEADBEEFDEADBEEF
57562 .xword 0xDEADBEEFDEADBEEF
57563 .xword 0xDEADBEEFDEADBEEF
57564 .xword 0xDEADBEEFDEADBEEF
57565 .xword 0xDEADBEEFDEADBEEF
57566 .xword 0xDEADBEEFDEADBEEF
57567 .xword 0xDEADBEEFDEADBEEF
57568 .xword 0xDEADBEEFDEADBEEF
57569 .xword 0xDEADBEEFDEADBEEF
57570 .xword 0xDEADBEEFDEADBEEF
57571 .xword 0xDEADBEEFDEADBEEF
57572 .xword 0xDEADBEEFDEADBEEF
57573 .xword 0xDEADBEEFDEADBEEF
57574 .xword 0xDEADBEEFDEADBEEF
57575 .xword 0xDEADBEEFDEADBEEF
57576 .xword 0xDEADBEEFDEADBEEF
57577 .xword 0xDEADBEEFDEADBEEF
57578 .xword 0xDEADBEEFDEADBEEF
57579 .xword 0xDEADBEEFDEADBEEF
57580 .xword 0xDEADBEEFDEADBEEF
57581 .xword 0xDEADBEEFDEADBEEF
57582 .xword 0xDEADBEEFDEADBEEF
57583 .xword 0xDEADBEEFDEADBEEF
57584 .xword 0xDEADBEEFDEADBEEF
57585 .xword 0xDEADBEEFDEADBEEF
57586 .xword 0xDEADBEEFDEADBEEF
57587 .xword 0xDEADBEEFDEADBEEF
57588 .xword 0xDEADBEEFDEADBEEF
57589 .xword 0xDEADBEEFDEADBEEF
57590 .xword 0xDEADBEEFDEADBEEF
57591 .xword 0xDEADBEEFDEADBEEF
57592 .xword 0xDEADBEEFDEADBEEF
57593 .xword 0xDEADBEEFDEADBEEF
57594 .xword 0xDEADBEEFDEADBEEF
57595 .xword 0xDEADBEEFDEADBEEF
57596 .xword 0xDEADBEEFDEADBEEF
57597 .xword 0xDEADBEEFDEADBEEF
57598 .xword 0xDEADBEEFDEADBEEF
57599 .xword 0xDEADBEEFDEADBEEF
57600 .xword 0xDEADBEEFDEADBEEF
57601 .xword 0xDEADBEEFDEADBEEF
57602 .xword 0xDEADBEEFDEADBEEF
57603 .xword 0xDEADBEEFDEADBEEF
57604 .xword 0xDEADBEEFDEADBEEF
57605 .xword 0xDEADBEEFDEADBEEF
57606 .xword 0xDEADBEEFDEADBEEF
57607 .xword 0xDEADBEEFDEADBEEF
57608 .xword 0xDEADBEEFDEADBEEF
57609 .xword 0xDEADBEEFDEADBEEF
57610 .xword 0xDEADBEEFDEADBEEF
57611 .xword 0xDEADBEEFDEADBEEF
57612 .xword 0xDEADBEEFDEADBEEF
57613 .xword 0xDEADBEEFDEADBEEF
57614 .xword 0xDEADBEEFDEADBEEF
57615 .xword 0xDEADBEEFDEADBEEF
57616 .xword 0xDEADBEEFDEADBEEF
57617 .xword 0xDEADBEEFDEADBEEF
57618 .xword 0xDEADBEEFDEADBEEF
57619 .xword 0xDEADBEEFDEADBEEF
57620 .xword 0xDEADBEEFDEADBEEF
57621 .xword 0xDEADBEEFDEADBEEF
57622 .xword 0xDEADBEEFDEADBEEF
57623 .xword 0xDEADBEEFDEADBEEF
57624 .xword 0xDEADBEEFDEADBEEF
57625 .xword 0xDEADBEEFDEADBEEF
57626 .xword 0xDEADBEEFDEADBEEF
57627 .xword 0xDEADBEEFDEADBEEF
57628 .xword 0xDEADBEEFDEADBEEF
57629 .xword 0xDEADBEEFDEADBEEF
57630 .xword 0xDEADBEEFDEADBEEF
57631 .xword 0xDEADBEEFDEADBEEF
57632 .xword 0xDEADBEEFDEADBEEF
57633 .xword 0xDEADBEEFDEADBEEF
57634 .xword 0xDEADBEEFDEADBEEF
57635 .xword 0xDEADBEEFDEADBEEF
57636 .xword 0xDEADBEEFDEADBEEF
57637 .xword 0xDEADBEEFDEADBEEF
57638 .xword 0xDEADBEEFDEADBEEF
57639 .xword 0xDEADBEEFDEADBEEF
57640 .xword 0xDEADBEEFDEADBEEF
57641 .xword 0xDEADBEEFDEADBEEF
57642 .xword 0xDEADBEEFDEADBEEF
57643 .xword 0xDEADBEEFDEADBEEF
57644 .xword 0xDEADBEEFDEADBEEF
57645 .xword 0xDEADBEEFDEADBEEF
57646 .xword 0xDEADBEEFDEADBEEF
57647 .xword 0xDEADBEEFDEADBEEF
57648 .xword 0xDEADBEEFDEADBEEF
57649 .xword 0xDEADBEEFDEADBEEF
57650 .xword 0xDEADBEEFDEADBEEF
57651 .xword 0xDEADBEEFDEADBEEF
57652 .xword 0xDEADBEEFDEADBEEF
57653 .xword 0xDEADBEEFDEADBEEF
57654 .xword 0xDEADBEEFDEADBEEF
57655 .xword 0xDEADBEEFDEADBEEF
57656 .xword 0xDEADBEEFDEADBEEF
57657 .xword 0xDEADBEEFDEADBEEF
57658 .xword 0xDEADBEEFDEADBEEF
57659 .xword 0xDEADBEEFDEADBEEF
57660 .xword 0xDEADBEEFDEADBEEF
57661 .xword 0xDEADBEEFDEADBEEF
57662 .xword 0xDEADBEEFDEADBEEF
57663 .xword 0xDEADBEEFDEADBEEF
57664 .xword 0xDEADBEEFDEADBEEF
57665 .xword 0xDEADBEEFDEADBEEF
57666 .xword 0xDEADBEEFDEADBEEF
57667 .xword 0xDEADBEEFDEADBEEF
57668 .xword 0xDEADBEEFDEADBEEF
57669 .xword 0xDEADBEEFDEADBEEF
57670 .xword 0xDEADBEEFDEADBEEF
57671 .xword 0xDEADBEEFDEADBEEF
57672 .xword 0xDEADBEEFDEADBEEF
57673 .xword 0xDEADBEEFDEADBEEF
57674 .xword 0xDEADBEEFDEADBEEF
57675 .xword 0xDEADBEEFDEADBEEF
57676 .xword 0xDEADBEEFDEADBEEF
57677 .xword 0xDEADBEEFDEADBEEF
57678 .xword 0xDEADBEEFDEADBEEF
57679 .xword 0xDEADBEEFDEADBEEF
57680 .xword 0xDEADBEEFDEADBEEF
57681 .xword 0xDEADBEEFDEADBEEF
57682 .xword 0xDEADBEEFDEADBEEF
57683 .xword 0xDEADBEEFDEADBEEF
57684 .xword 0xDEADBEEFDEADBEEF
57685 .xword 0xDEADBEEFDEADBEEF
57686 .xword 0xDEADBEEFDEADBEEF
57687 .xword 0xDEADBEEFDEADBEEF
57688 .xword 0xDEADBEEFDEADBEEF
57689 .xword 0xDEADBEEFDEADBEEF
57690 .xword 0xDEADBEEFDEADBEEF
57691 .xword 0xDEADBEEFDEADBEEF
57692 .xword 0xDEADBEEFDEADBEEF
57693 .xword 0xDEADBEEFDEADBEEF
57694 .xword 0xDEADBEEFDEADBEEF
57695 .xword 0xDEADBEEFDEADBEEF
57696 .xword 0xDEADBEEFDEADBEEF
57697 .xword 0xDEADBEEFDEADBEEF
57698 .xword 0xDEADBEEFDEADBEEF
57699 .xword 0xDEADBEEFDEADBEEF
57700 .xword 0xDEADBEEFDEADBEEF
57701 .xword 0xDEADBEEFDEADBEEF
57702 .xword 0xDEADBEEFDEADBEEF
57703 .xword 0xDEADBEEFDEADBEEF
57704 .xword 0xDEADBEEFDEADBEEF
57705 .xword 0xDEADBEEFDEADBEEF
57706 .xword 0xDEADBEEFDEADBEEF
57707 .xword 0xDEADBEEFDEADBEEF
57708 .xword 0xDEADBEEFDEADBEEF
57709 .xword 0xDEADBEEFDEADBEEF
57710 .xword 0xDEADBEEFDEADBEEF
57711 .xword 0xDEADBEEFDEADBEEF
57712 .xword 0xDEADBEEFDEADBEEF
57713 .xword 0xDEADBEEFDEADBEEF
57714 .xword 0xDEADBEEFDEADBEEF
57715 .xword 0xDEADBEEFDEADBEEF
57716 .xword 0xDEADBEEFDEADBEEF
57717 .xword 0xDEADBEEFDEADBEEF
57718 .xword 0xDEADBEEFDEADBEEF
57719 .xword 0xDEADBEEFDEADBEEF
57720 .xword 0xDEADBEEFDEADBEEF
57721 .xword 0xDEADBEEFDEADBEEF
57722 .xword 0xDEADBEEFDEADBEEF
57723 .xword 0xDEADBEEFDEADBEEF
57724 .xword 0xDEADBEEFDEADBEEF
57725 .xword 0xDEADBEEFDEADBEEF
57726 .xword 0xDEADBEEFDEADBEEF
57727 .xword 0xDEADBEEFDEADBEEF
57728 .xword 0xDEADBEEFDEADBEEF
57729 .xword 0xDEADBEEFDEADBEEF
57730 .xword 0xDEADBEEFDEADBEEF
57731 .xword 0xDEADBEEFDEADBEEF
57732 .xword 0xDEADBEEFDEADBEEF
57733 .xword 0xDEADBEEFDEADBEEF
57734 .xword 0xDEADBEEFDEADBEEF
57735 .xword 0xDEADBEEFDEADBEEF
57736 .xword 0xDEADBEEFDEADBEEF
57737 .xword 0xDEADBEEFDEADBEEF
57738 .xword 0xDEADBEEFDEADBEEF
57739 .xword 0xDEADBEEFDEADBEEF
57740 .xword 0xDEADBEEFDEADBEEF
57741 .xword 0xDEADBEEFDEADBEEF
57742 .xword 0xDEADBEEFDEADBEEF
57743 .xword 0xDEADBEEFDEADBEEF
57744 .xword 0xDEADBEEFDEADBEEF
57745 .xword 0xDEADBEEFDEADBEEF
57746 .xword 0xDEADBEEFDEADBEEF
57747 .xword 0xDEADBEEFDEADBEEF
57748 .xword 0xDEADBEEFDEADBEEF
57749 .xword 0xDEADBEEFDEADBEEF
57750 .xword 0xDEADBEEFDEADBEEF
57751 .xword 0xDEADBEEFDEADBEEF
57752 .xword 0xDEADBEEFDEADBEEF
57753 .xword 0xDEADBEEFDEADBEEF
57754 .xword 0xDEADBEEFDEADBEEF
57755 .xword 0xDEADBEEFDEADBEEF
57756 .xword 0xDEADBEEFDEADBEEF
57757 .xword 0xDEADBEEFDEADBEEF
57758 .xword 0xDEADBEEFDEADBEEF
57759 .xword 0xDEADBEEFDEADBEEF
57760 .xword 0xDEADBEEFDEADBEEF
57761 .xword 0xDEADBEEFDEADBEEF
57762 .xword 0xDEADBEEFDEADBEEF
57763 .xword 0xDEADBEEFDEADBEEF
57764 .xword 0xDEADBEEFDEADBEEF
57765 .xword 0xDEADBEEFDEADBEEF
57766 .xword 0xDEADBEEFDEADBEEF
57767 .xword 0xDEADBEEFDEADBEEF
57768 .xword 0xDEADBEEFDEADBEEF
57769 .xword 0xDEADBEEFDEADBEEF
57770 .xword 0xDEADBEEFDEADBEEF
57771 .xword 0xDEADBEEFDEADBEEF
57772 .xword 0xDEADBEEFDEADBEEF
57773 .xword 0xDEADBEEFDEADBEEF
57774 .xword 0xDEADBEEFDEADBEEF
57775 .xword 0xDEADBEEFDEADBEEF
57776 .xword 0xDEADBEEFDEADBEEF
57777 .xword 0xDEADBEEFDEADBEEF
57778 .xword 0xDEADBEEFDEADBEEF
57779 .xword 0xDEADBEEFDEADBEEF
57780 .xword 0xDEADBEEFDEADBEEF
57781 .xword 0xDEADBEEFDEADBEEF
57782 .xword 0xDEADBEEFDEADBEEF
57783 .xword 0xDEADBEEFDEADBEEF
57784 .xword 0xDEADBEEFDEADBEEF
57785 .xword 0xDEADBEEFDEADBEEF
57786 .xword 0xDEADBEEFDEADBEEF
57787 .xword 0xDEADBEEFDEADBEEF
57788 .xword 0xDEADBEEFDEADBEEF
57789 .xword 0xDEADBEEFDEADBEEF
57790 .xword 0xDEADBEEFDEADBEEF
57791 .xword 0xDEADBEEFDEADBEEF
57792 .xword 0xDEADBEEFDEADBEEF
57793 .xword 0xDEADBEEFDEADBEEF
57794 .xword 0xDEADBEEFDEADBEEF
57795 .xword 0xDEADBEEFDEADBEEF
57796 .xword 0xDEADBEEFDEADBEEF
57797 .xword 0xDEADBEEFDEADBEEF
57798 .xword 0xDEADBEEFDEADBEEF
57799 .xword 0xDEADBEEFDEADBEEF
57800 .xword 0xDEADBEEFDEADBEEF
57801 .xword 0xDEADBEEFDEADBEEF
57802 .xword 0xDEADBEEFDEADBEEF
57803 .xword 0xDEADBEEFDEADBEEF
57804 .xword 0xDEADBEEFDEADBEEF
57805 .xword 0xDEADBEEFDEADBEEF
57806 .xword 0xDEADBEEFDEADBEEF
57807 .xword 0xDEADBEEFDEADBEEF
57808 .xword 0xDEADBEEFDEADBEEF
57809 .xword 0xDEADBEEFDEADBEEF
57810 .xword 0xDEADBEEFDEADBEEF
57811 .xword 0xDEADBEEFDEADBEEF
57812 .xword 0xDEADBEEFDEADBEEF
57813 .xword 0xDEADBEEFDEADBEEF
57814 .xword 0xDEADBEEFDEADBEEF
57815 .xword 0xDEADBEEFDEADBEEF
57816 .xword 0xDEADBEEFDEADBEEF
57817 .xword 0xDEADBEEFDEADBEEF
57818 .xword 0xDEADBEEFDEADBEEF
57819 .xword 0xDEADBEEFDEADBEEF
57820 .xword 0xDEADBEEFDEADBEEF
57821 .xword 0xDEADBEEFDEADBEEF
57822 .xword 0xDEADBEEFDEADBEEF
57823 .xword 0xDEADBEEFDEADBEEF
57824 .xword 0xDEADBEEFDEADBEEF
57825 .xword 0xDEADBEEFDEADBEEF
57826 .xword 0xDEADBEEFDEADBEEF
57827 .xword 0xDEADBEEFDEADBEEF
57828 .xword 0xDEADBEEFDEADBEEF
57829 .xword 0xDEADBEEFDEADBEEF
57830 .xword 0xDEADBEEFDEADBEEF
57831 .xword 0xDEADBEEFDEADBEEF
57832 .xword 0xDEADBEEFDEADBEEF
57833_t1_aes_auth_key:
57834 .xword 0x49d2ccafa61f1c31
57835 .xword 0x4c1cee73f1f10872
57836 .xword 0xd892dee747f05e47
57837 .xword 0xdfc052eb686b98e2
57838 .xword 0x0017b25c01cd8537
57839 .xword 0xf6ec899d800b69df
57840 .xword 0x3942fbee0922c4e7
57841 .xword 0xd795886139356016
57842 .xword 0xca4df051e5d5e88f
57843 .xword 0x5219f14bc43413b3
57844 .xword 0x30e5841b74578331
57845 .xword 0x21608c936a2b3ec4
57846 .xword 0xff58220d3abf75b3
57847 .xword 0x388e020a966ff9ad
57848 .xword 0x6e32d43307ec33d3
57849 .xword 0x4517bef622996325
57850 .xword 0x573061effef93f55
57851 .xword 0xd1b62e8415e06542
57852 .xword 0xe1c49fad88d353d1
57853 .xword 0xb2d974cded0bfbcc
57854 .xword 0x09ae90a8b05cdbce
57855 .xword 0x827c6f8b55b6c026
57856 .xword 0x7d2a9dc2a173e57a
57857_t1_aes_auth_iv:
57858 .xword 0xfe910c7b8753cb98
57859 .xword 0x5a9cf6d3c3a63785
57860 .xword 0x74e38602313a217b
57861 .xword 0xbd68a575d2aa5ee6
57862 .xword 0x363a34f6f6c5acd4
57863 .xword 0xef3348e00f3fc2e3
57864 .xword 0xceeddcb6ba1de02f
57865 .xword 0x161ffb7ad6214fda
57866 .xword 0x93392f9a73358624
57867 .xword 0xf57311bb833e21b7
57868 .xword 0xdc343586884556a1
57869 .xword 0x37d611bd9d6eded1
57870 .xword 0x3ab267587a3e998b
57871 .xword 0xeb012ca5d2b24755
57872 .xword 0x825e63f6bde5d4f0
57873 .xword 0x1252949ec323af52
57874 .xword 0x3568c4ae466378e0
57875 .xword 0xf7167382ebead3a9
57876 .xword 0x7379c756c8d175d0
57877 .xword 0x0029fe63a6a11dfa
57878 .xword 0x901f335ad0015e68
57879 .xword 0xb010b5fa6adfc2bf
57880 .xword 0x362671b7fcde448e
57881_t1_aes_fas_result:
57882 .xword 0xDEADBEEFDEADBEEF
57883 .xword 0xDEADBEEFDEADBEEF
57884 .xword 0xDEADBEEFDEADBEEF
57885 .xword 0xDEADBEEFDEADBEEF
57886 .xword 0xDEADBEEFDEADBEEF
57887 .xword 0xDEADBEEFDEADBEEF
57888 .xword 0xDEADBEEFDEADBEEF
57889 .xword 0xDEADBEEFDEADBEEF
57890 .xword 0xDEADBEEFDEADBEEF
57891 .xword 0xDEADBEEFDEADBEEF
57892 .xword 0xDEADBEEFDEADBEEF
57893 .xword 0xDEADBEEFDEADBEEF
57894 .xword 0xDEADBEEFDEADBEEF
57895 .xword 0xDEADBEEFDEADBEEF
57896 .xword 0xDEADBEEFDEADBEEF
57897 .xword 0xDEADBEEFDEADBEEF
57898 .xword 0xDEADBEEFDEADBEEF
57899 .xword 0xDEADBEEFDEADBEEF
57900 .xword 0xDEADBEEFDEADBEEF
57901 .xword 0xDEADBEEFDEADBEEF
57902 .xword 0xDEADBEEFDEADBEEF
57903 .xword 0xDEADBEEFDEADBEEF
57904 .xword 0xDEADBEEFDEADBEEF
57905_t1_des_key_array:
57906 .xword 0x6c9528c0b27c0fc7
57907 .xword 0x73a02bb99b8fdcc0
57908 .xword 0x815771ebbba4ce18
57909 .xword 0x0fd7a6953626c2fa
57910 .xword 0x85b0d3dd76e9acc1
57911 .xword 0xb4fdad885272935e
57912 .xword 0x5ce40d85313fac18
57913 .xword 0xfc3ce537fc260be3
57914 .xword 0x26bbee4a3411dd62
57915 .xword 0x104a61a6635ab19d
57916 .xword 0x10e93f7b39de4e4a
57917 .xword 0x8898c13962f5a665
57918 .xword 0xd557061c3e4786bc
57919 .xword 0xa5ffed2c73c04df5
57920 .xword 0x54637e8c58aa6dc6
57921 .xword 0xdfae67270fa6248c
57922 .xword 0xcb68752efb1004cf
57923 .xword 0x413e7eaac615ace5
57924 .xword 0xcb238bd72470bc41
57925 .xword 0x67265aa80048ed4e
57926 .xword 0x6b8b176a7b418b5e
57927 .xword 0x55d9f49443201a56
57928 .xword 0xa320dd9053a25884
57929 .xword 0x5336319c0107f9d6
57930 .xword 0x6f9bb7a29a5d9675
57931 .xword 0xba505c08a7f87712
57932 .xword 0x2612189e55b5d96c
57933 .xword 0x5f5d7a80decd140a
57934 .xword 0x1bc80fdabb4e7160
57935 .xword 0xdf32af3857d4835f
57936 .xword 0xc6dd7a660bf15433
57937 .xword 0x66a407818da1c142
57938 .xword 0x6756d2a36536fbc5
57939 .xword 0xab290a611c190c1a
57940 .xword 0x67dbff930e0ff6b2
57941 .xword 0x5de0dea64fcb98d5
57942 .xword 0xbf81e45fd3ba4241
57943 .xword 0xef8f16c0ceaec1d0
57944 .xword 0x898c7283261e3e96
57945 .xword 0xcf600131dfc56a8c
57946 .xword 0xf7915d122f17533e
57947 .xword 0x402768c713220360
57948 .xword 0x348f2b3a12ce73db
57949 .xword 0x11b2eab8f067ffd6
57950 .xword 0x5ce3fe6e979cebff
57951 .xword 0xb558cb488d6cd2b3
57952 .xword 0x09efcf605762c4a4
57953 .xword 0x62cccb1a3aaef210
57954 .xword 0xc2a6553b1b75cfc7
57955 .xword 0xf9ec519049c4fde9
57956 .xword 0x970f77ca11b016f4
57957_t1_des_iv_array:
57958 .xword 0xb603dcf1a6f4b704
57959 .xword 0x84fd0d5886dc3265
57960 .xword 0xefd8672a00c4f2f9
57961 .xword 0xb6971c0d076a1604
57962 .xword 0xe45af69085b44b47
57963 .xword 0x99f55db06e5885c9
57964 .xword 0x6822a5a2f1a4d387
57965 .xword 0x67aac1d62d9fed8a
57966 .xword 0xb7de25ad7f107574
57967 .xword 0x1abb1cb881e9a89a
57968 .xword 0x325b62db7804adf8
57969 .xword 0x655f7f8b6fbb04da
57970 .xword 0x8c5f7c282a4313e9
57971 .xword 0x6e7454567801c989
57972 .xword 0x0bc64a4cb4d2ca0c
57973 .xword 0x833173ceb6310eb3
57974 .xword 0xbf1bac07284b556e
57975 .xword 0xabe9e54ccd448cc3
57976 .xword 0xc71af6f71e2bd8db
57977 .xword 0x783e8c12ee4353b8
57978 .xword 0xd39ad62a364bb8e4
57979 .xword 0xfe869e5ccd1cf029
57980 .xword 0x0c5f860176a39928
57981 .xword 0xa0fdaabb2158c54e
57982 .xword 0xd6c34415e3ceb13f
57983 .xword 0x61ffa252224e36f0
57984 .xword 0xa47f662fa0573d2e
57985 .xword 0x005f144a023ce317
57986 .xword 0x5e3677d4d7294b0a
57987 .xword 0xf27c3959421a5c34
57988 .xword 0x7f2af9b9b0db45fc
57989 .xword 0x5aa14c768bdc3beb
57990 .xword 0x6b462e3fbac25f7d
57991 .xword 0x62857589c3765abc
57992 .xword 0x1cf010b89632dbed
57993 .xword 0xa1c97749cea50261
57994 .xword 0x5ce5f5f8c5307875
57995 .xword 0x26b39f953af121e1
57996 .xword 0x7015ac415a7d6701
57997 .xword 0x713dc1defc2a1e57
57998 .xword 0x52647bf9da309dec
57999 .xword 0x87cfa80f14cb2c7d
58000 .xword 0x0dcd9e0bfc218cc1
58001 .xword 0xdfef29441e8ecaad
58002 .xword 0xe62fbf4cb9004276
58003_t1_des_alignment_array:
58004 .xword 6
58005 .xword 10
58006 .xword 14
58007 .xword 0
58008 .xword 13
58009 .xword 1
58010 .xword 14
58011 .xword 1
58012 .xword 3
58013 .xword 2
58014 .xword 11
58015 .xword 12
58016 .xword 9
58017 .xword 1
58018 .xword 1
58019 .xword 2
58020 .xword 2
58021 .xword 14
58022 .xword 8
58023 .xword 0
58024 .xword 7
58025 .xword 0
58026 .xword 3
58027 .xword 4
58028 .xword 10
58029 .xword 14
58030 .xword 15
58031 .xword 15
58032 .xword 2
58033 .xword 2
58034 .xword 7
58035 .xword 11
58036 .xword 5
58037 .xword 14
58038 .xword 7
58039 .xword 5
58040 .xword 6
58041 .xword 0
58042 .xword 11
58043 .xword 0
58044 .xword 7
58045 .xword 15
58046 .xword 1
58047 .xword 14
58048 .xword 7
58049 .xword 0
58050 .xword 7
58051 .xword 5
58052 .xword 1
58053 .xword 5
58054 .xword 14
58055 .xword 5
58056 .xword 10
58057 .xword 7
58058 .xword 12
58059 .xword 12
58060 .xword 12
58061 .xword 11
58062 .xword 5
58063 .xword 9
58064 .xword 13
58065 .xword 12
58066 .xword 5
58067 .xword 13
58068 .xword 6
58069 .xword 9
58070 .xword 14
58071 .xword 3
58072 .xword 6
58073 .xword 14
58074 .xword 10
58075 .xword 2
58076 .xword 9
58077 .xword 11
58078 .xword 6
58079 .xword 4
58080 .xword 3
58081 .xword 14
58082 .xword 0
58083 .xword 3
58084 .xword 15
58085 .xword 1
58086 .xword 1
58087 .xword 12
58088 .xword 3
58089 .xword 4
58090 .xword 15
58091 .xword 9
58092 .xword 13
58093 .xword 10
58094 .xword 3
58095 .xword 6
58096 .xword 4
58097 .xword 10
58098 .xword 1
58099 .xword 13
58100 .xword 3
58101 .xword 15
58102 .xword 8
58103 .xword 11
58104 .xword 10
58105 .xword 12
58106 .xword 5
58107 .xword 5
58108 .xword 6
58109_t1_des_src:
58110 .xword 0x8daeefe9a7de7e27
58111 .xword 0xf8366768d1306214
58112 .xword 0xd89b903dbdc0c459
58113 .xword 0xdecf028317ce0dc7
58114 .xword 0x57b23f0dee8164bc
58115 .xword 0xdb62f14eefbedfb3
58116 .xword 0x7f732460f8b149cd
58117 .xword 0xb83409cab287cbb0
58118 .xword 0xcfba298f927df116
58119 .xword 0xb8accb249b0e3c87
58120 .xword 0x0258528ab7c4e7e2
58121 .xword 0x4886018a789f35e5
58122 .xword 0x3c3dd518f1adc55c
58123 .xword 0xb633180f7004b7f4
58124 .xword 0xfc0be690381874f6
58125 .xword 0x2457dc577bff5334
58126 .xword 0xc3fc039248c4af8f
58127 .xword 0x81a553c6e9eaa14e
58128 .xword 0x5337025194bbf86f
58129 .xword 0xe6ff1b2d2539df8f
58130 .xword 0x75d4e2b8bf169fc2
58131 .xword 0xdcf1ce1183cf9ef7
58132 .xword 0x2a522a8495cb4997
58133 .xword 0x243731e62adc3069
58134 .xword 0xfcef49129232152b
58135 .xword 0x852b108724d2510c
58136 .xword 0x574d83640afbe9a6
58137 .xword 0x7af775a903f41b2a
58138 .xword 0x97ded0c5a7da4611
58139 .xword 0x6f25d052478b06a3
58140 .xword 0x5e89dcd54a74ac64
58141 .xword 0x1ac8156820837760
58142 .xword 0x1c1e00f0a6c31d1c
58143 .xword 0x2d7f4f1f81690d57
58144 .xword 0xa5d4a5977cbd4e3c
58145 .xword 0x397a69e842267101
58146 .xword 0x4c159c8255bcd869
58147 .xword 0xd3837738d45e46dd
58148 .xword 0x37fd3ddbf08a9f76
58149 .xword 0x12205dfb8f3094b0
58150 .xword 0xfdf8bade812eab11
58151 .xword 0x0d5e92952d17e390
58152 .xword 0x93c6b50e437d49e1
58153 .xword 0x38c4f70344d57d1f
58154 .xword 0xa4ae750ac54ba2a0
58155 .xword 0x67d23779b2faf139
58156 .xword 0x5ec0c1f1d4f4f221
58157 .xword 0x69484892fa3d3756
58158 .xword 0xf43d78cf601bb24a
58159 .xword 0xb320f15e454d1f63
58160 .xword 0xb6de70473110969f
58161 .xword 0xa5c2d66cc08d362a
58162 .xword 0xa747ba301721e76b
58163 .xword 0x4b14607476d40e61
58164 .xword 0x38f88b39baa9bfb6
58165 .xword 0xa6cf8b9d59f5f333
58166 .xword 0x379b720dece8e5eb
58167 .xword 0xdc58938c82200d60
58168 .xword 0x87f5ca28098f7341
58169 .xword 0x7147e7aa69b9c376
58170 .xword 0x51e266692f01413c
58171 .xword 0xeff1c46d27db5850
58172 .xword 0x7e45c81b34b4c851
58173 .xword 0xa81bd7c3b5543799
58174 .xword 0x0ccede4d049183b3
58175 .xword 0x1bf0bde6725a0037
58176 .xword 0xb830f9c9a9937753
58177 .xword 0x64e4f0eba234331a
58178 .xword 0x3026c0534e790ef7
58179 .xword 0x8aa9b6b0ff094b45
58180 .xword 0x19a511689547deff
58181 .xword 0x1bb9e0f9d3e05461
58182 .xword 0xc3265706c9a2d532
58183 .xword 0x32dffa7385b4240f
58184 .xword 0x5666b506988714c7
58185 .xword 0x86cd924fb94d1c50
58186 .xword 0x32d08e7cb5eef5cb
58187 .xword 0xc29df61a0d2b44f6
58188 .xword 0xeae504410fa36169
58189 .xword 0xcb0856ce9101bd13
58190 .xword 0x0d7c473a169712d6
58191 .xword 0x61b6584c8c3d7094
58192 .xword 0x3d504bd460b7f2ba
58193 .xword 0x1572d2ef56c0acf6
58194 .xword 0x460133b5993e836c
58195 .xword 0x5d77fcaa561c8004
58196 .xword 0x4a0429be071a37a7
58197 .xword 0x66fd3f2378d34770
58198 .xword 0x3b56c9c7ff2f273f
58199 .xword 0x2603daeaf81ddbb8
58200 .xword 0x23f93bccce7ab3bf
58201 .xword 0x7509147f38b0456e
58202 .xword 0x96192783d3b1a8d3
58203 .xword 0x5a30b07d140876e9
58204 .xword 0x250497f95c46dbbc
58205 .xword 0x463ce8a0011a999f
58206 .xword 0xdf0da46ac6c40959
58207 .xword 0x1d3e120c073bad62
58208 .xword 0xa22464a3826b4be7
58209 .xword 0xe701e961b6e109f2
58210 .xword 0x04f95cdb0b6289c5
58211 .xword 0xeea48360f8b9aebd
58212 .xword 0x3dbb274999167302
58213 .xword 0xbd04f2d6d7e45a55
58214 .xword 0xd80751339b837d94
58215 .xword 0xaad25eec39bc3bb5
58216 .xword 0x65cec45f8ca61f32
58217 .xword 0x4495018bad3624b8
58218 .xword 0xbb63e3e115c3941d
58219 .xword 0xc1e5997998bb79dc
58220 .xword 0x2c214fdc9e25dacf
58221 .xword 0x0212229da53fc555
58222 .xword 0x7d35ab5138cc5f0f
58223 .xword 0xb27a6dc3ab22c782
58224 .xword 0x39ae3d8bfa64ed82
58225 .xword 0xc9342fb0fed38235
58226 .xword 0xfb54b338decd4372
58227 .xword 0x8ff5aedcdcfbf387
58228 .xword 0x43cbf751237923f9
58229 .xword 0x51b5ceba89cc9456
58230 .xword 0x7f36e9517ed1c90c
58231 .xword 0x16956e67003615f4
58232 .xword 0xe44afefa970fb716
58233 .xword 0xc0aed90565065e5e
58234 .xword 0x4e051e1951d05439
58235 .xword 0xab8184dd38bd825b
58236 .xword 0x14635946e57b4c48
58237 .xword 0x63fee6f3ed08a80c
58238 .xword 0x4755b06a4081c446
58239 .xword 0x9ac6480c2cd336f8
58240 .xword 0xe8c3e2485a900015
58241 .xword 0x35bd964efe5b9792
58242 .xword 0x0bf734f07383f47d
58243 .xword 0x95e4a7370f7ab305
58244 .xword 0x16167ad03867e2b2
58245 .xword 0xfbef0dd5b4a7532f
58246 .xword 0xd9af65cf0d94848d
58247 .xword 0x90a25cb788b86f71
58248 .xword 0x3ab2ce6c4bcbdefe
58249 .xword 0x59991b792e83cb82
58250 .xword 0xd5b9924c1b7b9c18
58251 .xword 0xe9428d75022270c6
58252 .xword 0x20d1bfd6ba76259d
58253 .xword 0x2ae6383831b2862f
58254 .xword 0xf2c3d36751643cc7
58255 .xword 0xcf62a95f97e4cd7c
58256 .xword 0x65fc7939320d5a8b
58257 .xword 0xea5be61b9c2ae4cc
58258 .xword 0x18fdb317a571564e
58259 .xword 0x5b432b8511225cb6
58260 .xword 0xc9d93799ed0ecff6
58261 .xword 0x0ddf13f8f8993eb3
58262 .xword 0xd10d0c5ce4afb93b
58263 .xword 0x3049b91634f225d9
58264 .xword 0x6d549f8922d304a4
58265 .xword 0x8684787708a9c9c2
58266 .xword 0x3821961b2aee25be
58267 .xword 0x2ede52f52ec808b0
58268 .xword 0x34a7f692150d948d
58269 .xword 0x2029f8c2c8854300
58270 .xword 0x89713b909da39d92
58271 .xword 0xcbb27f56fb2e2179
58272 .xword 0x9da15679523160d3
58273 .xword 0x532e7022ae9fa3c6
58274 .xword 0xe9b16a4483d0b54e
58275 .xword 0xa9edf863aef48088
58276 .xword 0x99297b24a0922e0d
58277 .xword 0xdda7cc8ce36f3a2b
58278 .xword 0xe31c7c0109b39d16
58279 .xword 0xd221774d1cdb9824
58280 .xword 0x1556405c28b2c349
58281 .xword 0xc3395b59af011fa6
58282 .xword 0x798cc859bd0678c6
58283 .xword 0xeb78c99aecca6169
58284 .xword 0x1b62708960ad53c2
58285 .xword 0x6c35c87d10303fa8
58286 .xword 0x09906f6d21274ce3
58287 .xword 0x1f66562208eb4c97
58288 .xword 0xff0eb1c0df522bba
58289 .xword 0x378ea0d12d641956
58290 .xword 0xed34e67a0090462d
58291 .xword 0xbe3342e98c2803e8
58292 .xword 0x97ec359653e85fc4
58293 .xword 0x27b20dec26bff206
58294 .xword 0xc9811c2b75769580
58295 .xword 0x6ec1975a8e5b5a17
58296 .xword 0x8b5087425a3bf0be
58297 .xword 0xdef703ebb974aeb2
58298 .xword 0x2003222d854572e1
58299 .xword 0x8d9f3997b93dccf0
58300 .xword 0xae74fc55705aea71
58301 .xword 0xf4ee10cc51ab9f7d
58302 .xword 0x901aaa6c2371e016
58303 .xword 0xbdc003788459ae17
58304 .xword 0x331ec492509409c6
58305 .xword 0xf1e773e9ba06ee5a
58306 .xword 0x7262a63328a37e44
58307 .xword 0xf3e2158a2cb5dcc9
58308 .xword 0x033a5c31a0d86d82
58309 .xword 0x04fa4cdf1449560c
58310 .xword 0x29de075589b78838
58311 .xword 0xf9020d169f22c818
58312 .xword 0x587684dc2aee1771
58313 .xword 0xe0508a487cdbe107
58314 .xword 0x6b12a835f4400c2b
58315 .xword 0xe226557a0f2d0678
58316 .xword 0xf4b82a27dd249efa
58317 .xword 0xe975c1a59252be8c
58318 .xword 0xdb3a72f940ecaf64
58319 .xword 0xfef6b04a39e7b861
58320 .xword 0xf23218ba18fd424d
58321 .xword 0x69c76d6dd6401fad
58322 .xword 0x705ca4382fb84327
58323 .xword 0xebd21085993565db
58324 .xword 0xd3ab1b567fcb2388
58325 .xword 0x76f39f1f9c9c5d75
58326 .xword 0x9cc2c23ba0f5dce1
58327 .xword 0x7db9a64be8d25f7a
58328 .xword 0xd96da9db3bdfcfaa
58329 .xword 0xfb0f51e1779d9a49
58330 .xword 0xd1d58db0dcf39d6d
58331 .xword 0xa1761d2bb40496a4
58332 .xword 0x6b2f4dbbc931f1d6
58333 .xword 0x5e2f22689ce3bf95
58334 .xword 0x54d38c308a4927c2
58335 .xword 0x50704c780389232d
58336 .xword 0xdef15de961c6a7ff
58337 .xword 0x261df23256cd8f4a
58338 .xword 0xca421e0a38363359
58339 .xword 0x29b6fc8ace7d4990
58340 .xword 0xbd8d8c41ff7cfd0c
58341 .xword 0xe02f0e45011b6f64
58342 .xword 0x9b1658d310613fb8
58343 .xword 0xfa371d936681dbe2
58344 .xword 0x7cc63f73aaba4288
58345 .xword 0xe510104e28f5fd2f
58346 .xword 0xfbb5ea2439ffb843
58347 .xword 0x8ae413a25062bcf2
58348 .xword 0x30053b62adc22c33
58349 .xword 0x73d3ae7c0ed5a31e
58350 .xword 0x990000ac41063696
58351 .xword 0x6ec4920be73dc5d2
58352 .xword 0x17171aec630e24ac
58353 .xword 0x104b836f5896b684
58354 .xword 0xab8147d0b5dff5e9
58355 .xword 0xc61ce7f03025e899
58356 .xword 0x7452d0dbfe042357
58357 .xword 0x0fb431357bc9e041
58358 .xword 0xeae0a95bc7b393ee
58359 .xword 0x46497faafb0ac81e
58360 .xword 0x204ca2e551f815c0
58361 .xword 0xf908bb731e10d84b
58362 .xword 0xeb5ecb04db77243f
58363 .xword 0x244d68bd02481b9d
58364 .xword 0x698af5cbcf2b6991
58365 .xword 0x17cb121857592f9a
58366 .xword 0x8ca1744ee754e77a
58367 .xword 0x3888a5d829a2cdd7
58368 .xword 0xadb1ecb6503f3578
58369 .xword 0x7a7e933b1e0c8e36
58370 .xword 0xf0be4d635d06104e
58371 .xword 0x9c04226adc50bc17
58372 .xword 0x644a72acf3f1e4f8
58373 .xword 0x4b60e459e75cfd4c
58374 .xword 0x28272291da929bff
58375 .xword 0xce17bfce22252cd3
58376 .xword 0xcb4534a73aea9bc5
58377 .xword 0xe9d6cca7ef570376
58378 .xword 0x3cde7f838ab49cbe
58379 .xword 0x6155560755a5a8fb
58380 .xword 0x72f33ee10ed6d27b
58381 .xword 0xd5081cb63c3d6d58
58382 .xword 0xfca68fce61a6f5b9
58383 .xword 0x9632f478df4c7d0f
58384 .xword 0x03d6861aa57e4a65
58385_t1_des_dest:
58386 .xword 0xDEADBEEFDEADBEEF
58387 .xword 0xDEADBEEFDEADBEEF
58388 .xword 0xDEADBEEFDEADBEEF
58389 .xword 0xDEADBEEFDEADBEEF
58390 .xword 0xDEADBEEFDEADBEEF
58391 .xword 0xDEADBEEFDEADBEEF
58392 .xword 0xDEADBEEFDEADBEEF
58393 .xword 0xDEADBEEFDEADBEEF
58394 .xword 0xDEADBEEFDEADBEEF
58395 .xword 0xDEADBEEFDEADBEEF
58396 .xword 0xDEADBEEFDEADBEEF
58397 .xword 0xDEADBEEFDEADBEEF
58398 .xword 0xDEADBEEFDEADBEEF
58399 .xword 0xDEADBEEFDEADBEEF
58400 .xword 0xDEADBEEFDEADBEEF
58401 .xword 0xDEADBEEFDEADBEEF
58402 .xword 0xDEADBEEFDEADBEEF
58403 .xword 0xDEADBEEFDEADBEEF
58404 .xword 0xDEADBEEFDEADBEEF
58405 .xword 0xDEADBEEFDEADBEEF
58406 .xword 0xDEADBEEFDEADBEEF
58407 .xword 0xDEADBEEFDEADBEEF
58408 .xword 0xDEADBEEFDEADBEEF
58409 .xword 0xDEADBEEFDEADBEEF
58410 .xword 0xDEADBEEFDEADBEEF
58411 .xword 0xDEADBEEFDEADBEEF
58412 .xword 0xDEADBEEFDEADBEEF
58413 .xword 0xDEADBEEFDEADBEEF
58414 .xword 0xDEADBEEFDEADBEEF
58415 .xword 0xDEADBEEFDEADBEEF
58416 .xword 0xDEADBEEFDEADBEEF
58417 .xword 0xDEADBEEFDEADBEEF
58418 .xword 0xDEADBEEFDEADBEEF
58419 .xword 0xDEADBEEFDEADBEEF
58420 .xword 0xDEADBEEFDEADBEEF
58421 .xword 0xDEADBEEFDEADBEEF
58422 .xword 0xDEADBEEFDEADBEEF
58423 .xword 0xDEADBEEFDEADBEEF
58424 .xword 0xDEADBEEFDEADBEEF
58425 .xword 0xDEADBEEFDEADBEEF
58426 .xword 0xDEADBEEFDEADBEEF
58427 .xword 0xDEADBEEFDEADBEEF
58428 .xword 0xDEADBEEFDEADBEEF
58429 .xword 0xDEADBEEFDEADBEEF
58430 .xword 0xDEADBEEFDEADBEEF
58431 .xword 0xDEADBEEFDEADBEEF
58432 .xword 0xDEADBEEFDEADBEEF
58433 .xword 0xDEADBEEFDEADBEEF
58434 .xword 0xDEADBEEFDEADBEEF
58435 .xword 0xDEADBEEFDEADBEEF
58436 .xword 0xDEADBEEFDEADBEEF
58437 .xword 0xDEADBEEFDEADBEEF
58438 .xword 0xDEADBEEFDEADBEEF
58439 .xword 0xDEADBEEFDEADBEEF
58440 .xword 0xDEADBEEFDEADBEEF
58441 .xword 0xDEADBEEFDEADBEEF
58442 .xword 0xDEADBEEFDEADBEEF
58443 .xword 0xDEADBEEFDEADBEEF
58444 .xword 0xDEADBEEFDEADBEEF
58445 .xword 0xDEADBEEFDEADBEEF
58446 .xword 0xDEADBEEFDEADBEEF
58447 .xword 0xDEADBEEFDEADBEEF
58448 .xword 0xDEADBEEFDEADBEEF
58449 .xword 0xDEADBEEFDEADBEEF
58450 .xword 0xDEADBEEFDEADBEEF
58451 .xword 0xDEADBEEFDEADBEEF
58452 .xword 0xDEADBEEFDEADBEEF
58453 .xword 0xDEADBEEFDEADBEEF
58454 .xword 0xDEADBEEFDEADBEEF
58455 .xword 0xDEADBEEFDEADBEEF
58456 .xword 0xDEADBEEFDEADBEEF
58457 .xword 0xDEADBEEFDEADBEEF
58458 .xword 0xDEADBEEFDEADBEEF
58459 .xword 0xDEADBEEFDEADBEEF
58460 .xword 0xDEADBEEFDEADBEEF
58461 .xword 0xDEADBEEFDEADBEEF
58462 .xword 0xDEADBEEFDEADBEEF
58463 .xword 0xDEADBEEFDEADBEEF
58464 .xword 0xDEADBEEFDEADBEEF
58465 .xword 0xDEADBEEFDEADBEEF
58466 .xword 0xDEADBEEFDEADBEEF
58467 .xword 0xDEADBEEFDEADBEEF
58468 .xword 0xDEADBEEFDEADBEEF
58469 .xword 0xDEADBEEFDEADBEEF
58470 .xword 0xDEADBEEFDEADBEEF
58471 .xword 0xDEADBEEFDEADBEEF
58472 .xword 0xDEADBEEFDEADBEEF
58473 .xword 0xDEADBEEFDEADBEEF
58474 .xword 0xDEADBEEFDEADBEEF
58475 .xword 0xDEADBEEFDEADBEEF
58476 .xword 0xDEADBEEFDEADBEEF
58477 .xword 0xDEADBEEFDEADBEEF
58478 .xword 0xDEADBEEFDEADBEEF
58479 .xword 0xDEADBEEFDEADBEEF
58480 .xword 0xDEADBEEFDEADBEEF
58481 .xword 0xDEADBEEFDEADBEEF
58482 .xword 0xDEADBEEFDEADBEEF
58483 .xword 0xDEADBEEFDEADBEEF
58484 .xword 0xDEADBEEFDEADBEEF
58485 .xword 0xDEADBEEFDEADBEEF
58486 .xword 0xDEADBEEFDEADBEEF
58487 .xword 0xDEADBEEFDEADBEEF
58488 .xword 0xDEADBEEFDEADBEEF
58489 .xword 0xDEADBEEFDEADBEEF
58490 .xword 0xDEADBEEFDEADBEEF
58491 .xword 0xDEADBEEFDEADBEEF
58492 .xword 0xDEADBEEFDEADBEEF
58493 .xword 0xDEADBEEFDEADBEEF
58494 .xword 0xDEADBEEFDEADBEEF
58495 .xword 0xDEADBEEFDEADBEEF
58496 .xword 0xDEADBEEFDEADBEEF
58497 .xword 0xDEADBEEFDEADBEEF
58498 .xword 0xDEADBEEFDEADBEEF
58499 .xword 0xDEADBEEFDEADBEEF
58500 .xword 0xDEADBEEFDEADBEEF
58501 .xword 0xDEADBEEFDEADBEEF
58502 .xword 0xDEADBEEFDEADBEEF
58503 .xword 0xDEADBEEFDEADBEEF
58504 .xword 0xDEADBEEFDEADBEEF
58505 .xword 0xDEADBEEFDEADBEEF
58506 .xword 0xDEADBEEFDEADBEEF
58507 .xword 0xDEADBEEFDEADBEEF
58508 .xword 0xDEADBEEFDEADBEEF
58509 .xword 0xDEADBEEFDEADBEEF
58510 .xword 0xDEADBEEFDEADBEEF
58511 .xword 0xDEADBEEFDEADBEEF
58512 .xword 0xDEADBEEFDEADBEEF
58513 .xword 0xDEADBEEFDEADBEEF
58514 .xword 0xDEADBEEFDEADBEEF
58515 .xword 0xDEADBEEFDEADBEEF
58516 .xword 0xDEADBEEFDEADBEEF
58517 .xword 0xDEADBEEFDEADBEEF
58518 .xword 0xDEADBEEFDEADBEEF
58519 .xword 0xDEADBEEFDEADBEEF
58520 .xword 0xDEADBEEFDEADBEEF
58521 .xword 0xDEADBEEFDEADBEEF
58522 .xword 0xDEADBEEFDEADBEEF
58523 .xword 0xDEADBEEFDEADBEEF
58524 .xword 0xDEADBEEFDEADBEEF
58525 .xword 0xDEADBEEFDEADBEEF
58526 .xword 0xDEADBEEFDEADBEEF
58527 .xword 0xDEADBEEFDEADBEEF
58528 .xword 0xDEADBEEFDEADBEEF
58529 .xword 0xDEADBEEFDEADBEEF
58530 .xword 0xDEADBEEFDEADBEEF
58531 .xword 0xDEADBEEFDEADBEEF
58532 .xword 0xDEADBEEFDEADBEEF
58533 .xword 0xDEADBEEFDEADBEEF
58534 .xword 0xDEADBEEFDEADBEEF
58535 .xword 0xDEADBEEFDEADBEEF
58536 .xword 0xDEADBEEFDEADBEEF
58537 .xword 0xDEADBEEFDEADBEEF
58538 .xword 0xDEADBEEFDEADBEEF
58539 .xword 0xDEADBEEFDEADBEEF
58540 .xword 0xDEADBEEFDEADBEEF
58541 .xword 0xDEADBEEFDEADBEEF
58542 .xword 0xDEADBEEFDEADBEEF
58543 .xword 0xDEADBEEFDEADBEEF
58544 .xword 0xDEADBEEFDEADBEEF
58545 .xword 0xDEADBEEFDEADBEEF
58546 .xword 0xDEADBEEFDEADBEEF
58547 .xword 0xDEADBEEFDEADBEEF
58548 .xword 0xDEADBEEFDEADBEEF
58549 .xword 0xDEADBEEFDEADBEEF
58550 .xword 0xDEADBEEFDEADBEEF
58551 .xword 0xDEADBEEFDEADBEEF
58552 .xword 0xDEADBEEFDEADBEEF
58553 .xword 0xDEADBEEFDEADBEEF
58554 .xword 0xDEADBEEFDEADBEEF
58555 .xword 0xDEADBEEFDEADBEEF
58556 .xword 0xDEADBEEFDEADBEEF
58557 .xword 0xDEADBEEFDEADBEEF
58558 .xword 0xDEADBEEFDEADBEEF
58559 .xword 0xDEADBEEFDEADBEEF
58560 .xword 0xDEADBEEFDEADBEEF
58561 .xword 0xDEADBEEFDEADBEEF
58562 .xword 0xDEADBEEFDEADBEEF
58563 .xword 0xDEADBEEFDEADBEEF
58564 .xword 0xDEADBEEFDEADBEEF
58565 .xword 0xDEADBEEFDEADBEEF
58566 .xword 0xDEADBEEFDEADBEEF
58567 .xword 0xDEADBEEFDEADBEEF
58568 .xword 0xDEADBEEFDEADBEEF
58569 .xword 0xDEADBEEFDEADBEEF
58570 .xword 0xDEADBEEFDEADBEEF
58571 .xword 0xDEADBEEFDEADBEEF
58572 .xword 0xDEADBEEFDEADBEEF
58573 .xword 0xDEADBEEFDEADBEEF
58574 .xword 0xDEADBEEFDEADBEEF
58575 .xword 0xDEADBEEFDEADBEEF
58576 .xword 0xDEADBEEFDEADBEEF
58577 .xword 0xDEADBEEFDEADBEEF
58578 .xword 0xDEADBEEFDEADBEEF
58579 .xword 0xDEADBEEFDEADBEEF
58580 .xword 0xDEADBEEFDEADBEEF
58581 .xword 0xDEADBEEFDEADBEEF
58582 .xword 0xDEADBEEFDEADBEEF
58583 .xword 0xDEADBEEFDEADBEEF
58584 .xword 0xDEADBEEFDEADBEEF
58585 .xword 0xDEADBEEFDEADBEEF
58586 .xword 0xDEADBEEFDEADBEEF
58587 .xword 0xDEADBEEFDEADBEEF
58588 .xword 0xDEADBEEFDEADBEEF
58589 .xword 0xDEADBEEFDEADBEEF
58590 .xword 0xDEADBEEFDEADBEEF
58591 .xword 0xDEADBEEFDEADBEEF
58592 .xword 0xDEADBEEFDEADBEEF
58593 .xword 0xDEADBEEFDEADBEEF
58594 .xword 0xDEADBEEFDEADBEEF
58595 .xword 0xDEADBEEFDEADBEEF
58596 .xword 0xDEADBEEFDEADBEEF
58597 .xword 0xDEADBEEFDEADBEEF
58598 .xword 0xDEADBEEFDEADBEEF
58599 .xword 0xDEADBEEFDEADBEEF
58600 .xword 0xDEADBEEFDEADBEEF
58601 .xword 0xDEADBEEFDEADBEEF
58602 .xword 0xDEADBEEFDEADBEEF
58603 .xword 0xDEADBEEFDEADBEEF
58604 .xword 0xDEADBEEFDEADBEEF
58605 .xword 0xDEADBEEFDEADBEEF
58606 .xword 0xDEADBEEFDEADBEEF
58607 .xword 0xDEADBEEFDEADBEEF
58608 .xword 0xDEADBEEFDEADBEEF
58609 .xword 0xDEADBEEFDEADBEEF
58610 .xword 0xDEADBEEFDEADBEEF
58611 .xword 0xDEADBEEFDEADBEEF
58612 .xword 0xDEADBEEFDEADBEEF
58613 .xword 0xDEADBEEFDEADBEEF
58614 .xword 0xDEADBEEFDEADBEEF
58615 .xword 0xDEADBEEFDEADBEEF
58616 .xword 0xDEADBEEFDEADBEEF
58617 .xword 0xDEADBEEFDEADBEEF
58618 .xword 0xDEADBEEFDEADBEEF
58619 .xword 0xDEADBEEFDEADBEEF
58620 .xword 0xDEADBEEFDEADBEEF
58621 .xword 0xDEADBEEFDEADBEEF
58622 .xword 0xDEADBEEFDEADBEEF
58623 .xword 0xDEADBEEFDEADBEEF
58624 .xword 0xDEADBEEFDEADBEEF
58625 .xword 0xDEADBEEFDEADBEEF
58626 .xword 0xDEADBEEFDEADBEEF
58627 .xword 0xDEADBEEFDEADBEEF
58628 .xword 0xDEADBEEFDEADBEEF
58629 .xword 0xDEADBEEFDEADBEEF
58630 .xword 0xDEADBEEFDEADBEEF
58631 .xword 0xDEADBEEFDEADBEEF
58632 .xword 0xDEADBEEFDEADBEEF
58633 .xword 0xDEADBEEFDEADBEEF
58634 .xword 0xDEADBEEFDEADBEEF
58635 .xword 0xDEADBEEFDEADBEEF
58636 .xword 0xDEADBEEFDEADBEEF
58637 .xword 0xDEADBEEFDEADBEEF
58638 .xword 0xDEADBEEFDEADBEEF
58639 .xword 0xDEADBEEFDEADBEEF
58640 .xword 0xDEADBEEFDEADBEEF
58641 .xword 0xDEADBEEFDEADBEEF
58642 .xword 0xDEADBEEFDEADBEEF
58643 .xword 0xDEADBEEFDEADBEEF
58644 .xword 0xDEADBEEFDEADBEEF
58645 .xword 0xDEADBEEFDEADBEEF
58646 .xword 0xDEADBEEFDEADBEEF
58647 .xword 0xDEADBEEFDEADBEEF
58648 .xword 0xDEADBEEFDEADBEEF
58649 .xword 0xDEADBEEFDEADBEEF
58650 .xword 0xDEADBEEFDEADBEEF
58651 .xword 0xDEADBEEFDEADBEEF
58652 .xword 0xDEADBEEFDEADBEEF
58653 .xword 0xDEADBEEFDEADBEEF
58654 .xword 0xDEADBEEFDEADBEEF
58655 .xword 0xDEADBEEFDEADBEEF
58656 .xword 0xDEADBEEFDEADBEEF
58657 .xword 0xDEADBEEFDEADBEEF
58658 .xword 0xDEADBEEFDEADBEEF
58659 .xword 0xDEADBEEFDEADBEEF
58660 .xword 0xDEADBEEFDEADBEEF
58661_t1_des_auth_key:
58662 .xword 0xcfabfdb6b4f0f05c
58663 .xword 0x6e0e926beaa27e3a
58664 .xword 0x68df4e64a334cb43
58665 .xword 0xe719651282a9185e
58666 .xword 0x30801354a261f168
58667 .xword 0xa7653fcfea606a9f
58668 .xword 0xa2b7772fe035e92f
58669 .xword 0x7e01c389f24b42cc
58670 .xword 0xd7ac1632421be060
58671 .xword 0x700a364cc0917eb3
58672 .xword 0x2248a51d6e39b1cb
58673 .xword 0x139cf195e8291e4b
58674 .xword 0xf7b6afb981f30064
58675 .xword 0x31b866978447f24b
58676 .xword 0xf95790ceb51ed56e
58677 .xword 0xbfd0364c5e9505f1
58678 .xword 0xc4974654a248b593
58679 .xword 0x3f051405fb116afc
58680 .xword 0xb69442ea31f7e0f2
58681 .xword 0x4455267187348758
58682 .xword 0x6067621566e5d76d
58683 .xword 0x7fd304dfe0f82f4b
58684 .xword 0xa6572d2f87e1b28a
58685_t1_des_auth_iv:
58686 .xword 0xbeb48467abb33460
58687 .xword 0x077e391aa8f5858b
58688 .xword 0x65e6fff67ce1b190
58689 .xword 0x6ef55947f26ab808
58690 .xword 0xd876e685800cc8a2
58691 .xword 0x5659428a07d2a6be
58692 .xword 0x64f1d555627b6ff8
58693 .xword 0x917ba107ee34c729
58694 .xword 0xa651f6f0efe55c79
58695 .xword 0xf1bc04a17e61961b
58696 .xword 0x59f0d85bb6f6c50a
58697 .xword 0x4c911defa3719989
58698 .xword 0xe367ded540d7b2f2
58699 .xword 0x06b37d217e91d0b0
58700 .xword 0x353e9e80df79ac67
58701 .xword 0x045f12d9317a1972
58702 .xword 0xe647041fc18e445e
58703 .xword 0x5e7638c5a17fa419
58704 .xword 0x36569ab4c58a06ee
58705 .xword 0xc28e1fc71f5013b0
58706 .xword 0x64f85f3b4a7390c0
58707 .xword 0xed60bc3d44753e48
58708 .xword 0xb33b44873b61f37f
58709_t1_des_fas_result:
58710 .xword 0xDEADBEEFDEADBEEF
58711 .xword 0xDEADBEEFDEADBEEF
58712 .xword 0xDEADBEEFDEADBEEF
58713 .xword 0xDEADBEEFDEADBEEF
58714 .xword 0xDEADBEEFDEADBEEF
58715 .xword 0xDEADBEEFDEADBEEF
58716 .xword 0xDEADBEEFDEADBEEF
58717 .xword 0xDEADBEEFDEADBEEF
58718 .xword 0xDEADBEEFDEADBEEF
58719 .xword 0xDEADBEEFDEADBEEF
58720 .xword 0xDEADBEEFDEADBEEF
58721 .xword 0xDEADBEEFDEADBEEF
58722 .xword 0xDEADBEEFDEADBEEF
58723 .xword 0xDEADBEEFDEADBEEF
58724 .xword 0xDEADBEEFDEADBEEF
58725 .xword 0xDEADBEEFDEADBEEF
58726 .xword 0xDEADBEEFDEADBEEF
58727 .xword 0xDEADBEEFDEADBEEF
58728 .xword 0xDEADBEEFDEADBEEF
58729 .xword 0xDEADBEEFDEADBEEF
58730 .xword 0xDEADBEEFDEADBEEF
58731 .xword 0xDEADBEEFDEADBEEF
58732 .xword 0xDEADBEEFDEADBEEF
58733_t1_copy_key_array:
58734 .xword 0x2bd91d0a0efa8ddf
58735 .xword 0x275a184d38a9eb61
58736 .xword 0xef2a5bf971b5c860
58737 .xword 0x0372ddb70c03f09d
58738 .xword 0x3c74c294a7dd4095
58739 .xword 0xc3881846d512f676
58740 .xword 0x05cfacee9870a7e9
58741 .xword 0xb31bf9780fc6bf16
58742 .xword 0x38ff438cec7c957f
58743 .xword 0x5b2190b949d8d5c6
58744 .xword 0x6d80b22a1e4ee0c3
58745 .xword 0x02b5cf64820b4c67
58746 .xword 0xc144f99dc92d78fc
58747 .xword 0x36c80687677d1299
58748 .xword 0x81e9b1e8e0a472fa
58749 .xword 0x653fedf39476b82a
58750 .xword 0xd21d852bb80a100e
58751 .xword 0x889dfd3b46fba72f
58752 .xword 0x47d2006d24545f74
58753 .xword 0x9353adc8b3a33c82
58754 .xword 0xd8c38b8e78882700
58755 .xword 0x3d0b64a8e969f846
58756 .xword 0xd5406639e62f36f7
58757 .xword 0xccf963900da4f100
58758 .xword 0x2db6e5902e58d6dd
58759 .xword 0x2d883daaef072ee6
58760 .xword 0x398484a75587b488
58761 .xword 0x5f57d3af5acb6d12
58762 .xword 0x098c6118d45738e5
58763 .xword 0x8ccf05892cab8742
58764 .xword 0x21d3a0f592db5c0d
58765 .xword 0xf3f09ce8d9d1bb62
58766 .xword 0xf0ddaabbf1ac12eb
58767 .xword 0xcbbfa11f2c390411
58768 .xword 0x782202d2d48a2484
58769 .xword 0x558ebe6d7aadcd4e
58770 .xword 0xe24bf84f63d96317
58771 .xword 0x49511b107dadd17b
58772 .xword 0xaa7a5f7231e61baa
58773 .xword 0x376a874589ce58cb
58774 .xword 0x73ebbb8de4608ce2
58775 .xword 0x2ac6acf8d8ea9258
58776 .xword 0xe9a587859f664b6d
58777 .xword 0x153c759e853dca4f
58778 .xword 0xaead47ca625d833a
58779 .xword 0x12d57acfa330aa91
58780 .xword 0x419d88ff381d727e
58781 .xword 0x2716bc00007d7994
58782 .xword 0xfc9eb0f142b0df84
58783 .xword 0xc5fecd4c7f10feec
58784 .xword 0x39103ce06737027c
58785_t1_copy_iv_array:
58786 .xword 0x824c11bb6ed21288
58787 .xword 0x563b6b6fdfdb19de
58788 .xword 0x46f5f12cf601328a
58789 .xword 0xd2fe26715c69d5c6
58790 .xword 0xac41c3cc65fcfa80
58791 .xword 0x8be92d6180082e09
58792 .xword 0xabf6dfae2054b72f
58793 .xword 0x901300fd956abd18
58794 .xword 0xdb012ba5e2887d65
58795 .xword 0xa8081a02eea73126
58796 .xword 0x081e4d7aa66d8e03
58797 .xword 0xcb42cf817abb2539
58798 .xword 0x5e12a0afae2821f2
58799 .xword 0x73b703aef41b5177
58800 .xword 0x73bda99f28b2ea4a
58801 .xword 0xfe7ad84d50e7336e
58802 .xword 0xf0a6719d8e210a87
58803 .xword 0xd989def627a91931
58804 .xword 0x8e2d3fa982bc75ed
58805 .xword 0x2725e552bd4c8284
58806 .xword 0xf0be5a8dfc72a197
58807 .xword 0x21c7e095abdfcedb
58808 .xword 0xf9d24330ac802201
58809 .xword 0x2c11a8af18fbc234
58810 .xword 0x4ee72bc608926ef5
58811 .xword 0x9c3955f03f2b0e9f
58812 .xword 0x826587216226b7f7
58813 .xword 0x78976a639b6100e5
58814 .xword 0x776effea894050b9
58815 .xword 0xac5c30fc68ee942c
58816 .xword 0xfba57ea3408d441d
58817 .xword 0xcbafc7b3917d37b4
58818 .xword 0xca062d500257d82d
58819 .xword 0x29b0e3b744b5159f
58820 .xword 0x2b99828c84abdeb6
58821 .xword 0x49a8cc7cc36f97ff
58822 .xword 0xc46fbd94af18d039
58823 .xword 0x213a4111919eddfa
58824 .xword 0x2167a8339bb9f5c7
58825 .xword 0x2df047bed959d7ec
58826 .xword 0xd10add28ee7d8e2b
58827 .xword 0xc8f8c22be60c6b52
58828 .xword 0xaab0516d5f85a33a
58829 .xword 0x39d3111995a26c64
58830 .xword 0x5e3df8c3f8a03153
58831_t1_copy_alignment_array:
58832 .xword 11
58833 .xword 9
58834 .xword 6
58835 .xword 4
58836 .xword 10
58837 .xword 5
58838 .xword 14
58839 .xword 3
58840 .xword 9
58841 .xword 11
58842 .xword 7
58843 .xword 1
58844 .xword 14
58845 .xword 3
58846 .xword 10
58847 .xword 4
58848 .xword 11
58849 .xword 2
58850 .xword 8
58851 .xword 3
58852 .xword 6
58853 .xword 1
58854 .xword 2
58855 .xword 4
58856 .xword 14
58857 .xword 14
58858 .xword 5
58859 .xword 13
58860 .xword 3
58861 .xword 7
58862 .xword 0
58863 .xword 7
58864 .xword 13
58865 .xword 3
58866 .xword 5
58867 .xword 12
58868 .xword 7
58869 .xword 7
58870 .xword 15
58871 .xword 14
58872 .xword 14
58873 .xword 2
58874 .xword 13
58875 .xword 0
58876 .xword 6
58877 .xword 5
58878 .xword 9
58879 .xword 14
58880 .xword 9
58881 .xword 14
58882 .xword 5
58883 .xword 2
58884 .xword 12
58885 .xword 2
58886 .xword 3
58887 .xword 8
58888 .xword 11
58889 .xword 12
58890 .xword 4
58891 .xword 6
58892 .xword 0
58893 .xword 5
58894 .xword 0
58895 .xword 5
58896 .xword 7
58897 .xword 4
58898 .xword 12
58899 .xword 3
58900 .xword 10
58901 .xword 0
58902 .xword 5
58903 .xword 4
58904 .xword 10
58905 .xword 15
58906 .xword 14
58907 .xword 4
58908 .xword 10
58909 .xword 3
58910 .xword 6
58911 .xword 2
58912 .xword 8
58913 .xword 5
58914 .xword 7
58915 .xword 7
58916 .xword 5
58917 .xword 1
58918 .xword 2
58919 .xword 8
58920 .xword 15
58921 .xword 0
58922 .xword 13
58923 .xword 8
58924 .xword 1
58925 .xword 1
58926 .xword 12
58927 .xword 9
58928 .xword 12
58929 .xword 8
58930 .xword 3
58931 .xword 11
58932 .xword 4
58933 .xword 1
58934 .xword 13
58935 .xword 9
58936 .xword 15
58937_t1_copy_src:
58938 .xword 0xc24003f7c1fcd302
58939 .xword 0xed81366a8e06e5f4
58940 .xword 0x8721a51907fe429f
58941 .xword 0xf1d857ad73222480
58942 .xword 0x8db1b72a4ac5e6d1
58943 .xword 0x2a309b2472f03e63
58944 .xword 0x29e4c0be9c39f6c5
58945 .xword 0x2177d8a45e0d9bc5
58946 .xword 0x8e639811d96ae75b
58947 .xword 0x3bfbdb81fcd5ac89
58948 .xword 0x15e17cf3a668d4ee
58949 .xword 0x2b40a1a173c644aa
58950 .xword 0x28d845ae1f75343f
58951 .xword 0xd2a50a6d37f7eaa2
58952 .xword 0x37e160883431cbda
58953 .xword 0x7ed26eb0fa3c9792
58954 .xword 0xc8bf1baa1b55773d
58955 .xword 0x0e1a17a22a3d78a2
58956 .xword 0x9243c0b3cd9f476d
58957 .xword 0xc59646abb1ee400c
58958 .xword 0x87256f7511c3ece3
58959 .xword 0x2c758ce515fcec31
58960 .xword 0x06ae99aad2a5e944
58961 .xword 0xe0208aa48e46205e
58962 .xword 0x84642839aa060f85
58963 .xword 0xfe6a0bc4221dc188
58964 .xword 0xfd2772103eaad2cb
58965 .xword 0xe0b97a673311786c
58966 .xword 0x5402cf5065ab391f
58967 .xword 0xc8abf3bfbf5f1c77
58968 .xword 0xc65f665fe46532c3
58969 .xword 0x702d8d9ffa95db9e
58970 .xword 0xa23200f3bcdc55b4
58971 .xword 0x56da2925b54cb962
58972 .xword 0xcbda40a55f15325f
58973 .xword 0xc9f896754943fe3f
58974 .xword 0x9d049b365898da3b
58975 .xword 0xa366da198d7c0da0
58976 .xword 0x647f076b77bae388
58977 .xword 0xccf16e8a87ed1c1c
58978 .xword 0x210070f983956fd4
58979 .xword 0xf5db3a6997ebd84a
58980 .xword 0x60c4192bc74fbab9
58981 .xword 0x09fbb6ba1f7f5301
58982 .xword 0x62fb0d6d3ce53e41
58983 .xword 0x7e7bbe9ce4c01ed8
58984 .xword 0x233a34c1e0de0a90
58985 .xword 0xfd1b67d98e5cb7a4
58986 .xword 0x8783348f235fc638
58987 .xword 0x8c4a5f198fa488bc
58988 .xword 0x9777ad08910c932c
58989 .xword 0x6582630e29371630
58990 .xword 0xa9ff10df99980530
58991 .xword 0xccde0ebeada02cb0
58992 .xword 0x1156dad350ac899e
58993 .xword 0x9bc5d20e69103e46
58994 .xword 0x1e657a8495405b58
58995 .xword 0xfd7359dfbd8e4289
58996 .xword 0xcfe233dc9919acc1
58997 .xword 0xc98ca5db92a35eb4
58998 .xword 0xddb35d5f83299829
58999 .xword 0x96274200f9b5c583
59000 .xword 0x9f7233b60c5dcaf3
59001 .xword 0x768bbab97653fa1c
59002 .xword 0x032eda9886e22d66
59003 .xword 0xfb13f7ff750fbc59
59004 .xword 0xca1c08d92242ffa9
59005 .xword 0x12974d0a9ac0ddf0
59006 .xword 0xb409bdbab69f73ca
59007 .xword 0x93197580c429bb72
59008 .xword 0x85bdde82edb0c34e
59009 .xword 0x372b17d6da24b862
59010 .xword 0x19fb33f6da472230
59011 .xword 0xdd03e32dfff303f5
59012 .xword 0x8480d6260ac99477
59013 .xword 0xcd704e1c5e72840e
59014 .xword 0x0c592aa442479934
59015 .xword 0xea5e56db929152a2
59016 .xword 0x125d10db184b7c50
59017 .xword 0xa74e0f94432aeff4
59018 .xword 0x255941b8f011f588
59019 .xword 0x814ceebd37c2b4e8
59020 .xword 0x464ced4155b9864e
59021 .xword 0x00a7449cdba12580
59022 .xword 0x9a42b022c298e05c
59023 .xword 0x5ec1cb3c0a5c471d
59024 .xword 0x7088ee8ec587e254
59025 .xword 0x67c2b34a8422f993
59026 .xword 0xa55c60ceb68d2d98
59027 .xword 0x6b81806d46991993
59028 .xword 0x7dcc0d86315cde4f
59029 .xword 0x7a87535c23555fdc
59030 .xword 0x5d0690bb92e2d4d3
59031 .xword 0x042cb869b09f7134
59032 .xword 0xdef149f7b37fa99c
59033 .xword 0x2acc75b4d2bd4073
59034 .xword 0x01da78500af99e7e
59035 .xword 0xf865560f30c5b67c
59036 .xword 0xddbbdbc42b0aa9ab
59037 .xword 0x6c4117285661eced
59038 .xword 0x0263b1a17403c108
59039 .xword 0xe3c43ad21ab26c32
59040 .xword 0x9667cee22490a952
59041 .xword 0xaf5ad7ca2d663d40
59042 .xword 0x89f30290c153212f
59043 .xword 0x035d1cc6eb2e4a10
59044 .xword 0x400510a608335f37
59045 .xword 0xef066e67335f2cc4
59046 .xword 0xa75bd05e46f97e60
59047 .xword 0x13a8a79bf928099c
59048 .xword 0x695edd823f4fa05b
59049 .xword 0xeb6062ad56c4df3c
59050 .xword 0x0ed77f1a3851bcd9
59051 .xword 0x4fa2d8a76561ad6f
59052 .xword 0x27cdc1d42dfc8bf5
59053 .xword 0x086db59c4b92708f
59054 .xword 0xe44bb2369d64f6d2
59055 .xword 0x4287cb6c185e4dcc
59056 .xword 0x014514c3e35b0ec8
59057 .xword 0xf2f4a670f5fc4a5f
59058 .xword 0xfede6e063ee055a1
59059 .xword 0xb6e58ec75530a5fc
59060 .xword 0x04e492b9a656934a
59061 .xword 0x4354069a514a6842
59062 .xword 0x1a1b346ca66c026b
59063 .xword 0x6251491c7e62c29e
59064 .xword 0x51bc9b9441545aa3
59065 .xword 0xd6953d09c8d30b40
59066 .xword 0x5e7e7d579194b315
59067 .xword 0x1ec79f7ddc8cb1ce
59068 .xword 0xb579a2a7ce7cd65b
59069 .xword 0x9a29d6e64a6a894b
59070 .xword 0xaf74aca3c7338f92
59071 .xword 0x3fd845d939216e7d
59072 .xword 0x765d840d6363495f
59073 .xword 0x41f436c030219edd
59074 .xword 0x181ccdc75b4619d9
59075 .xword 0xa1928244b194221a
59076 .xword 0x377773f5be328af5
59077 .xword 0x0a7ee8559a64f762
59078 .xword 0xe167f8f2243fbbf0
59079 .xword 0x1c842c4384347d63
59080 .xword 0x20e296b21872f6c4
59081 .xword 0x05661c72429e9f16
59082 .xword 0x99d48d5f0f4b6e3f
59083 .xword 0x0c9915f3d28cb698
59084 .xword 0xd1b6b66e51b55649
59085 .xword 0xe0c5b5f15b7813fb
59086 .xword 0xa6bc728b298707d9
59087 .xword 0x5c3a055881f7e3e5
59088 .xword 0x3f93f5f182dc2f8a
59089 .xword 0xef689f84047e6084
59090 .xword 0xfb27400deb06663e
59091 .xword 0x9b067064ea07caa2
59092 .xword 0xb22089bce9d218f2
59093 .xword 0xd3877581200d3172
59094 .xword 0x5f1e2a36e7577736
59095 .xword 0x69dd14ed1624bf7b
59096 .xword 0x65a22dd787252f79
59097 .xword 0x43e807ee98bca765
59098 .xword 0x2eaace45ca626fbb
59099 .xword 0x43e52ee3ff5e88a7
59100 .xword 0x295543ae369ee0c7
59101 .xword 0x62a38fe8e8c8f6e2
59102 .xword 0x7bc9ebbfe35add8e
59103 .xword 0xdf56d327b2493f96
59104 .xword 0x713f9acad8e0724f
59105 .xword 0x30b5c779e925fd50
59106 .xword 0x08c145818a01351c
59107 .xword 0x08ad62100821382d
59108 .xword 0xccf178d219bad6ba
59109 .xword 0x5260d08b8d9b715a
59110 .xword 0xdef7d000a0fd8e1a
59111 .xword 0xf21e8a34f92eed47
59112 .xword 0x76e52909b6375b02
59113 .xword 0x8c7960eb87505d3b
59114 .xword 0x9c36dd5740a7a366
59115 .xword 0x9e7fb763fec2ef4f
59116 .xword 0x99177f615e906f81
59117 .xword 0x94420702b5489a29
59118 .xword 0xacb34a6781804f9c
59119 .xword 0x319b8d324f672c5c
59120 .xword 0xb3980b727b4a8e6a
59121 .xword 0x52ffae033e835cc7
59122 .xword 0xf177b467badd12d4
59123 .xword 0x8ff4f6977ec02f76
59124 .xword 0x6bee685a022769da
59125 .xword 0x55f84b4508ac4d54
59126 .xword 0x8405f84cdd3b1397
59127 .xword 0x8ee88728294b5677
59128 .xword 0x3fecf3c6afca106d
59129 .xword 0xfb25dc0173ad7690
59130 .xword 0x979d7fc151a22eee
59131 .xword 0x9e2960fc1fa92e38
59132 .xword 0xd058dc141557fd02
59133 .xword 0x065b76f2384749ea
59134 .xword 0x11aaaade7771f719
59135 .xword 0x9fcfb16b1b37fd7f
59136 .xword 0xb7f56df8a9833610
59137 .xword 0xb6fed79957d57488
59138 .xword 0x7d34f52f475a62bb
59139 .xword 0xf8a3c4a2540edf83
59140 .xword 0xfe05c2433f81d82c
59141 .xword 0x43bb82854683395f
59142 .xword 0x2cebf089d9ab6520
59143 .xword 0x43df1a915ccc9a16
59144 .xword 0x200efbfe36529b94
59145 .xword 0x247daf7f7063a2ad
59146 .xword 0x1e732b9b9a596d4c
59147 .xword 0x9918cd40d0424667
59148 .xword 0xf39bc198ae8cbc00
59149 .xword 0xb1a567d172d488ba
59150 .xword 0xc18308117482b76e
59151 .xword 0x7516c2dc7d44ec2b
59152 .xword 0x9d763a011291cf7e
59153 .xword 0xc59ee2767b66e03a
59154 .xword 0xac229137d844b308
59155 .xword 0x5c4befa2ed6b612a
59156 .xword 0xae79f8fc29271255
59157 .xword 0x8c2c611ea9f2ba54
59158 .xword 0xa589a5fe64872199
59159 .xword 0xaf922fb010a953d5
59160 .xword 0xfb777f5bf0ff0ae7
59161 .xword 0x4f59273dfde482eb
59162 .xword 0xd005f94d6f4e5520
59163 .xword 0x307f8a982dc97f7f
59164 .xword 0xb8c39b88ce51a9b0
59165 .xword 0x20d9b71f4ba2f27c
59166 .xword 0x7839b27891a47f5e
59167 .xword 0x4382c5e456988dda
59168 .xword 0x930464b804fc0d85
59169 .xword 0x3550b14b07e7c273
59170 .xword 0x9bdd3b96a8a1201b
59171 .xword 0x304133a57fcc7ddf
59172 .xword 0x23da10b6e890b6ec
59173 .xword 0x7683dbf7ed77c1f6
59174 .xword 0x40bd353f11983473
59175 .xword 0x8b41647057055d12
59176 .xword 0x9545ec15146945d3
59177 .xword 0x5779921a5629ff69
59178 .xword 0xa146f088104d9ce3
59179 .xword 0x79d36b2835e05ff1
59180 .xword 0x73817b9308b485a0
59181 .xword 0xf5f8e0d9a2cb2760
59182 .xword 0xf66a694802da2e34
59183 .xword 0x09f032e6eb4ce7f3
59184 .xword 0xcb0b51ec569c2176
59185 .xword 0x2c0e9e0cd8bbdf7f
59186 .xword 0x913949b39407a754
59187 .xword 0xf1c2a8ed30ed0a84
59188 .xword 0xec7ad0a496a40f04
59189 .xword 0xd20ad782aeed8cb1
59190 .xword 0xd246962b74f062d0
59191 .xword 0x6b9aa1eda55188ec
59192 .xword 0xdb898b1dc6491ddf
59193 .xword 0xe712e8665e65f184
59194 .xword 0x8dfcb2345283470b
59195 .xword 0x6a8a05cdaabf7d35
59196 .xword 0xef2a5c4c852afe26
59197 .xword 0xe58fd97a5784f167
59198 .xword 0x52546cdd3dc46e2e
59199 .xword 0x29bb980d06d478db
59200 .xword 0xe4e986ba323bcfa3
59201 .xword 0xb06471e7e8473190
59202 .xword 0x59890dbfdd313af4
59203 .xword 0x526606c9589ebc6f
59204 .xword 0x8a9a08f33d4621bc
59205 .xword 0x1cf4000168a1ac9b
59206 .xword 0x39eb2504f389d010
59207 .xword 0xc2309d29780f2801
59208 .xword 0x55e5a5954eda9301
59209 .xword 0xbae6fa86870e593f
59210 .xword 0xc0603c7bc40ddf42
59211 .xword 0xcd545cea3fe8ab54
59212 .xword 0x53061f6d8732662d
59213_t1_copy_dest:
59214 .xword 0xDEADBEEFDEADBEEF
59215 .xword 0xDEADBEEFDEADBEEF
59216 .xword 0xDEADBEEFDEADBEEF
59217 .xword 0xDEADBEEFDEADBEEF
59218 .xword 0xDEADBEEFDEADBEEF
59219 .xword 0xDEADBEEFDEADBEEF
59220 .xword 0xDEADBEEFDEADBEEF
59221 .xword 0xDEADBEEFDEADBEEF
59222 .xword 0xDEADBEEFDEADBEEF
59223 .xword 0xDEADBEEFDEADBEEF
59224 .xword 0xDEADBEEFDEADBEEF
59225 .xword 0xDEADBEEFDEADBEEF
59226 .xword 0xDEADBEEFDEADBEEF
59227 .xword 0xDEADBEEFDEADBEEF
59228 .xword 0xDEADBEEFDEADBEEF
59229 .xword 0xDEADBEEFDEADBEEF
59230 .xword 0xDEADBEEFDEADBEEF
59231 .xword 0xDEADBEEFDEADBEEF
59232 .xword 0xDEADBEEFDEADBEEF
59233 .xword 0xDEADBEEFDEADBEEF
59234 .xword 0xDEADBEEFDEADBEEF
59235 .xword 0xDEADBEEFDEADBEEF
59236 .xword 0xDEADBEEFDEADBEEF
59237 .xword 0xDEADBEEFDEADBEEF
59238 .xword 0xDEADBEEFDEADBEEF
59239 .xword 0xDEADBEEFDEADBEEF
59240 .xword 0xDEADBEEFDEADBEEF
59241 .xword 0xDEADBEEFDEADBEEF
59242 .xword 0xDEADBEEFDEADBEEF
59243 .xword 0xDEADBEEFDEADBEEF
59244 .xword 0xDEADBEEFDEADBEEF
59245 .xword 0xDEADBEEFDEADBEEF
59246 .xword 0xDEADBEEFDEADBEEF
59247 .xword 0xDEADBEEFDEADBEEF
59248 .xword 0xDEADBEEFDEADBEEF
59249 .xword 0xDEADBEEFDEADBEEF
59250 .xword 0xDEADBEEFDEADBEEF
59251 .xword 0xDEADBEEFDEADBEEF
59252 .xword 0xDEADBEEFDEADBEEF
59253 .xword 0xDEADBEEFDEADBEEF
59254 .xword 0xDEADBEEFDEADBEEF
59255 .xword 0xDEADBEEFDEADBEEF
59256 .xword 0xDEADBEEFDEADBEEF
59257 .xword 0xDEADBEEFDEADBEEF
59258 .xword 0xDEADBEEFDEADBEEF
59259 .xword 0xDEADBEEFDEADBEEF
59260 .xword 0xDEADBEEFDEADBEEF
59261 .xword 0xDEADBEEFDEADBEEF
59262 .xword 0xDEADBEEFDEADBEEF
59263 .xword 0xDEADBEEFDEADBEEF
59264 .xword 0xDEADBEEFDEADBEEF
59265 .xword 0xDEADBEEFDEADBEEF
59266 .xword 0xDEADBEEFDEADBEEF
59267 .xword 0xDEADBEEFDEADBEEF
59268 .xword 0xDEADBEEFDEADBEEF
59269 .xword 0xDEADBEEFDEADBEEF
59270 .xword 0xDEADBEEFDEADBEEF
59271 .xword 0xDEADBEEFDEADBEEF
59272 .xword 0xDEADBEEFDEADBEEF
59273 .xword 0xDEADBEEFDEADBEEF
59274 .xword 0xDEADBEEFDEADBEEF
59275 .xword 0xDEADBEEFDEADBEEF
59276 .xword 0xDEADBEEFDEADBEEF
59277 .xword 0xDEADBEEFDEADBEEF
59278 .xword 0xDEADBEEFDEADBEEF
59279 .xword 0xDEADBEEFDEADBEEF
59280 .xword 0xDEADBEEFDEADBEEF
59281 .xword 0xDEADBEEFDEADBEEF
59282 .xword 0xDEADBEEFDEADBEEF
59283 .xword 0xDEADBEEFDEADBEEF
59284 .xword 0xDEADBEEFDEADBEEF
59285 .xword 0xDEADBEEFDEADBEEF
59286 .xword 0xDEADBEEFDEADBEEF
59287 .xword 0xDEADBEEFDEADBEEF
59288 .xword 0xDEADBEEFDEADBEEF
59289 .xword 0xDEADBEEFDEADBEEF
59290 .xword 0xDEADBEEFDEADBEEF
59291 .xword 0xDEADBEEFDEADBEEF
59292 .xword 0xDEADBEEFDEADBEEF
59293 .xword 0xDEADBEEFDEADBEEF
59294 .xword 0xDEADBEEFDEADBEEF
59295 .xword 0xDEADBEEFDEADBEEF
59296 .xword 0xDEADBEEFDEADBEEF
59297 .xword 0xDEADBEEFDEADBEEF
59298 .xword 0xDEADBEEFDEADBEEF
59299 .xword 0xDEADBEEFDEADBEEF
59300 .xword 0xDEADBEEFDEADBEEF
59301 .xword 0xDEADBEEFDEADBEEF
59302 .xword 0xDEADBEEFDEADBEEF
59303 .xword 0xDEADBEEFDEADBEEF
59304 .xword 0xDEADBEEFDEADBEEF
59305 .xword 0xDEADBEEFDEADBEEF
59306 .xword 0xDEADBEEFDEADBEEF
59307 .xword 0xDEADBEEFDEADBEEF
59308 .xword 0xDEADBEEFDEADBEEF
59309 .xword 0xDEADBEEFDEADBEEF
59310 .xword 0xDEADBEEFDEADBEEF
59311 .xword 0xDEADBEEFDEADBEEF
59312 .xword 0xDEADBEEFDEADBEEF
59313 .xword 0xDEADBEEFDEADBEEF
59314 .xword 0xDEADBEEFDEADBEEF
59315 .xword 0xDEADBEEFDEADBEEF
59316 .xword 0xDEADBEEFDEADBEEF
59317 .xword 0xDEADBEEFDEADBEEF
59318 .xword 0xDEADBEEFDEADBEEF
59319 .xword 0xDEADBEEFDEADBEEF
59320 .xword 0xDEADBEEFDEADBEEF
59321 .xword 0xDEADBEEFDEADBEEF
59322 .xword 0xDEADBEEFDEADBEEF
59323 .xword 0xDEADBEEFDEADBEEF
59324 .xword 0xDEADBEEFDEADBEEF
59325 .xword 0xDEADBEEFDEADBEEF
59326 .xword 0xDEADBEEFDEADBEEF
59327 .xword 0xDEADBEEFDEADBEEF
59328 .xword 0xDEADBEEFDEADBEEF
59329 .xword 0xDEADBEEFDEADBEEF
59330 .xword 0xDEADBEEFDEADBEEF
59331 .xword 0xDEADBEEFDEADBEEF
59332 .xword 0xDEADBEEFDEADBEEF
59333 .xword 0xDEADBEEFDEADBEEF
59334 .xword 0xDEADBEEFDEADBEEF
59335 .xword 0xDEADBEEFDEADBEEF
59336 .xword 0xDEADBEEFDEADBEEF
59337 .xword 0xDEADBEEFDEADBEEF
59338 .xword 0xDEADBEEFDEADBEEF
59339 .xword 0xDEADBEEFDEADBEEF
59340 .xword 0xDEADBEEFDEADBEEF
59341 .xword 0xDEADBEEFDEADBEEF
59342 .xword 0xDEADBEEFDEADBEEF
59343 .xword 0xDEADBEEFDEADBEEF
59344 .xword 0xDEADBEEFDEADBEEF
59345 .xword 0xDEADBEEFDEADBEEF
59346 .xword 0xDEADBEEFDEADBEEF
59347 .xword 0xDEADBEEFDEADBEEF
59348 .xword 0xDEADBEEFDEADBEEF
59349 .xword 0xDEADBEEFDEADBEEF
59350 .xword 0xDEADBEEFDEADBEEF
59351 .xword 0xDEADBEEFDEADBEEF
59352 .xword 0xDEADBEEFDEADBEEF
59353 .xword 0xDEADBEEFDEADBEEF
59354 .xword 0xDEADBEEFDEADBEEF
59355 .xword 0xDEADBEEFDEADBEEF
59356 .xword 0xDEADBEEFDEADBEEF
59357 .xword 0xDEADBEEFDEADBEEF
59358 .xword 0xDEADBEEFDEADBEEF
59359 .xword 0xDEADBEEFDEADBEEF
59360 .xword 0xDEADBEEFDEADBEEF
59361 .xword 0xDEADBEEFDEADBEEF
59362 .xword 0xDEADBEEFDEADBEEF
59363 .xword 0xDEADBEEFDEADBEEF
59364 .xword 0xDEADBEEFDEADBEEF
59365 .xword 0xDEADBEEFDEADBEEF
59366 .xword 0xDEADBEEFDEADBEEF
59367 .xword 0xDEADBEEFDEADBEEF
59368 .xword 0xDEADBEEFDEADBEEF
59369 .xword 0xDEADBEEFDEADBEEF
59370 .xword 0xDEADBEEFDEADBEEF
59371 .xword 0xDEADBEEFDEADBEEF
59372 .xword 0xDEADBEEFDEADBEEF
59373 .xword 0xDEADBEEFDEADBEEF
59374 .xword 0xDEADBEEFDEADBEEF
59375 .xword 0xDEADBEEFDEADBEEF
59376 .xword 0xDEADBEEFDEADBEEF
59377 .xword 0xDEADBEEFDEADBEEF
59378 .xword 0xDEADBEEFDEADBEEF
59379 .xword 0xDEADBEEFDEADBEEF
59380 .xword 0xDEADBEEFDEADBEEF
59381 .xword 0xDEADBEEFDEADBEEF
59382 .xword 0xDEADBEEFDEADBEEF
59383 .xword 0xDEADBEEFDEADBEEF
59384 .xword 0xDEADBEEFDEADBEEF
59385 .xword 0xDEADBEEFDEADBEEF
59386 .xword 0xDEADBEEFDEADBEEF
59387 .xword 0xDEADBEEFDEADBEEF
59388 .xword 0xDEADBEEFDEADBEEF
59389 .xword 0xDEADBEEFDEADBEEF
59390 .xword 0xDEADBEEFDEADBEEF
59391 .xword 0xDEADBEEFDEADBEEF
59392 .xword 0xDEADBEEFDEADBEEF
59393 .xword 0xDEADBEEFDEADBEEF
59394 .xword 0xDEADBEEFDEADBEEF
59395 .xword 0xDEADBEEFDEADBEEF
59396 .xword 0xDEADBEEFDEADBEEF
59397 .xword 0xDEADBEEFDEADBEEF
59398 .xword 0xDEADBEEFDEADBEEF
59399 .xword 0xDEADBEEFDEADBEEF
59400 .xword 0xDEADBEEFDEADBEEF
59401 .xword 0xDEADBEEFDEADBEEF
59402 .xword 0xDEADBEEFDEADBEEF
59403 .xword 0xDEADBEEFDEADBEEF
59404 .xword 0xDEADBEEFDEADBEEF
59405 .xword 0xDEADBEEFDEADBEEF
59406 .xword 0xDEADBEEFDEADBEEF
59407 .xword 0xDEADBEEFDEADBEEF
59408 .xword 0xDEADBEEFDEADBEEF
59409 .xword 0xDEADBEEFDEADBEEF
59410 .xword 0xDEADBEEFDEADBEEF
59411 .xword 0xDEADBEEFDEADBEEF
59412 .xword 0xDEADBEEFDEADBEEF
59413 .xword 0xDEADBEEFDEADBEEF
59414 .xword 0xDEADBEEFDEADBEEF
59415 .xword 0xDEADBEEFDEADBEEF
59416 .xword 0xDEADBEEFDEADBEEF
59417 .xword 0xDEADBEEFDEADBEEF
59418 .xword 0xDEADBEEFDEADBEEF
59419 .xword 0xDEADBEEFDEADBEEF
59420 .xword 0xDEADBEEFDEADBEEF
59421 .xword 0xDEADBEEFDEADBEEF
59422 .xword 0xDEADBEEFDEADBEEF
59423 .xword 0xDEADBEEFDEADBEEF
59424 .xword 0xDEADBEEFDEADBEEF
59425 .xword 0xDEADBEEFDEADBEEF
59426 .xword 0xDEADBEEFDEADBEEF
59427 .xword 0xDEADBEEFDEADBEEF
59428 .xword 0xDEADBEEFDEADBEEF
59429 .xword 0xDEADBEEFDEADBEEF
59430 .xword 0xDEADBEEFDEADBEEF
59431 .xword 0xDEADBEEFDEADBEEF
59432 .xword 0xDEADBEEFDEADBEEF
59433 .xword 0xDEADBEEFDEADBEEF
59434 .xword 0xDEADBEEFDEADBEEF
59435 .xword 0xDEADBEEFDEADBEEF
59436 .xword 0xDEADBEEFDEADBEEF
59437 .xword 0xDEADBEEFDEADBEEF
59438 .xword 0xDEADBEEFDEADBEEF
59439 .xword 0xDEADBEEFDEADBEEF
59440 .xword 0xDEADBEEFDEADBEEF
59441 .xword 0xDEADBEEFDEADBEEF
59442 .xword 0xDEADBEEFDEADBEEF
59443 .xword 0xDEADBEEFDEADBEEF
59444 .xword 0xDEADBEEFDEADBEEF
59445 .xword 0xDEADBEEFDEADBEEF
59446 .xword 0xDEADBEEFDEADBEEF
59447 .xword 0xDEADBEEFDEADBEEF
59448 .xword 0xDEADBEEFDEADBEEF
59449 .xword 0xDEADBEEFDEADBEEF
59450 .xword 0xDEADBEEFDEADBEEF
59451 .xword 0xDEADBEEFDEADBEEF
59452 .xword 0xDEADBEEFDEADBEEF
59453 .xword 0xDEADBEEFDEADBEEF
59454 .xword 0xDEADBEEFDEADBEEF
59455 .xword 0xDEADBEEFDEADBEEF
59456 .xword 0xDEADBEEFDEADBEEF
59457 .xword 0xDEADBEEFDEADBEEF
59458 .xword 0xDEADBEEFDEADBEEF
59459 .xword 0xDEADBEEFDEADBEEF
59460 .xword 0xDEADBEEFDEADBEEF
59461 .xword 0xDEADBEEFDEADBEEF
59462 .xword 0xDEADBEEFDEADBEEF
59463 .xword 0xDEADBEEFDEADBEEF
59464 .xword 0xDEADBEEFDEADBEEF
59465 .xword 0xDEADBEEFDEADBEEF
59466 .xword 0xDEADBEEFDEADBEEF
59467 .xword 0xDEADBEEFDEADBEEF
59468 .xword 0xDEADBEEFDEADBEEF
59469 .xword 0xDEADBEEFDEADBEEF
59470 .xword 0xDEADBEEFDEADBEEF
59471 .xword 0xDEADBEEFDEADBEEF
59472 .xword 0xDEADBEEFDEADBEEF
59473 .xword 0xDEADBEEFDEADBEEF
59474 .xword 0xDEADBEEFDEADBEEF
59475 .xword 0xDEADBEEFDEADBEEF
59476 .xword 0xDEADBEEFDEADBEEF
59477 .xword 0xDEADBEEFDEADBEEF
59478 .xword 0xDEADBEEFDEADBEEF
59479 .xword 0xDEADBEEFDEADBEEF
59480 .xword 0xDEADBEEFDEADBEEF
59481 .xword 0xDEADBEEFDEADBEEF
59482 .xword 0xDEADBEEFDEADBEEF
59483 .xword 0xDEADBEEFDEADBEEF
59484 .xword 0xDEADBEEFDEADBEEF
59485 .xword 0xDEADBEEFDEADBEEF
59486 .xword 0xDEADBEEFDEADBEEF
59487 .xword 0xDEADBEEFDEADBEEF
59488 .xword 0xDEADBEEFDEADBEEF
59489_t1_copy_auth_key:
59490 .xword 0xbd8763bc077cc3af
59491 .xword 0x079ee4fc6b4adbe0
59492 .xword 0xc2a3f65dd9502d28
59493 .xword 0xaebc5dbba4753c2e
59494 .xword 0xce4c9190e71c63ae
59495 .xword 0x8fbf27fc0fac0a1a
59496 .xword 0x0330e6e46c9cd036
59497 .xword 0x45a08803ad1b7ad3
59498 .xword 0x7f8e8c1fe55a651a
59499 .xword 0x1df9c13676fd916f
59500 .xword 0x475bd9228995a65d
59501 .xword 0x677b23dcc402c23c
59502 .xword 0xd5214611a9581841
59503 .xword 0xec8914aaf2ac7180
59504 .xword 0x5e0bfc747bc6aaf0
59505 .xword 0x5a655cd37a97dc28
59506 .xword 0xffbe10b89d4e9e3a
59507 .xword 0xcadb361bb5e6d757
59508 .xword 0xda252ebc02e5276b
59509 .xword 0x82d6693aaf49874f
59510 .xword 0x91aa0986f895e870
59511 .xword 0x470250ec9ddb5834
59512 .xword 0x74f2e399908abc28
59513_t1_copy_auth_iv:
59514 .xword 0x50fa9aa395a3cecc
59515 .xword 0xce4e45c59f340d6b
59516 .xword 0x27df07f123872d38
59517 .xword 0x4348ae96af93bfd2
59518 .xword 0x68163240b88697dd
59519 .xword 0x5a4eac208ae2a872
59520 .xword 0x635d68c99d4fc77d
59521 .xword 0x2356a9867b2bf54d
59522 .xword 0xce5ec39020e5c9ea
59523 .xword 0xf167ab60fadd966d
59524 .xword 0x3e853edf52546d3d
59525 .xword 0xb87a5cfd4d2724e9
59526 .xword 0x7abddfdce1d08dd1
59527 .xword 0xe750fc335dee6f27
59528 .xword 0x8aede6d37b57349c
59529 .xword 0x64667d670100165c
59530 .xword 0x66b69b39d2359c84
59531 .xword 0x12525abead98aeca
59532 .xword 0x39c181a6a1922835
59533 .xword 0xc59ed8cc63fa772a
59534 .xword 0x021ee587676956b8
59535 .xword 0xdcb25cf8c8e5c4af
59536 .xword 0x636c2b365af40a3c
59537_t1_copy_fas_result:
59538 .xword 0xDEADBEEFDEADBEEF
59539 .xword 0xDEADBEEFDEADBEEF
59540 .xword 0xDEADBEEFDEADBEEF
59541 .xword 0xDEADBEEFDEADBEEF
59542 .xword 0xDEADBEEFDEADBEEF
59543 .xword 0xDEADBEEFDEADBEEF
59544 .xword 0xDEADBEEFDEADBEEF
59545 .xword 0xDEADBEEFDEADBEEF
59546 .xword 0xDEADBEEFDEADBEEF
59547 .xword 0xDEADBEEFDEADBEEF
59548 .xword 0xDEADBEEFDEADBEEF
59549 .xword 0xDEADBEEFDEADBEEF
59550 .xword 0xDEADBEEFDEADBEEF
59551 .xword 0xDEADBEEFDEADBEEF
59552 .xword 0xDEADBEEFDEADBEEF
59553 .xword 0xDEADBEEFDEADBEEF
59554 .xword 0xDEADBEEFDEADBEEF
59555 .xword 0xDEADBEEFDEADBEEF
59556 .xword 0xDEADBEEFDEADBEEF
59557 .xword 0xDEADBEEFDEADBEEF
59558 .xword 0xDEADBEEFDEADBEEF
59559 .xword 0xDEADBEEFDEADBEEF
59560 .xword 0xDEADBEEFDEADBEEF
59561_t1_crc_key_array:
59562 .xword 0x83890a193e7f1369
59563 .xword 0x0232549890dc6916
59564 .xword 0x542d20e6d824257a
59565 .xword 0x127a7b8797e8f8b4
59566 .xword 0x853456dfce023acb
59567 .xword 0x025361ffeac4d73f
59568 .xword 0x859c20b42cf63a3a
59569 .xword 0x1119a7af81f3ebb8
59570 .xword 0x6b4a1a7be60c7c39
59571 .xword 0x10a560cbcb9975d2
59572 .xword 0x3956d2406f4817c8
59573 .xword 0x5d14f85f6b1c6f2f
59574 .xword 0xf275440416dcd0a2
59575 .xword 0xc3782200699363dc
59576 .xword 0x406a3526aca234bc
59577 .xword 0x9e22516faf732e83
59578 .xword 0x74933e7cf88eac62
59579 .xword 0xa60ce94ce94bb493
59580 .xword 0xa2fc3a96819f0fb0
59581 .xword 0x40d0026a371f7cda
59582 .xword 0x2694ebfe2e7948d7
59583 .xword 0x449903816e43e60f
59584 .xword 0xe8b450b44b831af6
59585 .xword 0x2fa8a09c0ab29c93
59586 .xword 0x6d92179985f7d980
59587 .xword 0x0ae879b88292a519
59588 .xword 0xcef7aa353bb7031e
59589 .xword 0x82df0a49e1652931
59590 .xword 0x9a3e60df9c18085f
59591 .xword 0x1e8f0c2ef1667206
59592 .xword 0x6e220729b6bb43e8
59593 .xword 0x5c5c3993dd21a0ae
59594 .xword 0xccfd90d79c48073c
59595 .xword 0x5bbeabce722f6c02
59596 .xword 0x7eb12e170f71bb23
59597 .xword 0x2ed9e89b14e57acb
59598 .xword 0xdee379656eca6257
59599 .xword 0xa5f5c77f7f79453d
59600 .xword 0xe10ec54beb105451
59601 .xword 0xb21e46a1f40e935e
59602 .xword 0x5fe9965a329fdb37
59603 .xword 0xfc1afcf923398b2e
59604 .xword 0xd948e4bd7f8f0877
59605 .xword 0x1bfdf46508bd9a6c
59606 .xword 0xa68afc5a933e6881
59607 .xword 0x693b739b382bf604
59608 .xword 0xfb709790d8a6066f
59609 .xword 0xcb825bd60876591f
59610 .xword 0x3279f9bd83d20a68
59611 .xword 0xfa2369ec3ee462cd
59612 .xword 0xeb606bac1ebd7c79
59613_t1_crc_iv_array:
59614 .xword 0xb35da070b47e783c
59615 .xword 0x4330950d54b1f21d
59616 .xword 0xf19ec838e9445227
59617 .xword 0xe31d40e4854acda0
59618 .xword 0x29251417090d7ae1
59619 .xword 0x269829c2b9b75fe1
59620 .xword 0x444120cfdf5581aa
59621 .xword 0xd9ba18ba8c9def66
59622 .xword 0x94003f7c4b7e4b22
59623 .xword 0x370dcec2212039ae
59624 .xword 0x31077715abb1b5ad
59625 .xword 0x6906952c3bcf4db7
59626 .xword 0xaa612e1e0c0f0e84
59627 .xword 0x170c92954b85f6a3
59628 .xword 0x93938413fae3ee8d
59629 .xword 0xb23673acc82461ce
59630 .xword 0x1bb0665bd6824403
59631 .xword 0x4810da9a1816068d
59632 .xword 0xea04f6d42d7a57b7
59633 .xword 0xb08facb53c32aef1
59634 .xword 0x143176e5f177b1f7
59635 .xword 0x5aeb508d6cda3247
59636 .xword 0x50bc9b24c170dad7
59637 .xword 0xf3f4901435bbf8f4
59638 .xword 0x61cd82dedf373342
59639 .xword 0x57c65b1c78ac3742
59640 .xword 0x29de1f59dee94767
59641 .xword 0x32b496230e6d1450
59642 .xword 0xe0cad9bfa8065965
59643 .xword 0x30a8e508285d6f20
59644 .xword 0x1a1ff7abe3c684e7
59645 .xword 0xb6be36dc8626bd58
59646 .xword 0xeb50153294234580
59647 .xword 0xc151e4b7975fd84d
59648 .xword 0x6e491bd7fd99328e
59649 .xword 0x24a7c8cded82379c
59650 .xword 0xef380ed0ab6daa11
59651 .xword 0xbe59bfc32a26d259
59652 .xword 0x63c52ee6c5c34f36
59653 .xword 0xa1936b060ba36181
59654 .xword 0x3a76f2e73731ad5b
59655 .xword 0x5c4c7fc96c9bf8f0
59656 .xword 0xda5d261fa21a52cb
59657 .xword 0x7621e0451530388b
59658 .xword 0x7cc26a098865e8ef
59659_t1_crc_alignment_array:
59660 .xword 12
59661 .xword 13
59662 .xword 8
59663 .xword 7
59664 .xword 5
59665 .xword 11
59666 .xword 1
59667 .xword 0
59668 .xword 2
59669 .xword 14
59670 .xword 4
59671 .xword 13
59672 .xword 9
59673 .xword 7
59674 .xword 3
59675 .xword 9
59676 .xword 7
59677 .xword 9
59678 .xword 13
59679 .xword 10
59680 .xword 5
59681 .xword 3
59682 .xword 13
59683 .xword 5
59684 .xword 2
59685 .xword 3
59686 .xword 13
59687 .xword 11
59688 .xword 6
59689 .xword 9
59690 .xword 9
59691 .xword 13
59692 .xword 11
59693 .xword 12
59694 .xword 9
59695 .xword 6
59696 .xword 9
59697 .xword 12
59698 .xword 8
59699 .xword 13
59700 .xword 13
59701 .xword 2
59702 .xword 15
59703 .xword 6
59704 .xword 14
59705 .xword 7
59706 .xword 8
59707 .xword 7
59708 .xword 12
59709 .xword 15
59710 .xword 8
59711 .xword 6
59712 .xword 2
59713 .xword 8
59714 .xword 10
59715 .xword 0
59716 .xword 14
59717 .xword 4
59718 .xword 15
59719 .xword 5
59720 .xword 8
59721 .xword 13
59722 .xword 14
59723 .xword 9
59724 .xword 14
59725 .xword 15
59726 .xword 4
59727 .xword 4
59728 .xword 7
59729 .xword 1
59730 .xword 4
59731 .xword 8
59732 .xword 2
59733 .xword 4
59734 .xword 4
59735 .xword 14
59736 .xword 0
59737 .xword 0
59738 .xword 10
59739 .xword 15
59740 .xword 5
59741 .xword 15
59742 .xword 0
59743 .xword 10
59744 .xword 10
59745 .xword 6
59746 .xword 7
59747 .xword 5
59748 .xword 7
59749 .xword 1
59750 .xword 1
59751 .xword 4
59752 .xword 11
59753 .xword 0
59754 .xword 5
59755 .xword 6
59756 .xword 14
59757 .xword 5
59758 .xword 9
59759 .xword 12
59760 .xword 3
59761 .xword 1
59762 .xword 10
59763 .xword 9
59764 .xword 9
59765_t1_crc_src:
59766 .xword 0x0095479712604dd9
59767 .xword 0xee2b6c96da2e5b53
59768 .xword 0xe737819a2fcebec9
59769 .xword 0x556659f09df705c0
59770 .xword 0xf05744cb4b302431
59771 .xword 0x85ac4b5b1e2f6e2e
59772 .xword 0x015f5649b1279641
59773 .xword 0xe8463f197a1fa700
59774 .xword 0xb8c3b00892d8f1b8
59775 .xword 0x74314592d4f1ba6c
59776 .xword 0xf1439e4aa8dab57b
59777 .xword 0x6d3b9ec72e63516f
59778 .xword 0x9e3bb73814c6b0c0
59779 .xword 0x55320fc01450a795
59780 .xword 0x2fcbb4e420c6062d
59781 .xword 0x602e3f7ca428b290
59782 .xword 0x4dd8dbb3eec88912
59783 .xword 0x4ee35ffe2b2c97c7
59784 .xword 0x975392c0c4c8ab54
59785 .xword 0xe13a08546249f8b5
59786 .xword 0x0191ee37bb42074f
59787 .xword 0x29deb37352b04f1c
59788 .xword 0x47e16f1384813d8e
59789 .xword 0xe471f94ee8ec08c7
59790 .xword 0x968c9c3ea2ff12a6
59791 .xword 0x599ae8d0aea9543f
59792 .xword 0xf8f11af8ac1a1ad7
59793 .xword 0x44068caea49f7e7a
59794 .xword 0x240faeebacd50fbb
59795 .xword 0x05f287317a96b87d
59796 .xword 0x50b06274a811aaf7
59797 .xword 0xdb97926892dde32e
59798 .xword 0x46ab68301e6e3e1a
59799 .xword 0xfc81b74ec7bf9247
59800 .xword 0x64a581368de3d927
59801 .xword 0xa3275ed480184fca
59802 .xword 0x745e3071080e5acd
59803 .xword 0x6efbf9a0974258de
59804 .xword 0x9622b24f8f832e99
59805 .xword 0xaf0e29227138dc98
59806 .xword 0x459afcb1f68f85eb
59807 .xword 0xd686b2fccb0e3e93
59808 .xword 0x80139f39d7f8839f
59809 .xword 0x28876dcc3f74783d
59810 .xword 0xabf276820263f173
59811 .xword 0x7d9a675b111e0ddc
59812 .xword 0x03e68c73b3e2a67d
59813 .xword 0x64a84b366f8603fa
59814 .xword 0x71b2e4727208a35c
59815 .xword 0xf4ea8ebdaf9b0724
59816 .xword 0x9ea59f2b37e96211
59817 .xword 0xee9ecad4b82ec480
59818 .xword 0x854ac737a19f23a1
59819 .xword 0x26e66a747478bc46
59820 .xword 0x3f6bf7ae6c6ba01a
59821 .xword 0x9d145a69b18eb754
59822 .xword 0xe5d15cf944b93e06
59823 .xword 0x8c7e84c7ed085116
59824 .xword 0x50fcf5635ca63a6d
59825 .xword 0x1867651b68612e37
59826 .xword 0xb31aea125200d86c
59827 .xword 0x4e4eeefb1f1deb5b
59828 .xword 0x9f35d7f2b56b6af2
59829 .xword 0x57100da02835c2b0
59830 .xword 0x7dfb74af78ee5e22
59831 .xword 0xcfc15a1c848d6bec
59832 .xword 0x96a0477255b9156c
59833 .xword 0x661d5a74ff4d5fde
59834 .xword 0x87b37bb13c6025fb
59835 .xword 0x2013db77e65ceefa
59836 .xword 0x9ba51689f7e17648
59837 .xword 0xb148dadee32904a2
59838 .xword 0x4c4c54da931d511e
59839 .xword 0x591604864d144e2b
59840 .xword 0xcc539251aff2c84f
59841 .xword 0xd671dd25bc242f5c
59842 .xword 0xaa00b33dbf4be90c
59843 .xword 0x737917b4803a391c
59844 .xword 0x793d30ecde146e74
59845 .xword 0x60269a84051579f0
59846 .xword 0xecbf32f55edd3981
59847 .xword 0x55f408bd10663869
59848 .xword 0xc39948e0b76d0525
59849 .xword 0x57bdd52b49d4cf12
59850 .xword 0x513d80ea1c083426
59851 .xword 0x3b0c1a87fc0f2e5d
59852 .xword 0x3b037f170c28d898
59853 .xword 0x49814a1af62c4a62
59854 .xword 0xfdff1d3362138578
59855 .xword 0xb813834fbea3cc8e
59856 .xword 0xfea9e16adfdc344a
59857 .xword 0x03cef1a31e06e077
59858 .xword 0x86ac646406942f0c
59859 .xword 0x204c39363a7aa14b
59860 .xword 0xbfe762bfa19b3994
59861 .xword 0x6cfeec7ac0129292
59862 .xword 0xa4d7082de3157f67
59863 .xword 0xea84b0f51236ee21
59864 .xword 0x8b7f857eea6c6537
59865 .xword 0xf07d5a21566353ac
59866 .xword 0xe1516092a5f3657b
59867 .xword 0xbbcdb058bd2e40ed
59868 .xword 0xd8d6087a83165ae0
59869 .xword 0xfb3566e078828f61
59870 .xword 0x5ef036cf5d23c6de
59871 .xword 0x908ce117a34c54d0
59872 .xword 0xe1fa7f2bc26e38b8
59873 .xword 0x64ccad4057eb4b2e
59874 .xword 0xb630733702035740
59875 .xword 0xee4d54e5ee94a2bf
59876 .xword 0xfc4c7d4872cf730c
59877 .xword 0x81ef8e08cecc16ad
59878 .xword 0x4e8d5d5dc8465c5e
59879 .xword 0x53940c5996944988
59880 .xword 0x6b45a21915d3884a
59881 .xword 0x75103ad1b0abd3eb
59882 .xword 0x4d37c96b8e4471aa
59883 .xword 0xcb5a6407a7ad3c28
59884 .xword 0xc0ebeae20aac646b
59885 .xword 0x89996e05e3d4209c
59886 .xword 0x0e4f0e99d50b72c0
59887 .xword 0xfdc5d404016b5a85
59888 .xword 0x0d93a12f6aa76dca
59889 .xword 0x001c11bf0c6e44e4
59890 .xword 0xd4e1e7e774f15a92
59891 .xword 0x9a5aef533db79962
59892 .xword 0xcc843770fb04b543
59893 .xword 0x951f07a748725c9c
59894 .xword 0x9c606e8f79e87357
59895 .xword 0x1893eded6a9fa4c3
59896 .xword 0xd89ea49ca754c152
59897 .xword 0x1a72021bfb7bf3bd
59898 .xword 0x0e55b86c874a02bb
59899 .xword 0x25ed6fa0c3ec325c
59900 .xword 0xa179e3e56dda138d
59901 .xword 0xf005de4eab5024f9
59902 .xword 0x8a089fd016216caa
59903 .xword 0x016b58fcaebacdbf
59904 .xword 0x8f89dbaac3823b41
59905 .xword 0x24dce46176069e20
59906 .xword 0x329d5ef42a7a8cb0
59907 .xword 0xb43f8561a1d22ab3
59908 .xword 0xea65ffc11e7e922c
59909 .xword 0x302e14259c36d79a
59910 .xword 0x3bd9540467d974df
59911 .xword 0xc470137c0d97cf34
59912 .xword 0xffee427f1886b244
59913 .xword 0xc8bd03f9a960bb44
59914 .xword 0x32e3027dbf559a17
59915 .xword 0x3678ed4152573809
59916 .xword 0xd710a3f232c6dd60
59917 .xword 0x37aa46bb58c63b68
59918 .xword 0xc4397457c8874e19
59919 .xword 0x997653bb20e1d6a4
59920 .xword 0x1cca26a4486a28ac
59921 .xword 0x94d453ec18a1e7d2
59922 .xword 0x3b4f4057e9f956da
59923 .xword 0x6b05f9ea11d3bd23
59924 .xword 0xda6945ef523bd6bb
59925 .xword 0x68de483191bc93fa
59926 .xword 0x252e7f62f63fc3b7
59927 .xword 0xb6f173e65849f160
59928 .xword 0xcade17d1ee8580a1
59929 .xword 0x18524a836c0dbe80
59930 .xword 0xfdf55264d97f4638
59931 .xword 0xe336838306c6149e
59932 .xword 0x3ee6a2a70d51ce34
59933 .xword 0xd6c0cefb892e830b
59934 .xword 0x0741faf21aa0dd3e
59935 .xword 0x926dda6ca878779c
59936 .xword 0x201d159317e0869e
59937 .xword 0x077639212dc374e6
59938 .xword 0x81ff6a6f928168c8
59939 .xword 0x4c937821e96ee20b
59940 .xword 0xaeee2c4fbf1f2b32
59941 .xword 0xe18b1a456914baad
59942 .xword 0x82d04d66745358c9
59943 .xword 0xc9d3e1acc40c5190
59944 .xword 0x732b71a9c7e6c056
59945 .xword 0x379b8537801a7f08
59946 .xword 0x426f524078e32bf4
59947 .xword 0x145b6ae22a5207b9
59948 .xword 0xe94666b406aa782f
59949 .xword 0xe9ee0d873ca403dd
59950 .xword 0x2d3e892d9af4388f
59951 .xword 0x92c635a2e86cb265
59952 .xword 0x4f2d32eeb3af8c08
59953 .xword 0xce5c3effe1783d8f
59954 .xword 0x38368cdbb1333537
59955 .xword 0x1cfd6bf34dc16ad9
59956 .xword 0x1090148286cc4215
59957 .xword 0x36cf7a2577891685
59958 .xword 0xe1579feba8d30d61
59959 .xword 0xae972dbec4b407ca
59960 .xword 0xfd04b1a7816fe43c
59961 .xword 0xd9b1c8e5fc786bb5
59962 .xword 0x9d8604c5fa4a5e2b
59963 .xword 0x44c1445c355f07cd
59964 .xword 0x29740f7ea35646d1
59965 .xword 0x1ee3e069669baafc
59966 .xword 0x84e23ae7a89f5d41
59967 .xword 0x96d7a0442d22d4d2
59968 .xword 0x35fb6dee238fcd28
59969 .xword 0xf9a95023825ca6ad
59970 .xword 0xc1a8e37a92f8c3c0
59971 .xword 0x1d4df6cefdf79358
59972 .xword 0xea86b5556880450c
59973 .xword 0x85873ef2391bfcff
59974 .xword 0x3a78fe2047006c77
59975 .xword 0x0b5d429c4c227ed6
59976 .xword 0x7c4ed4bc5220890d
59977 .xword 0x1791429e57f004da
59978 .xword 0xa09c473b28d7def7
59979 .xword 0xf381f22a223c9bba
59980 .xword 0xae2c28671d6d32b6
59981 .xword 0x495dd9bc9cbfa750
59982 .xword 0x7cf0675c47c997fc
59983 .xword 0x74848c4e787f4a7e
59984 .xword 0x083f7c138add9a81
59985 .xword 0x10f844125a6769b6
59986 .xword 0xb0a992f2a08a28e3
59987 .xword 0xf5287552e7235fe4
59988 .xword 0xdb6280b32c2b9c44
59989 .xword 0xcd2a8b1b08cc8fa1
59990 .xword 0x0629c518ae68d24c
59991 .xword 0x5c7de14c80993467
59992 .xword 0x8bc9a790b4ee5e50
59993 .xword 0x6183ecead059f298
59994 .xword 0x3bc123c7cf4364ca
59995 .xword 0xee9fa4e3320fffdc
59996 .xword 0x5e598af7cd19a9e0
59997 .xword 0xace2eebc6f455bd4
59998 .xword 0x5d8f17c2d0778d39
59999 .xword 0x132f925fec823b54
60000 .xword 0xb292a239148bb3c9
60001 .xword 0x83e99cc8f652e5a4
60002 .xword 0xe82c77f4e532608e
60003 .xword 0x09095715d37846e2
60004 .xword 0xe2c15f097ab9cc27
60005 .xword 0xabe0fdbe4a181048
60006 .xword 0xfaea125d4583cfb6
60007 .xword 0xe7164077c64a70d7
60008 .xword 0xb5d8fdb036c24109
60009 .xword 0xaf01cc662d59c210
60010 .xword 0x1744c3a0ac9cb7c1
60011 .xword 0x890200c560bca75a
60012 .xword 0xfcb746b711d69b62
60013 .xword 0x638de7d7ace7a057
60014 .xword 0x56fb40bbed8c1bee
60015 .xword 0xbef0308d18ce2f12
60016 .xword 0xc2db57330bcc3496
60017 .xword 0x4386f3f912ef569f
60018 .xword 0xfe2d0f2affc90ced
60019 .xword 0xc7fac4295c74ef89
60020 .xword 0x5b9ca34ad966b2c8
60021 .xword 0xb60f5a40a58d47b6
60022 .xword 0xb7b0324f676258c1
60023 .xword 0xee6590557964df06
60024 .xword 0x6d2c8dfb768b36e3
60025 .xword 0x51f6b845535b1b26
60026 .xword 0xb4c0c761efffbe49
60027 .xword 0x59e34fe31573b2c9
60028 .xword 0x7838236dc400cf4b
60029 .xword 0x9d4802d8b957232a
60030 .xword 0x81f233dac1965201
60031 .xword 0x50c598fbd745c728
60032 .xword 0xb28bddefc8432c40
60033 .xword 0x5ae8ae0a617d12d3
60034 .xword 0x4fd06bd768e5e69c
60035 .xword 0x4235f524ed7e9da6
60036 .xword 0xea72352f22943761
60037 .xword 0xd3e25c041abe51b3
60038 .xword 0x42b17459b0608111
60039 .xword 0x02fd6bcbbf4cb049
60040 .xword 0xcbcadc77ba595493
60041_t1_crc_dest:
60042 .xword 0xDEADBEEFDEADBEEF
60043 .xword 0xDEADBEEFDEADBEEF
60044 .xword 0xDEADBEEFDEADBEEF
60045 .xword 0xDEADBEEFDEADBEEF
60046 .xword 0xDEADBEEFDEADBEEF
60047 .xword 0xDEADBEEFDEADBEEF
60048 .xword 0xDEADBEEFDEADBEEF
60049 .xword 0xDEADBEEFDEADBEEF
60050 .xword 0xDEADBEEFDEADBEEF
60051 .xword 0xDEADBEEFDEADBEEF
60052 .xword 0xDEADBEEFDEADBEEF
60053 .xword 0xDEADBEEFDEADBEEF
60054 .xword 0xDEADBEEFDEADBEEF
60055 .xword 0xDEADBEEFDEADBEEF
60056 .xword 0xDEADBEEFDEADBEEF
60057 .xword 0xDEADBEEFDEADBEEF
60058 .xword 0xDEADBEEFDEADBEEF
60059 .xword 0xDEADBEEFDEADBEEF
60060 .xword 0xDEADBEEFDEADBEEF
60061 .xword 0xDEADBEEFDEADBEEF
60062 .xword 0xDEADBEEFDEADBEEF
60063 .xword 0xDEADBEEFDEADBEEF
60064 .xword 0xDEADBEEFDEADBEEF
60065 .xword 0xDEADBEEFDEADBEEF
60066 .xword 0xDEADBEEFDEADBEEF
60067 .xword 0xDEADBEEFDEADBEEF
60068 .xword 0xDEADBEEFDEADBEEF
60069 .xword 0xDEADBEEFDEADBEEF
60070 .xword 0xDEADBEEFDEADBEEF
60071 .xword 0xDEADBEEFDEADBEEF
60072 .xword 0xDEADBEEFDEADBEEF
60073 .xword 0xDEADBEEFDEADBEEF
60074 .xword 0xDEADBEEFDEADBEEF
60075 .xword 0xDEADBEEFDEADBEEF
60076 .xword 0xDEADBEEFDEADBEEF
60077 .xword 0xDEADBEEFDEADBEEF
60078 .xword 0xDEADBEEFDEADBEEF
60079 .xword 0xDEADBEEFDEADBEEF
60080 .xword 0xDEADBEEFDEADBEEF
60081 .xword 0xDEADBEEFDEADBEEF
60082 .xword 0xDEADBEEFDEADBEEF
60083 .xword 0xDEADBEEFDEADBEEF
60084 .xword 0xDEADBEEFDEADBEEF
60085 .xword 0xDEADBEEFDEADBEEF
60086 .xword 0xDEADBEEFDEADBEEF
60087 .xword 0xDEADBEEFDEADBEEF
60088 .xword 0xDEADBEEFDEADBEEF
60089 .xword 0xDEADBEEFDEADBEEF
60090 .xword 0xDEADBEEFDEADBEEF
60091 .xword 0xDEADBEEFDEADBEEF
60092 .xword 0xDEADBEEFDEADBEEF
60093 .xword 0xDEADBEEFDEADBEEF
60094 .xword 0xDEADBEEFDEADBEEF
60095 .xword 0xDEADBEEFDEADBEEF
60096 .xword 0xDEADBEEFDEADBEEF
60097 .xword 0xDEADBEEFDEADBEEF
60098 .xword 0xDEADBEEFDEADBEEF
60099 .xword 0xDEADBEEFDEADBEEF
60100 .xword 0xDEADBEEFDEADBEEF
60101 .xword 0xDEADBEEFDEADBEEF
60102 .xword 0xDEADBEEFDEADBEEF
60103 .xword 0xDEADBEEFDEADBEEF
60104 .xword 0xDEADBEEFDEADBEEF
60105 .xword 0xDEADBEEFDEADBEEF
60106 .xword 0xDEADBEEFDEADBEEF
60107 .xword 0xDEADBEEFDEADBEEF
60108 .xword 0xDEADBEEFDEADBEEF
60109 .xword 0xDEADBEEFDEADBEEF
60110 .xword 0xDEADBEEFDEADBEEF
60111 .xword 0xDEADBEEFDEADBEEF
60112 .xword 0xDEADBEEFDEADBEEF
60113 .xword 0xDEADBEEFDEADBEEF
60114 .xword 0xDEADBEEFDEADBEEF
60115 .xword 0xDEADBEEFDEADBEEF
60116 .xword 0xDEADBEEFDEADBEEF
60117 .xword 0xDEADBEEFDEADBEEF
60118 .xword 0xDEADBEEFDEADBEEF
60119 .xword 0xDEADBEEFDEADBEEF
60120 .xword 0xDEADBEEFDEADBEEF
60121 .xword 0xDEADBEEFDEADBEEF
60122 .xword 0xDEADBEEFDEADBEEF
60123 .xword 0xDEADBEEFDEADBEEF
60124 .xword 0xDEADBEEFDEADBEEF
60125 .xword 0xDEADBEEFDEADBEEF
60126 .xword 0xDEADBEEFDEADBEEF
60127 .xword 0xDEADBEEFDEADBEEF
60128 .xword 0xDEADBEEFDEADBEEF
60129 .xword 0xDEADBEEFDEADBEEF
60130 .xword 0xDEADBEEFDEADBEEF
60131 .xword 0xDEADBEEFDEADBEEF
60132 .xword 0xDEADBEEFDEADBEEF
60133 .xword 0xDEADBEEFDEADBEEF
60134 .xword 0xDEADBEEFDEADBEEF
60135 .xword 0xDEADBEEFDEADBEEF
60136 .xword 0xDEADBEEFDEADBEEF
60137 .xword 0xDEADBEEFDEADBEEF
60138 .xword 0xDEADBEEFDEADBEEF
60139 .xword 0xDEADBEEFDEADBEEF
60140 .xword 0xDEADBEEFDEADBEEF
60141 .xword 0xDEADBEEFDEADBEEF
60142 .xword 0xDEADBEEFDEADBEEF
60143 .xword 0xDEADBEEFDEADBEEF
60144 .xword 0xDEADBEEFDEADBEEF
60145 .xword 0xDEADBEEFDEADBEEF
60146 .xword 0xDEADBEEFDEADBEEF
60147 .xword 0xDEADBEEFDEADBEEF
60148 .xword 0xDEADBEEFDEADBEEF
60149 .xword 0xDEADBEEFDEADBEEF
60150 .xword 0xDEADBEEFDEADBEEF
60151 .xword 0xDEADBEEFDEADBEEF
60152 .xword 0xDEADBEEFDEADBEEF
60153 .xword 0xDEADBEEFDEADBEEF
60154 .xword 0xDEADBEEFDEADBEEF
60155 .xword 0xDEADBEEFDEADBEEF
60156 .xword 0xDEADBEEFDEADBEEF
60157 .xword 0xDEADBEEFDEADBEEF
60158 .xword 0xDEADBEEFDEADBEEF
60159 .xword 0xDEADBEEFDEADBEEF
60160 .xword 0xDEADBEEFDEADBEEF
60161 .xword 0xDEADBEEFDEADBEEF
60162 .xword 0xDEADBEEFDEADBEEF
60163 .xword 0xDEADBEEFDEADBEEF
60164 .xword 0xDEADBEEFDEADBEEF
60165 .xword 0xDEADBEEFDEADBEEF
60166 .xword 0xDEADBEEFDEADBEEF
60167 .xword 0xDEADBEEFDEADBEEF
60168 .xword 0xDEADBEEFDEADBEEF
60169 .xword 0xDEADBEEFDEADBEEF
60170 .xword 0xDEADBEEFDEADBEEF
60171 .xword 0xDEADBEEFDEADBEEF
60172 .xword 0xDEADBEEFDEADBEEF
60173 .xword 0xDEADBEEFDEADBEEF
60174 .xword 0xDEADBEEFDEADBEEF
60175 .xword 0xDEADBEEFDEADBEEF
60176 .xword 0xDEADBEEFDEADBEEF
60177 .xword 0xDEADBEEFDEADBEEF
60178 .xword 0xDEADBEEFDEADBEEF
60179 .xword 0xDEADBEEFDEADBEEF
60180 .xword 0xDEADBEEFDEADBEEF
60181 .xword 0xDEADBEEFDEADBEEF
60182 .xword 0xDEADBEEFDEADBEEF
60183 .xword 0xDEADBEEFDEADBEEF
60184 .xword 0xDEADBEEFDEADBEEF
60185 .xword 0xDEADBEEFDEADBEEF
60186 .xword 0xDEADBEEFDEADBEEF
60187 .xword 0xDEADBEEFDEADBEEF
60188 .xword 0xDEADBEEFDEADBEEF
60189 .xword 0xDEADBEEFDEADBEEF
60190 .xword 0xDEADBEEFDEADBEEF
60191 .xword 0xDEADBEEFDEADBEEF
60192 .xword 0xDEADBEEFDEADBEEF
60193 .xword 0xDEADBEEFDEADBEEF
60194 .xword 0xDEADBEEFDEADBEEF
60195 .xword 0xDEADBEEFDEADBEEF
60196 .xword 0xDEADBEEFDEADBEEF
60197 .xword 0xDEADBEEFDEADBEEF
60198 .xword 0xDEADBEEFDEADBEEF
60199 .xword 0xDEADBEEFDEADBEEF
60200 .xword 0xDEADBEEFDEADBEEF
60201 .xword 0xDEADBEEFDEADBEEF
60202 .xword 0xDEADBEEFDEADBEEF
60203 .xword 0xDEADBEEFDEADBEEF
60204 .xword 0xDEADBEEFDEADBEEF
60205 .xword 0xDEADBEEFDEADBEEF
60206 .xword 0xDEADBEEFDEADBEEF
60207 .xword 0xDEADBEEFDEADBEEF
60208 .xword 0xDEADBEEFDEADBEEF
60209 .xword 0xDEADBEEFDEADBEEF
60210 .xword 0xDEADBEEFDEADBEEF
60211 .xword 0xDEADBEEFDEADBEEF
60212 .xword 0xDEADBEEFDEADBEEF
60213 .xword 0xDEADBEEFDEADBEEF
60214 .xword 0xDEADBEEFDEADBEEF
60215 .xword 0xDEADBEEFDEADBEEF
60216 .xword 0xDEADBEEFDEADBEEF
60217 .xword 0xDEADBEEFDEADBEEF
60218 .xword 0xDEADBEEFDEADBEEF
60219 .xword 0xDEADBEEFDEADBEEF
60220 .xword 0xDEADBEEFDEADBEEF
60221 .xword 0xDEADBEEFDEADBEEF
60222 .xword 0xDEADBEEFDEADBEEF
60223 .xword 0xDEADBEEFDEADBEEF
60224 .xword 0xDEADBEEFDEADBEEF
60225 .xword 0xDEADBEEFDEADBEEF
60226 .xword 0xDEADBEEFDEADBEEF
60227 .xword 0xDEADBEEFDEADBEEF
60228 .xword 0xDEADBEEFDEADBEEF
60229 .xword 0xDEADBEEFDEADBEEF
60230 .xword 0xDEADBEEFDEADBEEF
60231 .xword 0xDEADBEEFDEADBEEF
60232 .xword 0xDEADBEEFDEADBEEF
60233 .xword 0xDEADBEEFDEADBEEF
60234 .xword 0xDEADBEEFDEADBEEF
60235 .xword 0xDEADBEEFDEADBEEF
60236 .xword 0xDEADBEEFDEADBEEF
60237 .xword 0xDEADBEEFDEADBEEF
60238 .xword 0xDEADBEEFDEADBEEF
60239 .xword 0xDEADBEEFDEADBEEF
60240 .xword 0xDEADBEEFDEADBEEF
60241 .xword 0xDEADBEEFDEADBEEF
60242 .xword 0xDEADBEEFDEADBEEF
60243 .xword 0xDEADBEEFDEADBEEF
60244 .xword 0xDEADBEEFDEADBEEF
60245 .xword 0xDEADBEEFDEADBEEF
60246 .xword 0xDEADBEEFDEADBEEF
60247 .xword 0xDEADBEEFDEADBEEF
60248 .xword 0xDEADBEEFDEADBEEF
60249 .xword 0xDEADBEEFDEADBEEF
60250 .xword 0xDEADBEEFDEADBEEF
60251 .xword 0xDEADBEEFDEADBEEF
60252 .xword 0xDEADBEEFDEADBEEF
60253 .xword 0xDEADBEEFDEADBEEF
60254 .xword 0xDEADBEEFDEADBEEF
60255 .xword 0xDEADBEEFDEADBEEF
60256 .xword 0xDEADBEEFDEADBEEF
60257 .xword 0xDEADBEEFDEADBEEF
60258 .xword 0xDEADBEEFDEADBEEF
60259 .xword 0xDEADBEEFDEADBEEF
60260 .xword 0xDEADBEEFDEADBEEF
60261 .xword 0xDEADBEEFDEADBEEF
60262 .xword 0xDEADBEEFDEADBEEF
60263 .xword 0xDEADBEEFDEADBEEF
60264 .xword 0xDEADBEEFDEADBEEF
60265 .xword 0xDEADBEEFDEADBEEF
60266 .xword 0xDEADBEEFDEADBEEF
60267 .xword 0xDEADBEEFDEADBEEF
60268 .xword 0xDEADBEEFDEADBEEF
60269 .xword 0xDEADBEEFDEADBEEF
60270 .xword 0xDEADBEEFDEADBEEF
60271 .xword 0xDEADBEEFDEADBEEF
60272 .xword 0xDEADBEEFDEADBEEF
60273 .xword 0xDEADBEEFDEADBEEF
60274 .xword 0xDEADBEEFDEADBEEF
60275 .xword 0xDEADBEEFDEADBEEF
60276 .xword 0xDEADBEEFDEADBEEF
60277 .xword 0xDEADBEEFDEADBEEF
60278 .xword 0xDEADBEEFDEADBEEF
60279 .xword 0xDEADBEEFDEADBEEF
60280 .xword 0xDEADBEEFDEADBEEF
60281 .xword 0xDEADBEEFDEADBEEF
60282 .xword 0xDEADBEEFDEADBEEF
60283 .xword 0xDEADBEEFDEADBEEF
60284 .xword 0xDEADBEEFDEADBEEF
60285 .xword 0xDEADBEEFDEADBEEF
60286 .xword 0xDEADBEEFDEADBEEF
60287 .xword 0xDEADBEEFDEADBEEF
60288 .xword 0xDEADBEEFDEADBEEF
60289 .xword 0xDEADBEEFDEADBEEF
60290 .xword 0xDEADBEEFDEADBEEF
60291 .xword 0xDEADBEEFDEADBEEF
60292 .xword 0xDEADBEEFDEADBEEF
60293 .xword 0xDEADBEEFDEADBEEF
60294 .xword 0xDEADBEEFDEADBEEF
60295 .xword 0xDEADBEEFDEADBEEF
60296 .xword 0xDEADBEEFDEADBEEF
60297 .xword 0xDEADBEEFDEADBEEF
60298 .xword 0xDEADBEEFDEADBEEF
60299 .xword 0xDEADBEEFDEADBEEF
60300 .xword 0xDEADBEEFDEADBEEF
60301 .xword 0xDEADBEEFDEADBEEF
60302 .xword 0xDEADBEEFDEADBEEF
60303 .xword 0xDEADBEEFDEADBEEF
60304 .xword 0xDEADBEEFDEADBEEF
60305 .xword 0xDEADBEEFDEADBEEF
60306 .xword 0xDEADBEEFDEADBEEF
60307 .xword 0xDEADBEEFDEADBEEF
60308 .xword 0xDEADBEEFDEADBEEF
60309 .xword 0xDEADBEEFDEADBEEF
60310 .xword 0xDEADBEEFDEADBEEF
60311 .xword 0xDEADBEEFDEADBEEF
60312 .xword 0xDEADBEEFDEADBEEF
60313 .xword 0xDEADBEEFDEADBEEF
60314 .xword 0xDEADBEEFDEADBEEF
60315 .xword 0xDEADBEEFDEADBEEF
60316 .xword 0xDEADBEEFDEADBEEF
60317_t1_crc_auth_key:
60318 .xword 0x133426d1e18dd50b
60319 .xword 0xb5cd57a4b1b2f01b
60320 .xword 0xf02df1671fdcbbe7
60321 .xword 0x57c6ccabe1d41956
60322 .xword 0x0f5dd69066a82b2c
60323 .xword 0xb94a27808c7a3c30
60324 .xword 0x5cb1197724e6f78b
60325 .xword 0xbd329830c6612dea
60326 .xword 0x528b06380398ac20
60327 .xword 0x0160ab001d50f2f5
60328 .xword 0x5566e5c7dc667eee
60329 .xword 0x6d8b23162344b38c
60330 .xword 0x25d27c010a0e2c9a
60331 .xword 0x99f668241af99b18
60332 .xword 0x7c818e2d6b45eeaa
60333 .xword 0xbf336697701a264d
60334 .xword 0x2ea949effc444498
60335 .xword 0xa3ccfe6febd18974
60336 .xword 0x2cbd826113990262
60337 .xword 0xab879b9ea091dfcb
60338 .xword 0x47490d49944a488b
60339 .xword 0xeb1c89f8a1ca825d
60340 .xword 0x468bcf9d2ce1f10b
60341_t1_crc_auth_iv:
60342 .xword 0x9f7f29461e7a33aa
60343 .xword 0xd89d608723e0ceed
60344 .xword 0x489721ae4c9b782f
60345 .xword 0xfc2b29e13cd9bd5a
60346 .xword 0xe2486ac93f0fd471
60347 .xword 0x501273082a2352a2
60348 .xword 0x233641cf6c285af7
60349 .xword 0xf49f922e5798f0f9
60350 .xword 0xf19b355f5088a199
60351 .xword 0xfe7604cbbeb8865a
60352 .xword 0xf9d2d5dad481d9b9
60353 .xword 0x5af6489ad4f41888
60354 .xword 0x8d8381d9c8561d95
60355 .xword 0x9a3c1316d3ce9181
60356 .xword 0x55f114bcb734a003
60357 .xword 0x3403b4b4fa9d17af
60358 .xword 0xf5c31661f4ffb90d
60359 .xword 0xc0d57dd857cbbce7
60360 .xword 0x54bdc550b80d69fe
60361 .xword 0xaaf9b6bf3a600cee
60362 .xword 0x705aaab07c607e54
60363 .xword 0x08ed493baca3fca8
60364 .xword 0xb3eb63b24af46994
60365_t1_crc_fas_result:
60366 .xword 0xDEADBEEFDEADBEEF
60367 .xword 0xDEADBEEFDEADBEEF
60368 .xword 0xDEADBEEFDEADBEEF
60369 .xword 0xDEADBEEFDEADBEEF
60370 .xword 0xDEADBEEFDEADBEEF
60371 .xword 0xDEADBEEFDEADBEEF
60372 .xword 0xDEADBEEFDEADBEEF
60373 .xword 0xDEADBEEFDEADBEEF
60374 .xword 0xDEADBEEFDEADBEEF
60375 .xword 0xDEADBEEFDEADBEEF
60376 .xword 0xDEADBEEFDEADBEEF
60377 .xword 0xDEADBEEFDEADBEEF
60378 .xword 0xDEADBEEFDEADBEEF
60379 .xword 0xDEADBEEFDEADBEEF
60380 .xword 0xDEADBEEFDEADBEEF
60381 .xword 0xDEADBEEFDEADBEEF
60382 .xword 0xDEADBEEFDEADBEEF
60383 .xword 0xDEADBEEFDEADBEEF
60384 .xword 0xDEADBEEFDEADBEEF
60385 .xword 0xDEADBEEFDEADBEEF
60386 .xword 0xDEADBEEFDEADBEEF
60387 .xword 0xDEADBEEFDEADBEEF
60388 .xword 0xDEADBEEFDEADBEEF
60389_t1_hash_key_array:
60390 .xword 0xf4fd9d1c074127c4
60391 .xword 0xefd82ffe00afc938
60392 .xword 0x6fb7ea6a140b1a36
60393 .xword 0x28e22f6851e0ca5c
60394 .xword 0x7670ee9c44caf050
60395 .xword 0xf72ddd8766ef14e3
60396 .xword 0x0488b23b7d09987f
60397 .xword 0x4d33365b42fe3ab4
60398 .xword 0x463651b63791e236
60399 .xword 0xe362aa713930b887
60400 .xword 0xe7d0fc578ed2768f
60401 .xword 0x2e7380d2d2cd262f
60402 .xword 0x1bd9f8275d55cb20
60403 .xword 0xbb5b20d60fbf78aa
60404 .xword 0xdc5feaee9792048f
60405 .xword 0x01970f4c56adf0a1
60406 .xword 0xdc0893ec9528e0bc
60407 .xword 0x8ff1a8342e2d8d82
60408 .xword 0x979f63a0eceb32d6
60409 .xword 0xf7ab367ae31d9c12
60410 .xword 0x494d3d7071ba197b
60411 .xword 0x70481aff052daa21
60412 .xword 0x1c51f687dee95a36
60413 .xword 0x2238dd73a6bb85b1
60414 .xword 0x981002fc9f3cba51
60415 .xword 0x8e688487075edda5
60416 .xword 0x52354a014df164b0
60417 .xword 0x4a23205f9afd014f
60418 .xword 0x5ddb4d06183bfc79
60419 .xword 0xea3d93da5a90f3d4
60420 .xword 0xe243a873e7c52d6a
60421 .xword 0x54cbc2d8c59a90f9
60422 .xword 0x47b97f96de39a62b
60423 .xword 0x96b9b2b6e3c83b33
60424 .xword 0x7daaf52b60aa03a9
60425 .xword 0xf480886f884c556a
60426 .xword 0xf8e5a68cb29a14f9
60427 .xword 0x1c09f2917be42b70
60428 .xword 0xa2f233df5864d465
60429 .xword 0x9c12b5f04a32405c
60430 .xword 0x668e4f533d7f1a5f
60431 .xword 0xf01162cb4b75274d
60432 .xword 0x998d514e936f7d29
60433 .xword 0xc9b1e5d1f4f88611
60434 .xword 0xa8cca26a94b1cec2
60435 .xword 0x71353f157902c41e
60436 .xword 0x8b9f47e451b07d11
60437 .xword 0xb7efb82ed30b4a51
60438 .xword 0x635e64237bc9e0df
60439 .xword 0xc36e8ffd242ce3ba
60440 .xword 0x5d0ce8db0215a553
60441_t1_hash_iv_array:
60442 .xword 0x8abc09739b451db5
60443 .xword 0x5f5b8eb20468ad9d
60444 .xword 0xf7e0b77c2708214b
60445 .xword 0x80d7e680eded96be
60446 .xword 0x24846a63eb174f44
60447 .xword 0x631831a205721455
60448 .xword 0xf0012ac8afad2e9f
60449 .xword 0x7bba49c60d1ab01f
60450 .xword 0x81e50af9dadaf5b3
60451 .xword 0xed91a653174c29bc
60452 .xword 0x14aa2fab1af099ec
60453 .xword 0x66b50d64990de89b
60454 .xword 0xab8ae49c01f18f0e
60455 .xword 0xbf8e36df2e91cda0
60456 .xword 0xede3f0a6bc1549a7
60457 .xword 0xd98f285f9e75f2c2
60458 .xword 0x28fc6c1707a8f305
60459 .xword 0x27b09a7b63ab3778
60460 .xword 0xa08604d8e7da49b2
60461 .xword 0x15ae9704d1685253
60462 .xword 0xe7397daa7bf585a2
60463 .xword 0x91317f85f6945aba
60464 .xword 0x7477b4e01810b8d0
60465 .xword 0x8ea477c8a5dcb099
60466 .xword 0xf8b3b578d83bac03
60467 .xword 0x5d9bc5e61dba9b68
60468 .xword 0x7ea08ee8f47ef423
60469 .xword 0x429cf3e0fd085e6b
60470 .xword 0xb31c1409ff403b95
60471 .xword 0x77f1fe2d80168079
60472 .xword 0xafb1fd474bb99662
60473 .xword 0x2eda7b576ceeab51
60474 .xword 0xdaf8f5e0719a969c
60475 .xword 0x125f9fc18128b77d
60476 .xword 0x600098c9cf412da3
60477 .xword 0x9e73ff8ae6e00556
60478 .xword 0x3553fdfbaf62ca2f
60479 .xword 0x2b02ddf858e90e01
60480 .xword 0xb4a8e44aeb6f9dcc
60481 .xword 0x7e4fa42c9dbd09de
60482 .xword 0x463b0ff258b84a6a
60483 .xword 0xcbffdd12ef9b4b70
60484 .xword 0xd0e901ec582559d3
60485 .xword 0x79f2e28c0bfaac36
60486 .xword 0xd57d37d81ec6ddbc
60487_t1_hash_alignment_array:
60488 .xword 14
60489 .xword 11
60490 .xword 15
60491 .xword 15
60492 .xword 5
60493 .xword 8
60494 .xword 7
60495 .xword 8
60496 .xword 3
60497 .xword 11
60498 .xword 13
60499 .xword 6
60500 .xword 6
60501 .xword 8
60502 .xword 4
60503 .xword 9
60504 .xword 11
60505 .xword 15
60506 .xword 7
60507 .xword 5
60508 .xword 2
60509 .xword 3
60510 .xword 4
60511 .xword 1
60512 .xword 10
60513 .xword 13
60514 .xword 11
60515 .xword 0
60516 .xword 13
60517 .xword 11
60518 .xword 4
60519 .xword 2
60520 .xword 12
60521 .xword 6
60522 .xword 15
60523 .xword 1
60524 .xword 1
60525 .xword 9
60526 .xword 9
60527 .xword 11
60528 .xword 2
60529 .xword 6
60530 .xword 12
60531 .xword 1
60532 .xword 2
60533 .xword 3
60534 .xword 2
60535 .xword 1
60536 .xword 13
60537 .xword 10
60538 .xword 14
60539 .xword 4
60540 .xword 11
60541 .xword 4
60542 .xword 10
60543 .xword 0
60544 .xword 14
60545 .xword 4
60546 .xword 4
60547 .xword 14
60548 .xword 2
60549 .xword 9
60550 .xword 7
60551 .xword 0
60552 .xword 3
60553 .xword 9
60554 .xword 10
60555 .xword 5
60556 .xword 12
60557 .xword 12
60558 .xword 9
60559 .xword 10
60560 .xword 4
60561 .xword 10
60562 .xword 8
60563 .xword 9
60564 .xword 12
60565 .xword 1
60566 .xword 5
60567 .xword 12
60568 .xword 0
60569 .xword 4
60570 .xword 7
60571 .xword 3
60572 .xword 10
60573 .xword 15
60574 .xword 1
60575 .xword 4
60576 .xword 7
60577 .xword 1
60578 .xword 7
60579 .xword 15
60580 .xword 11
60581 .xword 0
60582 .xword 6
60583 .xword 15
60584 .xword 6
60585 .xword 2
60586 .xword 4
60587 .xword 13
60588 .xword 10
60589 .xword 4
60590 .xword 8
60591 .xword 0
60592 .xword 0
60593_t1_hash_src:
60594 .xword 0xf5447b2b3c67d261
60595 .xword 0x551b22b5556db798
60596 .xword 0xad2ed13ded144998
60597 .xword 0x6082374ecc809993
60598 .xword 0x3635df8e2be5c8aa
60599 .xword 0x5d2e92bdcc8283bf
60600 .xword 0xc80f8f21c25f8653
60601 .xword 0xed7480de0ca0bc99
60602 .xword 0x4140636d0c81d561
60603 .xword 0x623b5289d2da7b80
60604 .xword 0x69bdef8cb6db8fa8
60605 .xword 0x3613afb8ebf6dcde
60606 .xword 0x5395a2c6827bfa46
60607 .xword 0xf57c07a2a0cab84a
60608 .xword 0xbb0dbb67cdf35a09
60609 .xword 0xe9e472c1177a3951
60610 .xword 0x2fd73ff15c8ddd5c
60611 .xword 0xaa37065126ab8311
60612 .xword 0xa87adb2f18ab0985
60613 .xword 0xbe3f98579736bd6b
60614 .xword 0xaf6999e52a8e953f
60615 .xword 0x5a9bbad51b74a62f
60616 .xword 0x89d97062aa9e0c4d
60617 .xword 0xf0122c22a0427158
60618 .xword 0xd321c79f9398bcf5
60619 .xword 0x0aba38a7a2e8ed3d
60620 .xword 0xacc0c15ea87110b1
60621 .xword 0x572ccb2f351eb084
60622 .xword 0x2b0f63b450f75599
60623 .xword 0x034f69e0a021aeba
60624 .xword 0x21f95f065fdc15c1
60625 .xword 0x9d8b60719c3ff9f6
60626 .xword 0x6c54a9194fef147b
60627 .xword 0x0d294179930db392
60628 .xword 0xe4dde671fa4d8a80
60629 .xword 0x0c0fc038a7daece9
60630 .xword 0xac4e3140e413597a
60631 .xword 0x1db1f16a887a54ee
60632 .xword 0x605bc5758f2cc9cb
60633 .xword 0xdd65fe7291365076
60634 .xword 0x56e9286e3186f5d1
60635 .xword 0x6ea3bfebbb486c1a
60636 .xword 0x2bf208a858c5c621
60637 .xword 0x239411108c52e2d6
60638 .xword 0x5d9797f78ab19bc0
60639 .xword 0xc932e228290ea9bb
60640 .xword 0xac2e74b44c4d674a
60641 .xword 0x1ae46e0f041c96d9
60642 .xword 0x2bf54d6e6dc9515a
60643 .xword 0xeef09350c3765294
60644 .xword 0x6929af6a7ef195ec
60645 .xword 0x5a0da21194f621a0
60646 .xword 0x03e9b6e2eb75bed7
60647 .xword 0x22b0b8a32120a8e0
60648 .xword 0x8eb2a5a161ded686
60649 .xword 0xa12740892745b984
60650 .xword 0x2fe7c37752019515
60651 .xword 0x9b4ca4b8e5620ad3
60652 .xword 0x924938573d74fc86
60653 .xword 0xdd7fd46f4590077b
60654 .xword 0xa2881e8a388362a1
60655 .xword 0x24f5edd52be8b250
60656 .xword 0xf303b758b10bce4d
60657 .xword 0xec0d2b030ce60d18
60658 .xword 0x9f31ac294287be72
60659 .xword 0x2fb945571861b117
60660 .xword 0x3a6ac7b0b3badec6
60661 .xword 0x59f4fcc6eb7ba577
60662 .xword 0x5f125c17688e40d3
60663 .xword 0xe4f1d718143ea9dc
60664 .xword 0x368ef7a28d35f3e9
60665 .xword 0xffa735330949e7e4
60666 .xword 0x0a9d1bd9e71aac36
60667 .xword 0xc6c386b53fe70382
60668 .xword 0xf1a01a648c2ad6e7
60669 .xword 0x5b7ae5cc74487ba6
60670 .xword 0x41a1b234f2bc05ad
60671 .xword 0x7257fd159f85c4ff
60672 .xword 0x1da5f5fd23170161
60673 .xword 0xdd958a05616adeb7
60674 .xword 0x4626b5c85df4c73a
60675 .xword 0xf48870c59e18f71c
60676 .xword 0x08ae66438863b0a0
60677 .xword 0xef8effc594d12908
60678 .xword 0xc8b304e1d8514d31
60679 .xword 0xea5cf6c8f31a1107
60680 .xword 0x390be7f9c7d4b243
60681 .xword 0x4b5bb2a81dd60c2e
60682 .xword 0xe9284cdc398c3aec
60683 .xword 0x7ed089ff274abcfb
60684 .xword 0x7aa7bb3efb70beef
60685 .xword 0x85fca0cc31569874
60686 .xword 0x09ab7a1056b5ba1b
60687 .xword 0xf8e57eb0a9876412
60688 .xword 0xb31a15644bcf9d77
60689 .xword 0x3367bcc0a2275b4a
60690 .xword 0x8e057b375e239033
60691 .xword 0x3dab1d1b4ff5663a
60692 .xword 0x37fd7369cb9fb2f7
60693 .xword 0xcb8a6925755c9229
60694 .xword 0x8c3248d0367d6283
60695 .xword 0x5e21f9409781c3f4
60696 .xword 0x632050dc62114479
60697 .xword 0x24c77e14c0947f2b
60698 .xword 0x3ebda1f3b686d241
60699 .xword 0xf9d3783d92f94cc5
60700 .xword 0x02a02c26b717283c
60701 .xword 0x512e9176d3ea9f44
60702 .xword 0x10e716b6f5fb383a
60703 .xword 0x8a1034476e831745
60704 .xword 0xdf1e073df8484475
60705 .xword 0x9cf27a6ba421a1ac
60706 .xword 0x4439368c945dab09
60707 .xword 0x53e84be5acf46c2f
60708 .xword 0x26616c55157f295b
60709 .xword 0x8baeffa7b613e791
60710 .xword 0xe2ac093a652d0248
60711 .xword 0x2ee856bbb932c0c1
60712 .xword 0x14432bc81217ec68
60713 .xword 0x439cd415f88254d2
60714 .xword 0xb021747a0a25cd8a
60715 .xword 0x81150fcac419f03f
60716 .xword 0xd2a4ed16d612e49b
60717 .xword 0x98cbf812c61592bc
60718 .xword 0x312528a16707b019
60719 .xword 0x8a2643df847c1300
60720 .xword 0xdc6e111aa23ebb46
60721 .xword 0x983650b284fc874b
60722 .xword 0xd2dc740f89b1bce8
60723 .xword 0x2c57fc3e34b829c6
60724 .xword 0x12ef0b07ac6ff7c7
60725 .xword 0x37b03a1bdf75a24e
60726 .xword 0xc83a491b0b2e7d69
60727 .xword 0xd44b6c5d3001fd99
60728 .xword 0x81af0c0aba362ca4
60729 .xword 0x5e36f937f353118d
60730 .xword 0xb74f4190d92b208d
60731 .xword 0x4b8250f40eb2045b
60732 .xword 0x815dd9f00c6709e4
60733 .xword 0x8d6efe160200adad
60734 .xword 0xff190a2c7147883a
60735 .xword 0xdb1063c99699327c
60736 .xword 0x98479aa18e555000
60737 .xword 0x082c02cd1aff2d3f
60738 .xword 0x2c0331476884130b
60739 .xword 0x981d799d62244c25
60740 .xword 0xc0d61cfa7d3751ca
60741 .xword 0xaefddd4d0d460246
60742 .xword 0x5d00ef96c4154a04
60743 .xword 0x447f9e629026e158
60744 .xword 0xd535aacad9072cfd
60745 .xword 0x77629b52f3fa86dd
60746 .xword 0xde12e2c0c5330668
60747 .xword 0xea24435da8265bfb
60748 .xword 0x3a972e9f0c2d7380
60749 .xword 0x9c716c6c7766707c
60750 .xword 0xba45cdb49a186e7b
60751 .xword 0xdabeab0b6b15045e
60752 .xword 0x843185bbeadef01b
60753 .xword 0x8ad9bb766ff8face
60754 .xword 0x3e4a0d4a24be98de
60755 .xword 0x296cd488c35d1115
60756 .xword 0x41b5f56bbf8179a5
60757 .xword 0x544aecf7f3198f32
60758 .xword 0x6477d5e0c27a73db
60759 .xword 0xe1fff8c23f96952c
60760 .xword 0xf3320ab459b5912e
60761 .xword 0x1f31ddbcd00aa38b
60762 .xword 0x9965638433cdd0c5
60763 .xword 0xae382d7f317509d8
60764 .xword 0xc8b36ec52d7376ed
60765 .xword 0xdfdff61908b39a75
60766 .xword 0x6c7405a968763215
60767 .xword 0x7a78d9e5e8ef8ead
60768 .xword 0x4031b32576771f65
60769 .xword 0x6367dbf223902cd9
60770 .xword 0x24f56a2cbeee2700
60771 .xword 0x764c21c240cc340b
60772 .xword 0x91ae0072b97e1628
60773 .xword 0x64bdc57154a5b70f
60774 .xword 0x58e222316b640c75
60775 .xword 0x6278939fa1bdb974
60776 .xword 0x45cdaf885c02ceb5
60777 .xword 0x715cd166a9c6b4cb
60778 .xword 0x10abba64ae95373d
60779 .xword 0x735acfbcb45bcba3
60780 .xword 0x73d3d13c709ba660
60781 .xword 0xaf3d41e71119f7d5
60782 .xword 0x1d1002367186d5d3
60783 .xword 0x191a4fde01d3f3e2
60784 .xword 0x53ec13314811bde9
60785 .xword 0x8604ceabee20c09c
60786 .xword 0x5d92cc2cc2e869cc
60787 .xword 0x5aaa52d0d786ca29
60788 .xword 0xaa0e98a5fc9457b1
60789 .xword 0x81ccffb5768e5d39
60790 .xword 0x1d58634cd122196d
60791 .xword 0x43b3b384aef3ce60
60792 .xword 0xee5e9799b7392874
60793 .xword 0x2156360089e92f52
60794 .xword 0x3ad6e17e1819a37b
60795 .xword 0x1a64ce7bd1e30172
60796 .xword 0x7263abf9f3eb7214
60797 .xword 0xb75c5d86b3df04bf
60798 .xword 0x2cf14311200a2461
60799 .xword 0xd5c86668db0d91b6
60800 .xword 0x5ba09b85635e131a
60801 .xword 0xb0327edb09a96c11
60802 .xword 0xcbba9925f110b6ae
60803 .xword 0xa360cda9a6bce80c
60804 .xword 0x48893c25afaf7abb
60805 .xword 0x72c2bd39c3a7c6e9
60806 .xword 0x03b5c3c3db16d86c
60807 .xword 0x713e46373ed99242
60808 .xword 0x2cd1ebab890fc985
60809 .xword 0x05c96a8e4886d5cc
60810 .xword 0x17b89facd20b4ac3
60811 .xword 0x98235bc9967400fc
60812 .xword 0xb64a5fc192ba868c
60813 .xword 0xa0ec586a7d2489b6
60814 .xword 0x89a02767fa5ba1a5
60815 .xword 0x1172d185efafe9eb
60816 .xword 0xc4de6303241aabd2
60817 .xword 0x0a181c160f45f8ff
60818 .xword 0x313cafb1d563571b
60819 .xword 0x2f2ad24f1d832e14
60820 .xword 0xdf26362bc89dc957
60821 .xword 0x6cb2c4dcbd7a5ca2
60822 .xword 0xa3e3351279a6d276
60823 .xword 0x24c814394463441b
60824 .xword 0x330d82f4ebd3315c
60825 .xword 0x89dd337690afd1ef
60826 .xword 0x54aa351e4a922709
60827 .xword 0x36a7e5783fd07f19
60828 .xword 0x76c5430bd196e6d1
60829 .xword 0xff2205906363b508
60830 .xword 0x7f6c47f89f3de604
60831 .xword 0xb98e35311577022e
60832 .xword 0x39bd81f8285121ed
60833 .xword 0x0a0f97026fbd64a5
60834 .xword 0x063da10a1d6d6ce6
60835 .xword 0xe7bbc98652ba046f
60836 .xword 0x3fef767176f2fc37
60837 .xword 0xa60ab944e9fa8ddd
60838 .xword 0x1011758d98676626
60839 .xword 0x25ec0b86016ec26f
60840 .xword 0x4a40cf681fd7aaae
60841 .xword 0xa07373d1dd6cd372
60842 .xword 0xde3b0f5e596b7b0c
60843 .xword 0x6a63bf6abc57c77a
60844 .xword 0xe1be03d64ddc17cd
60845 .xword 0x9d791302106a2594
60846 .xword 0x610a40067025cd46
60847 .xword 0x1848da9f5b268fab
60848 .xword 0x595ca04c9eea1aca
60849 .xword 0xd6617c98779f051c
60850 .xword 0x5992addc41db6f88
60851 .xword 0x808eb05cdc25ae1c
60852 .xword 0xb85c268a614cd968
60853 .xword 0x9f8f6b1ef2b7ce60
60854 .xword 0x75d816227e1c7deb
60855 .xword 0x05444af99b3796b1
60856 .xword 0x5aead7c45106c65a
60857 .xword 0x578e0b1ab8cb8472
60858 .xword 0x2a8346983e88016e
60859 .xword 0x2215775fb7761fa6
60860 .xword 0xdc686093d7982520
60861 .xword 0x732a1c580774eda1
60862 .xword 0x6984205e2103ffa3
60863 .xword 0xf2bf62fb15763fa2
60864 .xword 0x05676c4379bb0300
60865 .xword 0x98f89cbdc39f1cd3
60866 .xword 0x9c381ccb56d1623f
60867 .xword 0x99ba79f5783d6c3e
60868 .xword 0xf55449fba1f1f81a
60869_t1_hash_dest:
60870 .xword 0xDEADBEEFDEADBEEF
60871 .xword 0xDEADBEEFDEADBEEF
60872 .xword 0xDEADBEEFDEADBEEF
60873 .xword 0xDEADBEEFDEADBEEF
60874 .xword 0xDEADBEEFDEADBEEF
60875 .xword 0xDEADBEEFDEADBEEF
60876 .xword 0xDEADBEEFDEADBEEF
60877 .xword 0xDEADBEEFDEADBEEF
60878 .xword 0xDEADBEEFDEADBEEF
60879 .xword 0xDEADBEEFDEADBEEF
60880 .xword 0xDEADBEEFDEADBEEF
60881 .xword 0xDEADBEEFDEADBEEF
60882 .xword 0xDEADBEEFDEADBEEF
60883 .xword 0xDEADBEEFDEADBEEF
60884 .xword 0xDEADBEEFDEADBEEF
60885 .xword 0xDEADBEEFDEADBEEF
60886 .xword 0xDEADBEEFDEADBEEF
60887 .xword 0xDEADBEEFDEADBEEF
60888 .xword 0xDEADBEEFDEADBEEF
60889 .xword 0xDEADBEEFDEADBEEF
60890 .xword 0xDEADBEEFDEADBEEF
60891 .xword 0xDEADBEEFDEADBEEF
60892 .xword 0xDEADBEEFDEADBEEF
60893 .xword 0xDEADBEEFDEADBEEF
60894 .xword 0xDEADBEEFDEADBEEF
60895 .xword 0xDEADBEEFDEADBEEF
60896 .xword 0xDEADBEEFDEADBEEF
60897 .xword 0xDEADBEEFDEADBEEF
60898 .xword 0xDEADBEEFDEADBEEF
60899 .xword 0xDEADBEEFDEADBEEF
60900 .xword 0xDEADBEEFDEADBEEF
60901 .xword 0xDEADBEEFDEADBEEF
60902 .xword 0xDEADBEEFDEADBEEF
60903 .xword 0xDEADBEEFDEADBEEF
60904 .xword 0xDEADBEEFDEADBEEF
60905 .xword 0xDEADBEEFDEADBEEF
60906 .xword 0xDEADBEEFDEADBEEF
60907 .xword 0xDEADBEEFDEADBEEF
60908 .xword 0xDEADBEEFDEADBEEF
60909 .xword 0xDEADBEEFDEADBEEF
60910 .xword 0xDEADBEEFDEADBEEF
60911 .xword 0xDEADBEEFDEADBEEF
60912 .xword 0xDEADBEEFDEADBEEF
60913 .xword 0xDEADBEEFDEADBEEF
60914 .xword 0xDEADBEEFDEADBEEF
60915 .xword 0xDEADBEEFDEADBEEF
60916 .xword 0xDEADBEEFDEADBEEF
60917 .xword 0xDEADBEEFDEADBEEF
60918 .xword 0xDEADBEEFDEADBEEF
60919 .xword 0xDEADBEEFDEADBEEF
60920 .xword 0xDEADBEEFDEADBEEF
60921 .xword 0xDEADBEEFDEADBEEF
60922 .xword 0xDEADBEEFDEADBEEF
60923 .xword 0xDEADBEEFDEADBEEF
60924 .xword 0xDEADBEEFDEADBEEF
60925 .xword 0xDEADBEEFDEADBEEF
60926 .xword 0xDEADBEEFDEADBEEF
60927 .xword 0xDEADBEEFDEADBEEF
60928 .xword 0xDEADBEEFDEADBEEF
60929 .xword 0xDEADBEEFDEADBEEF
60930 .xword 0xDEADBEEFDEADBEEF
60931 .xword 0xDEADBEEFDEADBEEF
60932 .xword 0xDEADBEEFDEADBEEF
60933 .xword 0xDEADBEEFDEADBEEF
60934 .xword 0xDEADBEEFDEADBEEF
60935 .xword 0xDEADBEEFDEADBEEF
60936 .xword 0xDEADBEEFDEADBEEF
60937 .xword 0xDEADBEEFDEADBEEF
60938 .xword 0xDEADBEEFDEADBEEF
60939 .xword 0xDEADBEEFDEADBEEF
60940 .xword 0xDEADBEEFDEADBEEF
60941 .xword 0xDEADBEEFDEADBEEF
60942 .xword 0xDEADBEEFDEADBEEF
60943 .xword 0xDEADBEEFDEADBEEF
60944 .xword 0xDEADBEEFDEADBEEF
60945 .xword 0xDEADBEEFDEADBEEF
60946 .xword 0xDEADBEEFDEADBEEF
60947 .xword 0xDEADBEEFDEADBEEF
60948 .xword 0xDEADBEEFDEADBEEF
60949 .xword 0xDEADBEEFDEADBEEF
60950 .xword 0xDEADBEEFDEADBEEF
60951 .xword 0xDEADBEEFDEADBEEF
60952 .xword 0xDEADBEEFDEADBEEF
60953 .xword 0xDEADBEEFDEADBEEF
60954 .xword 0xDEADBEEFDEADBEEF
60955 .xword 0xDEADBEEFDEADBEEF
60956 .xword 0xDEADBEEFDEADBEEF
60957 .xword 0xDEADBEEFDEADBEEF
60958 .xword 0xDEADBEEFDEADBEEF
60959 .xword 0xDEADBEEFDEADBEEF
60960 .xword 0xDEADBEEFDEADBEEF
60961 .xword 0xDEADBEEFDEADBEEF
60962 .xword 0xDEADBEEFDEADBEEF
60963 .xword 0xDEADBEEFDEADBEEF
60964 .xword 0xDEADBEEFDEADBEEF
60965 .xword 0xDEADBEEFDEADBEEF
60966 .xword 0xDEADBEEFDEADBEEF
60967 .xword 0xDEADBEEFDEADBEEF
60968 .xword 0xDEADBEEFDEADBEEF
60969 .xword 0xDEADBEEFDEADBEEF
60970 .xword 0xDEADBEEFDEADBEEF
60971 .xword 0xDEADBEEFDEADBEEF
60972 .xword 0xDEADBEEFDEADBEEF
60973 .xword 0xDEADBEEFDEADBEEF
60974 .xword 0xDEADBEEFDEADBEEF
60975 .xword 0xDEADBEEFDEADBEEF
60976 .xword 0xDEADBEEFDEADBEEF
60977 .xword 0xDEADBEEFDEADBEEF
60978 .xword 0xDEADBEEFDEADBEEF
60979 .xword 0xDEADBEEFDEADBEEF
60980 .xword 0xDEADBEEFDEADBEEF
60981 .xword 0xDEADBEEFDEADBEEF
60982 .xword 0xDEADBEEFDEADBEEF
60983 .xword 0xDEADBEEFDEADBEEF
60984 .xword 0xDEADBEEFDEADBEEF
60985 .xword 0xDEADBEEFDEADBEEF
60986 .xword 0xDEADBEEFDEADBEEF
60987 .xword 0xDEADBEEFDEADBEEF
60988 .xword 0xDEADBEEFDEADBEEF
60989 .xword 0xDEADBEEFDEADBEEF
60990 .xword 0xDEADBEEFDEADBEEF
60991 .xword 0xDEADBEEFDEADBEEF
60992 .xword 0xDEADBEEFDEADBEEF
60993 .xword 0xDEADBEEFDEADBEEF
60994 .xword 0xDEADBEEFDEADBEEF
60995 .xword 0xDEADBEEFDEADBEEF
60996 .xword 0xDEADBEEFDEADBEEF
60997 .xword 0xDEADBEEFDEADBEEF
60998 .xword 0xDEADBEEFDEADBEEF
60999 .xword 0xDEADBEEFDEADBEEF
61000 .xword 0xDEADBEEFDEADBEEF
61001 .xword 0xDEADBEEFDEADBEEF
61002 .xword 0xDEADBEEFDEADBEEF
61003 .xword 0xDEADBEEFDEADBEEF
61004 .xword 0xDEADBEEFDEADBEEF
61005 .xword 0xDEADBEEFDEADBEEF
61006 .xword 0xDEADBEEFDEADBEEF
61007 .xword 0xDEADBEEFDEADBEEF
61008 .xword 0xDEADBEEFDEADBEEF
61009 .xword 0xDEADBEEFDEADBEEF
61010 .xword 0xDEADBEEFDEADBEEF
61011 .xword 0xDEADBEEFDEADBEEF
61012 .xword 0xDEADBEEFDEADBEEF
61013 .xword 0xDEADBEEFDEADBEEF
61014 .xword 0xDEADBEEFDEADBEEF
61015 .xword 0xDEADBEEFDEADBEEF
61016 .xword 0xDEADBEEFDEADBEEF
61017 .xword 0xDEADBEEFDEADBEEF
61018 .xword 0xDEADBEEFDEADBEEF
61019 .xword 0xDEADBEEFDEADBEEF
61020 .xword 0xDEADBEEFDEADBEEF
61021 .xword 0xDEADBEEFDEADBEEF
61022 .xword 0xDEADBEEFDEADBEEF
61023 .xword 0xDEADBEEFDEADBEEF
61024 .xword 0xDEADBEEFDEADBEEF
61025 .xword 0xDEADBEEFDEADBEEF
61026 .xword 0xDEADBEEFDEADBEEF
61027 .xword 0xDEADBEEFDEADBEEF
61028 .xword 0xDEADBEEFDEADBEEF
61029 .xword 0xDEADBEEFDEADBEEF
61030 .xword 0xDEADBEEFDEADBEEF
61031 .xword 0xDEADBEEFDEADBEEF
61032 .xword 0xDEADBEEFDEADBEEF
61033 .xword 0xDEADBEEFDEADBEEF
61034 .xword 0xDEADBEEFDEADBEEF
61035 .xword 0xDEADBEEFDEADBEEF
61036 .xword 0xDEADBEEFDEADBEEF
61037 .xword 0xDEADBEEFDEADBEEF
61038 .xword 0xDEADBEEFDEADBEEF
61039 .xword 0xDEADBEEFDEADBEEF
61040 .xword 0xDEADBEEFDEADBEEF
61041 .xword 0xDEADBEEFDEADBEEF
61042 .xword 0xDEADBEEFDEADBEEF
61043 .xword 0xDEADBEEFDEADBEEF
61044 .xword 0xDEADBEEFDEADBEEF
61045 .xword 0xDEADBEEFDEADBEEF
61046 .xword 0xDEADBEEFDEADBEEF
61047 .xword 0xDEADBEEFDEADBEEF
61048 .xword 0xDEADBEEFDEADBEEF
61049 .xword 0xDEADBEEFDEADBEEF
61050 .xword 0xDEADBEEFDEADBEEF
61051 .xword 0xDEADBEEFDEADBEEF
61052 .xword 0xDEADBEEFDEADBEEF
61053 .xword 0xDEADBEEFDEADBEEF
61054 .xword 0xDEADBEEFDEADBEEF
61055 .xword 0xDEADBEEFDEADBEEF
61056 .xword 0xDEADBEEFDEADBEEF
61057 .xword 0xDEADBEEFDEADBEEF
61058 .xword 0xDEADBEEFDEADBEEF
61059 .xword 0xDEADBEEFDEADBEEF
61060 .xword 0xDEADBEEFDEADBEEF
61061 .xword 0xDEADBEEFDEADBEEF
61062 .xword 0xDEADBEEFDEADBEEF
61063 .xword 0xDEADBEEFDEADBEEF
61064 .xword 0xDEADBEEFDEADBEEF
61065 .xword 0xDEADBEEFDEADBEEF
61066 .xword 0xDEADBEEFDEADBEEF
61067 .xword 0xDEADBEEFDEADBEEF
61068 .xword 0xDEADBEEFDEADBEEF
61069 .xword 0xDEADBEEFDEADBEEF
61070 .xword 0xDEADBEEFDEADBEEF
61071 .xword 0xDEADBEEFDEADBEEF
61072 .xword 0xDEADBEEFDEADBEEF
61073 .xword 0xDEADBEEFDEADBEEF
61074 .xword 0xDEADBEEFDEADBEEF
61075 .xword 0xDEADBEEFDEADBEEF
61076 .xword 0xDEADBEEFDEADBEEF
61077 .xword 0xDEADBEEFDEADBEEF
61078 .xword 0xDEADBEEFDEADBEEF
61079 .xword 0xDEADBEEFDEADBEEF
61080 .xword 0xDEADBEEFDEADBEEF
61081 .xword 0xDEADBEEFDEADBEEF
61082 .xword 0xDEADBEEFDEADBEEF
61083 .xword 0xDEADBEEFDEADBEEF
61084 .xword 0xDEADBEEFDEADBEEF
61085 .xword 0xDEADBEEFDEADBEEF
61086 .xword 0xDEADBEEFDEADBEEF
61087 .xword 0xDEADBEEFDEADBEEF
61088 .xword 0xDEADBEEFDEADBEEF
61089 .xword 0xDEADBEEFDEADBEEF
61090 .xword 0xDEADBEEFDEADBEEF
61091 .xword 0xDEADBEEFDEADBEEF
61092 .xword 0xDEADBEEFDEADBEEF
61093 .xword 0xDEADBEEFDEADBEEF
61094 .xword 0xDEADBEEFDEADBEEF
61095 .xword 0xDEADBEEFDEADBEEF
61096 .xword 0xDEADBEEFDEADBEEF
61097 .xword 0xDEADBEEFDEADBEEF
61098 .xword 0xDEADBEEFDEADBEEF
61099 .xword 0xDEADBEEFDEADBEEF
61100 .xword 0xDEADBEEFDEADBEEF
61101 .xword 0xDEADBEEFDEADBEEF
61102 .xword 0xDEADBEEFDEADBEEF
61103 .xword 0xDEADBEEFDEADBEEF
61104 .xword 0xDEADBEEFDEADBEEF
61105 .xword 0xDEADBEEFDEADBEEF
61106 .xword 0xDEADBEEFDEADBEEF
61107 .xword 0xDEADBEEFDEADBEEF
61108 .xword 0xDEADBEEFDEADBEEF
61109 .xword 0xDEADBEEFDEADBEEF
61110 .xword 0xDEADBEEFDEADBEEF
61111 .xword 0xDEADBEEFDEADBEEF
61112 .xword 0xDEADBEEFDEADBEEF
61113 .xword 0xDEADBEEFDEADBEEF
61114 .xword 0xDEADBEEFDEADBEEF
61115 .xword 0xDEADBEEFDEADBEEF
61116 .xword 0xDEADBEEFDEADBEEF
61117 .xword 0xDEADBEEFDEADBEEF
61118 .xword 0xDEADBEEFDEADBEEF
61119 .xword 0xDEADBEEFDEADBEEF
61120 .xword 0xDEADBEEFDEADBEEF
61121 .xword 0xDEADBEEFDEADBEEF
61122 .xword 0xDEADBEEFDEADBEEF
61123 .xword 0xDEADBEEFDEADBEEF
61124 .xword 0xDEADBEEFDEADBEEF
61125 .xword 0xDEADBEEFDEADBEEF
61126 .xword 0xDEADBEEFDEADBEEF
61127 .xword 0xDEADBEEFDEADBEEF
61128 .xword 0xDEADBEEFDEADBEEF
61129 .xword 0xDEADBEEFDEADBEEF
61130 .xword 0xDEADBEEFDEADBEEF
61131 .xword 0xDEADBEEFDEADBEEF
61132 .xword 0xDEADBEEFDEADBEEF
61133 .xword 0xDEADBEEFDEADBEEF
61134 .xword 0xDEADBEEFDEADBEEF
61135 .xword 0xDEADBEEFDEADBEEF
61136 .xword 0xDEADBEEFDEADBEEF
61137 .xword 0xDEADBEEFDEADBEEF
61138 .xword 0xDEADBEEFDEADBEEF
61139 .xword 0xDEADBEEFDEADBEEF
61140 .xword 0xDEADBEEFDEADBEEF
61141 .xword 0xDEADBEEFDEADBEEF
61142 .xword 0xDEADBEEFDEADBEEF
61143 .xword 0xDEADBEEFDEADBEEF
61144 .xword 0xDEADBEEFDEADBEEF
61145_t1_hash_auth_key:
61146 .xword 0x5ede9d0bc2415408
61147 .xword 0xe4f08d39d4a2ad5a
61148 .xword 0x31adae815725f481
61149 .xword 0xcee7694cf04e0dbe
61150 .xword 0x8936955ebb6096f2
61151 .xword 0x558cdab157b2ca4a
61152 .xword 0xa7bfe12e0276f7c1
61153 .xword 0xc6da19cd79823b57
61154 .xword 0x69a86a4bc80cdbc4
61155 .xword 0x9a9b25047dcdfb5d
61156 .xword 0xd70b406ebfae4825
61157 .xword 0x4897aea7dbcde408
61158 .xword 0xd41c6c78cf619b62
61159 .xword 0x3a85b9eb234479a8
61160 .xword 0x480e1673c87372a8
61161 .xword 0x0ea20d321c022f4f
61162 .xword 0x12e1484b4427602b
61163 .xword 0xa9b755b7d224bd07
61164 .xword 0xe6cc8f3b2b321c5b
61165 .xword 0xd00dba7a1c9e7904
61166 .xword 0x32863e7a49174bd1
61167 .xword 0xfef4bb0999da4478
61168 .xword 0x07bae13a6069b755
61169_t1_hash_auth_iv:
61170 .xword 0xc9defc7a04abd6cf
61171 .xword 0x025e99e9253ca63a
61172 .xword 0x4266c88154d23ddf
61173 .xword 0x518ea6eefb2dcd13
61174 .xword 0x317e84a4dfd5af63
61175 .xword 0x8b99b83beea36e08
61176 .xword 0xe3737bdea593c1bc
61177 .xword 0x6a7f71f627b133a9
61178 .xword 0x8fb8ec4e199aa9b6
61179 .xword 0xd566daa263a7d8da
61180 .xword 0xbcbbe80d12cc09cd
61181 .xword 0xea25bc6ef0d7e72c
61182 .xword 0xd03e80cc8a35b8a7
61183 .xword 0x88e53ca7a1f26431
61184 .xword 0x60f4de06dfe2a8fe
61185 .xword 0x60da42f1e2eea081
61186 .xword 0x8b76d798fd49b84b
61187 .xword 0x560087dc1b0bab8c
61188 .xword 0x278e64b59cc9b0bc
61189 .xword 0xc0c76a8b3b8acb15
61190 .xword 0x730a2cf3de85c228
61191 .xword 0x6cf941cf6fd2fdf7
61192 .xword 0xc32eb27bafe15c4d
61193_t1_hash_fas_result:
61194 .xword 0xDEADBEEFDEADBEEF
61195 .xword 0xDEADBEEFDEADBEEF
61196 .xword 0xDEADBEEFDEADBEEF
61197 .xword 0xDEADBEEFDEADBEEF
61198 .xword 0xDEADBEEFDEADBEEF
61199 .xword 0xDEADBEEFDEADBEEF
61200 .xword 0xDEADBEEFDEADBEEF
61201 .xword 0xDEADBEEFDEADBEEF
61202 .xword 0xDEADBEEFDEADBEEF
61203 .xword 0xDEADBEEFDEADBEEF
61204 .xword 0xDEADBEEFDEADBEEF
61205 .xword 0xDEADBEEFDEADBEEF
61206 .xword 0xDEADBEEFDEADBEEF
61207 .xword 0xDEADBEEFDEADBEEF
61208 .xword 0xDEADBEEFDEADBEEF
61209 .xword 0xDEADBEEFDEADBEEF
61210 .xword 0xDEADBEEFDEADBEEF
61211 .xword 0xDEADBEEFDEADBEEF
61212 .xword 0xDEADBEEFDEADBEEF
61213 .xword 0xDEADBEEFDEADBEEF
61214 .xword 0xDEADBEEFDEADBEEF
61215 .xword 0xDEADBEEFDEADBEEF
61216 .xword 0xDEADBEEFDEADBEEF
61217_t1_hmac_key_array:
61218 .xword 0x0edf73c3f83ac8ea
61219 .xword 0xcfe309a118ed9bc9
61220 .xword 0x11ca2011ef164c61
61221 .xword 0x4baf92fc7b6a94f9
61222 .xword 0xd2ca7f9209b3387b
61223 .xword 0x3ddb33b3fabd6ec2
61224 .xword 0xe9c51206ed4eae8d
61225 .xword 0x0555575b50169386
61226 .xword 0xb491edd320fff2b9
61227 .xword 0xfe99dad085f5bea7
61228 .xword 0x423660e3e1e2b1c0
61229 .xword 0x05cede6162cc8122
61230 .xword 0x0446a4cb5933877e
61231 .xword 0x08d3daab8fa3923f
61232 .xword 0x1d7b6ee0fdf208c5
61233 .xword 0x69d7965bb01a0c7c
61234 .xword 0xaecc64f20b95f768
61235 .xword 0x6221c4b4b34c7adb
61236 .xword 0x48a2e328ee82b34b
61237 .xword 0x91fd7de20cc3cf5c
61238 .xword 0xc262be6f86b8b832
61239 .xword 0x368ce178be031460
61240 .xword 0x32d4d76c083d4903
61241 .xword 0xd577581d6c1e2f59
61242 .xword 0x2ac26e74569af7e4
61243 .xword 0x322f89db82d2bb60
61244 .xword 0x68ac09c913d1ca95
61245 .xword 0x728299de7286b52b
61246 .xword 0xb69275b1615b5f4b
61247 .xword 0x6579e0fb4770f1e2
61248 .xword 0x1b1342d5111efcab
61249 .xword 0x39350f630cf46d1a
61250 .xword 0x5c812077fcea4fe8
61251 .xword 0xb0b16e4badc23137
61252 .xword 0xce16eee44dc61f0c
61253 .xword 0x0bba0088b06a277f
61254 .xword 0xcf5607280521a57a
61255 .xword 0x46be94c66278c595
61256 .xword 0x54945c7ad9e5b2ca
61257 .xword 0xf7d50b496104063d
61258 .xword 0xf2c6ca4f9990f97a
61259 .xword 0x91ab4e14f5e2bee4
61260 .xword 0x8a69f5b241a41c74
61261 .xword 0xdee8aab4c4a41e28
61262 .xword 0x12081af22d88df77
61263 .xword 0x0671b0cc99c84b72
61264 .xword 0x2778912dff0e8cb2
61265 .xword 0x79f7834bc922fe15
61266 .xword 0xedeccd8d36db5ef7
61267 .xword 0x67c828fa9c556341
61268 .xword 0xfe1917a153a6b609
61269_t1_hmac_iv_array:
61270 .xword 0x566e277704c6f76f
61271 .xword 0xdab7118ffc38c586
61272 .xword 0x3b9ebf2a5415665a
61273 .xword 0x7cb9bbdff86896d6
61274 .xword 0x8d4be822d5d44362
61275 .xword 0x7829fb198e4cad04
61276 .xword 0xf6d257bad47acd36
61277 .xword 0x6644b45df1277bc6
61278 .xword 0x0c2affd60f6803e2
61279 .xword 0x9c13e28a9777f7a2
61280 .xword 0x6a1d082b59437489
61281 .xword 0x01e52a5d15d4211a
61282 .xword 0x0a5d0774cd98d4dd
61283 .xword 0xb02a3c46340c603a
61284 .xword 0x38ca60aac1ea8bb5
61285 .xword 0x40c184ee1c881fa3
61286 .xword 0x2e61b6c8662cd8f8
61287 .xword 0x2ed3ea8a86eae237
61288 .xword 0x4e40605afbc27bf9
61289 .xword 0xb2a137721c03c767
61290 .xword 0xdab50b6fb5c14f30
61291 .xword 0x41adfecfb69db254
61292 .xword 0x1dbceb0c20557937
61293 .xword 0x090a59483a82c396
61294 .xword 0x77ec6217c0ceaabe
61295 .xword 0x84164baa8829e1cd
61296 .xword 0xb071ea11540e0dc0
61297 .xword 0x1ba69c8e443b6b1f
61298 .xword 0x3341f7c88a1e9bd1
61299 .xword 0xc5f2048ff40efd67
61300 .xword 0x4ed85291b0f2b6a8
61301 .xword 0x2c724f4663551d57
61302 .xword 0x9314dc944e3ee5fe
61303 .xword 0x440b6c6af84ad5b7
61304 .xword 0x578ab12c88276970
61305 .xword 0x151c426ba910db40
61306 .xword 0xf76adefce8aefbc3
61307 .xword 0xf49da3595142d1ad
61308 .xword 0x502aee63afa9fba4
61309 .xword 0xb7979e78a6215432
61310 .xword 0xa1cd26f8d526ce35
61311 .xword 0x7fb991d0b208359d
61312 .xword 0x294008fed308b94b
61313 .xword 0x38100d1237098366
61314 .xword 0x2e11ba1d2c09fb04
61315_t1_hmac_alignment_array:
61316 .xword 10
61317 .xword 10
61318 .xword 0
61319 .xword 14
61320 .xword 9
61321 .xword 5
61322 .xword 2
61323 .xword 5
61324 .xword 7
61325 .xword 6
61326 .xword 14
61327 .xword 11
61328 .xword 7
61329 .xword 0
61330 .xword 11
61331 .xword 9
61332 .xword 5
61333 .xword 6
61334 .xword 14
61335 .xword 8
61336 .xword 2
61337 .xword 7
61338 .xword 3
61339 .xword 2
61340 .xword 8
61341 .xword 14
61342 .xword 1
61343 .xword 3
61344 .xword 1
61345 .xword 3
61346 .xword 8
61347 .xword 11
61348 .xword 13
61349 .xword 14
61350 .xword 3
61351 .xword 13
61352 .xword 3
61353 .xword 7
61354 .xword 9
61355 .xword 0
61356 .xword 15
61357 .xword 5
61358 .xword 11
61359 .xword 0
61360 .xword 5
61361 .xword 5
61362 .xword 13
61363 .xword 3
61364 .xword 3
61365 .xword 13
61366 .xword 8
61367 .xword 5
61368 .xword 2
61369 .xword 9
61370 .xword 2
61371 .xword 13
61372 .xword 14
61373 .xword 11
61374 .xword 7
61375 .xword 7
61376 .xword 1
61377 .xword 8
61378 .xword 15
61379 .xword 13
61380 .xword 15
61381 .xword 2
61382 .xword 10
61383 .xword 6
61384 .xword 8
61385 .xword 7
61386 .xword 9
61387 .xword 10
61388 .xword 14
61389 .xword 5
61390 .xword 4
61391 .xword 10
61392 .xword 15
61393 .xword 5
61394 .xword 9
61395 .xword 3
61396 .xword 12
61397 .xword 10
61398 .xword 5
61399 .xword 9
61400 .xword 11
61401 .xword 12
61402 .xword 11
61403 .xword 2
61404 .xword 3
61405 .xword 2
61406 .xword 0
61407 .xword 3
61408 .xword 14
61409 .xword 2
61410 .xword 11
61411 .xword 5
61412 .xword 6
61413 .xword 11
61414 .xword 9
61415 .xword 7
61416 .xword 4
61417 .xword 1
61418 .xword 10
61419 .xword 2
61420 .xword 1
61421_t1_hmac_src:
61422 .xword 0x34bebca2e7cbc802
61423 .xword 0x33d13b309855163b
61424 .xword 0xd2f0bbb011ad7738
61425 .xword 0x17b11837d27b600d
61426 .xword 0x860ac291cecbfe46
61427 .xword 0x3020c6c97fa14839
61428 .xword 0xfdd173704d887769
61429 .xword 0x361858ae9bd04c5e
61430 .xword 0xb57486c8ea69c864
61431 .xword 0x8fe28fb9ddf3d8dd
61432 .xword 0x7b11e7b33aea52ed
61433 .xword 0x803e7d7a6cf45426
61434 .xword 0x0cb9d56b82c4dee0
61435 .xword 0x08cf6874ebaf77af
61436 .xword 0xe9087316c2c78264
61437 .xword 0xa7c101b1b5a52114
61438 .xword 0xdade7cc10b472fac
61439 .xword 0x79a344a593c0ae86
61440 .xword 0x62adf10dcccdb3f2
61441 .xword 0xb14524475717ebfb
61442 .xword 0x45b9bd85308f1ded
61443 .xword 0x854ec76955957d64
61444 .xword 0x9d2cd32232addda9
61445 .xword 0xc2be2180891b3b92
61446 .xword 0xba4f34f4b9481d48
61447 .xword 0xbd52ef8f165a4dfc
61448 .xword 0xd873aef62c73b222
61449 .xword 0xf68a767313b6ff97
61450 .xword 0xa4b1f111ffb7a039
61451 .xword 0x67cfb247827ea3ea
61452 .xword 0xee31540f0d4cdffa
61453 .xword 0x9714428df60d7de8
61454 .xword 0xb38e68fb17155b96
61455 .xword 0xcee056e161373e72
61456 .xword 0x07b0557dfb60d6d0
61457 .xword 0x27fb20d1695589c0
61458 .xword 0xdb3ccbe07de9e893
61459 .xword 0x6911f8bc741f9cf1
61460 .xword 0xb98b09f9f0ffa91f
61461 .xword 0x0bcb7c3c9ae541fa
61462 .xword 0xa409f7da823c8351
61463 .xword 0x64627fc6e3e5652a
61464 .xword 0x1bf563ed48cce91f
61465 .xword 0xd82e5aad8fcea82e
61466 .xword 0xda8dd592e0e3c008
61467 .xword 0x58c5569400cf1d79
61468 .xword 0xf5df199aadde9cd5
61469 .xword 0x50d795471a15cf68
61470 .xword 0xa1cfd547f2280e4c
61471 .xword 0x6ec8c986230092cf
61472 .xword 0x4ee13013cd1c13e4
61473 .xword 0x49610d923553dc72
61474 .xword 0x968bef1917258365
61475 .xword 0x954f330589959e0e
61476 .xword 0xd16b6f78e329884a
61477 .xword 0xef869c6543428005
61478 .xword 0x0a0fcec04431ee17
61479 .xword 0xfc3824f5f0013701
61480 .xword 0x63a0b5107b3f6dff
61481 .xword 0xdf53190963b46f35
61482 .xword 0xec10b2b14d2df9ed
61483 .xword 0x3a3e87476eca368d
61484 .xword 0x0dd0e8a3afc8a5a6
61485 .xword 0xd04efed81cc15680
61486 .xword 0x6a438a7d39302a8e
61487 .xword 0x549129e9248227d8
61488 .xword 0xe59cd2f16645dc8b
61489 .xword 0xca034241d059db53
61490 .xword 0xc2a3caabf7ee8ef6
61491 .xword 0xc815a592bbb4d5c6
61492 .xword 0x7ad182a3b08f29c6
61493 .xword 0xeb3cdb722307e54a
61494 .xword 0xd59122f672217e3a
61495 .xword 0xf9be3888e6659d8e
61496 .xword 0x002d0afb4fd0addd
61497 .xword 0x844921d2bd25a274
61498 .xword 0xdd418ec6de4b09cb
61499 .xword 0x985e81026a7c7226
61500 .xword 0x4f1a07a5d1e67502
61501 .xword 0x32c6dfb947223619
61502 .xword 0x1c137268ba656693
61503 .xword 0x72a7f3b3358fd8d4
61504 .xword 0xd44815267bf59d01
61505 .xword 0x07a2542d829debbc
61506 .xword 0xf3a2cd17d1cfa151
61507 .xword 0x2fd8eddd9476242e
61508 .xword 0x6bd928853409fb1d
61509 .xword 0x020cb20d0b706d52
61510 .xword 0x55ace3b2b97c9534
61511 .xword 0x61d2d129b51b972c
61512 .xword 0x75db228821a4d875
61513 .xword 0x5a679831b99f008b
61514 .xword 0x948604e1a4797b50
61515 .xword 0x781b2ff69d7c1137
61516 .xword 0x2fe47575510b15dc
61517 .xword 0xc40b46c072c77fb8
61518 .xword 0xfc55d1ed0c6de423
61519 .xword 0x64de58f844791c2b
61520 .xword 0x581622f717f76734
61521 .xword 0xc081183b3310b608
61522 .xword 0x0d8a3fd75c8be51d
61523 .xword 0x2fef223008defd59
61524 .xword 0x7a1a4a1bb8ff53bb
61525 .xword 0xebebcbb9493be9c8
61526 .xword 0xfb2695581f5ce171
61527 .xword 0x1356416e9b9820a9
61528 .xword 0x9916523a9ea43a50
61529 .xword 0xb9092b5fd84d9b84
61530 .xword 0xa5bbbca717995a37
61531 .xword 0x89a608bf1283b4da
61532 .xword 0x0ccd02917f1693e4
61533 .xword 0x28be778dcbd8c048
61534 .xword 0xa6d877e4be5e8efb
61535 .xword 0xbae371d717b97a65
61536 .xword 0x5b3e58e3ef820d1d
61537 .xword 0xcdc44fe27fbfdb27
61538 .xword 0x032e6462374e602b
61539 .xword 0x21cdaae6919bfd69
61540 .xword 0x55a0ca8aea439a40
61541 .xword 0xe803929336476b7b
61542 .xword 0x6aab955a12e4e721
61543 .xword 0xbeb1a8e59ae29202
61544 .xword 0x4e28d2a84c491b51
61545 .xword 0xbab117f06448fc4e
61546 .xword 0x4c1e72afa63371f5
61547 .xword 0x54e556d65e73c23b
61548 .xword 0x36b44f6dfa753bde
61549 .xword 0xb58f407cee86c6c0
61550 .xword 0x2b2c3781de33d2fc
61551 .xword 0xbf83cecfaec24e4a
61552 .xword 0x87f988588c84e07a
61553 .xword 0x47f231068c7aa129
61554 .xword 0x239ee9378afd0cab
61555 .xword 0x3760445285a4c46d
61556 .xword 0xdfb830ca7399edd0
61557 .xword 0xffdeaa28ad06bcf2
61558 .xword 0x27023a33b2cd9e5b
61559 .xword 0xab94d32531d5d133
61560 .xword 0xea4ac4a33c6c390e
61561 .xword 0x00727a5bfa7b58ed
61562 .xword 0xf0a027f74cb3a496
61563 .xword 0xc5824544185aeac7
61564 .xword 0x6273d1a1889ac805
61565 .xword 0x31a83a662617f9df
61566 .xword 0xc1034a7d12e85086
61567 .xword 0xb1cf2297d8929752
61568 .xword 0x6cee5c1a67bbffa3
61569 .xword 0xcd70d26db424d7be
61570 .xword 0xd64e2348a08a70fd
61571 .xword 0x7bf2fc9b5d7b3c92
61572 .xword 0x8c35b87c5044fbeb
61573 .xword 0x2f0c78ac33d9212b
61574 .xword 0xbba75cb690f257d7
61575 .xword 0x8439f994dc252dbe
61576 .xword 0x999caef4b779d02b
61577 .xword 0xbab99037a26ba6bf
61578 .xword 0xa312bcac29d887e0
61579 .xword 0x5239b80b1dc5b2b5
61580 .xword 0xd88408de9d648a2d
61581 .xword 0x964f0cc9fc421467
61582 .xword 0x9deb2069175ed505
61583 .xword 0x95c818d3c49d771a
61584 .xword 0x89940d86468ddb87
61585 .xword 0xe5c16f7cce67ca47
61586 .xword 0x9b34501b4539069c
61587 .xword 0xdab06631034b3137
61588 .xword 0x6f01327b3f89ecde
61589 .xword 0xc4e1cd8970788aee
61590 .xword 0x43cab74b3e084d24
61591 .xword 0xa62f76ac9e38f91a
61592 .xword 0xf012ee5752550a16
61593 .xword 0xbc50a24fe840e7cd
61594 .xword 0x86183f3d44becc69
61595 .xword 0x031089095b6b2912
61596 .xword 0x27b630d65187e3a1
61597 .xword 0x4af491216861f1b4
61598 .xword 0xdb97467c5daf4d83
61599 .xword 0x06a945fbcfc85fb2
61600 .xword 0x6135a5cc53cb1c38
61601 .xword 0x63667667e2bb1ce7
61602 .xword 0xff79898182e41bf0
61603 .xword 0x938a947b2ee7ba8e
61604 .xword 0x7949d05f222b71f6
61605 .xword 0xa14cbb8df4ec23a4
61606 .xword 0xc71604ee963db92d
61607 .xword 0x56dc4b714c62cbe0
61608 .xword 0xad4337b9b4f794ff
61609 .xword 0x353fb1873d69c36e
61610 .xword 0x7cca36ecb190f770
61611 .xword 0x8376ab7997d718f2
61612 .xword 0xbf2b0d0aaf98a0d4
61613 .xword 0xba39f2ade9cab834
61614 .xword 0xb6dfb0e31e8e28b2
61615 .xword 0xec91187e825fa42a
61616 .xword 0x82ed49b4eac4c73e
61617 .xword 0x7dd864f15aa3bf94
61618 .xword 0x7d8838dad9fc142a
61619 .xword 0x5e08d65522a07746
61620 .xword 0x430eb5a52f30ddcc
61621 .xword 0x30ee8990b3fe5d07
61622 .xword 0xf3539ead9eba7ee3
61623 .xword 0xbe4dd131be367707
61624 .xword 0xc4b3fd4283b4da67
61625 .xword 0xcc3f960e497070e6
61626 .xword 0xe9f501ee94d71b30
61627 .xword 0x3c26031d0536caa0
61628 .xword 0x0705d2e9256e8543
61629 .xword 0x75d2d683109c9526
61630 .xword 0x624fcae55feec032
61631 .xword 0xaf588a0c31650f3b
61632 .xword 0xb694c4b3e5d94078
61633 .xword 0xac50c7678e74b355
61634 .xword 0x50b0e8777f69a827
61635 .xword 0x26312b62a13541f9
61636 .xword 0x7b7fef463d1ad17e
61637 .xword 0x93668a36f7e961e2
61638 .xword 0x2cca787718ca19b5
61639 .xword 0x0939510fcc4eb623
61640 .xword 0x24b72dacfd01c888
61641 .xword 0x0dbc65312b311d21
61642 .xword 0xa93be05356c1aab5
61643 .xword 0x6d4998cff31861d6
61644 .xword 0x39c2f15e4a1bac89
61645 .xword 0xa7e96833a384bfa6
61646 .xword 0x2e216de0b3253669
61647 .xword 0x0df4700ad44e0311
61648 .xword 0xc3b5da7e6d9345de
61649 .xword 0xbd322547dd79116b
61650 .xword 0x0adb5d73f1d852dc
61651 .xword 0xaee5a54e13cf0bff
61652 .xword 0x5b57432106b9ca66
61653 .xword 0x9d51509b73b0e42f
61654 .xword 0xb0a729fbc25dc5b5
61655 .xword 0x5a3a9fff6cdbe344
61656 .xword 0xb5b21107d91cd84b
61657 .xword 0x7cf0c03f15ab35e9
61658 .xword 0x141a6f3e993e5b0f
61659 .xword 0x3e1f75400ac239a1
61660 .xword 0x973989fea95ff6db
61661 .xword 0x93be908d46da0a4e
61662 .xword 0x56f59986e4e5433a
61663 .xword 0x419a4a1589c29c5a
61664 .xword 0xce0ba4bafeadc403
61665 .xword 0x5b40d9e3a24dadee
61666 .xword 0x497326077a62479c
61667 .xword 0x6575affbe4795448
61668 .xword 0xa31d619dab82dbee
61669 .xword 0xc47a2fa28fd10249
61670 .xword 0xcb6a1eba6862dd58
61671 .xword 0x52efd15a9edb292d
61672 .xword 0xdadaf5e0a660d4fc
61673 .xword 0x8601da82f3a02c8f
61674 .xword 0xe2609268023b7f10
61675 .xword 0xb66d9ffe44688521
61676 .xword 0x2ef43026a0f55d2b
61677 .xword 0x7e35533b7a9329c3
61678 .xword 0x151ec3a4ea7a1387
61679 .xword 0x391cd3b762229900
61680 .xword 0x19cd679ef2e2237a
61681 .xword 0x302b66cf595260b0
61682 .xword 0xb5298ef766b9d3a9
61683 .xword 0x89da1c7b55ddbc92
61684 .xword 0xe3ecac30c787291c
61685 .xword 0x2ada4ee191121a59
61686 .xword 0x6be65aa0e61f4b6b
61687 .xword 0xcacdea7460b9f71c
61688 .xword 0xab93544e5faac143
61689 .xword 0x06ab19497ec2a474
61690 .xword 0xf453ced63eec7979
61691 .xword 0x317a26f532ee75f8
61692 .xword 0x200fa7a622358d89
61693 .xword 0x62e7755dccbf429a
61694 .xword 0xeb8bef1f984640c1
61695 .xword 0x245f5d85a475452f
61696 .xword 0x84f9847acc93543b
61697_t1_hmac_dest:
61698 .xword 0xDEADBEEFDEADBEEF
61699 .xword 0xDEADBEEFDEADBEEF
61700 .xword 0xDEADBEEFDEADBEEF
61701 .xword 0xDEADBEEFDEADBEEF
61702 .xword 0xDEADBEEFDEADBEEF
61703 .xword 0xDEADBEEFDEADBEEF
61704 .xword 0xDEADBEEFDEADBEEF
61705 .xword 0xDEADBEEFDEADBEEF
61706 .xword 0xDEADBEEFDEADBEEF
61707 .xword 0xDEADBEEFDEADBEEF
61708 .xword 0xDEADBEEFDEADBEEF
61709 .xword 0xDEADBEEFDEADBEEF
61710 .xword 0xDEADBEEFDEADBEEF
61711 .xword 0xDEADBEEFDEADBEEF
61712 .xword 0xDEADBEEFDEADBEEF
61713 .xword 0xDEADBEEFDEADBEEF
61714 .xword 0xDEADBEEFDEADBEEF
61715 .xword 0xDEADBEEFDEADBEEF
61716 .xword 0xDEADBEEFDEADBEEF
61717 .xword 0xDEADBEEFDEADBEEF
61718 .xword 0xDEADBEEFDEADBEEF
61719 .xword 0xDEADBEEFDEADBEEF
61720 .xword 0xDEADBEEFDEADBEEF
61721 .xword 0xDEADBEEFDEADBEEF
61722 .xword 0xDEADBEEFDEADBEEF
61723 .xword 0xDEADBEEFDEADBEEF
61724 .xword 0xDEADBEEFDEADBEEF
61725 .xword 0xDEADBEEFDEADBEEF
61726 .xword 0xDEADBEEFDEADBEEF
61727 .xword 0xDEADBEEFDEADBEEF
61728 .xword 0xDEADBEEFDEADBEEF
61729 .xword 0xDEADBEEFDEADBEEF
61730 .xword 0xDEADBEEFDEADBEEF
61731 .xword 0xDEADBEEFDEADBEEF
61732 .xword 0xDEADBEEFDEADBEEF
61733 .xword 0xDEADBEEFDEADBEEF
61734 .xword 0xDEADBEEFDEADBEEF
61735 .xword 0xDEADBEEFDEADBEEF
61736 .xword 0xDEADBEEFDEADBEEF
61737 .xword 0xDEADBEEFDEADBEEF
61738 .xword 0xDEADBEEFDEADBEEF
61739 .xword 0xDEADBEEFDEADBEEF
61740 .xword 0xDEADBEEFDEADBEEF
61741 .xword 0xDEADBEEFDEADBEEF
61742 .xword 0xDEADBEEFDEADBEEF
61743 .xword 0xDEADBEEFDEADBEEF
61744 .xword 0xDEADBEEFDEADBEEF
61745 .xword 0xDEADBEEFDEADBEEF
61746 .xword 0xDEADBEEFDEADBEEF
61747 .xword 0xDEADBEEFDEADBEEF
61748 .xword 0xDEADBEEFDEADBEEF
61749 .xword 0xDEADBEEFDEADBEEF
61750 .xword 0xDEADBEEFDEADBEEF
61751 .xword 0xDEADBEEFDEADBEEF
61752 .xword 0xDEADBEEFDEADBEEF
61753 .xword 0xDEADBEEFDEADBEEF
61754 .xword 0xDEADBEEFDEADBEEF
61755 .xword 0xDEADBEEFDEADBEEF
61756 .xword 0xDEADBEEFDEADBEEF
61757 .xword 0xDEADBEEFDEADBEEF
61758 .xword 0xDEADBEEFDEADBEEF
61759 .xword 0xDEADBEEFDEADBEEF
61760 .xword 0xDEADBEEFDEADBEEF
61761 .xword 0xDEADBEEFDEADBEEF
61762 .xword 0xDEADBEEFDEADBEEF
61763 .xword 0xDEADBEEFDEADBEEF
61764 .xword 0xDEADBEEFDEADBEEF
61765 .xword 0xDEADBEEFDEADBEEF
61766 .xword 0xDEADBEEFDEADBEEF
61767 .xword 0xDEADBEEFDEADBEEF
61768 .xword 0xDEADBEEFDEADBEEF
61769 .xword 0xDEADBEEFDEADBEEF
61770 .xword 0xDEADBEEFDEADBEEF
61771 .xword 0xDEADBEEFDEADBEEF
61772 .xword 0xDEADBEEFDEADBEEF
61773 .xword 0xDEADBEEFDEADBEEF
61774 .xword 0xDEADBEEFDEADBEEF
61775 .xword 0xDEADBEEFDEADBEEF
61776 .xword 0xDEADBEEFDEADBEEF
61777 .xword 0xDEADBEEFDEADBEEF
61778 .xword 0xDEADBEEFDEADBEEF
61779 .xword 0xDEADBEEFDEADBEEF
61780 .xword 0xDEADBEEFDEADBEEF
61781 .xword 0xDEADBEEFDEADBEEF
61782 .xword 0xDEADBEEFDEADBEEF
61783 .xword 0xDEADBEEFDEADBEEF
61784 .xword 0xDEADBEEFDEADBEEF
61785 .xword 0xDEADBEEFDEADBEEF
61786 .xword 0xDEADBEEFDEADBEEF
61787 .xword 0xDEADBEEFDEADBEEF
61788 .xword 0xDEADBEEFDEADBEEF
61789 .xword 0xDEADBEEFDEADBEEF
61790 .xword 0xDEADBEEFDEADBEEF
61791 .xword 0xDEADBEEFDEADBEEF
61792 .xword 0xDEADBEEFDEADBEEF
61793 .xword 0xDEADBEEFDEADBEEF
61794 .xword 0xDEADBEEFDEADBEEF
61795 .xword 0xDEADBEEFDEADBEEF
61796 .xword 0xDEADBEEFDEADBEEF
61797 .xword 0xDEADBEEFDEADBEEF
61798 .xword 0xDEADBEEFDEADBEEF
61799 .xword 0xDEADBEEFDEADBEEF
61800 .xword 0xDEADBEEFDEADBEEF
61801 .xword 0xDEADBEEFDEADBEEF
61802 .xword 0xDEADBEEFDEADBEEF
61803 .xword 0xDEADBEEFDEADBEEF
61804 .xword 0xDEADBEEFDEADBEEF
61805 .xword 0xDEADBEEFDEADBEEF
61806 .xword 0xDEADBEEFDEADBEEF
61807 .xword 0xDEADBEEFDEADBEEF
61808 .xword 0xDEADBEEFDEADBEEF
61809 .xword 0xDEADBEEFDEADBEEF
61810 .xword 0xDEADBEEFDEADBEEF
61811 .xword 0xDEADBEEFDEADBEEF
61812 .xword 0xDEADBEEFDEADBEEF
61813 .xword 0xDEADBEEFDEADBEEF
61814 .xword 0xDEADBEEFDEADBEEF
61815 .xword 0xDEADBEEFDEADBEEF
61816 .xword 0xDEADBEEFDEADBEEF
61817 .xword 0xDEADBEEFDEADBEEF
61818 .xword 0xDEADBEEFDEADBEEF
61819 .xword 0xDEADBEEFDEADBEEF
61820 .xword 0xDEADBEEFDEADBEEF
61821 .xword 0xDEADBEEFDEADBEEF
61822 .xword 0xDEADBEEFDEADBEEF
61823 .xword 0xDEADBEEFDEADBEEF
61824 .xword 0xDEADBEEFDEADBEEF
61825 .xword 0xDEADBEEFDEADBEEF
61826 .xword 0xDEADBEEFDEADBEEF
61827 .xword 0xDEADBEEFDEADBEEF
61828 .xword 0xDEADBEEFDEADBEEF
61829 .xword 0xDEADBEEFDEADBEEF
61830 .xword 0xDEADBEEFDEADBEEF
61831 .xword 0xDEADBEEFDEADBEEF
61832 .xword 0xDEADBEEFDEADBEEF
61833 .xword 0xDEADBEEFDEADBEEF
61834 .xword 0xDEADBEEFDEADBEEF
61835 .xword 0xDEADBEEFDEADBEEF
61836 .xword 0xDEADBEEFDEADBEEF
61837 .xword 0xDEADBEEFDEADBEEF
61838 .xword 0xDEADBEEFDEADBEEF
61839 .xword 0xDEADBEEFDEADBEEF
61840 .xword 0xDEADBEEFDEADBEEF
61841 .xword 0xDEADBEEFDEADBEEF
61842 .xword 0xDEADBEEFDEADBEEF
61843 .xword 0xDEADBEEFDEADBEEF
61844 .xword 0xDEADBEEFDEADBEEF
61845 .xword 0xDEADBEEFDEADBEEF
61846 .xword 0xDEADBEEFDEADBEEF
61847 .xword 0xDEADBEEFDEADBEEF
61848 .xword 0xDEADBEEFDEADBEEF
61849 .xword 0xDEADBEEFDEADBEEF
61850 .xword 0xDEADBEEFDEADBEEF
61851 .xword 0xDEADBEEFDEADBEEF
61852 .xword 0xDEADBEEFDEADBEEF
61853 .xword 0xDEADBEEFDEADBEEF
61854 .xword 0xDEADBEEFDEADBEEF
61855 .xword 0xDEADBEEFDEADBEEF
61856 .xword 0xDEADBEEFDEADBEEF
61857 .xword 0xDEADBEEFDEADBEEF
61858 .xword 0xDEADBEEFDEADBEEF
61859 .xword 0xDEADBEEFDEADBEEF
61860 .xword 0xDEADBEEFDEADBEEF
61861 .xword 0xDEADBEEFDEADBEEF
61862 .xword 0xDEADBEEFDEADBEEF
61863 .xword 0xDEADBEEFDEADBEEF
61864 .xword 0xDEADBEEFDEADBEEF
61865 .xword 0xDEADBEEFDEADBEEF
61866 .xword 0xDEADBEEFDEADBEEF
61867 .xword 0xDEADBEEFDEADBEEF
61868 .xword 0xDEADBEEFDEADBEEF
61869 .xword 0xDEADBEEFDEADBEEF
61870 .xword 0xDEADBEEFDEADBEEF
61871 .xword 0xDEADBEEFDEADBEEF
61872 .xword 0xDEADBEEFDEADBEEF
61873 .xword 0xDEADBEEFDEADBEEF
61874 .xword 0xDEADBEEFDEADBEEF
61875 .xword 0xDEADBEEFDEADBEEF
61876 .xword 0xDEADBEEFDEADBEEF
61877 .xword 0xDEADBEEFDEADBEEF
61878 .xword 0xDEADBEEFDEADBEEF
61879 .xword 0xDEADBEEFDEADBEEF
61880 .xword 0xDEADBEEFDEADBEEF
61881 .xword 0xDEADBEEFDEADBEEF
61882 .xword 0xDEADBEEFDEADBEEF
61883 .xword 0xDEADBEEFDEADBEEF
61884 .xword 0xDEADBEEFDEADBEEF
61885 .xword 0xDEADBEEFDEADBEEF
61886 .xword 0xDEADBEEFDEADBEEF
61887 .xword 0xDEADBEEFDEADBEEF
61888 .xword 0xDEADBEEFDEADBEEF
61889 .xword 0xDEADBEEFDEADBEEF
61890 .xword 0xDEADBEEFDEADBEEF
61891 .xword 0xDEADBEEFDEADBEEF
61892 .xword 0xDEADBEEFDEADBEEF
61893 .xword 0xDEADBEEFDEADBEEF
61894 .xword 0xDEADBEEFDEADBEEF
61895 .xword 0xDEADBEEFDEADBEEF
61896 .xword 0xDEADBEEFDEADBEEF
61897 .xword 0xDEADBEEFDEADBEEF
61898 .xword 0xDEADBEEFDEADBEEF
61899 .xword 0xDEADBEEFDEADBEEF
61900 .xword 0xDEADBEEFDEADBEEF
61901 .xword 0xDEADBEEFDEADBEEF
61902 .xword 0xDEADBEEFDEADBEEF
61903 .xword 0xDEADBEEFDEADBEEF
61904 .xword 0xDEADBEEFDEADBEEF
61905 .xword 0xDEADBEEFDEADBEEF
61906 .xword 0xDEADBEEFDEADBEEF
61907 .xword 0xDEADBEEFDEADBEEF
61908 .xword 0xDEADBEEFDEADBEEF
61909 .xword 0xDEADBEEFDEADBEEF
61910 .xword 0xDEADBEEFDEADBEEF
61911 .xword 0xDEADBEEFDEADBEEF
61912 .xword 0xDEADBEEFDEADBEEF
61913 .xword 0xDEADBEEFDEADBEEF
61914 .xword 0xDEADBEEFDEADBEEF
61915 .xword 0xDEADBEEFDEADBEEF
61916 .xword 0xDEADBEEFDEADBEEF
61917 .xword 0xDEADBEEFDEADBEEF
61918 .xword 0xDEADBEEFDEADBEEF
61919 .xword 0xDEADBEEFDEADBEEF
61920 .xword 0xDEADBEEFDEADBEEF
61921 .xword 0xDEADBEEFDEADBEEF
61922 .xword 0xDEADBEEFDEADBEEF
61923 .xword 0xDEADBEEFDEADBEEF
61924 .xword 0xDEADBEEFDEADBEEF
61925 .xword 0xDEADBEEFDEADBEEF
61926 .xword 0xDEADBEEFDEADBEEF
61927 .xword 0xDEADBEEFDEADBEEF
61928 .xword 0xDEADBEEFDEADBEEF
61929 .xword 0xDEADBEEFDEADBEEF
61930 .xword 0xDEADBEEFDEADBEEF
61931 .xword 0xDEADBEEFDEADBEEF
61932 .xword 0xDEADBEEFDEADBEEF
61933 .xword 0xDEADBEEFDEADBEEF
61934 .xword 0xDEADBEEFDEADBEEF
61935 .xword 0xDEADBEEFDEADBEEF
61936 .xword 0xDEADBEEFDEADBEEF
61937 .xword 0xDEADBEEFDEADBEEF
61938 .xword 0xDEADBEEFDEADBEEF
61939 .xword 0xDEADBEEFDEADBEEF
61940 .xword 0xDEADBEEFDEADBEEF
61941 .xword 0xDEADBEEFDEADBEEF
61942 .xword 0xDEADBEEFDEADBEEF
61943 .xword 0xDEADBEEFDEADBEEF
61944 .xword 0xDEADBEEFDEADBEEF
61945 .xword 0xDEADBEEFDEADBEEF
61946 .xword 0xDEADBEEFDEADBEEF
61947 .xword 0xDEADBEEFDEADBEEF
61948 .xword 0xDEADBEEFDEADBEEF
61949 .xword 0xDEADBEEFDEADBEEF
61950 .xword 0xDEADBEEFDEADBEEF
61951 .xword 0xDEADBEEFDEADBEEF
61952 .xword 0xDEADBEEFDEADBEEF
61953 .xword 0xDEADBEEFDEADBEEF
61954 .xword 0xDEADBEEFDEADBEEF
61955 .xword 0xDEADBEEFDEADBEEF
61956 .xword 0xDEADBEEFDEADBEEF
61957 .xword 0xDEADBEEFDEADBEEF
61958 .xword 0xDEADBEEFDEADBEEF
61959 .xword 0xDEADBEEFDEADBEEF
61960 .xword 0xDEADBEEFDEADBEEF
61961 .xword 0xDEADBEEFDEADBEEF
61962 .xword 0xDEADBEEFDEADBEEF
61963 .xword 0xDEADBEEFDEADBEEF
61964 .xword 0xDEADBEEFDEADBEEF
61965 .xword 0xDEADBEEFDEADBEEF
61966 .xword 0xDEADBEEFDEADBEEF
61967 .xword 0xDEADBEEFDEADBEEF
61968 .xword 0xDEADBEEFDEADBEEF
61969 .xword 0xDEADBEEFDEADBEEF
61970 .xword 0xDEADBEEFDEADBEEF
61971 .xword 0xDEADBEEFDEADBEEF
61972 .xword 0xDEADBEEFDEADBEEF
61973_t1_hmac_auth_key:
61974 .xword 0x426632ca453dd700
61975 .xword 0x19fc67f4a053a823
61976 .xword 0x1a8e4b7c5e472820
61977 .xword 0x665238aceb552514
61978 .xword 0x0fbe0219660be779
61979 .xword 0x9f3c515ac1300b60
61980 .xword 0xad79177825056166
61981 .xword 0xe02b160fb16ccd74
61982 .xword 0x6b3920114fea8a62
61983 .xword 0x5cc2bedc6a12d7f7
61984 .xword 0x9b651da74ad8d789
61985 .xword 0x65e63c0a5979dff0
61986 .xword 0x1b41d1b06fb34597
61987 .xword 0xe7012ab809d6fc34
61988 .xword 0x1a2fc824530fe5e3
61989 .xword 0x6cf579fbe28d503d
61990 .xword 0x47c1cca5e06ab8eb
61991 .xword 0xb95a668939491d22
61992 .xword 0x73a1f5818a9550c5
61993 .xword 0x02fffcf1bd3b9110
61994 .xword 0x6c7d8ffcb915a1bc
61995 .xword 0x879a6edf1121a0da
61996 .xword 0x91e8699693f98391
61997_t1_hmac_auth_iv:
61998 .xword 0x8ef4f0bc3c596dac
61999 .xword 0x2ef8328f980ec79a
62000 .xword 0x96df52f9a31cd6fd
62001 .xword 0xb667cde61e23675b
62002 .xword 0x8e7c4d2337d51793
62003 .xword 0x889ad5d7469723f4
62004 .xword 0xa34d2cac25b0f06b
62005 .xword 0xb8731f83e2d5c79d
62006 .xword 0xe765867cbf2731cf
62007 .xword 0x7c2b8baf369d97d6
62008 .xword 0x0b6af66eb20e0c7e
62009 .xword 0x8f4ffd966bde1215
62010 .xword 0xc35fd45001bbf728
62011 .xword 0x5cf5bbfdb0ee4977
62012 .xword 0x0fd124678b6d4b5f
62013 .xword 0x72c8c00d6c005fe3
62014 .xword 0x63b44394fb1ff442
62015 .xword 0x06bb895db92d0c9f
62016 .xword 0x7e804b42b7a0fd2d
62017 .xword 0x83675a4e70ac7fcd
62018 .xword 0x039c951f2f9e9567
62019 .xword 0xdee4e61badb55904
62020 .xword 0x2b7b8f250d195dd3
62021_t1_hmac_fas_result:
62022 .xword 0xDEADBEEFDEADBEEF
62023 .xword 0xDEADBEEFDEADBEEF
62024 .xword 0xDEADBEEFDEADBEEF
62025 .xword 0xDEADBEEFDEADBEEF
62026 .xword 0xDEADBEEFDEADBEEF
62027 .xword 0xDEADBEEFDEADBEEF
62028 .xword 0xDEADBEEFDEADBEEF
62029 .xword 0xDEADBEEFDEADBEEF
62030 .xword 0xDEADBEEFDEADBEEF
62031 .xword 0xDEADBEEFDEADBEEF
62032 .xword 0xDEADBEEFDEADBEEF
62033 .xword 0xDEADBEEFDEADBEEF
62034 .xword 0xDEADBEEFDEADBEEF
62035 .xword 0xDEADBEEFDEADBEEF
62036 .xword 0xDEADBEEFDEADBEEF
62037 .xword 0xDEADBEEFDEADBEEF
62038 .xword 0xDEADBEEFDEADBEEF
62039 .xword 0xDEADBEEFDEADBEEF
62040 .xword 0xDEADBEEFDEADBEEF
62041 .xword 0xDEADBEEFDEADBEEF
62042 .xword 0xDEADBEEFDEADBEEF
62043 .xword 0xDEADBEEFDEADBEEF
62044 .xword 0xDEADBEEFDEADBEEF
62045_t1_rc4_key_array:
62046 .xword 0x3948494896b1cd61
62047 .xword 0x8fe6d4c99400ac65
62048 .xword 0x80110694d68530fc
62049 .xword 0x3afc564a7e3ea8fd
62050 .xword 0xe2fdbb631397fea5
62051 .xword 0xd5d52c79810c0df1
62052 .xword 0x7e6b8408b4cfbbe9
62053 .xword 0xf1455603bc586176
62054 .xword 0x82cdc25570dd804f
62055 .xword 0x271c1d79873cbe24
62056 .xword 0xd71df047a4906f7f
62057 .xword 0xe7e5809c4da31c4c
62058 .xword 0x875dd605c9f76bd6
62059 .xword 0xf280d1033ca516de
62060 .xword 0x9eb357b892101c14
62061 .xword 0xfd1c5bf80633d682
62062 .xword 0xb358cb4e078ca510
62063 .xword 0x0536d994e129ef9e
62064 .xword 0x81da72b0b6d9b4b4
62065 .xword 0x3b6eee3d4302afad
62066 .xword 0x1b52da649c1f1e44
62067 .xword 0x1e136436e2b79419
62068 .xword 0x5f85ab3c76d6299f
62069 .xword 0xa192bfd2fe746309
62070 .xword 0x447fd77f09e7e170
62071 .xword 0x6316a38b45caa07c
62072 .xword 0x7346e29d48e6ee3d
62073 .xword 0x7f541b112c165a88
62074 .xword 0xbdce2169d90dd167
62075 .xword 0x15343f83fbb4c838
62076 .xword 0x42c23a52f5cef25e
62077 .xword 0xd6ad47cb15088f02
62078 .xword 0x52eb26309d076394
62079 .xword 0x71be1481ad0f8bb4
62080 .xword 0x08d9591f954751b2
62081 .xword 0x6515ce8fe03acfb3
62082 .xword 0x939c7d6fd8fe0b66
62083 .xword 0x92971bbe66919f75
62084 .xword 0xf62967bc0434022d
62085 .xword 0x2d0c724cbfbfda13
62086 .xword 0x4c3a83e3606d01ae
62087 .xword 0xb7da47e20ab8c864
62088 .xword 0x95a2400835eccce6
62089 .xword 0x86f229ff55d42223
62090 .xword 0x3afc547dc6a31058
62091 .xword 0x98104520325aaa8b
62092 .xword 0x510b2981a591b35c
62093 .xword 0x6d2a54d3491405ba
62094 .xword 0x00492965c6bc32b0
62095 .xword 0x74d99a33fa1cc812
62096 .xword 0xd576e6829d284f7a
62097_t1_rc4_iv_array:
62098 .xword 0x3356826768f43292
62099 .xword 0x8a62910c3d040fd5
62100 .xword 0x5ca0c90c6b0ee184
62101 .xword 0x282e9cead2ee5051
62102 .xword 0x02e6231990192d1a
62103 .xword 0xd2381e1789364975
62104 .xword 0x189593c2c050b8da
62105 .xword 0x0ea80a558eca1331
62106 .xword 0x418b030fe3ea0e07
62107 .xword 0xd14df1d6738fa321
62108 .xword 0x0afb4211aaacaa83
62109 .xword 0x0639d2606011af3f
62110 .xword 0x537ba157ab1a9a4f
62111 .xword 0x0b282c08824d83d1
62112 .xword 0x9833b0e6a28ac8b4
62113 .xword 0xb8b43c4c855f0c78
62114 .xword 0x839af1cf2b9ffa7b
62115 .xword 0xc48ec09b1dcbd140
62116 .xword 0xe40ac7705997c41e
62117 .xword 0x84340956ba1a6690
62118 .xword 0xaee41dadff2a01cd
62119 .xword 0x3284ea723da0a7c0
62120 .xword 0x2da0ecc0b08a96ef
62121 .xword 0xb436b47e55c2438a
62122 .xword 0x5a71b5ca565e8d21
62123 .xword 0x5916d4821be6a6dd
62124 .xword 0x9a3d73ba4db369aa
62125 .xword 0x021e80307da369e9
62126 .xword 0xd9e7f2c387edb724
62127 .xword 0xeea2dbbf6dbc36d9
62128 .xword 0x4b3d007a53ce395c
62129 .xword 0xcba6e8e4102473fc
62130 .xword 0x01bb6a647932bfd7
62131 .xword 0x81eefa748ad3640b
62132 .xword 0xc69cc54c616f7d3d
62133 .xword 0xc9c330d7cd343cf9
62134 .xword 0xba7d7c5df58d8730
62135 .xword 0x9106712f9b77eae7
62136 .xword 0xb23d68bdc132fdaa
62137 .xword 0xefcc1ebe777f727f
62138 .xword 0x2c5cb712db123163
62139 .xword 0xd413780853f8683c
62140 .xword 0xa630bc54ac12ec12
62141 .xword 0x61307cc7b15e93fc
62142 .xword 0x2efa5a3edef8f7c1
62143_t1_rc4_alignment_array:
62144 .xword 13
62145 .xword 3
62146 .xword 3
62147 .xword 8
62148 .xword 1
62149 .xword 8
62150 .xword 2
62151 .xword 5
62152 .xword 2
62153 .xword 12
62154 .xword 5
62155 .xword 14
62156 .xword 3
62157 .xword 2
62158 .xword 2
62159 .xword 4
62160 .xword 9
62161 .xword 14
62162 .xword 8
62163 .xword 10
62164 .xword 4
62165 .xword 2
62166 .xword 1
62167 .xword 5
62168 .xword 13
62169 .xword 2
62170 .xword 2
62171 .xword 13
62172 .xword 4
62173 .xword 6
62174 .xword 9
62175 .xword 8
62176 .xword 8
62177 .xword 8
62178 .xword 6
62179 .xword 13
62180 .xword 2
62181 .xword 14
62182 .xword 3
62183 .xword 6
62184 .xword 3
62185 .xword 7
62186 .xword 11
62187 .xword 11
62188 .xword 15
62189 .xword 9
62190 .xword 0
62191 .xword 10
62192 .xword 8
62193 .xword 3
62194 .xword 6
62195 .xword 9
62196 .xword 13
62197 .xword 13
62198 .xword 11
62199 .xword 9
62200 .xword 13
62201 .xword 7
62202 .xword 3
62203 .xword 1
62204 .xword 9
62205 .xword 7
62206 .xword 5
62207 .xword 5
62208 .xword 11
62209 .xword 0
62210 .xword 1
62211 .xword 9
62212 .xword 14
62213 .xword 15
62214 .xword 7
62215 .xword 7
62216 .xword 7
62217 .xword 14
62218 .xword 5
62219 .xword 8
62220 .xword 2
62221 .xword 10
62222 .xword 5
62223 .xword 5
62224 .xword 8
62225 .xword 5
62226 .xword 11
62227 .xword 7
62228 .xword 9
62229 .xword 3
62230 .xword 9
62231 .xword 14
62232 .xword 14
62233 .xword 15
62234 .xword 7
62235 .xword 1
62236 .xword 5
62237 .xword 5
62238 .xword 0
62239 .xword 4
62240 .xword 8
62241 .xword 5
62242 .xword 10
62243 .xword 12
62244 .xword 9
62245 .xword 10
62246 .xword 13
62247 .xword 2
62248 .xword 11
62249_t1_rc4_src:
62250 .xword 0x0172356745cfcd1a
62251 .xword 0xde5dbf84335a3de5
62252 .xword 0x2a60bb51df3d0469
62253 .xword 0x1a983ef20964e7d9
62254 .xword 0x45f4c1697a6be0fb
62255 .xword 0xbc04134e71eab736
62256 .xword 0x1ca7a0b3a23be702
62257 .xword 0xeb076940692a4ef6
62258 .xword 0xc0e85afcd678347f
62259 .xword 0x350dc8dc33e3afc9
62260 .xword 0x653ad31a68fc1b0e
62261 .xword 0x225812837ea90574
62262 .xword 0x9ca37231c1dbc0a0
62263 .xword 0xa3dd0b488fe33ee6
62264 .xword 0x75836533e5922d81
62265 .xword 0x021a4ae2d3314b44
62266 .xword 0xdf15cd01b0645eb6
62267 .xword 0xb34509cbef8338d0
62268 .xword 0xb671d326824d977d
62269 .xword 0xd1dc58c3e3069b43
62270 .xword 0x5967dbd082806dc9
62271 .xword 0x6e5bd5e254291f0d
62272 .xword 0xa5f630aff47b5319
62273 .xword 0x1bc689db75f9ea6b
62274 .xword 0x8dfbbe40bc1ddae0
62275 .xword 0xea968e3bbb4d5a43
62276 .xword 0xa3f586d00037bd44
62277 .xword 0x1fce859c201c08e3
62278 .xword 0x26bdaf5c126727c1
62279 .xword 0x54b7e90b3f9f76f5
62280 .xword 0x027c66ff9370e805
62281 .xword 0x4b5c1acd89b5f0e1
62282 .xword 0xf5596ade209bcd78
62283 .xword 0x5ef2081e82baf2cc
62284 .xword 0xdab224eaaf81a0bb
62285 .xword 0x3e3356d898140af3
62286 .xword 0xa916ac3dadbe1827
62287 .xword 0x9b39c7df529b198a
62288 .xword 0x58cdc87280fc32c9
62289 .xword 0x4aaa5084888cf444
62290 .xword 0x521fce81ccf01120
62291 .xword 0x87c6f2c7ca7a4ef0
62292 .xword 0xd1cf7f756a34c976
62293 .xword 0x46d8c4c04e844d05
62294 .xword 0xc6cdbc0cecf3df7e
62295 .xword 0x49ba4b135aa7fd0e
62296 .xword 0x1b7e3bf7840b5f56
62297 .xword 0x1f1026c44494bff7
62298 .xword 0xd43650ba4628b273
62299 .xword 0x4d28ab43b0e0184a
62300 .xword 0xad3896cf45eed997
62301 .xword 0xbcd7ba570a5f0e61
62302 .xword 0x37b776ae8a452ec1
62303 .xword 0xd015f5fb2ab9d45b
62304 .xword 0x7ec4534e4b5971b1
62305 .xword 0x488295ed573c05d8
62306 .xword 0x62b89a62b32b21da
62307 .xword 0x2d363b8896c4cba4
62308 .xword 0x1735feedbe967287
62309 .xword 0x7ebd3e9ce44ee246
62310 .xword 0xf130af52f25767cf
62311 .xword 0x750cfc338f6e5c17
62312 .xword 0xaf6778fc1020284e
62313 .xword 0xb32412945960c9f2
62314 .xword 0x48e5f85a8b446608
62315 .xword 0xae74dd3df394dc8f
62316 .xword 0x9c40cf1f73bfdd5c
62317 .xword 0x6d76850b7f732265
62318 .xword 0x7ba2050372863d7c
62319 .xword 0x646a8b88b4f86ee0
62320 .xword 0x640d31300f1cc89b
62321 .xword 0xf7114491da708935
62322 .xword 0xfe3611355110af65
62323 .xword 0xb3ac75d47f8181ac
62324 .xword 0xf1a92edd92e3f878
62325 .xword 0xdab1ebb42acaa9cf
62326 .xword 0xe95d0431b5e3cbfe
62327 .xword 0x828621fdcd3f2ec7
62328 .xword 0x7a0e10d7565fd425
62329 .xword 0x76a4da8e97087bec
62330 .xword 0xa490d07c5dafa28f
62331 .xword 0xd40ca6263d3ded51
62332 .xword 0x1cc3b2e5a0a98924
62333 .xword 0x7d91b4358a1be3ba
62334 .xword 0x45462f80097143c1
62335 .xword 0xd2a345a5f5084ea9
62336 .xword 0xaebfe8e2303b09dc
62337 .xword 0x4d3acb34151202aa
62338 .xword 0xfa16d588ca185d48
62339 .xword 0x5eeaeeb1765c29b1
62340 .xword 0xbf383f59164ee0e6
62341 .xword 0x470f56033566affb
62342 .xword 0x8484f989d29fa4b2
62343 .xword 0xbb09634ba1752a31
62344 .xword 0x2243f4dde880fd24
62345 .xword 0x4d003cc332945a2a
62346 .xword 0xc3b25b735b0a88ca
62347 .xword 0xbef4c9db912625fc
62348 .xword 0xddb7a230b1288507
62349 .xword 0x6ccd2bfa2d510132
62350 .xword 0xa99f018340ef1b15
62351 .xword 0x131ee193d7949551
62352 .xword 0xe1a4496e5ac99da3
62353 .xword 0x8ed45c532f1babb6
62354 .xword 0x26dd4369da1964c9
62355 .xword 0x6a28d90acaf0b895
62356 .xword 0x6bbfb81c7566937d
62357 .xword 0xec6e32080f9f0c8a
62358 .xword 0x9ba263df763a44f4
62359 .xword 0x078c64ab3ff74f6e
62360 .xword 0xaecb6d52d3b42bcc
62361 .xword 0x39d85578a3661de7
62362 .xword 0x743618db9a7e8663
62363 .xword 0xb3ed9e721ca16345
62364 .xword 0xe9b0ffb22166be84
62365 .xword 0xa99eb590745189c7
62366 .xword 0x4d3d63e45fc057ed
62367 .xword 0x1e6bba6e4e082d98
62368 .xword 0xa52aaf54419e3f02
62369 .xword 0x5ef8b9dd205ba36f
62370 .xword 0x0dcb7c5200ddde48
62371 .xword 0xb3ddfee1c1edf412
62372 .xword 0xc49b393c09559d0b
62373 .xword 0xaae5903e3533446f
62374 .xword 0x1cc7f2f753562768
62375 .xword 0x497497298f858fec
62376 .xword 0xb3fd9a19c394b650
62377 .xword 0xa14ac9f569252b9a
62378 .xword 0x71540d9204772d0e
62379 .xword 0x59993890c346bd4b
62380 .xword 0xce355c89fff30c70
62381 .xword 0xeaa3204a4d76319a
62382 .xword 0xa1bf31b888008678
62383 .xword 0xd1567695a28694b4
62384 .xword 0xe87ac7920f53d175
62385 .xword 0x515c02caee59da9c
62386 .xword 0x7898960b35d6abf1
62387 .xword 0x4673c131977622d4
62388 .xword 0xc156540750b3cfbe
62389 .xword 0x3c8bb29c6809950a
62390 .xword 0xc7412d0d8057288c
62391 .xword 0xfb458ad3965a0029
62392 .xword 0xfe0f8bbb959170df
62393 .xword 0x7a980901cfb5714c
62394 .xword 0x175b2c0c89ef22e3
62395 .xword 0x007e7111d861678c
62396 .xword 0x47acda03bf5d91ec
62397 .xword 0xe83d7164560fb663
62398 .xword 0x275e8c35c7046b95
62399 .xword 0x3f4bedcab0f284b5
62400 .xword 0x64ba5c8134dfed58
62401 .xword 0x1bc7b27131d4bb78
62402 .xword 0xa0847a3587cbc4a5
62403 .xword 0xa5fab8ad12a6727d
62404 .xword 0x736d518a1cac9d1e
62405 .xword 0xe361ddf6c9d9127e
62406 .xword 0x6e83a5a25e34f55d
62407 .xword 0x71948520113fa252
62408 .xword 0xa0add632560ed8c3
62409 .xword 0xe13c9fc913dcbe3b
62410 .xword 0x8e2476190ff13436
62411 .xword 0x1b030efd2f487d96
62412 .xword 0x5fca2c5a05ecf489
62413 .xword 0x07bf6cdb01012bae
62414 .xword 0xf6a8bb8df998b895
62415 .xword 0xbe11f13f27ead956
62416 .xword 0x9914dc4316b23c4c
62417 .xword 0x48ecba00c27dc69f
62418 .xword 0x89f7f87bc6371a3f
62419 .xword 0x637cc11be9a22451
62420 .xword 0x0874e312ff99638f
62421 .xword 0x41f951e4fd391ebe
62422 .xword 0x3f1bcc31c23ed58d
62423 .xword 0x06fc03371ed77cc6
62424 .xword 0xee735f5d10a7fd92
62425 .xword 0x74d1619e24cc7d72
62426 .xword 0x978c15cf5695272d
62427 .xword 0x45d6c93ec58b2fa4
62428 .xword 0x0da947c8af858315
62429 .xword 0x243ac1067f3e7084
62430 .xword 0x0f44b2bd5b8fb198
62431 .xword 0x8a401d2cefbe41c6
62432 .xword 0x47f5772a7bfc8c72
62433 .xword 0x4822356ea8b90c01
62434 .xword 0xa3be4d34459477e4
62435 .xword 0xdb1ad69896f1dc78
62436 .xword 0x39063171882c65a0
62437 .xword 0x4bc55b66f8ae7580
62438 .xword 0x1002e7b94db9b9cb
62439 .xword 0x4dfa716cd8679f71
62440 .xword 0x7bcd0e6ef9b56aa8
62441 .xword 0xc3714c06e8216296
62442 .xword 0xb9369c3ef791da06
62443 .xword 0x418b3c0a8a9fab95
62444 .xword 0x473ef65432b49fec
62445 .xword 0xaccd5bd1e251ecbb
62446 .xword 0x63d740647859e717
62447 .xword 0x8694ae4004deb225
62448 .xword 0x67e17bf941770910
62449 .xword 0x24850d57131f221a
62450 .xword 0x1f93b4a073e8b5cf
62451 .xword 0x0c76d3c1de3079e5
62452 .xword 0xa0462b51de780fe4
62453 .xword 0x3be773d8c849b39b
62454 .xword 0xc764d2873330198e
62455 .xword 0x04f21aad161e3aff
62456 .xword 0x56fe450852987863
62457 .xword 0x92a4fef57b095238
62458 .xword 0xa3354567bc8c2706
62459 .xword 0x87f7e9b1b304c91a
62460 .xword 0xa52bdef197494fe3
62461 .xword 0x13e3f732513ad901
62462 .xword 0x35d6b9c850029ecc
62463 .xword 0x9d2824e5871cdad3
62464 .xword 0x632d459f774487a8
62465 .xword 0x67ae44f4048b1919
62466 .xword 0xb9dcab175f8f0dfa
62467 .xword 0xb71fb5a0904981db
62468 .xword 0x96fb1cdd998a2919
62469 .xword 0xae9ed1e924b3e35f
62470 .xword 0xbbcfe3809f895545
62471 .xword 0xef57a14ec74e1a5f
62472 .xword 0x553db846485e7e7e
62473 .xword 0x51b56849daa1f3df
62474 .xword 0xfdd880fc3028143b
62475 .xword 0xa3b74dfc2822a649
62476 .xword 0x97a867f22f0d85ee
62477 .xword 0x831073c154f06d25
62478 .xword 0xf8898581aed549b1
62479 .xword 0xffe9eded8e1ab378
62480 .xword 0x53cdcd4c300f4291
62481 .xword 0xdd008982654989b3
62482 .xword 0x6763583b5a884e0d
62483 .xword 0x17b53db8a7a3b859
62484 .xword 0x71a77fcdc4d01bf8
62485 .xword 0x647c4fe866331102
62486 .xword 0x8ed1049bfccc991a
62487 .xword 0xf4ce6637aab90f1f
62488 .xword 0x524a934c6e76dc1a
62489 .xword 0x794d28b2df375f66
62490 .xword 0x142834d6101c37bf
62491 .xword 0x3c4fae0e2231dcf8
62492 .xword 0xeb04b24c34a8473a
62493 .xword 0x9e00fa7b568487c5
62494 .xword 0x70607e0e00a117e1
62495 .xword 0x1afb0258fa648975
62496 .xword 0x769a7534874e8c6a
62497 .xword 0x5889b068c5dfab77
62498 .xword 0x85d4053081e30bc1
62499 .xword 0x7d3143886da20549
62500 .xword 0x8fe1ef7ee7f6a608
62501 .xword 0xc3a542fa1b47ffa2
62502 .xword 0x9e8322c0565fcde5
62503 .xword 0xbc9c58bbc8e19b8e
62504 .xword 0x8480f6b7638e4894
62505 .xword 0x8f2a2f689182eae0
62506 .xword 0x8f9789229cd14a87
62507 .xword 0xdf159e1c9b356f64
62508 .xword 0xbe173537c0aac6c5
62509 .xword 0x5df65fe00737415d
62510 .xword 0x1ab0f20502e32d6f
62511 .xword 0xf02de2bcc9c7d538
62512 .xword 0x8dc1aca846390941
62513 .xword 0x6afaa997847a37b6
62514 .xword 0x4b3dafaba3d5a143
62515 .xword 0xe7bd1784cc1cf492
62516 .xword 0xe8b3ddb174ca7d19
62517 .xword 0x4a3e87b22c0ff997
62518 .xword 0xa76c3714e60511d6
62519 .xword 0x021412180635bd13
62520 .xword 0xc17071f73dce8419
62521 .xword 0x8434273efe0f3f8e
62522 .xword 0x99583c322dc23433
62523 .xword 0xab6cf17c6793b21b
62524 .xword 0x1b74b3893e0644d2
62525_t1_rc4_dest:
62526 .xword 0xDEADBEEFDEADBEEF
62527 .xword 0xDEADBEEFDEADBEEF
62528 .xword 0xDEADBEEFDEADBEEF
62529 .xword 0xDEADBEEFDEADBEEF
62530 .xword 0xDEADBEEFDEADBEEF
62531 .xword 0xDEADBEEFDEADBEEF
62532 .xword 0xDEADBEEFDEADBEEF
62533 .xword 0xDEADBEEFDEADBEEF
62534 .xword 0xDEADBEEFDEADBEEF
62535 .xword 0xDEADBEEFDEADBEEF
62536 .xword 0xDEADBEEFDEADBEEF
62537 .xword 0xDEADBEEFDEADBEEF
62538 .xword 0xDEADBEEFDEADBEEF
62539 .xword 0xDEADBEEFDEADBEEF
62540 .xword 0xDEADBEEFDEADBEEF
62541 .xword 0xDEADBEEFDEADBEEF
62542 .xword 0xDEADBEEFDEADBEEF
62543 .xword 0xDEADBEEFDEADBEEF
62544 .xword 0xDEADBEEFDEADBEEF
62545 .xword 0xDEADBEEFDEADBEEF
62546 .xword 0xDEADBEEFDEADBEEF
62547 .xword 0xDEADBEEFDEADBEEF
62548 .xword 0xDEADBEEFDEADBEEF
62549 .xword 0xDEADBEEFDEADBEEF
62550 .xword 0xDEADBEEFDEADBEEF
62551 .xword 0xDEADBEEFDEADBEEF
62552 .xword 0xDEADBEEFDEADBEEF
62553 .xword 0xDEADBEEFDEADBEEF
62554 .xword 0xDEADBEEFDEADBEEF
62555 .xword 0xDEADBEEFDEADBEEF
62556 .xword 0xDEADBEEFDEADBEEF
62557 .xword 0xDEADBEEFDEADBEEF
62558 .xword 0xDEADBEEFDEADBEEF
62559 .xword 0xDEADBEEFDEADBEEF
62560 .xword 0xDEADBEEFDEADBEEF
62561 .xword 0xDEADBEEFDEADBEEF
62562 .xword 0xDEADBEEFDEADBEEF
62563 .xword 0xDEADBEEFDEADBEEF
62564 .xword 0xDEADBEEFDEADBEEF
62565 .xword 0xDEADBEEFDEADBEEF
62566 .xword 0xDEADBEEFDEADBEEF
62567 .xword 0xDEADBEEFDEADBEEF
62568 .xword 0xDEADBEEFDEADBEEF
62569 .xword 0xDEADBEEFDEADBEEF
62570 .xword 0xDEADBEEFDEADBEEF
62571 .xword 0xDEADBEEFDEADBEEF
62572 .xword 0xDEADBEEFDEADBEEF
62573 .xword 0xDEADBEEFDEADBEEF
62574 .xword 0xDEADBEEFDEADBEEF
62575 .xword 0xDEADBEEFDEADBEEF
62576 .xword 0xDEADBEEFDEADBEEF
62577 .xword 0xDEADBEEFDEADBEEF
62578 .xword 0xDEADBEEFDEADBEEF
62579 .xword 0xDEADBEEFDEADBEEF
62580 .xword 0xDEADBEEFDEADBEEF
62581 .xword 0xDEADBEEFDEADBEEF
62582 .xword 0xDEADBEEFDEADBEEF
62583 .xword 0xDEADBEEFDEADBEEF
62584 .xword 0xDEADBEEFDEADBEEF
62585 .xword 0xDEADBEEFDEADBEEF
62586 .xword 0xDEADBEEFDEADBEEF
62587 .xword 0xDEADBEEFDEADBEEF
62588 .xword 0xDEADBEEFDEADBEEF
62589 .xword 0xDEADBEEFDEADBEEF
62590 .xword 0xDEADBEEFDEADBEEF
62591 .xword 0xDEADBEEFDEADBEEF
62592 .xword 0xDEADBEEFDEADBEEF
62593 .xword 0xDEADBEEFDEADBEEF
62594 .xword 0xDEADBEEFDEADBEEF
62595 .xword 0xDEADBEEFDEADBEEF
62596 .xword 0xDEADBEEFDEADBEEF
62597 .xword 0xDEADBEEFDEADBEEF
62598 .xword 0xDEADBEEFDEADBEEF
62599 .xword 0xDEADBEEFDEADBEEF
62600 .xword 0xDEADBEEFDEADBEEF
62601 .xword 0xDEADBEEFDEADBEEF
62602 .xword 0xDEADBEEFDEADBEEF
62603 .xword 0xDEADBEEFDEADBEEF
62604 .xword 0xDEADBEEFDEADBEEF
62605 .xword 0xDEADBEEFDEADBEEF
62606 .xword 0xDEADBEEFDEADBEEF
62607 .xword 0xDEADBEEFDEADBEEF
62608 .xword 0xDEADBEEFDEADBEEF
62609 .xword 0xDEADBEEFDEADBEEF
62610 .xword 0xDEADBEEFDEADBEEF
62611 .xword 0xDEADBEEFDEADBEEF
62612 .xword 0xDEADBEEFDEADBEEF
62613 .xword 0xDEADBEEFDEADBEEF
62614 .xword 0xDEADBEEFDEADBEEF
62615 .xword 0xDEADBEEFDEADBEEF
62616 .xword 0xDEADBEEFDEADBEEF
62617 .xword 0xDEADBEEFDEADBEEF
62618 .xword 0xDEADBEEFDEADBEEF
62619 .xword 0xDEADBEEFDEADBEEF
62620 .xword 0xDEADBEEFDEADBEEF
62621 .xword 0xDEADBEEFDEADBEEF
62622 .xword 0xDEADBEEFDEADBEEF
62623 .xword 0xDEADBEEFDEADBEEF
62624 .xword 0xDEADBEEFDEADBEEF
62625 .xword 0xDEADBEEFDEADBEEF
62626 .xword 0xDEADBEEFDEADBEEF
62627 .xword 0xDEADBEEFDEADBEEF
62628 .xword 0xDEADBEEFDEADBEEF
62629 .xword 0xDEADBEEFDEADBEEF
62630 .xword 0xDEADBEEFDEADBEEF
62631 .xword 0xDEADBEEFDEADBEEF
62632 .xword 0xDEADBEEFDEADBEEF
62633 .xword 0xDEADBEEFDEADBEEF
62634 .xword 0xDEADBEEFDEADBEEF
62635 .xword 0xDEADBEEFDEADBEEF
62636 .xword 0xDEADBEEFDEADBEEF
62637 .xword 0xDEADBEEFDEADBEEF
62638 .xword 0xDEADBEEFDEADBEEF
62639 .xword 0xDEADBEEFDEADBEEF
62640 .xword 0xDEADBEEFDEADBEEF
62641 .xword 0xDEADBEEFDEADBEEF
62642 .xword 0xDEADBEEFDEADBEEF
62643 .xword 0xDEADBEEFDEADBEEF
62644 .xword 0xDEADBEEFDEADBEEF
62645 .xword 0xDEADBEEFDEADBEEF
62646 .xword 0xDEADBEEFDEADBEEF
62647 .xword 0xDEADBEEFDEADBEEF
62648 .xword 0xDEADBEEFDEADBEEF
62649 .xword 0xDEADBEEFDEADBEEF
62650 .xword 0xDEADBEEFDEADBEEF
62651 .xword 0xDEADBEEFDEADBEEF
62652 .xword 0xDEADBEEFDEADBEEF
62653 .xword 0xDEADBEEFDEADBEEF
62654 .xword 0xDEADBEEFDEADBEEF
62655 .xword 0xDEADBEEFDEADBEEF
62656 .xword 0xDEADBEEFDEADBEEF
62657 .xword 0xDEADBEEFDEADBEEF
62658 .xword 0xDEADBEEFDEADBEEF
62659 .xword 0xDEADBEEFDEADBEEF
62660 .xword 0xDEADBEEFDEADBEEF
62661 .xword 0xDEADBEEFDEADBEEF
62662 .xword 0xDEADBEEFDEADBEEF
62663 .xword 0xDEADBEEFDEADBEEF
62664 .xword 0xDEADBEEFDEADBEEF
62665 .xword 0xDEADBEEFDEADBEEF
62666 .xword 0xDEADBEEFDEADBEEF
62667 .xword 0xDEADBEEFDEADBEEF
62668 .xword 0xDEADBEEFDEADBEEF
62669 .xword 0xDEADBEEFDEADBEEF
62670 .xword 0xDEADBEEFDEADBEEF
62671 .xword 0xDEADBEEFDEADBEEF
62672 .xword 0xDEADBEEFDEADBEEF
62673 .xword 0xDEADBEEFDEADBEEF
62674 .xword 0xDEADBEEFDEADBEEF
62675 .xword 0xDEADBEEFDEADBEEF
62676 .xword 0xDEADBEEFDEADBEEF
62677 .xword 0xDEADBEEFDEADBEEF
62678 .xword 0xDEADBEEFDEADBEEF
62679 .xword 0xDEADBEEFDEADBEEF
62680 .xword 0xDEADBEEFDEADBEEF
62681 .xword 0xDEADBEEFDEADBEEF
62682 .xword 0xDEADBEEFDEADBEEF
62683 .xword 0xDEADBEEFDEADBEEF
62684 .xword 0xDEADBEEFDEADBEEF
62685 .xword 0xDEADBEEFDEADBEEF
62686 .xword 0xDEADBEEFDEADBEEF
62687 .xword 0xDEADBEEFDEADBEEF
62688 .xword 0xDEADBEEFDEADBEEF
62689 .xword 0xDEADBEEFDEADBEEF
62690 .xword 0xDEADBEEFDEADBEEF
62691 .xword 0xDEADBEEFDEADBEEF
62692 .xword 0xDEADBEEFDEADBEEF
62693 .xword 0xDEADBEEFDEADBEEF
62694 .xword 0xDEADBEEFDEADBEEF
62695 .xword 0xDEADBEEFDEADBEEF
62696 .xword 0xDEADBEEFDEADBEEF
62697 .xword 0xDEADBEEFDEADBEEF
62698 .xword 0xDEADBEEFDEADBEEF
62699 .xword 0xDEADBEEFDEADBEEF
62700 .xword 0xDEADBEEFDEADBEEF
62701 .xword 0xDEADBEEFDEADBEEF
62702 .xword 0xDEADBEEFDEADBEEF
62703 .xword 0xDEADBEEFDEADBEEF
62704 .xword 0xDEADBEEFDEADBEEF
62705 .xword 0xDEADBEEFDEADBEEF
62706 .xword 0xDEADBEEFDEADBEEF
62707 .xword 0xDEADBEEFDEADBEEF
62708 .xword 0xDEADBEEFDEADBEEF
62709 .xword 0xDEADBEEFDEADBEEF
62710 .xword 0xDEADBEEFDEADBEEF
62711 .xword 0xDEADBEEFDEADBEEF
62712 .xword 0xDEADBEEFDEADBEEF
62713 .xword 0xDEADBEEFDEADBEEF
62714 .xword 0xDEADBEEFDEADBEEF
62715 .xword 0xDEADBEEFDEADBEEF
62716 .xword 0xDEADBEEFDEADBEEF
62717 .xword 0xDEADBEEFDEADBEEF
62718 .xword 0xDEADBEEFDEADBEEF
62719 .xword 0xDEADBEEFDEADBEEF
62720 .xword 0xDEADBEEFDEADBEEF
62721 .xword 0xDEADBEEFDEADBEEF
62722 .xword 0xDEADBEEFDEADBEEF
62723 .xword 0xDEADBEEFDEADBEEF
62724 .xword 0xDEADBEEFDEADBEEF
62725 .xword 0xDEADBEEFDEADBEEF
62726 .xword 0xDEADBEEFDEADBEEF
62727 .xword 0xDEADBEEFDEADBEEF
62728 .xword 0xDEADBEEFDEADBEEF
62729 .xword 0xDEADBEEFDEADBEEF
62730 .xword 0xDEADBEEFDEADBEEF
62731 .xword 0xDEADBEEFDEADBEEF
62732 .xword 0xDEADBEEFDEADBEEF
62733 .xword 0xDEADBEEFDEADBEEF
62734 .xword 0xDEADBEEFDEADBEEF
62735 .xword 0xDEADBEEFDEADBEEF
62736 .xword 0xDEADBEEFDEADBEEF
62737 .xword 0xDEADBEEFDEADBEEF
62738 .xword 0xDEADBEEFDEADBEEF
62739 .xword 0xDEADBEEFDEADBEEF
62740 .xword 0xDEADBEEFDEADBEEF
62741 .xword 0xDEADBEEFDEADBEEF
62742 .xword 0xDEADBEEFDEADBEEF
62743 .xword 0xDEADBEEFDEADBEEF
62744 .xword 0xDEADBEEFDEADBEEF
62745 .xword 0xDEADBEEFDEADBEEF
62746 .xword 0xDEADBEEFDEADBEEF
62747 .xword 0xDEADBEEFDEADBEEF
62748 .xword 0xDEADBEEFDEADBEEF
62749 .xword 0xDEADBEEFDEADBEEF
62750 .xword 0xDEADBEEFDEADBEEF
62751 .xword 0xDEADBEEFDEADBEEF
62752 .xword 0xDEADBEEFDEADBEEF
62753 .xword 0xDEADBEEFDEADBEEF
62754 .xword 0xDEADBEEFDEADBEEF
62755 .xword 0xDEADBEEFDEADBEEF
62756 .xword 0xDEADBEEFDEADBEEF
62757 .xword 0xDEADBEEFDEADBEEF
62758 .xword 0xDEADBEEFDEADBEEF
62759 .xword 0xDEADBEEFDEADBEEF
62760 .xword 0xDEADBEEFDEADBEEF
62761 .xword 0xDEADBEEFDEADBEEF
62762 .xword 0xDEADBEEFDEADBEEF
62763 .xword 0xDEADBEEFDEADBEEF
62764 .xword 0xDEADBEEFDEADBEEF
62765 .xword 0xDEADBEEFDEADBEEF
62766 .xword 0xDEADBEEFDEADBEEF
62767 .xword 0xDEADBEEFDEADBEEF
62768 .xword 0xDEADBEEFDEADBEEF
62769 .xword 0xDEADBEEFDEADBEEF
62770 .xword 0xDEADBEEFDEADBEEF
62771 .xword 0xDEADBEEFDEADBEEF
62772 .xword 0xDEADBEEFDEADBEEF
62773 .xword 0xDEADBEEFDEADBEEF
62774 .xword 0xDEADBEEFDEADBEEF
62775 .xword 0xDEADBEEFDEADBEEF
62776 .xword 0xDEADBEEFDEADBEEF
62777 .xword 0xDEADBEEFDEADBEEF
62778 .xword 0xDEADBEEFDEADBEEF
62779 .xword 0xDEADBEEFDEADBEEF
62780 .xword 0xDEADBEEFDEADBEEF
62781 .xword 0xDEADBEEFDEADBEEF
62782 .xword 0xDEADBEEFDEADBEEF
62783 .xword 0xDEADBEEFDEADBEEF
62784 .xword 0xDEADBEEFDEADBEEF
62785 .xword 0xDEADBEEFDEADBEEF
62786 .xword 0xDEADBEEFDEADBEEF
62787 .xword 0xDEADBEEFDEADBEEF
62788 .xword 0xDEADBEEFDEADBEEF
62789 .xword 0xDEADBEEFDEADBEEF
62790 .xword 0xDEADBEEFDEADBEEF
62791 .xword 0xDEADBEEFDEADBEEF
62792 .xword 0xDEADBEEFDEADBEEF
62793 .xword 0xDEADBEEFDEADBEEF
62794 .xword 0xDEADBEEFDEADBEEF
62795 .xword 0xDEADBEEFDEADBEEF
62796 .xword 0xDEADBEEFDEADBEEF
62797 .xword 0xDEADBEEFDEADBEEF
62798 .xword 0xDEADBEEFDEADBEEF
62799 .xword 0xDEADBEEFDEADBEEF
62800 .xword 0xDEADBEEFDEADBEEF
62801_t1_rc4_auth_key:
62802 .xword 0xc600c5c13dce6b95
62803 .xword 0xbc0ec3985018657d
62804 .xword 0x7921044eccbed037
62805 .xword 0x5e56ca088a72b9c3
62806 .xword 0xc97ba443e9907136
62807 .xword 0x728a3c305c71656f
62808 .xword 0x5962224f6a151c52
62809 .xword 0x3efc685313bdff2c
62810 .xword 0xddd95772ed5f5a3a
62811 .xword 0x3abcd449f9d761de
62812 .xword 0xa0212317ed9d768a
62813 .xword 0x8faac582611e4ad3
62814 .xword 0x327a320f9fefae82
62815 .xword 0x33d3f083c913011e
62816 .xword 0x05f70ee660762e45
62817 .xword 0xcc79386067af9a55
62818 .xword 0xb23b992ba8a0fb28
62819 .xword 0x16952f7b851fb8b5
62820 .xword 0x89bde69339f0e68f
62821 .xword 0x43fc3ca424acf508
62822 .xword 0x6f5c5e9a299fc269
62823 .xword 0x1ce633f9bc22b4bb
62824 .xword 0xddbeda39bc47901c
62825_t1_rc4_auth_iv:
62826 .xword 0x20dc6ba4f8b56b43
62827 .xword 0x4bc2ae56d7cc6a1e
62828 .xword 0x604d3821eab97719
62829 .xword 0x580ef73ddfc05dad
62830 .xword 0x6b7cb670b33859b6
62831 .xword 0xcfcb616c7e4535bc
62832 .xword 0xbd65d7108b054993
62833 .xword 0xf724e0ad8e526ecd
62834 .xword 0xcaa059dca6002f14
62835 .xword 0xe8aa3678bc362c06
62836 .xword 0x0f3c97779f0695f8
62837 .xword 0x2fe3325adf297ce4
62838 .xword 0xa21d76ca9ffc6ba3
62839 .xword 0x7712d972b7a9b94b
62840 .xword 0x321ee70029bac2d5
62841 .xword 0xa36cb5771455b10e
62842 .xword 0xc266b90c22bf35c7
62843 .xword 0xb163ba05edbc7499
62844 .xword 0xed48ad9ea0cbae62
62845 .xword 0xd0a9919e617a742a
62846 .xword 0x3d68229a1b26f6b5
62847 .xword 0xc36520eb3fca7be2
62848 .xword 0x8f0b5975d7b62e02
62849_t1_rc4_fas_result:
62850 .xword 0xDEADBEEFDEADBEEF
62851 .xword 0xDEADBEEFDEADBEEF
62852 .xword 0xDEADBEEFDEADBEEF
62853 .xword 0xDEADBEEFDEADBEEF
62854 .xword 0xDEADBEEFDEADBEEF
62855 .xword 0xDEADBEEFDEADBEEF
62856 .xword 0xDEADBEEFDEADBEEF
62857 .xword 0xDEADBEEFDEADBEEF
62858 .xword 0xDEADBEEFDEADBEEF
62859 .xword 0xDEADBEEFDEADBEEF
62860 .xword 0xDEADBEEFDEADBEEF
62861 .xword 0xDEADBEEFDEADBEEF
62862 .xword 0xDEADBEEFDEADBEEF
62863 .xword 0xDEADBEEFDEADBEEF
62864 .xword 0xDEADBEEFDEADBEEF
62865 .xword 0xDEADBEEFDEADBEEF
62866 .xword 0xDEADBEEFDEADBEEF
62867 .xword 0xDEADBEEFDEADBEEF
62868 .xword 0xDEADBEEFDEADBEEF
62869 .xword 0xDEADBEEFDEADBEEF
62870 .xword 0xDEADBEEFDEADBEEF
62871 .xword 0xDEADBEEFDEADBEEF
62872 .xword 0xDEADBEEFDEADBEEF
62873_t1_sslkey_key_array:
62874 .xword 0x5daafeb9af19462f
62875 .xword 0xadef1da5dcf338cf
62876 .xword 0x8924b3a95a25df54
62877 .xword 0xad0605b68f63444b
62878 .xword 0xfe7fef7a661db08a
62879 .xword 0x27aaf8edcb480269
62880 .xword 0x434395167305e170
62881 .xword 0xe1c9e7b618991239
62882 .xword 0x64376acf99c3fa1b
62883 .xword 0x5a4bdccae9c4a7cd
62884 .xword 0x78d11ce56813e14a
62885 .xword 0xa148c43616f02899
62886 .xword 0x55ccab9c648f9e15
62887 .xword 0x306db4ee9f29ad9f
62888 .xword 0x42c99c0b8742ea0a
62889 .xword 0xa491637338bac095
62890 .xword 0xb12d3c060f8c11be
62891 .xword 0x9279c497399aa269
62892 .xword 0x5dbfd42dce56cd8d
62893 .xword 0x62f9df344996765c
62894 .xword 0xd1e888a5592b76c7
62895 .xword 0x9d6c7329d257c6bd
62896 .xword 0x4ac4856996a59d8c
62897 .xword 0x317f564ab0672bd4
62898 .xword 0xf916e11f72dc70c3
62899 .xword 0xb4512f3758e2dc8c
62900 .xword 0x92b6766d8eaad8d4
62901 .xword 0xea4785deb2d03da8
62902 .xword 0xfdc41ed87afb42e1
62903 .xword 0x93ba89a0594fcc79
62904 .xword 0xdd24fabf16ec4c38
62905 .xword 0xd053e1235fd637ea
62906 .xword 0x14a32bfa69ec7e43
62907 .xword 0x3635b04643ffb1a1
62908 .xword 0x0998358d0eed20cd
62909 .xword 0x9973b94c85454154
62910 .xword 0xfae66c6a44caa38b
62911 .xword 0x49332078b8c25010
62912 .xword 0x8f8c7848a197e0b7
62913 .xword 0xdadfee77d53118d8
62914 .xword 0x5bf480331101fe72
62915 .xword 0xeb7f08118874b3da
62916 .xword 0x1a94ca9158c185ea
62917 .xword 0xdb92959f58fe2018
62918 .xword 0x5acb03ed3a90957d
62919 .xword 0x488d727491cd4ecf
62920 .xword 0x5aca7e9df0266d46
62921 .xword 0xa19f5af07e012aa0
62922 .xword 0x54d202ac89c9270b
62923 .xword 0xd316ce9fcd3b136d
62924 .xword 0xdf6413a03766d41a
62925_t1_sslkey_iv_array:
62926 .xword 0x5eaad3a0fbb7f02d
62927 .xword 0x7833e057be9ed1a2
62928 .xword 0xc25e010906a3b5ff
62929 .xword 0x455560f1696bd015
62930 .xword 0xb55c99b921d8e5c0
62931 .xword 0xed8772602ae5217a
62932 .xword 0x92f6c7b1cb5eac99
62933 .xword 0xcc7a2082cfd6c97f
62934 .xword 0x55ea4c29645e456e
62935 .xword 0x59604f3100f3cfcf
62936 .xword 0xfb125d04293b669f
62937 .xword 0x6b5013bfea712263
62938 .xword 0xd4ebeb0e7cb6ebec
62939 .xword 0x241ffcca3419ac54
62940 .xword 0xdc21922e2629deb6
62941 .xword 0x7bfccd3b904f85df
62942 .xword 0x1c16b58afc41a6a4
62943 .xword 0x7808bf4dfafc1fd2
62944 .xword 0xe5df9950a6590e78
62945 .xword 0x0e2623e1ee8da9c9
62946 .xword 0x4f31a69c1c5cd562
62947 .xword 0xe3960c3afa8276e8
62948 .xword 0xff48a4bbfb8844cb
62949 .xword 0x18f8ca723f00ad04
62950 .xword 0xd2f6260948753d76
62951 .xword 0x3480ec7d359825b7
62952 .xword 0xef108f1532cb5c42
62953 .xword 0xee527b8602a0a498
62954 .xword 0x2c7432b6bfbe46c7
62955 .xword 0xbb23da9505230e4c
62956 .xword 0xc7b5edffd15f409d
62957 .xword 0x83441eb7b02bbc69
62958 .xword 0xf30861540798ddec
62959 .xword 0x9adf329c0f0e98ad
62960 .xword 0x0873b70f3b5441f9
62961 .xword 0x3539702e520f98ec
62962 .xword 0xaaa4eb1fe831a1d6
62963 .xword 0x55958d27d388d676
62964 .xword 0x645b93fe43b4ab71
62965 .xword 0x7af980a9db0cda43
62966 .xword 0x0f6a9740875a252c
62967 .xword 0x5f9a934e1bd785e0
62968 .xword 0x69724438c155a2f0
62969 .xword 0xf9bc5a8af956d6bb
62970 .xword 0x97cb6c998aeaf611
62971_t1_sslkey_alignment_array:
62972 .xword 0
62973 .xword 0
62974 .xword 0
62975 .xword 0
62976 .xword 0
62977 .xword 0
62978 .xword 0
62979 .xword 0
62980 .xword 0
62981 .xword 0
62982 .xword 0
62983 .xword 0
62984 .xword 0
62985 .xword 0
62986 .xword 0
62987 .xword 0
62988 .xword 0
62989 .xword 0
62990 .xword 0
62991 .xword 0
62992 .xword 0
62993 .xword 0
62994 .xword 0
62995 .xword 0
62996 .xword 0
62997 .xword 0
62998 .xword 0
62999 .xword 0
63000 .xword 0
63001 .xword 0
63002 .xword 0
63003 .xword 0
63004 .xword 0
63005 .xword 0
63006 .xword 0
63007 .xword 0
63008 .xword 0
63009 .xword 0
63010 .xword 0
63011 .xword 0
63012 .xword 0
63013 .xword 0
63014 .xword 0
63015 .xword 0
63016 .xword 0
63017 .xword 0
63018 .xword 0
63019 .xword 0
63020 .xword 0
63021 .xword 0
63022 .xword 0
63023 .xword 0
63024 .xword 0
63025 .xword 0
63026 .xword 0
63027 .xword 0
63028 .xword 0
63029 .xword 0
63030 .xword 0
63031 .xword 0
63032 .xword 0
63033 .xword 0
63034 .xword 0
63035 .xword 0
63036 .xword 0
63037 .xword 0
63038 .xword 0
63039 .xword 0
63040 .xword 0
63041 .xword 0
63042 .xword 0
63043 .xword 0
63044 .xword 0
63045 .xword 0
63046 .xword 0
63047 .xword 0
63048 .xword 0
63049 .xword 0
63050 .xword 0
63051 .xword 0
63052 .xword 0
63053 .xword 0
63054 .xword 0
63055 .xword 0
63056 .xword 0
63057 .xword 0
63058 .xword 0
63059 .xword 0
63060 .xword 0
63061 .xword 0
63062 .xword 0
63063 .xword 0
63064 .xword 0
63065 .xword 0
63066 .xword 0
63067 .xword 0
63068 .xword 0
63069 .xword 0
63070 .xword 0
63071 .xword 0
63072 .xword 0
63073 .xword 0
63074 .xword 0
63075 .xword 0
63076 .xword 0
63077_t1_sslkey_src:
63078 .xword 0x26fd4f1fbde4aa1b
63079 .xword 0xac62952104ad17ae
63080 .xword 0x00d3af764221b7ef
63081 .xword 0xc0f543960ddfdfd9
63082 .xword 0xb5faacc99e615631
63083 .xword 0x46e97f3b82abb259
63084 .xword 0x0c3158af264fc6eb
63085 .xword 0xde818ff0eff8521e
63086 .xword 0x2b4801606a45d0fd
63087 .xword 0x3f54ae82003cea0f
63088 .xword 0xd553b52eff0c9fda
63089 .xword 0x1a63961429779439
63090 .xword 0x5f67d26e8cc8f7d2
63091 .xword 0x98c55c524e150de5
63092 .xword 0x614f711701a70b03
63093 .xword 0xdc4f774e134c352f
63094 .xword 0x5569c1838139e1e8
63095 .xword 0x2e7f9be9018ff6e7
63096 .xword 0x7d761a8a92a2d945
63097 .xword 0x31dd44a8ba0d8148
63098 .xword 0x354e57d71eda2a07
63099 .xword 0x9095362ba865f642
63100 .xword 0x9efab11d11b0091d
63101 .xword 0xcd5ef5ae152cfe13
63102 .xword 0x1bcd19b2711ab23e
63103 .xword 0x8d09b8d1c3d871a1
63104 .xword 0x17c4f179aa95feae
63105 .xword 0xdc5f7963c1cd842b
63106 .xword 0xe2b9e34f688ef504
63107 .xword 0x7546ca38089a9324
63108 .xword 0xed988b79132324ea
63109 .xword 0x71127211c990823e
63110 .xword 0x35bb04513ee9bdf1
63111 .xword 0xb3766ccb2c37ec53
63112 .xword 0x0b0098bd475e0632
63113 .xword 0xdaa800b838210fb6
63114 .xword 0xf3ce35c6ae14ff37
63115 .xword 0x27778d0ff77dab83
63116 .xword 0x399339ee24b5c848
63117 .xword 0xc801b7e52e147ac8
63118 .xword 0x73b0f44f0845eeed
63119 .xword 0x304f7278439d710c
63120 .xword 0x7ba35327ef5b0f21
63121 .xword 0x5f6713a17a237255
63122 .xword 0x43a1dda884b52463
63123 .xword 0x34bc1aa1386517a6
63124 .xword 0xdd04d1cd22b86d2d
63125 .xword 0x0f5760785a33714b
63126 .xword 0xaec33300586fff63
63127 .xword 0x01d5452c05f5e89c
63128 .xword 0x1025c76206e60985
63129 .xword 0x7aca0fecaa92a68b
63130 .xword 0xbac1e51cc9921af2
63131 .xword 0x7e602d5f0df8339f
63132 .xword 0x3e858e31789b5fc6
63133 .xword 0x875772ac26667912
63134 .xword 0x368b03f94fc08102
63135 .xword 0xc566797ceaf7ae9c
63136 .xword 0xa1bd855c48344e74
63137 .xword 0xcaebfa79c61cdb3e
63138 .xword 0xdf66c3128eec531c
63139 .xword 0x7652746b10d5d80d
63140 .xword 0xb32ac8a63e307014
63141 .xword 0xd83c502d1db20906
63142 .xword 0x3297cabd84708a83
63143 .xword 0x1e9119e1d8891166
63144 .xword 0x9901a1dcf2bf8df5
63145 .xword 0x0be60817f98e6628
63146 .xword 0x4963c5400c4bf3cb
63147 .xword 0x00ed5681084812de
63148 .xword 0x2e0d43634e534424
63149 .xword 0xab26abcae6bb29c5
63150 .xword 0xb5b838d0136d225f
63151 .xword 0xd24ede5efd7812be
63152 .xword 0xaf780ac6ac54f64f
63153 .xword 0xc7fb0240d0eacbb2
63154 .xword 0x188ea9be9d983d2c
63155 .xword 0xc910fdc2b87f4783
63156 .xword 0xccf7f9ef6e18e621
63157 .xword 0xcc9222a7ed96da32
63158 .xword 0x4041bbdc4796a677
63159 .xword 0xbd36c4a81ad17c80
63160 .xword 0x0427e6f1c0d497a7
63161 .xword 0x55a9ccf34804b42f
63162 .xword 0x67ebbb2ff1e8af6a
63163 .xword 0xc8088ed3b843cc1c
63164 .xword 0x46a7817e36e53624
63165 .xword 0x3e3745b9408bce3f
63166 .xword 0x93cf9b9c96a75e67
63167 .xword 0x4e1efed065e42586
63168 .xword 0xd17a4982ce514ee7
63169 .xword 0x7ff010522d579120
63170 .xword 0x52410011d8eaa0d9
63171 .xword 0x2d0f9b7b3f895024
63172 .xword 0x080496f3a46672fa
63173 .xword 0xf74ea6783fe0ba4b
63174 .xword 0x09eaa86e6a7c94c2
63175 .xword 0x97c70aa9ce68fd11
63176 .xword 0x55e4ac0481711c97
63177 .xword 0xae8c70eec3b18baf
63178 .xword 0x944ab2344ffae065
63179 .xword 0x413962379ae14b8a
63180 .xword 0xa7413fcbe4aad5a7
63181 .xword 0xe95933da4383ed7c
63182 .xword 0xfad82f5c9c12a28b
63183 .xword 0x44d093cb2268e32a
63184 .xword 0x0b9a78bf821af84c
63185 .xword 0x7fc6adca7d71f955
63186 .xword 0xc9cbab035d92b742
63187 .xword 0xf719bfa681c5c616
63188 .xword 0x68b8e68a8c139b32
63189 .xword 0x548695e1fabb1580
63190 .xword 0x273de680f686b63e
63191 .xword 0xb4e2605d1ccdab96
63192 .xword 0x9c27828b9683f4b0
63193 .xword 0x6dce487efe02d170
63194 .xword 0x50704d26d940a25e
63195 .xword 0x51cab44d330ef4f4
63196 .xword 0x465042b2dfd07608
63197 .xword 0x8fa535b2026f9937
63198 .xword 0x7e29197801952be8
63199 .xword 0x9507ca69eba5d2e5
63200 .xword 0x9add3a5a8f35ac33
63201 .xword 0x50c7d96d3e45022e
63202 .xword 0xc9c55512cb5c7f49
63203 .xword 0x475e085ca7adeb2e
63204 .xword 0x851eed5f84f9cadb
63205 .xword 0x4e8e2158101a2c2d
63206 .xword 0x3edece065b63cc94
63207 .xword 0x9fc660d77a21547c
63208 .xword 0xd2060e6d6ef842f2
63209 .xword 0xe0b683b3868d104e
63210 .xword 0x6ce438cd4ccc962c
63211 .xword 0x71f3ac007833427d
63212 .xword 0x4f5dbafcb49cf7a7
63213 .xword 0x8819cf50877aaf25
63214 .xword 0x359556f8f945e3b3
63215 .xword 0x97b464c68b6be59a
63216 .xword 0x3705872ffdbb33a6
63217 .xword 0x8f40b57dc3500652
63218 .xword 0x0955e76c061b5a9b
63219 .xword 0x33dcce263f78223e
63220 .xword 0x0fb3d6b767d45e98
63221 .xword 0x48b07fa8cec26c55
63222 .xword 0x08361981339120bf
63223 .xword 0x63c5585bc8eb6a9e
63224 .xword 0xc4f77ffffe54df3e
63225 .xword 0x69189b6f92df5434
63226 .xword 0x061ba7575aeb1895
63227 .xword 0xac8331d0df37be08
63228 .xword 0x325101278aa6fd47
63229 .xword 0xc5453d13a78f86ba
63230 .xword 0x74f123a60c5176bd
63231 .xword 0xe9939f92d123b9a5
63232 .xword 0x6f245b037ed1597b
63233 .xword 0x5a38c50a256bbbd5
63234 .xword 0x5c4f6c642f6e59fc
63235 .xword 0x37873ac2457aacb1
63236 .xword 0x3899259a3f40ef49
63237 .xword 0x1f04737fb6b7f279
63238 .xword 0x0e106e68972a04da
63239 .xword 0xac91a4b076521e47
63240 .xword 0x1784025d0668aa5b
63241 .xword 0xf5d23409eebe5072
63242 .xword 0xd54b10ded7b7bea2
63243 .xword 0x98df206afb1fe449
63244 .xword 0x0e907f790a86e134
63245 .xword 0xb86b7a7dd4b9582b
63246 .xword 0x2df258be428379b5
63247 .xword 0x07cd0092b00caffb
63248 .xword 0x66d0cadb4b98fdad
63249 .xword 0xfad64c339cffe950
63250 .xword 0x57d3209036018933
63251 .xword 0x61d4a19fee02bca4
63252 .xword 0xba2e83ff62ebc601
63253 .xword 0xbe72feffa19d1af0
63254 .xword 0x759a6ad493ba74b1
63255 .xword 0x3cc0c49cd37e3cf8
63256 .xword 0xca1c7fec96801c6b
63257 .xword 0x24238007f43cf0e5
63258 .xword 0xd17dd82648b5517c
63259 .xword 0x903525ca952149c7
63260 .xword 0x3b3c07b02c952a6f
63261 .xword 0xfe7a8dedae658cf8
63262 .xword 0x86d660fecdbb2450
63263 .xword 0x620bebb10635defc
63264 .xword 0x3d1d025ab359e10a
63265 .xword 0xd74c24c1a086b43d
63266 .xword 0x43f34e02330940ba
63267 .xword 0x590c2e9e75833971
63268 .xword 0x9bffa9f794e1d485
63269 .xword 0x26d84e9bcc4c5e07
63270 .xword 0x84c5a6f42a7f8e32
63271 .xword 0x0f41710280a831dd
63272 .xword 0xf3aa58ae34e7dd45
63273 .xword 0xaf4609b11d9cf31a
63274 .xword 0x136eee284ff3c99b
63275 .xword 0x551493dffbd68e2d
63276 .xword 0xefc94bfb842efb3e
63277 .xword 0x7603a95204d4bbfb
63278 .xword 0x1402c99d10471b7c
63279 .xword 0xff68c5d189e571d7
63280 .xword 0xe77d847add88d246
63281 .xword 0x984b30c44217caa4
63282 .xword 0xa13556cbe7bd1288
63283 .xword 0x651546ea80945b8f
63284 .xword 0xff07fe33cf9befbc
63285 .xword 0xeabfbc9e6341f0d1
63286 .xword 0x13c94e9501fbf695
63287 .xword 0x6b44cca2f1922ca5
63288 .xword 0xcd6296e2e5e20550
63289 .xword 0xecc9a7571a40a6d9
63290 .xword 0xf5dac549c4a0822f
63291 .xword 0xd6fa27472b61bad3
63292 .xword 0x0522b63ce982e7fe
63293 .xword 0xbf1180fb37ea3892
63294 .xword 0x7802b92bf08176f9
63295 .xword 0xdfc3ba59f5c71f9a
63296 .xword 0x2ef9edd6872c95f4
63297 .xword 0x46da986121467acf
63298 .xword 0xaffd55d489b9cbff
63299 .xword 0x197c1c822de64674
63300 .xword 0xefd2d323f99e9e2b
63301 .xword 0xbf443aac4563902f
63302 .xword 0x40a1421db8b0422e
63303 .xword 0xa8eeacf506a2fbfc
63304 .xword 0x19fb2b6fe023bf9a
63305 .xword 0x7754e0e030838051
63306 .xword 0xa89a0517d2a29b28
63307 .xword 0xf2e0bef1a3ac8d89
63308 .xword 0x9b1f1af044ff4a00
63309 .xword 0xe25476ffb2c90b4b
63310 .xword 0xafdae7a408a22bc0
63311 .xword 0x48dbfab21f8ded62
63312 .xword 0xc2f5cfa898da3744
63313 .xword 0xfaeafa0be8605b45
63314 .xword 0x5f8628a29925b86f
63315 .xword 0x92ff2f6d63b4ca0f
63316 .xword 0x0131331c1db4cd83
63317 .xword 0xcb1a15b62bc04bb1
63318 .xword 0x19bb64c4137f4526
63319 .xword 0x1ff97b5fc0383621
63320 .xword 0xbc174b6bab45251e
63321 .xword 0xc34b65c1dc56f7e4
63322 .xword 0xa852d0912bf202e0
63323 .xword 0xef00b35f5e8babc2
63324 .xword 0x5874b5ed32e48b6a
63325 .xword 0x076b531aa246c0fd
63326 .xword 0xddb3ade84b3ec11b
63327 .xword 0x6fbc74fed5c2084d
63328 .xword 0xeb34b68417fd4aa3
63329 .xword 0x60a45cd9d177a778
63330 .xword 0xfda9bc12196dc3e7
63331 .xword 0x282bc3324cdc8604
63332 .xword 0xada460a3fbfd124f
63333 .xword 0xfdd410bca368ca82
63334 .xword 0xc734da20deb1e4b6
63335 .xword 0xe1c1f84f51e6ea6e
63336 .xword 0xba6106cc29685122
63337 .xword 0xb9d7e6c34d50e54c
63338 .xword 0xf28d1a56fa8bd399
63339 .xword 0xfc7e35172ce31a76
63340 .xword 0xd92bf62fe1eb8d07
63341 .xword 0x17da742676e32d04
63342 .xword 0xdeb50e2c555522f7
63343 .xword 0x9140e211ecec6232
63344 .xword 0x6bf5f93ca4c7fcaf
63345 .xword 0xdac3f7f101bf0b9b
63346 .xword 0x88ef424cf6ce1622
63347 .xword 0x4bfce1149f2578ee
63348 .xword 0x07d98a4643b6802f
63349 .xword 0xe7180747508ba419
63350 .xword 0xa784e389c3ca7f22
63351 .xword 0xf1934e1e5ebcac96
63352 .xword 0x9f9d71ffc3f1df42
63353_t1_sslkey_dest:
63354 .xword 0xDEADBEEFDEADBEEF
63355 .xword 0xDEADBEEFDEADBEEF
63356 .xword 0xDEADBEEFDEADBEEF
63357 .xword 0xDEADBEEFDEADBEEF
63358 .xword 0xDEADBEEFDEADBEEF
63359 .xword 0xDEADBEEFDEADBEEF
63360 .xword 0xDEADBEEFDEADBEEF
63361 .xword 0xDEADBEEFDEADBEEF
63362 .xword 0xDEADBEEFDEADBEEF
63363 .xword 0xDEADBEEFDEADBEEF
63364 .xword 0xDEADBEEFDEADBEEF
63365 .xword 0xDEADBEEFDEADBEEF
63366 .xword 0xDEADBEEFDEADBEEF
63367 .xword 0xDEADBEEFDEADBEEF
63368 .xword 0xDEADBEEFDEADBEEF
63369 .xword 0xDEADBEEFDEADBEEF
63370 .xword 0xDEADBEEFDEADBEEF
63371 .xword 0xDEADBEEFDEADBEEF
63372 .xword 0xDEADBEEFDEADBEEF
63373 .xword 0xDEADBEEFDEADBEEF
63374 .xword 0xDEADBEEFDEADBEEF
63375 .xword 0xDEADBEEFDEADBEEF
63376 .xword 0xDEADBEEFDEADBEEF
63377 .xword 0xDEADBEEFDEADBEEF
63378 .xword 0xDEADBEEFDEADBEEF
63379 .xword 0xDEADBEEFDEADBEEF
63380 .xword 0xDEADBEEFDEADBEEF
63381 .xword 0xDEADBEEFDEADBEEF
63382 .xword 0xDEADBEEFDEADBEEF
63383 .xword 0xDEADBEEFDEADBEEF
63384 .xword 0xDEADBEEFDEADBEEF
63385 .xword 0xDEADBEEFDEADBEEF
63386 .xword 0xDEADBEEFDEADBEEF
63387 .xword 0xDEADBEEFDEADBEEF
63388 .xword 0xDEADBEEFDEADBEEF
63389 .xword 0xDEADBEEFDEADBEEF
63390 .xword 0xDEADBEEFDEADBEEF
63391 .xword 0xDEADBEEFDEADBEEF
63392 .xword 0xDEADBEEFDEADBEEF
63393 .xword 0xDEADBEEFDEADBEEF
63394 .xword 0xDEADBEEFDEADBEEF
63395 .xword 0xDEADBEEFDEADBEEF
63396 .xword 0xDEADBEEFDEADBEEF
63397 .xword 0xDEADBEEFDEADBEEF
63398 .xword 0xDEADBEEFDEADBEEF
63399 .xword 0xDEADBEEFDEADBEEF
63400 .xword 0xDEADBEEFDEADBEEF
63401 .xword 0xDEADBEEFDEADBEEF
63402 .xword 0xDEADBEEFDEADBEEF
63403 .xword 0xDEADBEEFDEADBEEF
63404 .xword 0xDEADBEEFDEADBEEF
63405 .xword 0xDEADBEEFDEADBEEF
63406 .xword 0xDEADBEEFDEADBEEF
63407 .xword 0xDEADBEEFDEADBEEF
63408 .xword 0xDEADBEEFDEADBEEF
63409 .xword 0xDEADBEEFDEADBEEF
63410 .xword 0xDEADBEEFDEADBEEF
63411 .xword 0xDEADBEEFDEADBEEF
63412 .xword 0xDEADBEEFDEADBEEF
63413 .xword 0xDEADBEEFDEADBEEF
63414 .xword 0xDEADBEEFDEADBEEF
63415 .xword 0xDEADBEEFDEADBEEF
63416 .xword 0xDEADBEEFDEADBEEF
63417 .xword 0xDEADBEEFDEADBEEF
63418 .xword 0xDEADBEEFDEADBEEF
63419 .xword 0xDEADBEEFDEADBEEF
63420 .xword 0xDEADBEEFDEADBEEF
63421 .xword 0xDEADBEEFDEADBEEF
63422 .xword 0xDEADBEEFDEADBEEF
63423 .xword 0xDEADBEEFDEADBEEF
63424 .xword 0xDEADBEEFDEADBEEF
63425 .xword 0xDEADBEEFDEADBEEF
63426 .xword 0xDEADBEEFDEADBEEF
63427 .xword 0xDEADBEEFDEADBEEF
63428 .xword 0xDEADBEEFDEADBEEF
63429 .xword 0xDEADBEEFDEADBEEF
63430 .xword 0xDEADBEEFDEADBEEF
63431 .xword 0xDEADBEEFDEADBEEF
63432 .xword 0xDEADBEEFDEADBEEF
63433 .xword 0xDEADBEEFDEADBEEF
63434 .xword 0xDEADBEEFDEADBEEF
63435 .xword 0xDEADBEEFDEADBEEF
63436 .xword 0xDEADBEEFDEADBEEF
63437 .xword 0xDEADBEEFDEADBEEF
63438 .xword 0xDEADBEEFDEADBEEF
63439 .xword 0xDEADBEEFDEADBEEF
63440 .xword 0xDEADBEEFDEADBEEF
63441 .xword 0xDEADBEEFDEADBEEF
63442 .xword 0xDEADBEEFDEADBEEF
63443 .xword 0xDEADBEEFDEADBEEF
63444 .xword 0xDEADBEEFDEADBEEF
63445 .xword 0xDEADBEEFDEADBEEF
63446 .xword 0xDEADBEEFDEADBEEF
63447 .xword 0xDEADBEEFDEADBEEF
63448 .xword 0xDEADBEEFDEADBEEF
63449 .xword 0xDEADBEEFDEADBEEF
63450 .xword 0xDEADBEEFDEADBEEF
63451 .xword 0xDEADBEEFDEADBEEF
63452 .xword 0xDEADBEEFDEADBEEF
63453 .xword 0xDEADBEEFDEADBEEF
63454 .xword 0xDEADBEEFDEADBEEF
63455 .xword 0xDEADBEEFDEADBEEF
63456 .xword 0xDEADBEEFDEADBEEF
63457 .xword 0xDEADBEEFDEADBEEF
63458 .xword 0xDEADBEEFDEADBEEF
63459 .xword 0xDEADBEEFDEADBEEF
63460 .xword 0xDEADBEEFDEADBEEF
63461 .xword 0xDEADBEEFDEADBEEF
63462 .xword 0xDEADBEEFDEADBEEF
63463 .xword 0xDEADBEEFDEADBEEF
63464 .xword 0xDEADBEEFDEADBEEF
63465 .xword 0xDEADBEEFDEADBEEF
63466 .xword 0xDEADBEEFDEADBEEF
63467 .xword 0xDEADBEEFDEADBEEF
63468 .xword 0xDEADBEEFDEADBEEF
63469 .xword 0xDEADBEEFDEADBEEF
63470 .xword 0xDEADBEEFDEADBEEF
63471 .xword 0xDEADBEEFDEADBEEF
63472 .xword 0xDEADBEEFDEADBEEF
63473 .xword 0xDEADBEEFDEADBEEF
63474 .xword 0xDEADBEEFDEADBEEF
63475 .xword 0xDEADBEEFDEADBEEF
63476 .xword 0xDEADBEEFDEADBEEF
63477 .xword 0xDEADBEEFDEADBEEF
63478 .xword 0xDEADBEEFDEADBEEF
63479 .xword 0xDEADBEEFDEADBEEF
63480 .xword 0xDEADBEEFDEADBEEF
63481 .xword 0xDEADBEEFDEADBEEF
63482 .xword 0xDEADBEEFDEADBEEF
63483 .xword 0xDEADBEEFDEADBEEF
63484 .xword 0xDEADBEEFDEADBEEF
63485 .xword 0xDEADBEEFDEADBEEF
63486 .xword 0xDEADBEEFDEADBEEF
63487 .xword 0xDEADBEEFDEADBEEF
63488 .xword 0xDEADBEEFDEADBEEF
63489 .xword 0xDEADBEEFDEADBEEF
63490 .xword 0xDEADBEEFDEADBEEF
63491 .xword 0xDEADBEEFDEADBEEF
63492 .xword 0xDEADBEEFDEADBEEF
63493 .xword 0xDEADBEEFDEADBEEF
63494 .xword 0xDEADBEEFDEADBEEF
63495 .xword 0xDEADBEEFDEADBEEF
63496 .xword 0xDEADBEEFDEADBEEF
63497 .xword 0xDEADBEEFDEADBEEF
63498 .xword 0xDEADBEEFDEADBEEF
63499 .xword 0xDEADBEEFDEADBEEF
63500 .xword 0xDEADBEEFDEADBEEF
63501 .xword 0xDEADBEEFDEADBEEF
63502 .xword 0xDEADBEEFDEADBEEF
63503 .xword 0xDEADBEEFDEADBEEF
63504 .xword 0xDEADBEEFDEADBEEF
63505 .xword 0xDEADBEEFDEADBEEF
63506 .xword 0xDEADBEEFDEADBEEF
63507 .xword 0xDEADBEEFDEADBEEF
63508 .xword 0xDEADBEEFDEADBEEF
63509 .xword 0xDEADBEEFDEADBEEF
63510 .xword 0xDEADBEEFDEADBEEF
63511 .xword 0xDEADBEEFDEADBEEF
63512 .xword 0xDEADBEEFDEADBEEF
63513 .xword 0xDEADBEEFDEADBEEF
63514 .xword 0xDEADBEEFDEADBEEF
63515 .xword 0xDEADBEEFDEADBEEF
63516 .xword 0xDEADBEEFDEADBEEF
63517 .xword 0xDEADBEEFDEADBEEF
63518 .xword 0xDEADBEEFDEADBEEF
63519 .xword 0xDEADBEEFDEADBEEF
63520 .xword 0xDEADBEEFDEADBEEF
63521 .xword 0xDEADBEEFDEADBEEF
63522 .xword 0xDEADBEEFDEADBEEF
63523 .xword 0xDEADBEEFDEADBEEF
63524 .xword 0xDEADBEEFDEADBEEF
63525 .xword 0xDEADBEEFDEADBEEF
63526 .xword 0xDEADBEEFDEADBEEF
63527 .xword 0xDEADBEEFDEADBEEF
63528 .xword 0xDEADBEEFDEADBEEF
63529 .xword 0xDEADBEEFDEADBEEF
63530 .xword 0xDEADBEEFDEADBEEF
63531 .xword 0xDEADBEEFDEADBEEF
63532 .xword 0xDEADBEEFDEADBEEF
63533 .xword 0xDEADBEEFDEADBEEF
63534 .xword 0xDEADBEEFDEADBEEF
63535 .xword 0xDEADBEEFDEADBEEF
63536 .xword 0xDEADBEEFDEADBEEF
63537 .xword 0xDEADBEEFDEADBEEF
63538 .xword 0xDEADBEEFDEADBEEF
63539 .xword 0xDEADBEEFDEADBEEF
63540 .xword 0xDEADBEEFDEADBEEF
63541 .xword 0xDEADBEEFDEADBEEF
63542 .xword 0xDEADBEEFDEADBEEF
63543 .xword 0xDEADBEEFDEADBEEF
63544 .xword 0xDEADBEEFDEADBEEF
63545 .xword 0xDEADBEEFDEADBEEF
63546 .xword 0xDEADBEEFDEADBEEF
63547 .xword 0xDEADBEEFDEADBEEF
63548 .xword 0xDEADBEEFDEADBEEF
63549 .xword 0xDEADBEEFDEADBEEF
63550 .xword 0xDEADBEEFDEADBEEF
63551 .xword 0xDEADBEEFDEADBEEF
63552 .xword 0xDEADBEEFDEADBEEF
63553 .xword 0xDEADBEEFDEADBEEF
63554 .xword 0xDEADBEEFDEADBEEF
63555 .xword 0xDEADBEEFDEADBEEF
63556 .xword 0xDEADBEEFDEADBEEF
63557 .xword 0xDEADBEEFDEADBEEF
63558 .xword 0xDEADBEEFDEADBEEF
63559 .xword 0xDEADBEEFDEADBEEF
63560 .xword 0xDEADBEEFDEADBEEF
63561 .xword 0xDEADBEEFDEADBEEF
63562 .xword 0xDEADBEEFDEADBEEF
63563 .xword 0xDEADBEEFDEADBEEF
63564 .xword 0xDEADBEEFDEADBEEF
63565 .xword 0xDEADBEEFDEADBEEF
63566 .xword 0xDEADBEEFDEADBEEF
63567 .xword 0xDEADBEEFDEADBEEF
63568 .xword 0xDEADBEEFDEADBEEF
63569 .xword 0xDEADBEEFDEADBEEF
63570 .xword 0xDEADBEEFDEADBEEF
63571 .xword 0xDEADBEEFDEADBEEF
63572 .xword 0xDEADBEEFDEADBEEF
63573 .xword 0xDEADBEEFDEADBEEF
63574 .xword 0xDEADBEEFDEADBEEF
63575 .xword 0xDEADBEEFDEADBEEF
63576 .xword 0xDEADBEEFDEADBEEF
63577 .xword 0xDEADBEEFDEADBEEF
63578 .xword 0xDEADBEEFDEADBEEF
63579 .xword 0xDEADBEEFDEADBEEF
63580 .xword 0xDEADBEEFDEADBEEF
63581 .xword 0xDEADBEEFDEADBEEF
63582 .xword 0xDEADBEEFDEADBEEF
63583 .xword 0xDEADBEEFDEADBEEF
63584 .xword 0xDEADBEEFDEADBEEF
63585 .xword 0xDEADBEEFDEADBEEF
63586 .xword 0xDEADBEEFDEADBEEF
63587 .xword 0xDEADBEEFDEADBEEF
63588 .xword 0xDEADBEEFDEADBEEF
63589 .xword 0xDEADBEEFDEADBEEF
63590 .xword 0xDEADBEEFDEADBEEF
63591 .xword 0xDEADBEEFDEADBEEF
63592 .xword 0xDEADBEEFDEADBEEF
63593 .xword 0xDEADBEEFDEADBEEF
63594 .xword 0xDEADBEEFDEADBEEF
63595 .xword 0xDEADBEEFDEADBEEF
63596 .xword 0xDEADBEEFDEADBEEF
63597 .xword 0xDEADBEEFDEADBEEF
63598 .xword 0xDEADBEEFDEADBEEF
63599 .xword 0xDEADBEEFDEADBEEF
63600 .xword 0xDEADBEEFDEADBEEF
63601 .xword 0xDEADBEEFDEADBEEF
63602 .xword 0xDEADBEEFDEADBEEF
63603 .xword 0xDEADBEEFDEADBEEF
63604 .xword 0xDEADBEEFDEADBEEF
63605 .xword 0xDEADBEEFDEADBEEF
63606 .xword 0xDEADBEEFDEADBEEF
63607 .xword 0xDEADBEEFDEADBEEF
63608 .xword 0xDEADBEEFDEADBEEF
63609 .xword 0xDEADBEEFDEADBEEF
63610 .xword 0xDEADBEEFDEADBEEF
63611 .xword 0xDEADBEEFDEADBEEF
63612 .xword 0xDEADBEEFDEADBEEF
63613 .xword 0xDEADBEEFDEADBEEF
63614 .xword 0xDEADBEEFDEADBEEF
63615 .xword 0xDEADBEEFDEADBEEF
63616 .xword 0xDEADBEEFDEADBEEF
63617 .xword 0xDEADBEEFDEADBEEF
63618 .xword 0xDEADBEEFDEADBEEF
63619 .xword 0xDEADBEEFDEADBEEF
63620 .xword 0xDEADBEEFDEADBEEF
63621 .xword 0xDEADBEEFDEADBEEF
63622 .xword 0xDEADBEEFDEADBEEF
63623 .xword 0xDEADBEEFDEADBEEF
63624 .xword 0xDEADBEEFDEADBEEF
63625 .xword 0xDEADBEEFDEADBEEF
63626 .xword 0xDEADBEEFDEADBEEF
63627 .xword 0xDEADBEEFDEADBEEF
63628 .xword 0xDEADBEEFDEADBEEF
63629_t1_sslkey_auth_key:
63630 .xword 0xa5d98f5d089aec69
63631 .xword 0x3b6928d217776811
63632 .xword 0x00f86af6b67a5268
63633 .xword 0x4c0531fdb00adb70
63634 .xword 0x800d9f9ec18ebef7
63635 .xword 0x613a816bec153191
63636 .xword 0xe585f9721eff3fb6
63637 .xword 0x74cffb44c0403d37
63638 .xword 0x892100c4b52acf59
63639 .xword 0xb9e2107f52d25c52
63640 .xword 0x6a950ee3be0d9e65
63641 .xword 0x872affb8f0b2a3ea
63642 .xword 0xe1f1481729a90478
63643 .xword 0xe020610f45f144c1
63644 .xword 0x29160a546b08682c
63645 .xword 0x26bce3787517425f
63646 .xword 0x1d81689f7fbb0745
63647 .xword 0x44c6832983d5a5bf
63648 .xword 0x1ec80016f41eed66
63649 .xword 0xb57141ed9bdbc642
63650 .xword 0xd3a48c392d95f358
63651 .xword 0x65093cb48d23a3c2
63652 .xword 0x187b48ac896e4c1d
63653_t1_sslkey_auth_iv:
63654 .xword 0x476fa6a7c35fb45f
63655 .xword 0xb3e888beaf22231f
63656 .xword 0x2492e3dec08ec0d8
63657 .xword 0xb40d0934ce81bcfd
63658 .xword 0x4aeae0197355f541
63659 .xword 0xfba071c9c8ba6109
63660 .xword 0x803c28a8ffbd9820
63661 .xword 0xd2c2f657df31b9bf
63662 .xword 0x8225f5135d14ad42
63663 .xword 0x34638780160d2a78
63664 .xword 0xee1cfa2ba3751c04
63665 .xword 0x3bab1e7245350671
63666 .xword 0xda8b859f46ca1060
63667 .xword 0xa12ed2d807dc1094
63668 .xword 0x66ed849a87a41b71
63669 .xword 0x371113b7dcea8378
63670 .xword 0x5073821740c468b6
63671 .xword 0x9c50b5117dd20b71
63672 .xword 0x375715bf265b9e5f
63673 .xword 0x83bbec321284a494
63674 .xword 0x583c1d12777d8fd1
63675 .xword 0xb357e57ae20449f3
63676 .xword 0x5de1ca2247948b7a
63677_t1_sslkey_fas_result:
63678 .xword 0xDEADBEEFDEADBEEF
63679 .xword 0xDEADBEEFDEADBEEF
63680 .xword 0xDEADBEEFDEADBEEF
63681 .xword 0xDEADBEEFDEADBEEF
63682 .xword 0xDEADBEEFDEADBEEF
63683 .xword 0xDEADBEEFDEADBEEF
63684 .xword 0xDEADBEEFDEADBEEF
63685 .xword 0xDEADBEEFDEADBEEF
63686 .xword 0xDEADBEEFDEADBEEF
63687 .xword 0xDEADBEEFDEADBEEF
63688 .xword 0xDEADBEEFDEADBEEF
63689 .xword 0xDEADBEEFDEADBEEF
63690 .xword 0xDEADBEEFDEADBEEF
63691 .xword 0xDEADBEEFDEADBEEF
63692 .xword 0xDEADBEEFDEADBEEF
63693 .xword 0xDEADBEEFDEADBEEF
63694 .xword 0xDEADBEEFDEADBEEF
63695 .xword 0xDEADBEEFDEADBEEF
63696 .xword 0xDEADBEEFDEADBEEF
63697 .xword 0xDEADBEEFDEADBEEF
63698 .xword 0xDEADBEEFDEADBEEF
63699 .xword 0xDEADBEEFDEADBEEF
63700 .xword 0xDEADBEEFDEADBEEF
63701_t1_aes_toc:
63702 .xword _t1_aes_cwd_array
63703 .xword _t1_aes_src
63704 .xword _t1_aes_auth_key
63705 .xword _t1_aes_auth_iv
63706 .xword _t1_aes_fas_result
63707 .xword _t1_aes_key_array
63708 .xword _t1_aes_iv_array
63709 .xword _t1_aes_dest
63710 .xword _t1_aes_alignment_array
63711_t1_des_toc:
63712 .xword _t1_des_cwd_array
63713 .xword _t1_des_src
63714 .xword _t1_des_auth_key
63715 .xword _t1_des_auth_iv
63716 .xword _t1_des_fas_result
63717 .xword _t1_des_key_array
63718 .xword _t1_des_iv_array
63719 .xword _t1_des_dest
63720 .xword _t1_des_alignment_array
63721_t1_copy_toc:
63722 .xword _t1_copy_cwd_array
63723 .xword _t1_copy_src
63724 .xword _t1_copy_auth_key
63725 .xword _t1_copy_auth_iv
63726 .xword _t1_copy_fas_result
63727 .xword _t1_copy_key_array
63728 .xword _t1_copy_iv_array
63729 .xword _t1_copy_dest
63730 .xword _t1_copy_alignment_array
63731_t1_crc_toc:
63732 .xword _t1_crc_cwd_array
63733 .xword _t1_crc_src
63734 .xword _t1_crc_auth_key
63735 .xword _t1_crc_auth_iv
63736 .xword _t1_crc_fas_result
63737 .xword _t1_crc_key_array
63738 .xword _t1_crc_iv_array
63739 .xword _t1_crc_dest
63740 .xword _t1_crc_alignment_array
63741_t1_hash_toc:
63742 .xword _t1_hash_cwd_array
63743 .xword _t1_hash_src
63744 .xword _t1_hash_auth_key
63745 .xword _t1_hash_auth_iv
63746 .xword _t1_hash_fas_result
63747 .xword _t1_hash_key_array
63748 .xword _t1_hash_iv_array
63749 .xword _t1_hash_dest
63750 .xword _t1_hash_alignment_array
63751_t1_hmac_toc:
63752 .xword _t1_hmac_cwd_array
63753 .xword _t1_hmac_src
63754 .xword _t1_hmac_auth_key
63755 .xword _t1_hmac_auth_iv
63756 .xword _t1_hmac_fas_result
63757 .xword _t1_hmac_key_array
63758 .xword _t1_hmac_iv_array
63759 .xword _t1_hmac_dest
63760 .xword _t1_hmac_alignment_array
63761_t1_rc4_toc:
63762 .xword _t1_rc4_cwd_array
63763 .xword _t1_rc4_src
63764 .xword _t1_rc4_auth_key
63765 .xword _t1_rc4_auth_iv
63766 .xword _t1_rc4_fas_result
63767 .xword _t1_rc4_key_array
63768 .xword _t1_rc4_iv_array
63769 .xword _t1_rc4_dest
63770 .xword _t1_rc4_alignment_array
63771_t1_sslkey_toc:
63772 .xword _t1_sslkey_cwd_array
63773 .xword _t1_sslkey_src
63774 .xword _t1_sslkey_auth_key
63775 .xword _t1_sslkey_auth_iv
63776 .xword _t1_sslkey_fas_result
63777 .xword _t1_sslkey_key_array
63778 .xword _t1_sslkey_iv_array
63779 .xword _t1_sslkey_dest
63780 .xword _t1_sslkey_alignment_array
63781_t1_table_of_context6:
63782 .xword _t1_aes_toc
63783 .xword _t1_des_toc
63784 .xword _t1_copy_toc
63785 .xword _t1_crc_toc
63786 .xword _t1_hash_toc
63787 .xword _t1_hmac_toc
63788 .xword _t1_rc4_toc
63789 .xword _t1_sslkey_toc
63790
63791!# CWQ data area, set aside 512 CW's worth
63792!# 512*8*8 = 32KB
63793.align 32*1024
63794_t1_cwq_base6:
63795 .xword 0xAAAAAAAAAAAAAAA
63796 .xword 0xAAAAAAAAAAAAAAA
63797 .xword 0xAAAAAAAAAAAAAAA
63798 .xword 0xAAAAAAAAAAAAAAA
63799 .xword 0xAAAAAAAAAAAAAAA
63800 .xword 0xAAAAAAAAAAAAAAA
63801 .xword 0xAAAAAAAAAAAAAAA
63802 .xword 0xAAAAAAAAAAAAAAA
63803.align 32*1024
63804_t1_cwq_last6:
63805
63806SECTION ._t1_T_CWQ_DATA7 DATA_VA=292552704
63807attr_data {
63808 Name = ._t1_T_CWQ_DATA7
63809 hypervisor
63810}
63811 .data
63812_t1_user_data_start7:
63813_t1_scratch_area7:
63814
63815.align 16
63816_t1_spu_op_array7:
63817 .xword 7
63818 .xword 5
63819 .xword 3
63820 .xword 2
63821 .xword 5
63822 .xword 5
63823 .xword 4
63824 .xword 1
63825 .xword 5
63826 .xword 5
63827 .xword 6
63828 .xword 6
63829 .xword 3
63830 .xword 7
63831 .xword 4
63832_t1_aes_cwd_array7:
63833 .xword 0xc0e100a01800003f
63834 .xword 0xc0e100c01400003f
63835 .xword 0xc06000001800002f
63836 .xword 0xc06100401000001f
63837 .xword 0xc0e000c01300003f
63838 .xword 0x406100001300001f
63839 .xword 0x406000801400000f
63840 .xword 0xc0e000e01300003f
63841 .xword 0xc0e000001800001f
63842 .xword 0xc06100001b00000f
63843 .xword 0x40e100801500001f
63844 .xword 0xc06100401000003f
63845 .xword 0x406100601300003f
63846 .xword 0xc06100001000003f
63847 .xword 0x406000c01b00001f
63848_t1_des_cwd_array7:
63849 .xword 0xc06000e00d000017
63850 .xword 0x406000e008000007
63851 .xword 0xc06100e00800001f
63852 .xword 0x40e100400a000007
63853 .xword 0x406000000900000f
63854 .xword 0x40e000600d00001f
63855 .xword 0xc06100000e000017
63856 .xword 0x406000a00c000017
63857 .xword 0x40e000800800000f
63858 .xword 0x40e000c009000017
63859 .xword 0x40e100400a00000f
63860 .xword 0x406000200e00001f
63861 .xword 0xc0e100c00a00001f
63862 .xword 0xc0e000a009000017
63863 .xword 0x406000a00a00001f
63864_t1_copy_cwd_array7:
63865 .xword 0x2061000000000001
63866 .xword 0xa06000e000000004
63867 .xword 0xa06100e00000000a
63868 .xword 0xa06100400000000f
63869 .xword 0xa061006000000007
63870 .xword 0x2061002000000005
63871 .xword 0xa06100200000000d
63872 .xword 0xa060006000000004
63873 .xword 0x2061006000000009
63874 .xword 0x2060008000000001
63875 .xword 0x2061006000000008
63876 .xword 0x2060000000000004
63877 .xword 0x2060002000000000
63878 .xword 0x2061008000000000
63879 .xword 0xa061008000000008
63880_t1_crc_cwd_array7:
63881 .xword 0x4163032400000003
63882 .xword 0xc163018800000008
63883 .xword 0x416303e40000000b
63884 .xword 0x416101e800000003
63885 .xword 0x416303c400000005
63886 .xword 0x4162014800000008
63887 .xword 0xc163032400000001
63888 .xword 0xc163018800000003
63889 .xword 0xc16003c400000008
63890 .xword 0x416001a80000000b
63891 .xword 0x416003e400000004
63892 .xword 0xc162010800000006
63893 .xword 0x416103040000000c
63894 .xword 0x4163016800000005
63895_t1_hash_cwd_array7:
63896 .xword 0x416201610000002b
63897 .xword 0xc16306a100000035
63898 .xword 0xc163080100000025
63899 .xword 0x41631b0300000038
63900 .xword 0x41610b410000003d
63901 .xword 0xc161082100000005
63902 .xword 0xc1630e0100000021
63903 .xword 0x416306e300000026
63904 .xword 0xc16009c100000034
63905 .xword 0xc161070100000021
63906 .xword 0xc1610c020000002e
63907 .xword 0xc162086100000034
63908 .xword 0x416116a30000000c
63909 .xword 0x41610fa100000003
63910 .xword 0x416108a100000040
63911_t1_hmac_cwd_array7:
63912 .xword 0xc1600e69000f0017
63913 .xword 0xc1620da9000f003f
63914 .xword 0xc1600c05000f002d
63915 .xword 0x41620e25000f0012
63916 .xword 0xc1621727001f0013
63917 .xword 0xc1600509000f0024
63918 .xword 0xc1620a05000f0023
63919 .xword 0x41620c69000f002b
63920 .xword 0x41600689000f0036
63921 .xword 0x41610629000f0037
63922 .xword 0x4160082a0013000a
63923 .xword 0xc1610785000f001a
63924 .xword 0x41620aa5000f0025
63925 .xword 0x41620f29000f001d
63926 .xword 0x41600b8a00130027
63927_t1_rc4_cwd_array7:
63928 .xword 0x40e100c004000003
63929 .xword 0x40e000c004000003
63930 .xword 0x40e100c004000005
63931 .xword 0xc0e1004000000007
63932 .xword 0x40e000c004000000
63933 .xword 0xc0e000a00000000b
63934 .xword 0x40e100c000000007
63935 .xword 0x40e000c000000003
63936 .xword 0x40e0008000000001
63937 .xword 0x40e100e00000000a
63938 .xword 0xc0e1004004000002
63939 .xword 0xc0e000a000000009
63940 .xword 0x40e000e000000005
63941 .xword 0x40e100c00400000c
63942 .xword 0x40e100200400000a
63943_t1_sslkey_cwd_array7:
63944 .xword 0x10603bc000000000, 0
63945 .xword 0x106006c000000000, 0
63946 .xword 0x9060402000000000, 0
63947 .xword 0x1060122000000000, 0
63948 .xword 0x1060124000000000, 0
63949 .xword 0x1060112000000000, 0
63950 .xword 0x1060164000000000, 0
63951 .xword 0x9060324000000000, 0
63952 .xword 0x10602de000000000, 0
63953 .xword 0x10601d0000000000, 0
63954 .xword 0x106032e000000000, 0
63955 .xword 0x10600fa000000000, 0
63956 .xword 0x1060306000000000, 0
63957 .xword 0x9060248000000000, 0
63958 .xword 0x9060394000000000, 0
63959_t1_aes_key_array:
63960 .xword 0x58ee75490d4a6ea1
63961 .xword 0xe06f069f64d319a3
63962 .xword 0x028c1d5633c9fa46
63963 .xword 0xecee2b4083e588ab
63964 .xword 0x136942e804160412
63965 .xword 0x45f45dfdfb9f1048
63966 .xword 0x85f5571e754945a8
63967 .xword 0x08c50a09f4eb81a8
63968 .xword 0xdeeb47063c5cbf6a
63969 .xword 0x1e45ec53971ef516
63970 .xword 0x3a8733573b3fab68
63971 .xword 0x02668aa028a2d652
63972 .xword 0x7e9a6fb617cfb8c4
63973 .xword 0xf7cd1570f94397a8
63974 .xword 0x73dd5596e5898d07
63975 .xword 0x6eb932263d7e0afe
63976 .xword 0x07b8a06b60d40515
63977 .xword 0x7e62e7446d106288
63978 .xword 0xa6baefb2db787c10
63979 .xword 0x08b89a0d0f74cf1d
63980 .xword 0x2fb476dd568334ff
63981 .xword 0x307eef2380be5882
63982 .xword 0x77f880421b8fd72f
63983 .xword 0xea13499bbf97a695
63984 .xword 0x580233dd3e9fc866
63985 .xword 0x4e4d31a432ebf791
63986 .xword 0xfa2f3f17da2a50a7
63987 .xword 0x9c3e1c9d6cd4236f
63988 .xword 0xc456e72c26a4741b
63989 .xword 0x09f9e52901e047bf
63990 .xword 0x46636279b7f9852e
63991 .xword 0x50a5d90dc3eaae37
63992 .xword 0xe0dbaba5a82c0f52
63993 .xword 0x61a772ce835db3e4
63994 .xword 0xe603ee0ed9869df5
63995 .xword 0xb00fd33cbb0dd223
63996 .xword 0x73808120ec98a437
63997 .xword 0x6d3341d3fea9a0be
63998 .xword 0xca749d64c4aa1d67
63999 .xword 0x696156c246db08f7
64000 .xword 0x06929a44a1ce5430
64001 .xword 0xf67ad237f7ce310f
64002 .xword 0xe0833362ddfae001
64003 .xword 0x6e129f25480bad3f
64004 .xword 0x77ffe68ac276f001
64005 .xword 0x4ed1a96ef1ca6235
64006 .xword 0x5b0ba976caeef566
64007 .xword 0xb10ba30007839a9f
64008 .xword 0xb6488e9a9f210e38
64009 .xword 0xabfc201203223cdf
64010 .xword 0xd16c2639b38aa411
64011_t1_aes_iv_array:
64012 .xword 0xe406514689cf6167
64013 .xword 0x7d3ea564e35353fb
64014 .xword 0xd8703849f0d13b04
64015 .xword 0xa09d6517ddc78445
64016 .xword 0x1c8c037e846d8623
64017 .xword 0xa85d53a1924d5688
64018 .xword 0x891decca458f6a81
64019 .xword 0x005b89f439d1a334
64020 .xword 0x66c48fd1352435f2
64021 .xword 0xb0426ce141c2c8cf
64022 .xword 0x7a5765b7e8b8f98b
64023 .xword 0x95c7f38546523e81
64024 .xword 0x1fd6f73b2d24020d
64025 .xword 0x9dd875d70dff1fe0
64026 .xword 0xc4df07690cb9f5fe
64027 .xword 0x1f0b49d2571772d3
64028 .xword 0x62fb71684fc81278
64029 .xword 0x7dfdd2e9ff58292c
64030 .xword 0xbc4e64a9fbab22bd
64031 .xword 0x0d06dcc30e2011cd
64032 .xword 0xa377c4b1913234f8
64033 .xword 0xd37ab0076bd4352c
64034 .xword 0xac10854483082b4f
64035 .xword 0x9403d63cbb76e09d
64036 .xword 0xe58dfc2d261c2ce1
64037 .xword 0xa6fbaf9793119d1e
64038 .xword 0x87e950cd94186233
64039 .xword 0x543b7fb658dfc8e5
64040 .xword 0xe02b669c828340a4
64041 .xword 0x3298e09edd868101
64042 .xword 0xbd7ce8a8b9ff65ff
64043 .xword 0x2b1fa8b08466a657
64044 .xword 0xe85ed31d029c2bfe
64045 .xword 0x52d3cb68409f6469
64046 .xword 0x69e1ab2dccb7605d
64047 .xword 0x8b67437dee69c860
64048 .xword 0x010c318f2cab5791
64049 .xword 0x9287105cdbe105a1
64050 .xword 0xaeb57dbb334ccd65
64051 .xword 0x40692003e53a293f
64052 .xword 0x1fc5f5334d0193bd
64053 .xword 0x8f5af2e77ee79681
64054 .xword 0x260e1a8b835afce1
64055 .xword 0xc7e9286d5dbb3f31
64056 .xword 0x62ed9e1009541a02
64057_t1_aes_alignment_array:
64058 .xword 12
64059 .xword 14
64060 .xword 2
64061 .xword 3
64062 .xword 15
64063 .xword 11
64064 .xword 13
64065 .xword 8
64066 .xword 15
64067 .xword 9
64068 .xword 10
64069 .xword 10
64070 .xword 11
64071 .xword 13
64072 .xword 1
64073 .xword 14
64074 .xword 8
64075 .xword 15
64076 .xword 6
64077 .xword 13
64078 .xword 10
64079 .xword 8
64080 .xword 13
64081 .xword 0
64082 .xword 9
64083 .xword 8
64084 .xword 15
64085 .xword 9
64086 .xword 0
64087 .xword 7
64088 .xword 5
64089 .xword 5
64090 .xword 1
64091 .xword 12
64092 .xword 8
64093 .xword 11
64094 .xword 5
64095 .xword 14
64096 .xword 6
64097 .xword 6
64098 .xword 7
64099 .xword 4
64100 .xword 2
64101 .xword 9
64102 .xword 15
64103 .xword 7
64104 .xword 1
64105 .xword 7
64106 .xword 4
64107 .xword 7
64108 .xword 6
64109 .xword 4
64110 .xword 14
64111 .xword 7
64112 .xword 14
64113 .xword 8
64114 .xword 14
64115 .xword 7
64116 .xword 14
64117 .xword 12
64118 .xword 1
64119 .xword 13
64120 .xword 0
64121 .xword 13
64122 .xword 11
64123 .xword 4
64124 .xword 5
64125 .xword 13
64126 .xword 12
64127 .xword 5
64128 .xword 9
64129 .xword 6
64130 .xword 10
64131 .xword 3
64132 .xword 9
64133 .xword 7
64134 .xword 8
64135 .xword 4
64136 .xword 5
64137 .xword 14
64138 .xword 8
64139 .xword 2
64140 .xword 2
64141 .xword 5
64142 .xword 5
64143 .xword 15
64144 .xword 7
64145 .xword 11
64146 .xword 10
64147 .xword 14
64148 .xword 15
64149 .xword 3
64150 .xword 2
64151 .xword 3
64152 .xword 1
64153 .xword 13
64154 .xword 4
64155 .xword 14
64156 .xword 5
64157 .xword 1
64158 .xword 15
64159 .xword 12
64160 .xword 3
64161 .xword 3
64162 .xword 14
64163_t1_aes_src:
64164 .xword 0x0c7a5e7adc8cc8a5
64165 .xword 0x0d28f36fbe820cf5
64166 .xword 0x61c34b820ebe36f6
64167 .xword 0xad01ef7ee4217e32
64168 .xword 0xf7a0a143a189d720
64169 .xword 0x436629bd63b2f5ed
64170 .xword 0xf62f1a2b425403bd
64171 .xword 0x2b1e6db5370c53db
64172 .xword 0x31b5d52b92ae7ce1
64173 .xword 0xd814aac841a78d2a
64174 .xword 0x89ed8607db8b3bd4
64175 .xword 0xbf8b931013f7c3f8
64176 .xword 0xff20dc7a23740d75
64177 .xword 0xb9f333b272642071
64178 .xword 0x1a9fcdc2c7f16412
64179 .xword 0x995a670b04324398
64180 .xword 0xc41e094b56bc9269
64181 .xword 0xe638a31a437944c6
64182 .xword 0xf1282118f5603abf
64183 .xword 0xea0b3761328b7879
64184 .xword 0x393d3d4e6801911a
64185 .xword 0xe017fcef4e5fc0df
64186 .xword 0x7f7c03909f057647
64187 .xword 0x688564ad0a99bc06
64188 .xword 0x199e59656a8c5b73
64189 .xword 0x9da678d644e20849
64190 .xword 0x541307f1827b8472
64191 .xword 0xb2a2cdecf015dd70
64192 .xword 0xc3d707da56fc7b7b
64193 .xword 0x9202278b09700233
64194 .xword 0xfaba2b7ea3b069c8
64195 .xword 0x6e5530285c7f9ae2
64196 .xword 0x29522250bc4c83e8
64197 .xword 0x2356d89e49d83f9f
64198 .xword 0xce1e949983400190
64199 .xword 0x1eada544dcae907e
64200 .xword 0xe58d22bb6d3cefd1
64201 .xword 0x7094d5b11c578faa
64202 .xword 0x32096ffa4987ce78
64203 .xword 0x2b5c1283d675582d
64204 .xword 0xe7cfa779dd0fe5ac
64205 .xword 0x1da393d91db8e3ab
64206 .xword 0x495d974ef04def6b
64207 .xword 0xca4bccb8ee6a4c8f
64208 .xword 0x02e43287c14a57db
64209 .xword 0x998c29886f508e45
64210 .xword 0xdcfd024bc81cb5f1
64211 .xword 0xc892e9170e0e98cd
64212 .xword 0x694747470fc2fbb4
64213 .xword 0x146de60666a51dc3
64214 .xword 0x864d4515cea18aac
64215 .xword 0xd08ba2aae8541415
64216 .xword 0x269b19210dab68a5
64217 .xword 0x50a84fff7386d009
64218 .xword 0xfb60e4e1ea0610cb
64219 .xword 0x8ea42c52cf3c6071
64220 .xword 0x8847f10a67ef93de
64221 .xword 0x0c11eae2018d1c1e
64222 .xword 0xabe5a980e10e1459
64223 .xword 0x7bae3a73dc2d1725
64224 .xword 0xdafbad1e4ed4ff66
64225 .xword 0x681530b10400f26a
64226 .xword 0x83e50ee36171e615
64227 .xword 0xc2c6315a834696eb
64228 .xword 0x1f46365fee3565a7
64229 .xword 0x99b17e0d0988d000
64230 .xword 0x8422906d829ac86f
64231 .xword 0x1d233e63809b9a9f
64232 .xword 0x434330d52b2c7043
64233 .xword 0xafc85a450108fa87
64234 .xword 0x88266276509bffc1
64235 .xword 0xeed0656f4145cb3b
64236 .xword 0x590227a1a252ebd6
64237 .xword 0xd294bdbcaad979b9
64238 .xword 0x2f31be2612351281
64239 .xword 0xfbf301746119ce6f
64240 .xword 0x8f5e72595dc1ba09
64241 .xword 0x7c52ea6faab7216c
64242 .xword 0xc0a555b258bf84f4
64243 .xword 0x65c08810b97cf496
64244 .xword 0x761d226c75ecc448
64245 .xword 0x78f3413f851c4349
64246 .xword 0x98ef7ecd2bf67b28
64247 .xword 0xaf59307a400b6fa7
64248 .xword 0x5d9260ae079b3442
64249 .xword 0xcfbccbd2ce3b349c
64250 .xword 0xdf9846f8848206a5
64251 .xword 0x37a951fc0bf01f35
64252 .xword 0xd34c968f3d1d8468
64253 .xword 0xbfe3750232139f84
64254 .xword 0xa551010f1af9a799
64255 .xword 0x8e58573977fe3f3f
64256 .xword 0xd73c2dd09596e5ec
64257 .xword 0xc711234c3a0d27c7
64258 .xword 0x61c352714f22cee8
64259 .xword 0xfbc38b85230f6142
64260 .xword 0x8d262b7a1618a47d
64261 .xword 0x3854ed7be4cbcf72
64262 .xword 0x2e76ba44450cd6e2
64263 .xword 0x1148f65dcd1a176b
64264 .xword 0xa37e24fe5c8c601d
64265 .xword 0xb43587dc9976b0ff
64266 .xword 0x4b73f62c7a354b87
64267 .xword 0x3e1e21edb2c2d254
64268 .xword 0x35d06b1d216823fb
64269 .xword 0x70444e270b4a6cb2
64270 .xword 0x61aefe25e84de523
64271 .xword 0x380ab6de50054450
64272 .xword 0x9132d6919d866748
64273 .xword 0x074d9f9fcf35371d
64274 .xword 0x339288fddcf81dca
64275 .xword 0x8af2b52302a59291
64276 .xword 0x76b02f424e864b7c
64277 .xword 0xcd25903ee7c5ff59
64278 .xword 0x2f6b8800e92a8e36
64279 .xword 0x72b3567a201be6bc
64280 .xword 0x7967e51f12f11f24
64281 .xword 0xf70a02af2de147d2
64282 .xword 0x7e8222ef03b12bed
64283 .xword 0x697a7b3402945662
64284 .xword 0x4df8c629d06764ca
64285 .xword 0x9ceef7e40c573575
64286 .xword 0x83a56cb54e76b0f8
64287 .xword 0xa97352f582f16a1a
64288 .xword 0xa2f4608610593147
64289 .xword 0x9803ca923a132a84
64290 .xword 0xc2e7b2768fbc340c
64291 .xword 0x50c0b7c055ebf468
64292 .xword 0xd2466e9d08bcb958
64293 .xword 0x167bc0688beb7e32
64294 .xword 0x98fd16a4125781ff
64295 .xword 0x60e50a54bb858a96
64296 .xword 0x2562c9a8734777db
64297 .xword 0x82e7a1098694d073
64298 .xword 0x612bf12126552a0e
64299 .xword 0x31efaaf58b42f283
64300 .xword 0xf5bf1468b98f43b3
64301 .xword 0x363e9f7aa807cad5
64302 .xword 0xb13cfa94032a2147
64303 .xword 0x616f09e264017717
64304 .xword 0xea3be44ffefc7f9d
64305 .xword 0x88987bcbe720a25a
64306 .xword 0xfc55cdfdc5332a8e
64307 .xword 0xd4c57983eff69965
64308 .xword 0xefb82123709b4a4a
64309 .xword 0x73d0d1cc13ec2f14
64310 .xword 0x669949aa9f932f9e
64311 .xword 0xf6db0bf77ede5b10
64312 .xword 0x06e132e97094ba66
64313 .xword 0xf8152ea66a2cf601
64314 .xword 0xdf05b0024e59610d
64315 .xword 0xb0188d0feebf7923
64316 .xword 0xef8edda9ac488806
64317 .xword 0x5769b6769d5b8502
64318 .xword 0x9e292ec21f4e0c1b
64319 .xword 0x7142c8392201291d
64320 .xword 0xe83c523e5aa8a41a
64321 .xword 0xae770aa3ae426daa
64322 .xword 0x3f346e9184fef471
64323 .xword 0x4046a8da41d1b326
64324 .xword 0xa3c37cb86e3846a5
64325 .xword 0x3aa5a21c4f20998e
64326 .xword 0x1945d46c5f93da43
64327 .xword 0x8988bad66039d8f0
64328 .xword 0x9129d8b15cfc1228
64329 .xword 0xeeff4553379e9847
64330 .xword 0x341aa17ad4eed02c
64331 .xword 0x7760de29bb545882
64332 .xword 0x2e131633921e3d00
64333 .xword 0x34d0acec890b9ed2
64334 .xword 0xb5ff66cd7f6dc2ac
64335 .xword 0x4d0ddb2da78ee87c
64336 .xword 0x747250452f81385d
64337 .xword 0xe6ced1b0a7306e15
64338 .xword 0xe5c492ab8115c996
64339 .xword 0x469f31f739c3afb2
64340 .xword 0x93de2b175c4e1c57
64341 .xword 0x3b8895309809e81d
64342 .xword 0x04944251711a7997
64343 .xword 0xce0f84a6674d6b68
64344 .xword 0x60273f108bb6e421
64345 .xword 0xc0e2ac52f40083b0
64346 .xword 0x32b720910b6993f3
64347 .xword 0x58b81e3ea5fb8f6b
64348 .xword 0x912af4c04245a197
64349 .xword 0x6953621d0316c6fd
64350 .xword 0xa08ec7c679f0127a
64351 .xword 0xcd61c74b4682c9c9
64352 .xword 0x0e38fe872594e0b8
64353 .xword 0x7e9c3ffc27a88db5
64354 .xword 0x3f4e6dad51274a87
64355 .xword 0x5ef0dec682010ebc
64356 .xword 0x4eff416346108122
64357 .xword 0xe5bb35e4b8c24207
64358 .xword 0x743dd3c2ed2c4589
64359 .xword 0x6ed451000424724c
64360 .xword 0x610fcebd8371ac47
64361 .xword 0xee43a3d23d73b169
64362 .xword 0x11b8a859cae743f0
64363 .xword 0xa8e4fdafc57853ec
64364 .xword 0xcd40765c51c276a4
64365 .xword 0x97a5dcd56604a4b8
64366 .xword 0x46904fcbb851a172
64367 .xword 0x05682f5a235fc987
64368 .xword 0xa84f9dafd8e7d9c8
64369 .xword 0x63da412e8ef9797b
64370 .xword 0x284d1253e12f02b7
64371 .xword 0x4a9e3a2e7687b0c4
64372 .xword 0x80318db63c3221ba
64373 .xword 0xc5df7102f161f1ba
64374 .xword 0x7909867c81f0e97e
64375 .xword 0x480e2d4d7a1d1dce
64376 .xword 0xa6a63e114dfa0fbd
64377 .xword 0x647cef0bc9a667ea
64378 .xword 0x6c9fae7736f032ce
64379 .xword 0xd60ea5d166f37c41
64380 .xword 0x54e6bcf7c24a3ed3
64381 .xword 0xa9090fb11783d23d
64382 .xword 0x75ae18fbbe752c2a
64383 .xword 0x83a400b3772f0e68
64384 .xword 0x07113d7cfd33ce94
64385 .xword 0x957bfd8c6297eef2
64386 .xword 0x410acb4ff10c7d23
64387 .xword 0x84fdb49bd8223b39
64388 .xword 0x5a0457e847c47de7
64389 .xword 0xb21bbb49e699c0a1
64390 .xword 0xa41fd4e6903db03c
64391 .xword 0x700ef0220234f0a6
64392 .xword 0x40b1e77cb2cb34f7
64393 .xword 0x6c53d5adbfbcdf33
64394 .xword 0x8d3a348cac110ee6
64395 .xword 0x3c5fd9daccc46a1d
64396 .xword 0x7c552c04be3d5bb9
64397 .xword 0x8d32c0c7e0dc494d
64398 .xword 0xc05a0e2ed99a38f0
64399 .xword 0x3261f7798b45b96e
64400 .xword 0x29fa847efa77137f
64401 .xword 0x90c19781c7a3fc6d
64402 .xword 0x7c52bb21de5c4945
64403 .xword 0xe1816320a501c6be
64404 .xword 0x85946ecf5cb34cd3
64405 .xword 0xb350f7c0055f697e
64406 .xword 0x9bd1560a66a88d08
64407 .xword 0x8e21a67cbcacb127
64408 .xword 0x505a87174c765be3
64409 .xword 0x82e755b6f4525c49
64410 .xword 0x0e0014bb35acf02d
64411 .xword 0x0e0dcd8d89df197a
64412 .xword 0x0bdfc1fd9b09abab
64413 .xword 0xeffd1f04ade27ba1
64414 .xword 0x2f9882c06c369699
64415 .xword 0x247bf61667b31b6d
64416 .xword 0xb9c483f87f165cba
64417 .xword 0x31321992a06bcf75
64418 .xword 0xd4d6bbd6981edd60
64419 .xword 0x773ea61a55d589ed
64420 .xword 0x0be4a91740fa9cd0
64421 .xword 0xc9121641af162912
64422 .xword 0xf985e7839328310f
64423 .xword 0x09dbb363554ec2f5
64424 .xword 0x98fca6573b3bd8fc
64425 .xword 0xb557b519de5b0fd2
64426 .xword 0xf3aee001acad2e99
64427 .xword 0xbbee67847de94816
64428 .xword 0x384fded5d003200a
64429 .xword 0x6e712cbdcb815986
64430 .xword 0x92f1bdb6898f61fd
64431 .xword 0x6456aebeb1f8cb94
64432 .xword 0x7324eec6bba18a39
64433 .xword 0xcfba7eec09ab7055
64434 .xword 0xe5215da722e776f5
64435 .xword 0x65fce8ee7b3d60bd
64436 .xword 0x5faee9acae7de051
64437 .xword 0x63cfd777ff30e8ca
64438 .xword 0x73afdccfa1436f27
64439_t1_aes_dest:
64440 .xword 0xDEADBEEFDEADBEEF
64441 .xword 0xDEADBEEFDEADBEEF
64442 .xword 0xDEADBEEFDEADBEEF
64443 .xword 0xDEADBEEFDEADBEEF
64444 .xword 0xDEADBEEFDEADBEEF
64445 .xword 0xDEADBEEFDEADBEEF
64446 .xword 0xDEADBEEFDEADBEEF
64447 .xword 0xDEADBEEFDEADBEEF
64448 .xword 0xDEADBEEFDEADBEEF
64449 .xword 0xDEADBEEFDEADBEEF
64450 .xword 0xDEADBEEFDEADBEEF
64451 .xword 0xDEADBEEFDEADBEEF
64452 .xword 0xDEADBEEFDEADBEEF
64453 .xword 0xDEADBEEFDEADBEEF
64454 .xword 0xDEADBEEFDEADBEEF
64455 .xword 0xDEADBEEFDEADBEEF
64456 .xword 0xDEADBEEFDEADBEEF
64457 .xword 0xDEADBEEFDEADBEEF
64458 .xword 0xDEADBEEFDEADBEEF
64459 .xword 0xDEADBEEFDEADBEEF
64460 .xword 0xDEADBEEFDEADBEEF
64461 .xword 0xDEADBEEFDEADBEEF
64462 .xword 0xDEADBEEFDEADBEEF
64463 .xword 0xDEADBEEFDEADBEEF
64464 .xword 0xDEADBEEFDEADBEEF
64465 .xword 0xDEADBEEFDEADBEEF
64466 .xword 0xDEADBEEFDEADBEEF
64467 .xword 0xDEADBEEFDEADBEEF
64468 .xword 0xDEADBEEFDEADBEEF
64469 .xword 0xDEADBEEFDEADBEEF
64470 .xword 0xDEADBEEFDEADBEEF
64471 .xword 0xDEADBEEFDEADBEEF
64472 .xword 0xDEADBEEFDEADBEEF
64473 .xword 0xDEADBEEFDEADBEEF
64474 .xword 0xDEADBEEFDEADBEEF
64475 .xword 0xDEADBEEFDEADBEEF
64476 .xword 0xDEADBEEFDEADBEEF
64477 .xword 0xDEADBEEFDEADBEEF
64478 .xword 0xDEADBEEFDEADBEEF
64479 .xword 0xDEADBEEFDEADBEEF
64480 .xword 0xDEADBEEFDEADBEEF
64481 .xword 0xDEADBEEFDEADBEEF
64482 .xword 0xDEADBEEFDEADBEEF
64483 .xword 0xDEADBEEFDEADBEEF
64484 .xword 0xDEADBEEFDEADBEEF
64485 .xword 0xDEADBEEFDEADBEEF
64486 .xword 0xDEADBEEFDEADBEEF
64487 .xword 0xDEADBEEFDEADBEEF
64488 .xword 0xDEADBEEFDEADBEEF
64489 .xword 0xDEADBEEFDEADBEEF
64490 .xword 0xDEADBEEFDEADBEEF
64491 .xword 0xDEADBEEFDEADBEEF
64492 .xword 0xDEADBEEFDEADBEEF
64493 .xword 0xDEADBEEFDEADBEEF
64494 .xword 0xDEADBEEFDEADBEEF
64495 .xword 0xDEADBEEFDEADBEEF
64496 .xword 0xDEADBEEFDEADBEEF
64497 .xword 0xDEADBEEFDEADBEEF
64498 .xword 0xDEADBEEFDEADBEEF
64499 .xword 0xDEADBEEFDEADBEEF
64500 .xword 0xDEADBEEFDEADBEEF
64501 .xword 0xDEADBEEFDEADBEEF
64502 .xword 0xDEADBEEFDEADBEEF
64503 .xword 0xDEADBEEFDEADBEEF
64504 .xword 0xDEADBEEFDEADBEEF
64505 .xword 0xDEADBEEFDEADBEEF
64506 .xword 0xDEADBEEFDEADBEEF
64507 .xword 0xDEADBEEFDEADBEEF
64508 .xword 0xDEADBEEFDEADBEEF
64509 .xword 0xDEADBEEFDEADBEEF
64510 .xword 0xDEADBEEFDEADBEEF
64511 .xword 0xDEADBEEFDEADBEEF
64512 .xword 0xDEADBEEFDEADBEEF
64513 .xword 0xDEADBEEFDEADBEEF
64514 .xword 0xDEADBEEFDEADBEEF
64515 .xword 0xDEADBEEFDEADBEEF
64516 .xword 0xDEADBEEFDEADBEEF
64517 .xword 0xDEADBEEFDEADBEEF
64518 .xword 0xDEADBEEFDEADBEEF
64519 .xword 0xDEADBEEFDEADBEEF
64520 .xword 0xDEADBEEFDEADBEEF
64521 .xword 0xDEADBEEFDEADBEEF
64522 .xword 0xDEADBEEFDEADBEEF
64523 .xword 0xDEADBEEFDEADBEEF
64524 .xword 0xDEADBEEFDEADBEEF
64525 .xword 0xDEADBEEFDEADBEEF
64526 .xword 0xDEADBEEFDEADBEEF
64527 .xword 0xDEADBEEFDEADBEEF
64528 .xword 0xDEADBEEFDEADBEEF
64529 .xword 0xDEADBEEFDEADBEEF
64530 .xword 0xDEADBEEFDEADBEEF
64531 .xword 0xDEADBEEFDEADBEEF
64532 .xword 0xDEADBEEFDEADBEEF
64533 .xword 0xDEADBEEFDEADBEEF
64534 .xword 0xDEADBEEFDEADBEEF
64535 .xword 0xDEADBEEFDEADBEEF
64536 .xword 0xDEADBEEFDEADBEEF
64537 .xword 0xDEADBEEFDEADBEEF
64538 .xword 0xDEADBEEFDEADBEEF
64539 .xword 0xDEADBEEFDEADBEEF
64540 .xword 0xDEADBEEFDEADBEEF
64541 .xword 0xDEADBEEFDEADBEEF
64542 .xword 0xDEADBEEFDEADBEEF
64543 .xword 0xDEADBEEFDEADBEEF
64544 .xword 0xDEADBEEFDEADBEEF
64545 .xword 0xDEADBEEFDEADBEEF
64546 .xword 0xDEADBEEFDEADBEEF
64547 .xword 0xDEADBEEFDEADBEEF
64548 .xword 0xDEADBEEFDEADBEEF
64549 .xword 0xDEADBEEFDEADBEEF
64550 .xword 0xDEADBEEFDEADBEEF
64551 .xword 0xDEADBEEFDEADBEEF
64552 .xword 0xDEADBEEFDEADBEEF
64553 .xword 0xDEADBEEFDEADBEEF
64554 .xword 0xDEADBEEFDEADBEEF
64555 .xword 0xDEADBEEFDEADBEEF
64556 .xword 0xDEADBEEFDEADBEEF
64557 .xword 0xDEADBEEFDEADBEEF
64558 .xword 0xDEADBEEFDEADBEEF
64559 .xword 0xDEADBEEFDEADBEEF
64560 .xword 0xDEADBEEFDEADBEEF
64561 .xword 0xDEADBEEFDEADBEEF
64562 .xword 0xDEADBEEFDEADBEEF
64563 .xword 0xDEADBEEFDEADBEEF
64564 .xword 0xDEADBEEFDEADBEEF
64565 .xword 0xDEADBEEFDEADBEEF
64566 .xword 0xDEADBEEFDEADBEEF
64567 .xword 0xDEADBEEFDEADBEEF
64568 .xword 0xDEADBEEFDEADBEEF
64569 .xword 0xDEADBEEFDEADBEEF
64570 .xword 0xDEADBEEFDEADBEEF
64571 .xword 0xDEADBEEFDEADBEEF
64572 .xword 0xDEADBEEFDEADBEEF
64573 .xword 0xDEADBEEFDEADBEEF
64574 .xword 0xDEADBEEFDEADBEEF
64575 .xword 0xDEADBEEFDEADBEEF
64576 .xword 0xDEADBEEFDEADBEEF
64577 .xword 0xDEADBEEFDEADBEEF
64578 .xword 0xDEADBEEFDEADBEEF
64579 .xword 0xDEADBEEFDEADBEEF
64580 .xword 0xDEADBEEFDEADBEEF
64581 .xword 0xDEADBEEFDEADBEEF
64582 .xword 0xDEADBEEFDEADBEEF
64583 .xword 0xDEADBEEFDEADBEEF
64584 .xword 0xDEADBEEFDEADBEEF
64585 .xword 0xDEADBEEFDEADBEEF
64586 .xword 0xDEADBEEFDEADBEEF
64587 .xword 0xDEADBEEFDEADBEEF
64588 .xword 0xDEADBEEFDEADBEEF
64589 .xword 0xDEADBEEFDEADBEEF
64590 .xword 0xDEADBEEFDEADBEEF
64591 .xword 0xDEADBEEFDEADBEEF
64592 .xword 0xDEADBEEFDEADBEEF
64593 .xword 0xDEADBEEFDEADBEEF
64594 .xword 0xDEADBEEFDEADBEEF
64595 .xword 0xDEADBEEFDEADBEEF
64596 .xword 0xDEADBEEFDEADBEEF
64597 .xword 0xDEADBEEFDEADBEEF
64598 .xword 0xDEADBEEFDEADBEEF
64599 .xword 0xDEADBEEFDEADBEEF
64600 .xword 0xDEADBEEFDEADBEEF
64601 .xword 0xDEADBEEFDEADBEEF
64602 .xword 0xDEADBEEFDEADBEEF
64603 .xword 0xDEADBEEFDEADBEEF
64604 .xword 0xDEADBEEFDEADBEEF
64605 .xword 0xDEADBEEFDEADBEEF
64606 .xword 0xDEADBEEFDEADBEEF
64607 .xword 0xDEADBEEFDEADBEEF
64608 .xword 0xDEADBEEFDEADBEEF
64609 .xword 0xDEADBEEFDEADBEEF
64610 .xword 0xDEADBEEFDEADBEEF
64611 .xword 0xDEADBEEFDEADBEEF
64612 .xword 0xDEADBEEFDEADBEEF
64613 .xword 0xDEADBEEFDEADBEEF
64614 .xword 0xDEADBEEFDEADBEEF
64615 .xword 0xDEADBEEFDEADBEEF
64616 .xword 0xDEADBEEFDEADBEEF
64617 .xword 0xDEADBEEFDEADBEEF
64618 .xword 0xDEADBEEFDEADBEEF
64619 .xword 0xDEADBEEFDEADBEEF
64620 .xword 0xDEADBEEFDEADBEEF
64621 .xword 0xDEADBEEFDEADBEEF
64622 .xword 0xDEADBEEFDEADBEEF
64623 .xword 0xDEADBEEFDEADBEEF
64624 .xword 0xDEADBEEFDEADBEEF
64625 .xword 0xDEADBEEFDEADBEEF
64626 .xword 0xDEADBEEFDEADBEEF
64627 .xword 0xDEADBEEFDEADBEEF
64628 .xword 0xDEADBEEFDEADBEEF
64629 .xword 0xDEADBEEFDEADBEEF
64630 .xword 0xDEADBEEFDEADBEEF
64631 .xword 0xDEADBEEFDEADBEEF
64632 .xword 0xDEADBEEFDEADBEEF
64633 .xword 0xDEADBEEFDEADBEEF
64634 .xword 0xDEADBEEFDEADBEEF
64635 .xword 0xDEADBEEFDEADBEEF
64636 .xword 0xDEADBEEFDEADBEEF
64637 .xword 0xDEADBEEFDEADBEEF
64638 .xword 0xDEADBEEFDEADBEEF
64639 .xword 0xDEADBEEFDEADBEEF
64640 .xword 0xDEADBEEFDEADBEEF
64641 .xword 0xDEADBEEFDEADBEEF
64642 .xword 0xDEADBEEFDEADBEEF
64643 .xword 0xDEADBEEFDEADBEEF
64644 .xword 0xDEADBEEFDEADBEEF
64645 .xword 0xDEADBEEFDEADBEEF
64646 .xword 0xDEADBEEFDEADBEEF
64647 .xword 0xDEADBEEFDEADBEEF
64648 .xword 0xDEADBEEFDEADBEEF
64649 .xword 0xDEADBEEFDEADBEEF
64650 .xword 0xDEADBEEFDEADBEEF
64651 .xword 0xDEADBEEFDEADBEEF
64652 .xword 0xDEADBEEFDEADBEEF
64653 .xword 0xDEADBEEFDEADBEEF
64654 .xword 0xDEADBEEFDEADBEEF
64655 .xword 0xDEADBEEFDEADBEEF
64656 .xword 0xDEADBEEFDEADBEEF
64657 .xword 0xDEADBEEFDEADBEEF
64658 .xword 0xDEADBEEFDEADBEEF
64659 .xword 0xDEADBEEFDEADBEEF
64660 .xword 0xDEADBEEFDEADBEEF
64661 .xword 0xDEADBEEFDEADBEEF
64662 .xword 0xDEADBEEFDEADBEEF
64663 .xword 0xDEADBEEFDEADBEEF
64664 .xword 0xDEADBEEFDEADBEEF
64665 .xword 0xDEADBEEFDEADBEEF
64666 .xword 0xDEADBEEFDEADBEEF
64667 .xword 0xDEADBEEFDEADBEEF
64668 .xword 0xDEADBEEFDEADBEEF
64669 .xword 0xDEADBEEFDEADBEEF
64670 .xword 0xDEADBEEFDEADBEEF
64671 .xword 0xDEADBEEFDEADBEEF
64672 .xword 0xDEADBEEFDEADBEEF
64673 .xword 0xDEADBEEFDEADBEEF
64674 .xword 0xDEADBEEFDEADBEEF
64675 .xword 0xDEADBEEFDEADBEEF
64676 .xword 0xDEADBEEFDEADBEEF
64677 .xword 0xDEADBEEFDEADBEEF
64678 .xword 0xDEADBEEFDEADBEEF
64679 .xword 0xDEADBEEFDEADBEEF
64680 .xword 0xDEADBEEFDEADBEEF
64681 .xword 0xDEADBEEFDEADBEEF
64682 .xword 0xDEADBEEFDEADBEEF
64683 .xword 0xDEADBEEFDEADBEEF
64684 .xword 0xDEADBEEFDEADBEEF
64685 .xword 0xDEADBEEFDEADBEEF
64686 .xword 0xDEADBEEFDEADBEEF
64687 .xword 0xDEADBEEFDEADBEEF
64688 .xword 0xDEADBEEFDEADBEEF
64689 .xword 0xDEADBEEFDEADBEEF
64690 .xword 0xDEADBEEFDEADBEEF
64691 .xword 0xDEADBEEFDEADBEEF
64692 .xword 0xDEADBEEFDEADBEEF
64693 .xword 0xDEADBEEFDEADBEEF
64694 .xword 0xDEADBEEFDEADBEEF
64695 .xword 0xDEADBEEFDEADBEEF
64696 .xword 0xDEADBEEFDEADBEEF
64697 .xword 0xDEADBEEFDEADBEEF
64698 .xword 0xDEADBEEFDEADBEEF
64699 .xword 0xDEADBEEFDEADBEEF
64700 .xword 0xDEADBEEFDEADBEEF
64701 .xword 0xDEADBEEFDEADBEEF
64702 .xword 0xDEADBEEFDEADBEEF
64703 .xword 0xDEADBEEFDEADBEEF
64704 .xword 0xDEADBEEFDEADBEEF
64705 .xword 0xDEADBEEFDEADBEEF
64706 .xword 0xDEADBEEFDEADBEEF
64707 .xword 0xDEADBEEFDEADBEEF
64708 .xword 0xDEADBEEFDEADBEEF
64709 .xword 0xDEADBEEFDEADBEEF
64710 .xword 0xDEADBEEFDEADBEEF
64711 .xword 0xDEADBEEFDEADBEEF
64712 .xword 0xDEADBEEFDEADBEEF
64713 .xword 0xDEADBEEFDEADBEEF
64714 .xword 0xDEADBEEFDEADBEEF
64715_t1_aes_auth_key:
64716 .xword 0xa3244e2e33742744
64717 .xword 0xcd7e4ccf7ebf11ae
64718 .xword 0x590c12113ed4c39a
64719 .xword 0x4f58e5ca9f593310
64720 .xword 0x6a876ec488d1202d
64721 .xword 0x1ba10d71ea79b93a
64722 .xword 0xbedcbd35c57a76d1
64723 .xword 0x2e3cbb75b4e4ee9c
64724 .xword 0x2fbcb0971f3ee26b
64725 .xword 0x187b571dfbe5217b
64726 .xword 0x2607c48a78ec2215
64727 .xword 0x73630877674b35ac
64728 .xword 0x3fb17181d34f9aea
64729 .xword 0xa9f5e3ae60bcd6fb
64730 .xword 0x1579605a17bb5af1
64731 .xword 0x68299d9b3a3a028f
64732 .xword 0x13d242d271ae83a4
64733 .xword 0x05a129b2b00ebf88
64734 .xword 0x945235c0c0a5b79c
64735 .xword 0x40954110cde8a7fc
64736 .xword 0x18f54b3af7025c47
64737 .xword 0x06ce5c3c9b3275f0
64738 .xword 0x593e69988b5338d8
64739_t1_aes_auth_iv:
64740 .xword 0xc401c933c5c0cfad
64741 .xword 0xe46d154ab3df54c4
64742 .xword 0x8d9f2f75a78bd100
64743 .xword 0x6703f02cb4ea4d2a
64744 .xword 0xfc8958c8a0ae6eec
64745 .xword 0xc79ee0054fa1d38a
64746 .xword 0x4a8306d864d7e878
64747 .xword 0x33f6c248e6ef4491
64748 .xword 0x6f8f1591e43646a6
64749 .xword 0xdd4f806004daac04
64750 .xword 0xe82e38229f5b99f6
64751 .xword 0xadbf39c441c3bb81
64752 .xword 0xd6d0d12726b928e6
64753 .xword 0x793816ca252a44af
64754 .xword 0xc7e94188b47f7704
64755 .xword 0x4394f2507ee6e338
64756 .xword 0x0a44dfdbada3b5e0
64757 .xword 0x2e98ad7970a8c97c
64758 .xword 0x7a67987bdee5a03c
64759 .xword 0x836e2bd3d1a75878
64760 .xword 0x357464cc07942c13
64761 .xword 0x7bda45e6c39e51bf
64762 .xword 0x1c15a39c6e944844
64763_t1_aes_fas_result:
64764 .xword 0xDEADBEEFDEADBEEF
64765 .xword 0xDEADBEEFDEADBEEF
64766 .xword 0xDEADBEEFDEADBEEF
64767 .xword 0xDEADBEEFDEADBEEF
64768 .xword 0xDEADBEEFDEADBEEF
64769 .xword 0xDEADBEEFDEADBEEF
64770 .xword 0xDEADBEEFDEADBEEF
64771 .xword 0xDEADBEEFDEADBEEF
64772 .xword 0xDEADBEEFDEADBEEF
64773 .xword 0xDEADBEEFDEADBEEF
64774 .xword 0xDEADBEEFDEADBEEF
64775 .xword 0xDEADBEEFDEADBEEF
64776 .xword 0xDEADBEEFDEADBEEF
64777 .xword 0xDEADBEEFDEADBEEF
64778 .xword 0xDEADBEEFDEADBEEF
64779 .xword 0xDEADBEEFDEADBEEF
64780 .xword 0xDEADBEEFDEADBEEF
64781 .xword 0xDEADBEEFDEADBEEF
64782 .xword 0xDEADBEEFDEADBEEF
64783 .xword 0xDEADBEEFDEADBEEF
64784 .xword 0xDEADBEEFDEADBEEF
64785 .xword 0xDEADBEEFDEADBEEF
64786 .xword 0xDEADBEEFDEADBEEF
64787_t1_des_key_array:
64788 .xword 0xd5b89f673a735f04
64789 .xword 0x84949b5dbc288fba
64790 .xword 0xd314d4154a739507
64791 .xword 0xc8d883da591718be
64792 .xword 0xd7ef3ebe9eac76bb
64793 .xword 0x80fc586bdf1d0fa3
64794 .xword 0xed8803400c9b7887
64795 .xword 0x744e378c86008902
64796 .xword 0x4d5fa241357bf978
64797 .xword 0x0c94d0b0ef413451
64798 .xword 0xfd59fa54b350e7b0
64799 .xword 0xfaca5cb4c43a5e42
64800 .xword 0x3e9f9f706a9eb500
64801 .xword 0xeb967c11c10ce62f
64802 .xword 0x29d0bc9252bd4b5d
64803 .xword 0x9b1ad08fde4b7538
64804 .xword 0xb9935d0d86a90057
64805 .xword 0xa5f163b2a7a01653
64806 .xword 0x1fc96a2cfd379a97
64807 .xword 0x807ac11178a4edb2
64808 .xword 0x5319a782d88de973
64809 .xword 0xca56714f995e97b1
64810 .xword 0xfcbd842cfaa59309
64811 .xword 0x83c046c9e91c3e35
64812 .xword 0xe4ba5b32d0e1643d
64813 .xword 0xd8db19fcb404c540
64814 .xword 0x5272f8259efa593a
64815 .xword 0xeecc0da57eca499c
64816 .xword 0x79fee0301ac17ef4
64817 .xword 0x3828b41cf13535f2
64818 .xword 0x0e135d51f702fa5d
64819 .xword 0x99639a6fba6afc27
64820 .xword 0x5249948a3d981a03
64821 .xword 0x22c1518210c9089b
64822 .xword 0x5cd8ebf5e0a760c7
64823 .xword 0xa9d41f793ba3ba8b
64824 .xword 0xfb262f83ce340ff0
64825 .xword 0xb62386e9948ea72c
64826 .xword 0x5457a5be5308dc62
64827 .xword 0xaf73f59d8686c17e
64828 .xword 0xd87b1b53af2af8d0
64829 .xword 0xb9c1a2a3ec6348b1
64830 .xword 0xb96255ef979967fb
64831 .xword 0x6f63751db422acbf
64832 .xword 0x7fd1ba3fe157a43c
64833 .xword 0x6a2bf8e5749da865
64834 .xword 0x9dbde8c0a0113a88
64835 .xword 0xb150f98a6fcad012
64836 .xword 0x14c9036d6c0565ad
64837 .xword 0x7b65f005956b1d69
64838 .xword 0xb2c4458044d1af52
64839_t1_des_iv_array:
64840 .xword 0xc419057172fe1f3b
64841 .xword 0x9d93caf16754c014
64842 .xword 0x4252f902c7d13e3f
64843 .xword 0x2f387d166358b046
64844 .xword 0xa0f048940438f5e4
64845 .xword 0xebf1a0a43973367f
64846 .xword 0xcde8338fa0a5404d
64847 .xword 0xb8bbcb43dd567a6b
64848 .xword 0x483237dfabff6656
64849 .xword 0xda4b3c1417e3433a
64850 .xword 0x387504d6c055957f
64851 .xword 0xfceb32698bed24a4
64852 .xword 0x8ff7c97f0358d955
64853 .xword 0x1da06e569bedb111
64854 .xword 0x3e884842e0b8691f
64855 .xword 0x74673028311d523e
64856 .xword 0x07b2dcd8c89002da
64857 .xword 0xdbf8b927c2178ab7
64858 .xword 0x1b2f38974e3b3579
64859 .xword 0xbae80da69de20157
64860 .xword 0x3e31d44377ee9001
64861 .xword 0x0498022bbb2c1658
64862 .xword 0x2a2f20fe15dea079
64863 .xword 0xbc7d1a3350b9392a
64864 .xword 0x21d6af73ca947618
64865 .xword 0x5e45e4af1444d04c
64866 .xword 0x5b502883eb5e9108
64867 .xword 0x79ebd6b67c243d7a
64868 .xword 0x94d19f556fe21a63
64869 .xword 0xf59da2e50ef04441
64870 .xword 0x29e13dfdc3102288
64871 .xword 0x4317f6cfd231080f
64872 .xword 0x85fe4b70a1e2f652
64873 .xword 0x4aeac18f13bd95d4
64874 .xword 0xacb2ec493845338c
64875 .xword 0xcf80e55d638a5608
64876 .xword 0xba7e45b90623af65
64877 .xword 0x76a280d359d5393e
64878 .xword 0xa24760ce26686473
64879 .xword 0xd0390a1d2841d817
64880 .xword 0xc2aed9b9c23b4be4
64881 .xword 0xd8e6abe6dfb1e81e
64882 .xword 0x8680be65cef55639
64883 .xword 0xe0cf321b59325c44
64884 .xword 0xff4af692260f8d26
64885_t1_des_alignment_array:
64886 .xword 14
64887 .xword 0
64888 .xword 11
64889 .xword 4
64890 .xword 4
64891 .xword 1
64892 .xword 2
64893 .xword 1
64894 .xword 6
64895 .xword 6
64896 .xword 6
64897 .xword 10
64898 .xword 12
64899 .xword 6
64900 .xword 4
64901 .xword 6
64902 .xword 11
64903 .xword 7
64904 .xword 6
64905 .xword 15
64906 .xword 14
64907 .xword 3
64908 .xword 15
64909 .xword 4
64910 .xword 0
64911 .xword 2
64912 .xword 7
64913 .xword 11
64914 .xword 1
64915 .xword 3
64916 .xword 12
64917 .xword 2
64918 .xword 8
64919 .xword 1
64920 .xword 13
64921 .xword 7
64922 .xword 13
64923 .xword 14
64924 .xword 3
64925 .xword 14
64926 .xword 12
64927 .xword 14
64928 .xword 3
64929 .xword 15
64930 .xword 11
64931 .xword 5
64932 .xword 10
64933 .xword 7
64934 .xword 0
64935 .xword 1
64936 .xword 4
64937 .xword 7
64938 .xword 5
64939 .xword 15
64940 .xword 14
64941 .xword 13
64942 .xword 15
64943 .xword 0
64944 .xword 6
64945 .xword 0
64946 .xword 7
64947 .xword 0
64948 .xword 5
64949 .xword 10
64950 .xword 1
64951 .xword 14
64952 .xword 8
64953 .xword 6
64954 .xword 12
64955 .xword 8
64956 .xword 3
64957 .xword 10
64958 .xword 3
64959 .xword 0
64960 .xword 2
64961 .xword 8
64962 .xword 12
64963 .xword 8
64964 .xword 15
64965 .xword 15
64966 .xword 8
64967 .xword 2
64968 .xword 3
64969 .xword 15
64970 .xword 8
64971 .xword 12
64972 .xword 6
64973 .xword 10
64974 .xword 12
64975 .xword 8
64976 .xword 14
64977 .xword 1
64978 .xword 13
64979 .xword 14
64980 .xword 7
64981 .xword 13
64982 .xword 12
64983 .xword 3
64984 .xword 11
64985 .xword 3
64986 .xword 1
64987 .xword 2
64988 .xword 1
64989 .xword 0
64990 .xword 13
64991_t1_des_src:
64992 .xword 0xa1e8bc121544dfee
64993 .xword 0x24df74fa21827454
64994 .xword 0x30979e2bbc93ef9a
64995 .xword 0x1abd621e52653ca7
64996 .xword 0xce41c1cd4777ed2d
64997 .xword 0x2631a62b28ccb36e
64998 .xword 0x44d9979609a6de99
64999 .xword 0xac9cac78ce28f181
65000 .xword 0x7e814f159c1e38db
65001 .xword 0x2e31161175d53916
65002 .xword 0x9e5472d7a2e00199
65003 .xword 0x247668613a2ebef5
65004 .xword 0xdea1ade8d5dbf96d
65005 .xword 0x4a71bc0575388c6c
65006 .xword 0xbf8e52b689356f9d
65007 .xword 0x0084245d736c4b71
65008 .xword 0xfa8f54c05bde13ce
65009 .xword 0x0182b5d1c95661c7
65010 .xword 0x4e638d866eb34fcf
65011 .xword 0xffcb35090956c463
65012 .xword 0xe155f66d0274c5a4
65013 .xword 0xbc40c337e97f7690
65014 .xword 0x71eba6a3b5c7dd63
65015 .xword 0xd5981c6033475478
65016 .xword 0x603edfbc5c0801a3
65017 .xword 0xe97f3c34f9af4eb9
65018 .xword 0x91f8981466b6ef78
65019 .xword 0x05664b2a99bf94da
65020 .xword 0x330893c687851386
65021 .xword 0xda5c8daf685b3f1e
65022 .xword 0xc421d2eeaed121dc
65023 .xword 0xd11e2b21c63a5ba9
65024 .xword 0xffe63654d5f0e102
65025 .xword 0x7f4baa07776a47bc
65026 .xword 0xa5bdff653b6b39e3
65027 .xword 0x3f3d78842fbda4b7
65028 .xword 0xd71ee67f9e9475aa
65029 .xword 0xb46245a4dbd45fec
65030 .xword 0x9909be1d86045859
65031 .xword 0x81bba4fd8b8143c5
65032 .xword 0x87189f6b23ee05c1
65033 .xword 0x3271c1b4297bc68b
65034 .xword 0xbf95a1fe2695d953
65035 .xword 0x11a2b1d5fbab19a4
65036 .xword 0xe180bc184bbda8be
65037 .xword 0xc69a594394af5171
65038 .xword 0xb847f7554a93a312
65039 .xword 0x4173dc894c23b641
65040 .xword 0x86389bf8f340eaf9
65041 .xword 0x5616be89682b7f5b
65042 .xword 0xc31cd0df577744e9
65043 .xword 0xc915dcde2b0b52cf
65044 .xword 0xd4961c37573b4fc5
65045 .xword 0xd245e93bc5d4bb95
65046 .xword 0xbb1eb6b25878ac32
65047 .xword 0xe675a2633bd3fedf
65048 .xword 0xf69b1a3981b6ae61
65049 .xword 0x8ecaedb953ddb7d2
65050 .xword 0x372cddac77a5823f
65051 .xword 0x22eee24409775c30
65052 .xword 0xeb47c1abd96e3829
65053 .xword 0x05a36a4ceadd569f
65054 .xword 0x5810149625fbcf6a
65055 .xword 0x0f02486728147d0c
65056 .xword 0xae4a17ad729fde55
65057 .xword 0x577c5d6b7114d05f
65058 .xword 0xff02c1134b8c587b
65059 .xword 0x80fb3bbd46af9ccf
65060 .xword 0x5829d4156471132a
65061 .xword 0x31413ef5e78befad
65062 .xword 0x50f37fb0ffc5eebe
65063 .xword 0x24b221892153d6fd
65064 .xword 0xb9ccbb5dfe39e1c1
65065 .xword 0x827860226c92ca70
65066 .xword 0x221f737f01411fe2
65067 .xword 0x92ac9caadcf99580
65068 .xword 0x5a2b7eb3b813c445
65069 .xword 0x0917b2bd63edbbf8
65070 .xword 0x4604782e9f249211
65071 .xword 0x6e0761fbc0f7be76
65072 .xword 0xc88d80278dbef25b
65073 .xword 0x9086f1f483736464
65074 .xword 0x9eb4ab26d9b13881
65075 .xword 0xdd40d58267e9040d
65076 .xword 0x46447a8c7aed9a76
65077 .xword 0x5f061578ea687789
65078 .xword 0xf462d3b9fcc7cd0a
65079 .xword 0x48bd9ee331605aa6
65080 .xword 0xbbca51065e1cb433
65081 .xword 0xc22b6af234531d76
65082 .xword 0xf38a03048177bcf4
65083 .xword 0x725c984438b9172b
65084 .xword 0x211b84aa7c81fa19
65085 .xword 0xfd2fa167da46c906
65086 .xword 0xfd9aed51463e3451
65087 .xword 0xff8e8af1a749e9a1
65088 .xword 0x567aedaab537e956
65089 .xword 0xc590134a3d471f35
65090 .xword 0xdab9e7cfb52d8d24
65091 .xword 0x3407eb7895244000
65092 .xword 0xa3ca20ea6300a1df
65093 .xword 0x17d6fc651e3b469d
65094 .xword 0x6896a8906b7ca17f
65095 .xword 0x35f674c360ec5f40
65096 .xword 0x01a6c5d01d4c4c90
65097 .xword 0x262081a2611ae299
65098 .xword 0x9dbb3150ee6f26ee
65099 .xword 0xda267a20e4329a14
65100 .xword 0xe7cf5cdacb694aa6
65101 .xword 0xfd28c397ad0af61e
65102 .xword 0xb72d94e657e1a65b
65103 .xword 0x520d2e2c748d2f8c
65104 .xword 0xf92a5bfdd648390c
65105 .xword 0x3fd94591b9559040
65106 .xword 0x7025d05f0b8fbb4d
65107 .xword 0x230f25b6c46400cb
65108 .xword 0xe2705009f0e541ba
65109 .xword 0x0d1ae29ece3d7fde
65110 .xword 0x5783e1cb73b521da
65111 .xword 0x1ff571b4a59efed1
65112 .xword 0x2569be100635aa22
65113 .xword 0x072156affe8b9ac4
65114 .xword 0x95bd1ef8e662d2f9
65115 .xword 0x3585d52715892ada
65116 .xword 0x47b00f7c44393144
65117 .xword 0x5302e7c76e47fcd3
65118 .xword 0x7e8b2b06cadf055f
65119 .xword 0x1c0899bc43f37d1e
65120 .xword 0x8df519b46f45d149
65121 .xword 0x0c6cb55bce3eb56d
65122 .xword 0x13f269f5aeb024eb
65123 .xword 0x79fe4b5559f1db74
65124 .xword 0xbe7d362fde90bdec
65125 .xword 0x6821d8b5d6295b54
65126 .xword 0x0f7be473a8349b87
65127 .xword 0x820b15f03ffe8d99
65128 .xword 0x5d2bb72ce6ec870b
65129 .xword 0x7dc4e0b8fba88169
65130 .xword 0x0d2e9a232cba33f8
65131 .xword 0xb5b7ec8b750e7273
65132 .xword 0x8261ce6b382947e3
65133 .xword 0x12b27bc3f179ec43
65134 .xword 0xf143e759909c41b9
65135 .xword 0x872f3fd386b5c177
65136 .xword 0x6ddbe1f6482cb876
65137 .xword 0x32f1de23f2c19d81
65138 .xword 0xca3d6d7b72d8b24f
65139 .xword 0xf07bd8604b22ceb5
65140 .xword 0xd087055ac7bfe4e5
65141 .xword 0x15fd19eb2dbd1201
65142 .xword 0x4bd8cb0aa8ca66c9
65143 .xword 0x2531a9398053262a
65144 .xword 0x196cc30457832cb6
65145 .xword 0x01eabc97adb5ed51
65146 .xword 0x4b44a726b6a17847
65147 .xword 0x012676b20a43a77a
65148 .xword 0x233448bda1a345ed
65149 .xword 0xc7a9dea51c563c8b
65150 .xword 0xf3382a05447806cc
65151 .xword 0xeb0c6cfc3e96b34b
65152 .xword 0x2ae6e9e14dc6e8fc
65153 .xword 0xbd5d135003ec69dd
65154 .xword 0x89ca0bc77f3bc957
65155 .xword 0x884f6b1830ffdcd8
65156 .xword 0xf511858fa52e7530
65157 .xword 0x5774379398e2cdd6
65158 .xword 0xa679db830ddf6c8b
65159 .xword 0xaef8fa788b98f323
65160 .xword 0xd5d1e0315f6fadf8
65161 .xword 0xded05b5a12c009f7
65162 .xword 0xd86dc00796533e89
65163 .xword 0xe1dd0849305e7f08
65164 .xword 0xb3b123e5f21fc29d
65165 .xword 0xa4ba59d59ba4a3db
65166 .xword 0xfde374c532deb186
65167 .xword 0xeaac7a36fb187036
65168 .xword 0x530b971d0acb21b8
65169 .xword 0x0034ed82470497b6
65170 .xword 0x952fa06961a1f40f
65171 .xword 0xe467e4367362724b
65172 .xword 0x7bc3f04a779138c9
65173 .xword 0xaecb26266b4435fe
65174 .xword 0x1e6e5e8a8078346e
65175 .xword 0x108dd9beb59c68e4
65176 .xword 0x9a8b1918f21832ca
65177 .xword 0x9d143bcf7155fdbc
65178 .xword 0x56756bd3a85af834
65179 .xword 0x9a97e2bd4e489efd
65180 .xword 0xb17b08f7a8ecf31d
65181 .xword 0x57d2398d9fbcda0c
65182 .xword 0x85d80df3f360d62a
65183 .xword 0xab99d6da9aab729a
65184 .xword 0x5f912360600eb0ec
65185 .xword 0x86084f0ba74ef8dc
65186 .xword 0x2bd356246de540b3
65187 .xword 0x4124d7e9259983fa
65188 .xword 0xcaefd00acf2fa2ec
65189 .xword 0x623f1c6fc797242f
65190 .xword 0x6d8907b19769029e
65191 .xword 0x7a1be0cb9894aa9a
65192 .xword 0x9a58cd515840dc7d
65193 .xword 0xbfb732f43d9b511a
65194 .xword 0xe30ca806b0914e8b
65195 .xword 0x99d074989b4d5d2b
65196 .xword 0xb9514db771fc7510
65197 .xword 0xc7beb63e2e110cce
65198 .xword 0x548112e693d953d8
65199 .xword 0x2b9520fba8ebe945
65200 .xword 0xf89278e802f4d2d5
65201 .xword 0xe7e0b1755e77ceb9
65202 .xword 0x0d2faa57b06eae83
65203 .xword 0x0f8b181bb69afdfa
65204 .xword 0xe94693d0680aa1dc
65205 .xword 0xe2667bbdbee180ce
65206 .xword 0x26ef63150cd6f650
65207 .xword 0x7be5e545948b335f
65208 .xword 0x8d911063c56aa57d
65209 .xword 0x1ccb7dde92ffecbd
65210 .xword 0x8061ec1f8d715ba7
65211 .xword 0x1f4fa2b69156021c
65212 .xword 0x98b89bd77e7d2bb6
65213 .xword 0xea359bad2810c2b9
65214 .xword 0x986c5a0ae753ea5d
65215 .xword 0x00fc9f17aa00d119
65216 .xword 0x5cc4ace72f14b923
65217 .xword 0xb8f27a1bd620f84a
65218 .xword 0xbdc42432ce8f9570
65219 .xword 0xbb92930880067c09
65220 .xword 0x729d2b2d721c74de
65221 .xword 0x46f16027783a10e3
65222 .xword 0x9b295ae58e93fab9
65223 .xword 0x0652006a5bff56f5
65224 .xword 0x1d8f69f644e6cd48
65225 .xword 0x59f274422f031f50
65226 .xword 0x72b6655576e05bca
65227 .xword 0x2bcc62078b3ba32b
65228 .xword 0xb5caa3452c9835d3
65229 .xword 0xb2de425c48abcc82
65230 .xword 0xb259673b2c221e5d
65231 .xword 0xca21febc8498cc9b
65232 .xword 0x7a3ce0024ff59fd8
65233 .xword 0x2c84d47c1a721d19
65234 .xword 0xedf6a35d79321d42
65235 .xword 0x43ff97dff51dffc0
65236 .xword 0xb8fb49936a153540
65237 .xword 0x2ebb836c6c6d7cf6
65238 .xword 0x30775fecb8bfb94e
65239 .xword 0xb50052c490b9dd33
65240 .xword 0x542ea10c0cd5d379
65241 .xword 0x128672b9a7336642
65242 .xword 0x90469992b39cc16c
65243 .xword 0xe10cb494e4379408
65244 .xword 0xe923f02aad2fd17e
65245 .xword 0x5f8f3f3961155c7d
65246 .xword 0x40aa9c5f4ae3e06b
65247 .xword 0xca5c2ba91d7c8bd0
65248 .xword 0x0b1164bd9abb3df8
65249 .xword 0x954219de35a4c297
65250 .xword 0xb2c10813f7bd78c3
65251 .xword 0x709ad4e322c23bda
65252 .xword 0x8947a1d895b55e81
65253 .xword 0xa732601ccd9d232f
65254 .xword 0x1a4d80a7d9450a67
65255 .xword 0x1a415b2a7252f78a
65256 .xword 0x97036fa9aa8b5bbe
65257 .xword 0x8f6366e918ec9d3a
65258 .xword 0x30e55ca0097f01b6
65259 .xword 0xed042c4effa0442a
65260 .xword 0x1677b6cb02f2d0e9
65261 .xword 0x9b3249d7fce2d06b
65262 .xword 0x013a68c781e8f9df
65263 .xword 0x71041850be46c395
65264 .xword 0x0733985b32b3de41
65265 .xword 0x96f487b35c635826
65266 .xword 0x33892aeaa5963302
65267_t1_des_dest:
65268 .xword 0xDEADBEEFDEADBEEF
65269 .xword 0xDEADBEEFDEADBEEF
65270 .xword 0xDEADBEEFDEADBEEF
65271 .xword 0xDEADBEEFDEADBEEF
65272 .xword 0xDEADBEEFDEADBEEF
65273 .xword 0xDEADBEEFDEADBEEF
65274 .xword 0xDEADBEEFDEADBEEF
65275 .xword 0xDEADBEEFDEADBEEF
65276 .xword 0xDEADBEEFDEADBEEF
65277 .xword 0xDEADBEEFDEADBEEF
65278 .xword 0xDEADBEEFDEADBEEF
65279 .xword 0xDEADBEEFDEADBEEF
65280 .xword 0xDEADBEEFDEADBEEF
65281 .xword 0xDEADBEEFDEADBEEF
65282 .xword 0xDEADBEEFDEADBEEF
65283 .xword 0xDEADBEEFDEADBEEF
65284 .xword 0xDEADBEEFDEADBEEF
65285 .xword 0xDEADBEEFDEADBEEF
65286 .xword 0xDEADBEEFDEADBEEF
65287 .xword 0xDEADBEEFDEADBEEF
65288 .xword 0xDEADBEEFDEADBEEF
65289 .xword 0xDEADBEEFDEADBEEF
65290 .xword 0xDEADBEEFDEADBEEF
65291 .xword 0xDEADBEEFDEADBEEF
65292 .xword 0xDEADBEEFDEADBEEF
65293 .xword 0xDEADBEEFDEADBEEF
65294 .xword 0xDEADBEEFDEADBEEF
65295 .xword 0xDEADBEEFDEADBEEF
65296 .xword 0xDEADBEEFDEADBEEF
65297 .xword 0xDEADBEEFDEADBEEF
65298 .xword 0xDEADBEEFDEADBEEF
65299 .xword 0xDEADBEEFDEADBEEF
65300 .xword 0xDEADBEEFDEADBEEF
65301 .xword 0xDEADBEEFDEADBEEF
65302 .xword 0xDEADBEEFDEADBEEF
65303 .xword 0xDEADBEEFDEADBEEF
65304 .xword 0xDEADBEEFDEADBEEF
65305 .xword 0xDEADBEEFDEADBEEF
65306 .xword 0xDEADBEEFDEADBEEF
65307 .xword 0xDEADBEEFDEADBEEF
65308 .xword 0xDEADBEEFDEADBEEF
65309 .xword 0xDEADBEEFDEADBEEF
65310 .xword 0xDEADBEEFDEADBEEF
65311 .xword 0xDEADBEEFDEADBEEF
65312 .xword 0xDEADBEEFDEADBEEF
65313 .xword 0xDEADBEEFDEADBEEF
65314 .xword 0xDEADBEEFDEADBEEF
65315 .xword 0xDEADBEEFDEADBEEF
65316 .xword 0xDEADBEEFDEADBEEF
65317 .xword 0xDEADBEEFDEADBEEF
65318 .xword 0xDEADBEEFDEADBEEF
65319 .xword 0xDEADBEEFDEADBEEF
65320 .xword 0xDEADBEEFDEADBEEF
65321 .xword 0xDEADBEEFDEADBEEF
65322 .xword 0xDEADBEEFDEADBEEF
65323 .xword 0xDEADBEEFDEADBEEF
65324 .xword 0xDEADBEEFDEADBEEF
65325 .xword 0xDEADBEEFDEADBEEF
65326 .xword 0xDEADBEEFDEADBEEF
65327 .xword 0xDEADBEEFDEADBEEF
65328 .xword 0xDEADBEEFDEADBEEF
65329 .xword 0xDEADBEEFDEADBEEF
65330 .xword 0xDEADBEEFDEADBEEF
65331 .xword 0xDEADBEEFDEADBEEF
65332 .xword 0xDEADBEEFDEADBEEF
65333 .xword 0xDEADBEEFDEADBEEF
65334 .xword 0xDEADBEEFDEADBEEF
65335 .xword 0xDEADBEEFDEADBEEF
65336 .xword 0xDEADBEEFDEADBEEF
65337 .xword 0xDEADBEEFDEADBEEF
65338 .xword 0xDEADBEEFDEADBEEF
65339 .xword 0xDEADBEEFDEADBEEF
65340 .xword 0xDEADBEEFDEADBEEF
65341 .xword 0xDEADBEEFDEADBEEF
65342 .xword 0xDEADBEEFDEADBEEF
65343 .xword 0xDEADBEEFDEADBEEF
65344 .xword 0xDEADBEEFDEADBEEF
65345 .xword 0xDEADBEEFDEADBEEF
65346 .xword 0xDEADBEEFDEADBEEF
65347 .xword 0xDEADBEEFDEADBEEF
65348 .xword 0xDEADBEEFDEADBEEF
65349 .xword 0xDEADBEEFDEADBEEF
65350 .xword 0xDEADBEEFDEADBEEF
65351 .xword 0xDEADBEEFDEADBEEF
65352 .xword 0xDEADBEEFDEADBEEF
65353 .xword 0xDEADBEEFDEADBEEF
65354 .xword 0xDEADBEEFDEADBEEF
65355 .xword 0xDEADBEEFDEADBEEF
65356 .xword 0xDEADBEEFDEADBEEF
65357 .xword 0xDEADBEEFDEADBEEF
65358 .xword 0xDEADBEEFDEADBEEF
65359 .xword 0xDEADBEEFDEADBEEF
65360 .xword 0xDEADBEEFDEADBEEF
65361 .xword 0xDEADBEEFDEADBEEF
65362 .xword 0xDEADBEEFDEADBEEF
65363 .xword 0xDEADBEEFDEADBEEF
65364 .xword 0xDEADBEEFDEADBEEF
65365 .xword 0xDEADBEEFDEADBEEF
65366 .xword 0xDEADBEEFDEADBEEF
65367 .xword 0xDEADBEEFDEADBEEF
65368 .xword 0xDEADBEEFDEADBEEF
65369 .xword 0xDEADBEEFDEADBEEF
65370 .xword 0xDEADBEEFDEADBEEF
65371 .xword 0xDEADBEEFDEADBEEF
65372 .xword 0xDEADBEEFDEADBEEF
65373 .xword 0xDEADBEEFDEADBEEF
65374 .xword 0xDEADBEEFDEADBEEF
65375 .xword 0xDEADBEEFDEADBEEF
65376 .xword 0xDEADBEEFDEADBEEF
65377 .xword 0xDEADBEEFDEADBEEF
65378 .xword 0xDEADBEEFDEADBEEF
65379 .xword 0xDEADBEEFDEADBEEF
65380 .xword 0xDEADBEEFDEADBEEF
65381 .xword 0xDEADBEEFDEADBEEF
65382 .xword 0xDEADBEEFDEADBEEF
65383 .xword 0xDEADBEEFDEADBEEF
65384 .xword 0xDEADBEEFDEADBEEF
65385 .xword 0xDEADBEEFDEADBEEF
65386 .xword 0xDEADBEEFDEADBEEF
65387 .xword 0xDEADBEEFDEADBEEF
65388 .xword 0xDEADBEEFDEADBEEF
65389 .xword 0xDEADBEEFDEADBEEF
65390 .xword 0xDEADBEEFDEADBEEF
65391 .xword 0xDEADBEEFDEADBEEF
65392 .xword 0xDEADBEEFDEADBEEF
65393 .xword 0xDEADBEEFDEADBEEF
65394 .xword 0xDEADBEEFDEADBEEF
65395 .xword 0xDEADBEEFDEADBEEF
65396 .xword 0xDEADBEEFDEADBEEF
65397 .xword 0xDEADBEEFDEADBEEF
65398 .xword 0xDEADBEEFDEADBEEF
65399 .xword 0xDEADBEEFDEADBEEF
65400 .xword 0xDEADBEEFDEADBEEF
65401 .xword 0xDEADBEEFDEADBEEF
65402 .xword 0xDEADBEEFDEADBEEF
65403 .xword 0xDEADBEEFDEADBEEF
65404 .xword 0xDEADBEEFDEADBEEF
65405 .xword 0xDEADBEEFDEADBEEF
65406 .xword 0xDEADBEEFDEADBEEF
65407 .xword 0xDEADBEEFDEADBEEF
65408 .xword 0xDEADBEEFDEADBEEF
65409 .xword 0xDEADBEEFDEADBEEF
65410 .xword 0xDEADBEEFDEADBEEF
65411 .xword 0xDEADBEEFDEADBEEF
65412 .xword 0xDEADBEEFDEADBEEF
65413 .xword 0xDEADBEEFDEADBEEF
65414 .xword 0xDEADBEEFDEADBEEF
65415 .xword 0xDEADBEEFDEADBEEF
65416 .xword 0xDEADBEEFDEADBEEF
65417 .xword 0xDEADBEEFDEADBEEF
65418 .xword 0xDEADBEEFDEADBEEF
65419 .xword 0xDEADBEEFDEADBEEF
65420 .xword 0xDEADBEEFDEADBEEF
65421 .xword 0xDEADBEEFDEADBEEF
65422 .xword 0xDEADBEEFDEADBEEF
65423 .xword 0xDEADBEEFDEADBEEF
65424 .xword 0xDEADBEEFDEADBEEF
65425 .xword 0xDEADBEEFDEADBEEF
65426 .xword 0xDEADBEEFDEADBEEF
65427 .xword 0xDEADBEEFDEADBEEF
65428 .xword 0xDEADBEEFDEADBEEF
65429 .xword 0xDEADBEEFDEADBEEF
65430 .xword 0xDEADBEEFDEADBEEF
65431 .xword 0xDEADBEEFDEADBEEF
65432 .xword 0xDEADBEEFDEADBEEF
65433 .xword 0xDEADBEEFDEADBEEF
65434 .xword 0xDEADBEEFDEADBEEF
65435 .xword 0xDEADBEEFDEADBEEF
65436 .xword 0xDEADBEEFDEADBEEF
65437 .xword 0xDEADBEEFDEADBEEF
65438 .xword 0xDEADBEEFDEADBEEF
65439 .xword 0xDEADBEEFDEADBEEF
65440 .xword 0xDEADBEEFDEADBEEF
65441 .xword 0xDEADBEEFDEADBEEF
65442 .xword 0xDEADBEEFDEADBEEF
65443 .xword 0xDEADBEEFDEADBEEF
65444 .xword 0xDEADBEEFDEADBEEF
65445 .xword 0xDEADBEEFDEADBEEF
65446 .xword 0xDEADBEEFDEADBEEF
65447 .xword 0xDEADBEEFDEADBEEF
65448 .xword 0xDEADBEEFDEADBEEF
65449 .xword 0xDEADBEEFDEADBEEF
65450 .xword 0xDEADBEEFDEADBEEF
65451 .xword 0xDEADBEEFDEADBEEF
65452 .xword 0xDEADBEEFDEADBEEF
65453 .xword 0xDEADBEEFDEADBEEF
65454 .xword 0xDEADBEEFDEADBEEF
65455 .xword 0xDEADBEEFDEADBEEF
65456 .xword 0xDEADBEEFDEADBEEF
65457 .xword 0xDEADBEEFDEADBEEF
65458 .xword 0xDEADBEEFDEADBEEF
65459 .xword 0xDEADBEEFDEADBEEF
65460 .xword 0xDEADBEEFDEADBEEF
65461 .xword 0xDEADBEEFDEADBEEF
65462 .xword 0xDEADBEEFDEADBEEF
65463 .xword 0xDEADBEEFDEADBEEF
65464 .xword 0xDEADBEEFDEADBEEF
65465 .xword 0xDEADBEEFDEADBEEF
65466 .xword 0xDEADBEEFDEADBEEF
65467 .xword 0xDEADBEEFDEADBEEF
65468 .xword 0xDEADBEEFDEADBEEF
65469 .xword 0xDEADBEEFDEADBEEF
65470 .xword 0xDEADBEEFDEADBEEF
65471 .xword 0xDEADBEEFDEADBEEF
65472 .xword 0xDEADBEEFDEADBEEF
65473 .xword 0xDEADBEEFDEADBEEF
65474 .xword 0xDEADBEEFDEADBEEF
65475 .xword 0xDEADBEEFDEADBEEF
65476 .xword 0xDEADBEEFDEADBEEF
65477 .xword 0xDEADBEEFDEADBEEF
65478 .xword 0xDEADBEEFDEADBEEF
65479 .xword 0xDEADBEEFDEADBEEF
65480 .xword 0xDEADBEEFDEADBEEF
65481 .xword 0xDEADBEEFDEADBEEF
65482 .xword 0xDEADBEEFDEADBEEF
65483 .xword 0xDEADBEEFDEADBEEF
65484 .xword 0xDEADBEEFDEADBEEF
65485 .xword 0xDEADBEEFDEADBEEF
65486 .xword 0xDEADBEEFDEADBEEF
65487 .xword 0xDEADBEEFDEADBEEF
65488 .xword 0xDEADBEEFDEADBEEF
65489 .xword 0xDEADBEEFDEADBEEF
65490 .xword 0xDEADBEEFDEADBEEF
65491 .xword 0xDEADBEEFDEADBEEF
65492 .xword 0xDEADBEEFDEADBEEF
65493 .xword 0xDEADBEEFDEADBEEF
65494 .xword 0xDEADBEEFDEADBEEF
65495 .xword 0xDEADBEEFDEADBEEF
65496 .xword 0xDEADBEEFDEADBEEF
65497 .xword 0xDEADBEEFDEADBEEF
65498 .xword 0xDEADBEEFDEADBEEF
65499 .xword 0xDEADBEEFDEADBEEF
65500 .xword 0xDEADBEEFDEADBEEF
65501 .xword 0xDEADBEEFDEADBEEF
65502 .xword 0xDEADBEEFDEADBEEF
65503 .xword 0xDEADBEEFDEADBEEF
65504 .xword 0xDEADBEEFDEADBEEF
65505 .xword 0xDEADBEEFDEADBEEF
65506 .xword 0xDEADBEEFDEADBEEF
65507 .xword 0xDEADBEEFDEADBEEF
65508 .xword 0xDEADBEEFDEADBEEF
65509 .xword 0xDEADBEEFDEADBEEF
65510 .xword 0xDEADBEEFDEADBEEF
65511 .xword 0xDEADBEEFDEADBEEF
65512 .xword 0xDEADBEEFDEADBEEF
65513 .xword 0xDEADBEEFDEADBEEF
65514 .xword 0xDEADBEEFDEADBEEF
65515 .xword 0xDEADBEEFDEADBEEF
65516 .xword 0xDEADBEEFDEADBEEF
65517 .xword 0xDEADBEEFDEADBEEF
65518 .xword 0xDEADBEEFDEADBEEF
65519 .xword 0xDEADBEEFDEADBEEF
65520 .xword 0xDEADBEEFDEADBEEF
65521 .xword 0xDEADBEEFDEADBEEF
65522 .xword 0xDEADBEEFDEADBEEF
65523 .xword 0xDEADBEEFDEADBEEF
65524 .xword 0xDEADBEEFDEADBEEF
65525 .xword 0xDEADBEEFDEADBEEF
65526 .xword 0xDEADBEEFDEADBEEF
65527 .xword 0xDEADBEEFDEADBEEF
65528 .xword 0xDEADBEEFDEADBEEF
65529 .xword 0xDEADBEEFDEADBEEF
65530 .xword 0xDEADBEEFDEADBEEF
65531 .xword 0xDEADBEEFDEADBEEF
65532 .xword 0xDEADBEEFDEADBEEF
65533 .xword 0xDEADBEEFDEADBEEF
65534 .xword 0xDEADBEEFDEADBEEF
65535 .xword 0xDEADBEEFDEADBEEF
65536 .xword 0xDEADBEEFDEADBEEF
65537 .xword 0xDEADBEEFDEADBEEF
65538 .xword 0xDEADBEEFDEADBEEF
65539 .xword 0xDEADBEEFDEADBEEF
65540 .xword 0xDEADBEEFDEADBEEF
65541 .xword 0xDEADBEEFDEADBEEF
65542 .xword 0xDEADBEEFDEADBEEF
65543_t1_des_auth_key:
65544 .xword 0x2dffcd3b741c1226
65545 .xword 0xff3f7d3948bb5ba4
65546 .xword 0xa9287b980a2fd534
65547 .xword 0xe512c28cd8790237
65548 .xword 0xdf76ab31a712b2b5
65549 .xword 0xd28b832a4ec8b379
65550 .xword 0x20ed32dbd70f95c7
65551 .xword 0xca987398f2fa6819
65552 .xword 0x0dc23cd062c76b07
65553 .xword 0xcf5724dd055c7d01
65554 .xword 0xd4f95d5b0c3313db
65555 .xword 0x357f746252a05443
65556 .xword 0x758cef9339ec4366
65557 .xword 0x5b60889cdf4ec546
65558 .xword 0x2ef42a97b65c68ea
65559 .xword 0xc0ba8e3485a0a12b
65560 .xword 0x0a18c7c064772b20
65561 .xword 0xcc8aa16a8b0ae90c
65562 .xword 0x381a5104d7818d65
65563 .xword 0x39f6a35bc90112b5
65564 .xword 0x928b1414941188a8
65565 .xword 0xd4f1e886c8f513fe
65566 .xword 0x106e895eb0b42fc9
65567_t1_des_auth_iv:
65568 .xword 0xe6622c05f85377d3
65569 .xword 0x574f0388c1616775
65570 .xword 0x16e7fcca8624ef4a
65571 .xword 0xc3dcc73e0f24462b
65572 .xword 0x67f20d0926f2ab5a
65573 .xword 0x1dc6878053dfa8cb
65574 .xword 0xc4f44ae037625de4
65575 .xword 0xc58f7bf56e2e7d8a
65576 .xword 0xaeebce194c024b1b
65577 .xword 0x5bfac1593e45154f
65578 .xword 0x1911e37ad39b1567
65579 .xword 0xae4d2e0362391e53
65580 .xword 0x57dbb31d12db3fce
65581 .xword 0x5509cbda8df2d545
65582 .xword 0x184c4dc76c478341
65583 .xword 0x846e4255edf1f1e1
65584 .xword 0x991515a3411d963d
65585 .xword 0x1925fe780f890ce0
65586 .xword 0x2e5e268c213906ab
65587 .xword 0x0cdff6551d51744c
65588 .xword 0x44155653a54212cf
65589 .xword 0x5132465cd0a0b7ca
65590 .xword 0x3fd1d6b7aa61166d
65591_t1_des_fas_result:
65592 .xword 0xDEADBEEFDEADBEEF
65593 .xword 0xDEADBEEFDEADBEEF
65594 .xword 0xDEADBEEFDEADBEEF
65595 .xword 0xDEADBEEFDEADBEEF
65596 .xword 0xDEADBEEFDEADBEEF
65597 .xword 0xDEADBEEFDEADBEEF
65598 .xword 0xDEADBEEFDEADBEEF
65599 .xword 0xDEADBEEFDEADBEEF
65600 .xword 0xDEADBEEFDEADBEEF
65601 .xword 0xDEADBEEFDEADBEEF
65602 .xword 0xDEADBEEFDEADBEEF
65603 .xword 0xDEADBEEFDEADBEEF
65604 .xword 0xDEADBEEFDEADBEEF
65605 .xword 0xDEADBEEFDEADBEEF
65606 .xword 0xDEADBEEFDEADBEEF
65607 .xword 0xDEADBEEFDEADBEEF
65608 .xword 0xDEADBEEFDEADBEEF
65609 .xword 0xDEADBEEFDEADBEEF
65610 .xword 0xDEADBEEFDEADBEEF
65611 .xword 0xDEADBEEFDEADBEEF
65612 .xword 0xDEADBEEFDEADBEEF
65613 .xword 0xDEADBEEFDEADBEEF
65614 .xword 0xDEADBEEFDEADBEEF
65615_t1_copy_key_array:
65616 .xword 0xd2677c77d5ae698f
65617 .xword 0x42a3329ea7d66e25
65618 .xword 0x97ffb08b23e92aa7
65619 .xword 0xcedd1dfa6dc3fb1c
65620 .xword 0xd82bebecb6a80703
65621 .xword 0x241917d6f1c36020
65622 .xword 0xedaa457d88eefa75
65623 .xword 0x6fe9a891acbe3416
65624 .xword 0x3ab9c1a5407aa32a
65625 .xword 0xe0ef125162a678e0
65626 .xword 0xa9865d328ef9ee3a
65627 .xword 0xffe7a40a1616caa6
65628 .xword 0x19e0bfee0e3ded84
65629 .xword 0x7062bac0d0fa6b2b
65630 .xword 0x0e4e2bc12d0c2120
65631 .xword 0x8b8e4d0a5e1b7de4
65632 .xword 0xc9c58c817ea6562f
65633 .xword 0x634652845f2b1780
65634 .xword 0xbe98623c8fd41e76
65635 .xword 0xf376cdac468e184c
65636 .xword 0xb79f34095886b714
65637 .xword 0x99aac88ff2d76216
65638 .xword 0xc721c7f032ebb36a
65639 .xword 0xc30d46879540939c
65640 .xword 0x02e325a3bde5a572
65641 .xword 0x844ad15c92b6b89d
65642 .xword 0x04c843e7f41f2abb
65643 .xword 0xfc02c4109fc7b5f3
65644 .xword 0xe3f2ba8c2bfedd30
65645 .xword 0x3f2a97ab853359c0
65646 .xword 0x0f951d6053ebc5dd
65647 .xword 0xeb921b10bafb5371
65648 .xword 0x8b7b49b965885fd4
65649 .xword 0xe31347d1c5f9a7f8
65650 .xword 0x982cee04d9e038ac
65651 .xword 0xd9ef3cb51b094f5d
65652 .xword 0x9005847b05bc9b5d
65653 .xword 0xf86950009ba81a5b
65654 .xword 0x09bc2a0f8f24d620
65655 .xword 0x31c56c4566ca215d
65656 .xword 0x0edcfd7ecbca59f6
65657 .xword 0xa0899bfe94aa9704
65658 .xword 0x9f1dc0afa3b43530
65659 .xword 0x98b3f672a11a4c82
65660 .xword 0x2f1fe3bc6d2e26c0
65661 .xword 0x4de8ec50875ab7a1
65662 .xword 0x6ee74e73ad1a4c4f
65663 .xword 0x9672d2d4d35b78d7
65664 .xword 0xfa2cfb1d1c80603a
65665 .xword 0x3508c2bbdfe3f15b
65666 .xword 0x6d28921c929f56de
65667_t1_copy_iv_array:
65668 .xword 0x87a412d71ce0d72f
65669 .xword 0x3091d6fe3cb0f8ee
65670 .xword 0xf38c6a9333d79e79
65671 .xword 0xe8b454a2d8ebaf4c
65672 .xword 0x09feec245b7726ad
65673 .xword 0x4588f6aa05f4370b
65674 .xword 0x27d39695bf4f11b5
65675 .xword 0x6535f93ebfb17f7f
65676 .xword 0x2b04743987edee9e
65677 .xword 0x469879beb7892e70
65678 .xword 0x134cbb591de830ae
65679 .xword 0x08e7039f2f00ae6c
65680 .xword 0x13a12125710770fe
65681 .xword 0x7259b1f92d28d4c1
65682 .xword 0x7168e8d173a3bdc4
65683 .xword 0x2bb5cf5d2ceb3d65
65684 .xword 0x4209a64df7aff95e
65685 .xword 0x9d9746e61c30535e
65686 .xword 0x63b25de7e2013417
65687 .xword 0xb637408e216c9710
65688 .xword 0x68a9d7a0e36f6bba
65689 .xword 0xad7425b8d9d3a53b
65690 .xword 0x8908ad05f360e03c
65691 .xword 0xf5605484e9fbf284
65692 .xword 0x18641fff22a57e6d
65693 .xword 0x02bdaf334edff5e5
65694 .xword 0xb5bff60f1f6a3d58
65695 .xword 0xfe20bbcd4452ca83
65696 .xword 0xf2904436b7490588
65697 .xword 0x05ee2295d4130367
65698 .xword 0x02c454cd81647ea8
65699 .xword 0x4a352a8d862c68cf
65700 .xword 0x96474eb288af0d3b
65701 .xword 0x67d685ed208bf5dc
65702 .xword 0x61846fc31914e31b
65703 .xword 0x6963490a5d5b01b4
65704 .xword 0x0e8a45beeeda5ee5
65705 .xword 0x36f884c2087c5d38
65706 .xword 0x43c3f772bf35f3ca
65707 .xword 0x2b133412c49fec5b
65708 .xword 0x3d39fd12f6141362
65709 .xword 0x00ee9a983b606b75
65710 .xword 0x070926d6a55e7b3a
65711 .xword 0xf04c9def566c87ac
65712 .xword 0x315ba1c4fe51b1bf
65713_t1_copy_alignment_array:
65714 .xword 13
65715 .xword 0
65716 .xword 7
65717 .xword 10
65718 .xword 8
65719 .xword 13
65720 .xword 15
65721 .xword 5
65722 .xword 6
65723 .xword 7
65724 .xword 14
65725 .xword 12
65726 .xword 0
65727 .xword 15
65728 .xword 8
65729 .xword 10
65730 .xword 15
65731 .xword 12
65732 .xword 11
65733 .xword 3
65734 .xword 0
65735 .xword 5
65736 .xword 0
65737 .xword 11
65738 .xword 2
65739 .xword 12
65740 .xword 10
65741 .xword 5
65742 .xword 5
65743 .xword 10
65744 .xword 4
65745 .xword 12
65746 .xword 6
65747 .xword 7
65748 .xword 3
65749 .xword 5
65750 .xword 9
65751 .xword 14
65752 .xword 6
65753 .xword 5
65754 .xword 9
65755 .xword 14
65756 .xword 15
65757 .xword 4
65758 .xword 3
65759 .xword 6
65760 .xword 8
65761 .xword 1
65762 .xword 3
65763 .xword 2
65764 .xword 2
65765 .xword 15
65766 .xword 12
65767 .xword 1
65768 .xword 1
65769 .xword 1
65770 .xword 7
65771 .xword 12
65772 .xword 3
65773 .xword 4
65774 .xword 14
65775 .xword 1
65776 .xword 14
65777 .xword 7
65778 .xword 0
65779 .xword 2
65780 .xword 10
65781 .xword 3
65782 .xword 3
65783 .xword 4
65784 .xword 11
65785 .xword 3
65786 .xword 1
65787 .xword 13
65788 .xword 11
65789 .xword 5
65790 .xword 8
65791 .xword 3
65792 .xword 7
65793 .xword 11
65794 .xword 9
65795 .xword 15
65796 .xword 11
65797 .xword 10
65798 .xword 8
65799 .xword 12
65800 .xword 4
65801 .xword 1
65802 .xword 10
65803 .xword 1
65804 .xword 14
65805 .xword 1
65806 .xword 9
65807 .xword 9
65808 .xword 8
65809 .xword 11
65810 .xword 7
65811 .xword 10
65812 .xword 5
65813 .xword 11
65814 .xword 10
65815 .xword 5
65816 .xword 15
65817 .xword 11
65818 .xword 13
65819_t1_copy_src:
65820 .xword 0xd30e306460f4f86e
65821 .xword 0xbd6426d0f4588cd4
65822 .xword 0x763f455092d64740
65823 .xword 0x49cadb2f409a8add
65824 .xword 0xb521ce42a799113a
65825 .xword 0xc4f3f4e946a0d4ad
65826 .xword 0xd1ebe0e286299862
65827 .xword 0xb1a83e0400f4c71d
65828 .xword 0x7f37a3283e881f86
65829 .xword 0x8dbe52f871cd8cc9
65830 .xword 0xfc91a6e8126eeb16
65831 .xword 0x2116799d8f665e74
65832 .xword 0xafa2e23fd6a8e7c3
65833 .xword 0x2fad45c61e550f75
65834 .xword 0x2dceec72d5356f1e
65835 .xword 0xfb5235e39dfb18c5
65836 .xword 0x4c9e84b043a6dc4c
65837 .xword 0xf71d36ac1dd9241d
65838 .xword 0xc5857e1ef001c442
65839 .xword 0x3a2df67e73735a11
65840 .xword 0x8875a9570665f478
65841 .xword 0x88043cda7ecf5358
65842 .xword 0x4dea2505444368b2
65843 .xword 0xdce0a1ce99cab5e1
65844 .xword 0x3e957a9558ab0be1
65845 .xword 0x3313ec879ce0e1a8
65846 .xword 0x328b77c153b2e2d6
65847 .xword 0xd1b40a2b4c1676a5
65848 .xword 0x5c67c83fe9dcbfb5
65849 .xword 0xae653c78f906a612
65850 .xword 0x470cc06eafe3da6b
65851 .xword 0x834759e379edeccb
65852 .xword 0x2865ab0f0abc381d
65853 .xword 0x69bee35799b294fa
65854 .xword 0x4c117d5762093574
65855 .xword 0xf8a0d2acbcff3e79
65856 .xword 0xacaf902090119c92
65857 .xword 0xdf46837e6a841843
65858 .xword 0x704db8a47a387d34
65859 .xword 0xdcea7156de8fcab5
65860 .xword 0xbaa88b2a91559d28
65861 .xword 0x7e08627a153aaa04
65862 .xword 0x96b3511a9cadd669
65863 .xword 0xc5f33e5335489797
65864 .xword 0x73afab9ec161b75f
65865 .xword 0x431d061836079060
65866 .xword 0x3c1496af581dfb2f
65867 .xword 0x73d07279b59ed574
65868 .xword 0xf296412607799569
65869 .xword 0xc181919788f6949d
65870 .xword 0xb09673a909d96b89
65871 .xword 0xa7dfbdea5f23646c
65872 .xword 0xd38f58dfa16b990e
65873 .xword 0x4cb3cd63e95f9ff2
65874 .xword 0x0b44a101764e6c6c
65875 .xword 0xa7651376958cd8df
65876 .xword 0x8828154ee492b078
65877 .xword 0xf6c59730bf7538c7
65878 .xword 0x68f719b9433f97eb
65879 .xword 0x8279014f1b581aef
65880 .xword 0x9a690a2c73e4bef2
65881 .xword 0xdaf5241f3b5786dc
65882 .xword 0x11abaef26216dfb7
65883 .xword 0xfa46ebf6371089d5
65884 .xword 0x492163585870d8e7
65885 .xword 0x627d1eb10648057c
65886 .xword 0x849bbacd25cb9b33
65887 .xword 0xd20219f8f571ad13
65888 .xword 0xd5350ae07c52b625
65889 .xword 0x21a0275da1c5a107
65890 .xword 0x0573a623369c8c1f
65891 .xword 0xef3c623fac07151c
65892 .xword 0x26f0af7ab3ec90d2
65893 .xword 0x6a1ec61400182c54
65894 .xword 0x75aed529f12407a9
65895 .xword 0x25af712ab01f4c58
65896 .xword 0x18a8b11251febbea
65897 .xword 0x502cb2bb2b5abe46
65898 .xword 0x3a993abbd8f3df32
65899 .xword 0x447b2f8b30c3aacd
65900 .xword 0x28560eb64bca5b78
65901 .xword 0x3f49028a5d3d1b42
65902 .xword 0xf75e3e1731bd117b
65903 .xword 0x4d70a9dbd79ba61d
65904 .xword 0x4d4160a8f8a90911
65905 .xword 0x97c27499402e67e8
65906 .xword 0xc92a08ea435cf992
65907 .xword 0x81860f4748f0e157
65908 .xword 0x2f49d6eaa89eeda1
65909 .xword 0x4221cfadc5cbe3b4
65910 .xword 0xa058edc6f278207b
65911 .xword 0xcb2f2081196ec443
65912 .xword 0xc3ee84a6738ae573
65913 .xword 0x826946b218685c92
65914 .xword 0x905c4cf084154677
65915 .xword 0x1afb1e72450bd62c
65916 .xword 0x225e7508fbdfb7ad
65917 .xword 0xc5ae95138f86cfe7
65918 .xword 0xedd2e5470be449e0
65919 .xword 0x99becb5d51fc44f4
65920 .xword 0x151a72eb94b6ad58
65921 .xword 0x2df7fd61a08c41e1
65922 .xword 0x66d078df86326658
65923 .xword 0x7833483b03ea5245
65924 .xword 0x0b489bd83b4d5979
65925 .xword 0x2abf7d926e6a9477
65926 .xword 0xe3d954230ca34e18
65927 .xword 0xb6f95e5decff8c2e
65928 .xword 0x673acc5bdc5ad309
65929 .xword 0x578c7ce7b080cb16
65930 .xword 0x04acc61eb7dc6693
65931 .xword 0x65afef0ec934958b
65932 .xword 0x077d8d0f0d9c534c
65933 .xword 0x92abb2983d71929a
65934 .xword 0xd05d90fc6f878ac4
65935 .xword 0xb90df1e1fee7605c
65936 .xword 0x60d3a4fe0977bda8
65937 .xword 0xdb44ed19a6abb2b5
65938 .xword 0x99118791611ad16f
65939 .xword 0x672a0de806c45847
65940 .xword 0xadd27a586c43349a
65941 .xword 0x83e53654c325eb99
65942 .xword 0x434d8b00b7bb552e
65943 .xword 0x660c671d07a54443
65944 .xword 0x57c9d24c3a3bfd4c
65945 .xword 0x39e2cfd54c21563d
65946 .xword 0x0a6755beca735c10
65947 .xword 0xfc771c8c275be6f2
65948 .xword 0x2b76f64215f7eceb
65949 .xword 0xafd05079b3bbec4b
65950 .xword 0xed34a2f463a50e89
65951 .xword 0x80164c8272e080a5
65952 .xword 0x2032d0212363c454
65953 .xword 0x505dd0ffc73eaeb4
65954 .xword 0x0a530303bac6e0b7
65955 .xword 0xc0fc808f04ae3b4a
65956 .xword 0x66aef01b231a37a3
65957 .xword 0x2e70b3954733aeaa
65958 .xword 0x4ad7bb6d0bef6ca4
65959 .xword 0x251663cb4a0592a3
65960 .xword 0x1793a5c94505e225
65961 .xword 0x4e19ed24d17b7cd8
65962 .xword 0xb7bb2639baefa9c6
65963 .xword 0x30d93296b44c942b
65964 .xword 0x4010562f6f8ef565
65965 .xword 0x3789ba3dde7e8056
65966 .xword 0x2e64dce3dc4b851f
65967 .xword 0xbf5cfbe6a2519b3f
65968 .xword 0xaddb46af9bf59b9f
65969 .xword 0x570081f555f01964
65970 .xword 0xaf0892199eed7901
65971 .xword 0xe0bb55c56f836a95
65972 .xword 0x3d12fffa4824dc13
65973 .xword 0x735e9aa79b836077
65974 .xword 0x602b198c8125cfff
65975 .xword 0x2735873ba7cf0875
65976 .xword 0xc020f92f7695cd5f
65977 .xword 0xcd7b49eb53165ac4
65978 .xword 0xef3915e2b6a30183
65979 .xword 0x5401261097886c26
65980 .xword 0x6ae72b439ea00de3
65981 .xword 0xb0acd8b7bf2569f5
65982 .xword 0x7dabd10033a9d6c8
65983 .xword 0x28ad4ab10b4971d4
65984 .xword 0x7b379f22d91b6944
65985 .xword 0x5d29569657c0c3a9
65986 .xword 0x3f81dcc6f160779d
65987 .xword 0x7c09bbed513301e7
65988 .xword 0x24742b76798cf6dc
65989 .xword 0x4476e54ad916222f
65990 .xword 0x9c3350083c5271cb
65991 .xword 0x7b48bd67cd233255
65992 .xword 0x2c12b8c26bb3327d
65993 .xword 0xbc9087cbaac0af6c
65994 .xword 0x426fdd4309a45eec
65995 .xword 0xe7a4cdb65a2a1cb3
65996 .xword 0xd1676bfe2cdcb410
65997 .xword 0xad150e570a69b8b6
65998 .xword 0x337200c0c1e3bc7c
65999 .xword 0x308b2734623944e4
66000 .xword 0x3811c115980cf4bd
66001 .xword 0xdc04d47b9a9adece
66002 .xword 0xf2e0601a8896726e
66003 .xword 0x627feaab2e8dc946
66004 .xword 0x255751a9313d9d3f
66005 .xword 0xcb86112d42e0f92b
66006 .xword 0x3d63738506378bc3
66007 .xword 0xc1e3028b719b4435
66008 .xword 0xd5f2c6818f76c25b
66009 .xword 0xb00def59d2f2481f
66010 .xword 0xeb74b6ca4cba3b39
66011 .xword 0xc0aa8768d3e4d236
66012 .xword 0x0a88cb67b35941c5
66013 .xword 0x8a128e476e062455
66014 .xword 0x4391bda78945bb48
66015 .xword 0x431fd0586c106f10
66016 .xword 0xae7130deab07bd75
66017 .xword 0xebb47cf44f4b1706
66018 .xword 0xfed38ad69302dddd
66019 .xword 0xc691c01c9702e30a
66020 .xword 0xcffaf2be4577bf79
66021 .xword 0xb7f336dd6ed297f3
66022 .xword 0x1adde4daa5d59681
66023 .xword 0x3aef2843723154d7
66024 .xword 0x0508d34ae8e585c8
66025 .xword 0x1d54285be0e7e8a8
66026 .xword 0x3b6c61dd8c3b228d
66027 .xword 0x07db3edec166c532
66028 .xword 0xc9fc6472f3167474
66029 .xword 0xd942814a32530328
66030 .xword 0xbc8f58d697469be1
66031 .xword 0x02babe3be01c363d
66032 .xword 0x62e98eb12b91c6c0
66033 .xword 0x2edd625aaf5afb47
66034 .xword 0xfee1f5135ab1b83a
66035 .xword 0x17d07b0f9c7aab3b
66036 .xword 0x5848e464086ccd03
66037 .xword 0xadc98729b5b4d7bb
66038 .xword 0xc84383eb7f7bf7e9
66039 .xword 0x8e44ed3f75bba299
66040 .xword 0x256d63e0db1e187c
66041 .xword 0xe5f9e78e181e7294
66042 .xword 0xea9f1143fce48e3c
66043 .xword 0x804240a68593bc84
66044 .xword 0x022207b1223e9121
66045 .xword 0x2a4ceffe6bbaabc5
66046 .xword 0x4f087490e9d159f6
66047 .xword 0xf5142b5f231df90c
66048 .xword 0x809999c50ab47771
66049 .xword 0x7916e667b86102ae
66050 .xword 0xa079c6b0ce3a131c
66051 .xword 0xb371d51a2720f95a
66052 .xword 0x98bdfdb9aa6fff25
66053 .xword 0x8b6a2b93c2b8e7c1
66054 .xword 0x40864b978b4fd4dd
66055 .xword 0x64b4df70e273f5c5
66056 .xword 0x011e186d07c6b6b6
66057 .xword 0x4b502c010ffae495
66058 .xword 0xa2139be6e13efc1c
66059 .xword 0x6ebbbdf024c6c919
66060 .xword 0x44337fd7f4b46e98
66061 .xword 0xb95b518d13e23eda
66062 .xword 0x371bad78351e369b
66063 .xword 0xc81a2bc7ae74a9c6
66064 .xword 0xacd33a401b88f496
66065 .xword 0x89bbdabc411babee
66066 .xword 0x54bde3e48682b929
66067 .xword 0x660c76dc5438a3fe
66068 .xword 0x2eb95788758b6c8e
66069 .xword 0x782849ba5ae04f00
66070 .xword 0x768ae9068c202a62
66071 .xword 0xcd85657a05160430
66072 .xword 0x3c1d1263179db51c
66073 .xword 0x137ffd0a70d90b3f
66074 .xword 0xea48dd4b98d18152
66075 .xword 0xa2182c253ca573b1
66076 .xword 0xa56ae93697391fd7
66077 .xword 0x9771aee4f3873b7d
66078 .xword 0x7e0da6f754152766
66079 .xword 0x5322d3b680731b03
66080 .xword 0xedb2f2ffde59a707
66081 .xword 0x6159bf1eb3f1e223
66082 .xword 0x777a27e6b99d5eb4
66083 .xword 0x16524e19be64c5d1
66084 .xword 0x290f2f2231047c45
66085 .xword 0x07936703bb5057c6
66086 .xword 0x0c8ca1a6405c2204
66087 .xword 0x30c11dea8c81d774
66088 .xword 0x56db096053109fa9
66089 .xword 0x9c8829fb3d851851
66090 .xword 0x0dbab56e0207bb8e
66091 .xword 0xcb3bf5db32c0329a
66092 .xword 0x1f61ebd1a3ffa87f
66093 .xword 0x811e1f64b5a784c4
66094 .xword 0x59571628f061a369
66095_t1_copy_dest:
66096 .xword 0xDEADBEEFDEADBEEF
66097 .xword 0xDEADBEEFDEADBEEF
66098 .xword 0xDEADBEEFDEADBEEF
66099 .xword 0xDEADBEEFDEADBEEF
66100 .xword 0xDEADBEEFDEADBEEF
66101 .xword 0xDEADBEEFDEADBEEF
66102 .xword 0xDEADBEEFDEADBEEF
66103 .xword 0xDEADBEEFDEADBEEF
66104 .xword 0xDEADBEEFDEADBEEF
66105 .xword 0xDEADBEEFDEADBEEF
66106 .xword 0xDEADBEEFDEADBEEF
66107 .xword 0xDEADBEEFDEADBEEF
66108 .xword 0xDEADBEEFDEADBEEF
66109 .xword 0xDEADBEEFDEADBEEF
66110 .xword 0xDEADBEEFDEADBEEF
66111 .xword 0xDEADBEEFDEADBEEF
66112 .xword 0xDEADBEEFDEADBEEF
66113 .xword 0xDEADBEEFDEADBEEF
66114 .xword 0xDEADBEEFDEADBEEF
66115 .xword 0xDEADBEEFDEADBEEF
66116 .xword 0xDEADBEEFDEADBEEF
66117 .xword 0xDEADBEEFDEADBEEF
66118 .xword 0xDEADBEEFDEADBEEF
66119 .xword 0xDEADBEEFDEADBEEF
66120 .xword 0xDEADBEEFDEADBEEF
66121 .xword 0xDEADBEEFDEADBEEF
66122 .xword 0xDEADBEEFDEADBEEF
66123 .xword 0xDEADBEEFDEADBEEF
66124 .xword 0xDEADBEEFDEADBEEF
66125 .xword 0xDEADBEEFDEADBEEF
66126 .xword 0xDEADBEEFDEADBEEF
66127 .xword 0xDEADBEEFDEADBEEF
66128 .xword 0xDEADBEEFDEADBEEF
66129 .xword 0xDEADBEEFDEADBEEF
66130 .xword 0xDEADBEEFDEADBEEF
66131 .xword 0xDEADBEEFDEADBEEF
66132 .xword 0xDEADBEEFDEADBEEF
66133 .xword 0xDEADBEEFDEADBEEF
66134 .xword 0xDEADBEEFDEADBEEF
66135 .xword 0xDEADBEEFDEADBEEF
66136 .xword 0xDEADBEEFDEADBEEF
66137 .xword 0xDEADBEEFDEADBEEF
66138 .xword 0xDEADBEEFDEADBEEF
66139 .xword 0xDEADBEEFDEADBEEF
66140 .xword 0xDEADBEEFDEADBEEF
66141 .xword 0xDEADBEEFDEADBEEF
66142 .xword 0xDEADBEEFDEADBEEF
66143 .xword 0xDEADBEEFDEADBEEF
66144 .xword 0xDEADBEEFDEADBEEF
66145 .xword 0xDEADBEEFDEADBEEF
66146 .xword 0xDEADBEEFDEADBEEF
66147 .xword 0xDEADBEEFDEADBEEF
66148 .xword 0xDEADBEEFDEADBEEF
66149 .xword 0xDEADBEEFDEADBEEF
66150 .xword 0xDEADBEEFDEADBEEF
66151 .xword 0xDEADBEEFDEADBEEF
66152 .xword 0xDEADBEEFDEADBEEF
66153 .xword 0xDEADBEEFDEADBEEF
66154 .xword 0xDEADBEEFDEADBEEF
66155 .xword 0xDEADBEEFDEADBEEF
66156 .xword 0xDEADBEEFDEADBEEF
66157 .xword 0xDEADBEEFDEADBEEF
66158 .xword 0xDEADBEEFDEADBEEF
66159 .xword 0xDEADBEEFDEADBEEF
66160 .xword 0xDEADBEEFDEADBEEF
66161 .xword 0xDEADBEEFDEADBEEF
66162 .xword 0xDEADBEEFDEADBEEF
66163 .xword 0xDEADBEEFDEADBEEF
66164 .xword 0xDEADBEEFDEADBEEF
66165 .xword 0xDEADBEEFDEADBEEF
66166 .xword 0xDEADBEEFDEADBEEF
66167 .xword 0xDEADBEEFDEADBEEF
66168 .xword 0xDEADBEEFDEADBEEF
66169 .xword 0xDEADBEEFDEADBEEF
66170 .xword 0xDEADBEEFDEADBEEF
66171 .xword 0xDEADBEEFDEADBEEF
66172 .xword 0xDEADBEEFDEADBEEF
66173 .xword 0xDEADBEEFDEADBEEF
66174 .xword 0xDEADBEEFDEADBEEF
66175 .xword 0xDEADBEEFDEADBEEF
66176 .xword 0xDEADBEEFDEADBEEF
66177 .xword 0xDEADBEEFDEADBEEF
66178 .xword 0xDEADBEEFDEADBEEF
66179 .xword 0xDEADBEEFDEADBEEF
66180 .xword 0xDEADBEEFDEADBEEF
66181 .xword 0xDEADBEEFDEADBEEF
66182 .xword 0xDEADBEEFDEADBEEF
66183 .xword 0xDEADBEEFDEADBEEF
66184 .xword 0xDEADBEEFDEADBEEF
66185 .xword 0xDEADBEEFDEADBEEF
66186 .xword 0xDEADBEEFDEADBEEF
66187 .xword 0xDEADBEEFDEADBEEF
66188 .xword 0xDEADBEEFDEADBEEF
66189 .xword 0xDEADBEEFDEADBEEF
66190 .xword 0xDEADBEEFDEADBEEF
66191 .xword 0xDEADBEEFDEADBEEF
66192 .xword 0xDEADBEEFDEADBEEF
66193 .xword 0xDEADBEEFDEADBEEF
66194 .xword 0xDEADBEEFDEADBEEF
66195 .xword 0xDEADBEEFDEADBEEF
66196 .xword 0xDEADBEEFDEADBEEF
66197 .xword 0xDEADBEEFDEADBEEF
66198 .xword 0xDEADBEEFDEADBEEF
66199 .xword 0xDEADBEEFDEADBEEF
66200 .xword 0xDEADBEEFDEADBEEF
66201 .xword 0xDEADBEEFDEADBEEF
66202 .xword 0xDEADBEEFDEADBEEF
66203 .xword 0xDEADBEEFDEADBEEF
66204 .xword 0xDEADBEEFDEADBEEF
66205 .xword 0xDEADBEEFDEADBEEF
66206 .xword 0xDEADBEEFDEADBEEF
66207 .xword 0xDEADBEEFDEADBEEF
66208 .xword 0xDEADBEEFDEADBEEF
66209 .xword 0xDEADBEEFDEADBEEF
66210 .xword 0xDEADBEEFDEADBEEF
66211 .xword 0xDEADBEEFDEADBEEF
66212 .xword 0xDEADBEEFDEADBEEF
66213 .xword 0xDEADBEEFDEADBEEF
66214 .xword 0xDEADBEEFDEADBEEF
66215 .xword 0xDEADBEEFDEADBEEF
66216 .xword 0xDEADBEEFDEADBEEF
66217 .xword 0xDEADBEEFDEADBEEF
66218 .xword 0xDEADBEEFDEADBEEF
66219 .xword 0xDEADBEEFDEADBEEF
66220 .xword 0xDEADBEEFDEADBEEF
66221 .xword 0xDEADBEEFDEADBEEF
66222 .xword 0xDEADBEEFDEADBEEF
66223 .xword 0xDEADBEEFDEADBEEF
66224 .xword 0xDEADBEEFDEADBEEF
66225 .xword 0xDEADBEEFDEADBEEF
66226 .xword 0xDEADBEEFDEADBEEF
66227 .xword 0xDEADBEEFDEADBEEF
66228 .xword 0xDEADBEEFDEADBEEF
66229 .xword 0xDEADBEEFDEADBEEF
66230 .xword 0xDEADBEEFDEADBEEF
66231 .xword 0xDEADBEEFDEADBEEF
66232 .xword 0xDEADBEEFDEADBEEF
66233 .xword 0xDEADBEEFDEADBEEF
66234 .xword 0xDEADBEEFDEADBEEF
66235 .xword 0xDEADBEEFDEADBEEF
66236 .xword 0xDEADBEEFDEADBEEF
66237 .xword 0xDEADBEEFDEADBEEF
66238 .xword 0xDEADBEEFDEADBEEF
66239 .xword 0xDEADBEEFDEADBEEF
66240 .xword 0xDEADBEEFDEADBEEF
66241 .xword 0xDEADBEEFDEADBEEF
66242 .xword 0xDEADBEEFDEADBEEF
66243 .xword 0xDEADBEEFDEADBEEF
66244 .xword 0xDEADBEEFDEADBEEF
66245 .xword 0xDEADBEEFDEADBEEF
66246 .xword 0xDEADBEEFDEADBEEF
66247 .xword 0xDEADBEEFDEADBEEF
66248 .xword 0xDEADBEEFDEADBEEF
66249 .xword 0xDEADBEEFDEADBEEF
66250 .xword 0xDEADBEEFDEADBEEF
66251 .xword 0xDEADBEEFDEADBEEF
66252 .xword 0xDEADBEEFDEADBEEF
66253 .xword 0xDEADBEEFDEADBEEF
66254 .xword 0xDEADBEEFDEADBEEF
66255 .xword 0xDEADBEEFDEADBEEF
66256 .xword 0xDEADBEEFDEADBEEF
66257 .xword 0xDEADBEEFDEADBEEF
66258 .xword 0xDEADBEEFDEADBEEF
66259 .xword 0xDEADBEEFDEADBEEF
66260 .xword 0xDEADBEEFDEADBEEF
66261 .xword 0xDEADBEEFDEADBEEF
66262 .xword 0xDEADBEEFDEADBEEF
66263 .xword 0xDEADBEEFDEADBEEF
66264 .xword 0xDEADBEEFDEADBEEF
66265 .xword 0xDEADBEEFDEADBEEF
66266 .xword 0xDEADBEEFDEADBEEF
66267 .xword 0xDEADBEEFDEADBEEF
66268 .xword 0xDEADBEEFDEADBEEF
66269 .xword 0xDEADBEEFDEADBEEF
66270 .xword 0xDEADBEEFDEADBEEF
66271 .xword 0xDEADBEEFDEADBEEF
66272 .xword 0xDEADBEEFDEADBEEF
66273 .xword 0xDEADBEEFDEADBEEF
66274 .xword 0xDEADBEEFDEADBEEF
66275 .xword 0xDEADBEEFDEADBEEF
66276 .xword 0xDEADBEEFDEADBEEF
66277 .xword 0xDEADBEEFDEADBEEF
66278 .xword 0xDEADBEEFDEADBEEF
66279 .xword 0xDEADBEEFDEADBEEF
66280 .xword 0xDEADBEEFDEADBEEF
66281 .xword 0xDEADBEEFDEADBEEF
66282 .xword 0xDEADBEEFDEADBEEF
66283 .xword 0xDEADBEEFDEADBEEF
66284 .xword 0xDEADBEEFDEADBEEF
66285 .xword 0xDEADBEEFDEADBEEF
66286 .xword 0xDEADBEEFDEADBEEF
66287 .xword 0xDEADBEEFDEADBEEF
66288 .xword 0xDEADBEEFDEADBEEF
66289 .xword 0xDEADBEEFDEADBEEF
66290 .xword 0xDEADBEEFDEADBEEF
66291 .xword 0xDEADBEEFDEADBEEF
66292 .xword 0xDEADBEEFDEADBEEF
66293 .xword 0xDEADBEEFDEADBEEF
66294 .xword 0xDEADBEEFDEADBEEF
66295 .xword 0xDEADBEEFDEADBEEF
66296 .xword 0xDEADBEEFDEADBEEF
66297 .xword 0xDEADBEEFDEADBEEF
66298 .xword 0xDEADBEEFDEADBEEF
66299 .xword 0xDEADBEEFDEADBEEF
66300 .xword 0xDEADBEEFDEADBEEF
66301 .xword 0xDEADBEEFDEADBEEF
66302 .xword 0xDEADBEEFDEADBEEF
66303 .xword 0xDEADBEEFDEADBEEF
66304 .xword 0xDEADBEEFDEADBEEF
66305 .xword 0xDEADBEEFDEADBEEF
66306 .xword 0xDEADBEEFDEADBEEF
66307 .xword 0xDEADBEEFDEADBEEF
66308 .xword 0xDEADBEEFDEADBEEF
66309 .xword 0xDEADBEEFDEADBEEF
66310 .xword 0xDEADBEEFDEADBEEF
66311 .xword 0xDEADBEEFDEADBEEF
66312 .xword 0xDEADBEEFDEADBEEF
66313 .xword 0xDEADBEEFDEADBEEF
66314 .xword 0xDEADBEEFDEADBEEF
66315 .xword 0xDEADBEEFDEADBEEF
66316 .xword 0xDEADBEEFDEADBEEF
66317 .xword 0xDEADBEEFDEADBEEF
66318 .xword 0xDEADBEEFDEADBEEF
66319 .xword 0xDEADBEEFDEADBEEF
66320 .xword 0xDEADBEEFDEADBEEF
66321 .xword 0xDEADBEEFDEADBEEF
66322 .xword 0xDEADBEEFDEADBEEF
66323 .xword 0xDEADBEEFDEADBEEF
66324 .xword 0xDEADBEEFDEADBEEF
66325 .xword 0xDEADBEEFDEADBEEF
66326 .xword 0xDEADBEEFDEADBEEF
66327 .xword 0xDEADBEEFDEADBEEF
66328 .xword 0xDEADBEEFDEADBEEF
66329 .xword 0xDEADBEEFDEADBEEF
66330 .xword 0xDEADBEEFDEADBEEF
66331 .xword 0xDEADBEEFDEADBEEF
66332 .xword 0xDEADBEEFDEADBEEF
66333 .xword 0xDEADBEEFDEADBEEF
66334 .xword 0xDEADBEEFDEADBEEF
66335 .xword 0xDEADBEEFDEADBEEF
66336 .xword 0xDEADBEEFDEADBEEF
66337 .xword 0xDEADBEEFDEADBEEF
66338 .xword 0xDEADBEEFDEADBEEF
66339 .xword 0xDEADBEEFDEADBEEF
66340 .xword 0xDEADBEEFDEADBEEF
66341 .xword 0xDEADBEEFDEADBEEF
66342 .xword 0xDEADBEEFDEADBEEF
66343 .xword 0xDEADBEEFDEADBEEF
66344 .xword 0xDEADBEEFDEADBEEF
66345 .xword 0xDEADBEEFDEADBEEF
66346 .xword 0xDEADBEEFDEADBEEF
66347 .xword 0xDEADBEEFDEADBEEF
66348 .xword 0xDEADBEEFDEADBEEF
66349 .xword 0xDEADBEEFDEADBEEF
66350 .xword 0xDEADBEEFDEADBEEF
66351 .xword 0xDEADBEEFDEADBEEF
66352 .xword 0xDEADBEEFDEADBEEF
66353 .xword 0xDEADBEEFDEADBEEF
66354 .xword 0xDEADBEEFDEADBEEF
66355 .xword 0xDEADBEEFDEADBEEF
66356 .xword 0xDEADBEEFDEADBEEF
66357 .xword 0xDEADBEEFDEADBEEF
66358 .xword 0xDEADBEEFDEADBEEF
66359 .xword 0xDEADBEEFDEADBEEF
66360 .xword 0xDEADBEEFDEADBEEF
66361 .xword 0xDEADBEEFDEADBEEF
66362 .xword 0xDEADBEEFDEADBEEF
66363 .xword 0xDEADBEEFDEADBEEF
66364 .xword 0xDEADBEEFDEADBEEF
66365 .xword 0xDEADBEEFDEADBEEF
66366 .xword 0xDEADBEEFDEADBEEF
66367 .xword 0xDEADBEEFDEADBEEF
66368 .xword 0xDEADBEEFDEADBEEF
66369 .xword 0xDEADBEEFDEADBEEF
66370 .xword 0xDEADBEEFDEADBEEF
66371_t1_copy_auth_key:
66372 .xword 0x76cb229fe14ef5fc
66373 .xword 0x78775da85f20801b
66374 .xword 0xc65f24ebcd02e733
66375 .xword 0xb2106ae50b155d78
66376 .xword 0xa9a5d87c40772b49
66377 .xword 0x10be18c3b34030e1
66378 .xword 0xdb3a31bfeb7b70b2
66379 .xword 0x849b4555ed85542b
66380 .xword 0x333ba9030ab5e35d
66381 .xword 0x376e57e0db02aebe
66382 .xword 0xe96a4bae15449b02
66383 .xword 0xd3c43fc66175c01d
66384 .xword 0xc36725948e169a62
66385 .xword 0x86097caa906bab6a
66386 .xword 0xc6df4660527b6dbb
66387 .xword 0xdaf786e831e6ae1b
66388 .xword 0x8a733b842a735202
66389 .xword 0x67f3f4f0e8a82323
66390 .xword 0xf653a47369f94f7a
66391 .xword 0x8269180640acbed2
66392 .xword 0x34abb3a8942112b6
66393 .xword 0x9207dd309ffc33e8
66394 .xword 0x2632a5fca3a71525
66395_t1_copy_auth_iv:
66396 .xword 0x0ef3a58b8f1baaaa
66397 .xword 0xb70700646625346e
66398 .xword 0xcef247d301a12305
66399 .xword 0xc93b5b6801aee6a8
66400 .xword 0x1a8f8a18de349f49
66401 .xword 0xbc6964e6103e9f84
66402 .xword 0x4ebdf65eceb667ef
66403 .xword 0x1dc6890d552260fa
66404 .xword 0x965991816756a9ad
66405 .xword 0xa13925108e1ee278
66406 .xword 0xa88b86e69a9b433c
66407 .xword 0xb094bf2b89d03ee6
66408 .xword 0x5c6462b4c5820a01
66409 .xword 0x88e41eb66bfa986d
66410 .xword 0x2c007f125c644eb4
66411 .xword 0x1044fdcc662c71d9
66412 .xword 0x6c6ee099aa47e227
66413 .xword 0x2d0d74a32f045ab7
66414 .xword 0x137400a7c41bb0c7
66415 .xword 0x33d708ccd448bbd1
66416 .xword 0x02e347d654519791
66417 .xword 0x0128753bd9c71804
66418 .xword 0xf1991b8ed5d2aa3d
66419_t1_copy_fas_result:
66420 .xword 0xDEADBEEFDEADBEEF
66421 .xword 0xDEADBEEFDEADBEEF
66422 .xword 0xDEADBEEFDEADBEEF
66423 .xword 0xDEADBEEFDEADBEEF
66424 .xword 0xDEADBEEFDEADBEEF
66425 .xword 0xDEADBEEFDEADBEEF
66426 .xword 0xDEADBEEFDEADBEEF
66427 .xword 0xDEADBEEFDEADBEEF
66428 .xword 0xDEADBEEFDEADBEEF
66429 .xword 0xDEADBEEFDEADBEEF
66430 .xword 0xDEADBEEFDEADBEEF
66431 .xword 0xDEADBEEFDEADBEEF
66432 .xword 0xDEADBEEFDEADBEEF
66433 .xword 0xDEADBEEFDEADBEEF
66434 .xword 0xDEADBEEFDEADBEEF
66435 .xword 0xDEADBEEFDEADBEEF
66436 .xword 0xDEADBEEFDEADBEEF
66437 .xword 0xDEADBEEFDEADBEEF
66438 .xword 0xDEADBEEFDEADBEEF
66439 .xword 0xDEADBEEFDEADBEEF
66440 .xword 0xDEADBEEFDEADBEEF
66441 .xword 0xDEADBEEFDEADBEEF
66442 .xword 0xDEADBEEFDEADBEEF
66443_t1_crc_key_array:
66444 .xword 0xce6fe2191510bf8f
66445 .xword 0xaa67c68b945cc317
66446 .xword 0x13f044be267f6ff9
66447 .xword 0x93b7d7bb39b1d811
66448 .xword 0xeb42cb27567fad54
66449 .xword 0x080f07bd66e0d53d
66450 .xword 0xc28cb1e44e71ae65
66451 .xword 0xb3d9be015136c861
66452 .xword 0x13e27f100633a613
66453 .xword 0x86ae0aad4be1ed9c
66454 .xword 0xa7804a64a812566a
66455 .xword 0x1073622db48d7291
66456 .xword 0x1b0d1bcc8d6e408a
66457 .xword 0xd31845aa07265c05
66458 .xword 0xfa6dbb163fce0d89
66459 .xword 0x14f2d1af5689d691
66460 .xword 0x6ab53d60ce11cd8c
66461 .xword 0xc147d3b2ba6ac947
66462 .xword 0xa9b47e22073b4528
66463 .xword 0x8ea141a366a6edac
66464 .xword 0x7131562343b974ac
66465 .xword 0x19c452e171b79ebe
66466 .xword 0x4c44c2350ddf960c
66467 .xword 0x210ee5dccdd11c55
66468 .xword 0x81686410821379c1
66469 .xword 0xbca0c3814134d0bb
66470 .xword 0xd4aac391464c8282
66471 .xword 0x74443d239c0f5185
66472 .xword 0x3466f43e496ed496
66473 .xword 0x97ab046572830490
66474 .xword 0xc24eeda047873c32
66475 .xword 0x2624c8990051163c
66476 .xword 0xb82a24940133e8a7
66477 .xword 0x3c89a8d96127e5d1
66478 .xword 0x46886eaaf9254b6a
66479 .xword 0xced8a9b66ec1626e
66480 .xword 0x578d5d83d94bfaa5
66481 .xword 0x4d0a2a9cca9e4e1c
66482 .xword 0x7166ed1a64ecc6e2
66483 .xword 0xd2f11a06063b5e73
66484 .xword 0x1e783f4618dd88b7
66485 .xword 0x4da85171960e358a
66486 .xword 0x720054243fcf5095
66487 .xword 0x9ffaa93acf1953d0
66488 .xword 0xef7a45bb227c8ecf
66489 .xword 0xa46d35aca69583ba
66490 .xword 0x0e9c0edb0471f366
66491 .xword 0x67a22504dff83fa3
66492 .xword 0xa676d2879df5518a
66493 .xword 0x749583048cbfe1b1
66494 .xword 0x43430a2469dbb703
66495_t1_crc_iv_array:
66496 .xword 0x383c20506d30f1aa
66497 .xword 0xc56b53fa14a44264
66498 .xword 0x0e98fe6841bb3d6d
66499 .xword 0x3f54e027e01215e3
66500 .xword 0x08a78d920e2a006f
66501 .xword 0x25edfd0da117519b
66502 .xword 0x10e28653c8fecf5a
66503 .xword 0xebad91e754e80a10
66504 .xword 0x1e561696a1cf9158
66505 .xword 0xeb577889a91e4b32
66506 .xword 0x9f206dfbde3ef25b
66507 .xword 0xe195d0c63d767cee
66508 .xword 0x4c1995861ea8c342
66509 .xword 0x92cfec37eb039c62
66510 .xword 0x74d0e1576f078e33
66511 .xword 0xfe2bdee5193b3579
66512 .xword 0x2eb8c395c926dbab
66513 .xword 0x834cf9fc6daa46c0
66514 .xword 0x8e53268ee8d43592
66515 .xword 0x715bb5120fc588a5
66516 .xword 0xb1a13911fdf47193
66517 .xword 0x0a6ebc5186cda05b
66518 .xword 0x603e9b6442a033cd
66519 .xword 0x7acca82f7713fc34
66520 .xword 0x2fa885e06e770dd6
66521 .xword 0xe59bb53ca4472db1
66522 .xword 0xffd6e83e6c0ca2bc
66523 .xword 0x254bd8da17cb029d
66524 .xword 0xf7c4ec8c3a2e674c
66525 .xword 0x55cbc7531b89265a
66526 .xword 0xe9eb8b7a810b6e7d
66527 .xword 0x646c9be868cff227
66528 .xword 0x89bf8dd000bfe6f5
66529 .xword 0x2d2b1bbfc3129d55
66530 .xword 0xbec7c91bee265940
66531 .xword 0xd4c4cff3eb6bc48e
66532 .xword 0x089d8387c7a60926
66533 .xword 0x196bc7215d6b6067
66534 .xword 0xcd44192ee56942eb
66535 .xword 0x9c90b5b38f3c4b3b
66536 .xword 0x80fd60b47bce18c6
66537 .xword 0x9161e3113fb7551e
66538 .xword 0x0e97247965851455
66539 .xword 0x076847492143bc13
66540 .xword 0xa104211522e5b855
66541_t1_crc_alignment_array:
66542 .xword 10
66543 .xword 7
66544 .xword 10
66545 .xword 5
66546 .xword 13
66547 .xword 2
66548 .xword 10
66549 .xword 7
66550 .xword 7
66551 .xword 1
66552 .xword 9
66553 .xword 10
66554 .xword 14
66555 .xword 1
66556 .xword 11
66557 .xword 9
66558 .xword 13
66559 .xword 5
66560 .xword 0
66561 .xword 11
66562 .xword 5
66563 .xword 11
66564 .xword 10
66565 .xword 8
66566 .xword 13
66567 .xword 1
66568 .xword 7
66569 .xword 3
66570 .xword 1
66571 .xword 7
66572 .xword 4
66573 .xword 7
66574 .xword 0
66575 .xword 14
66576 .xword 0
66577 .xword 2
66578 .xword 6
66579 .xword 3
66580 .xword 0
66581 .xword 2
66582 .xword 14
66583 .xword 2
66584 .xword 7
66585 .xword 10
66586 .xword 11
66587 .xword 4
66588 .xword 7
66589 .xword 3
66590 .xword 3
66591 .xword 13
66592 .xword 0
66593 .xword 6
66594 .xword 0
66595 .xword 5
66596 .xword 8
66597 .xword 14
66598 .xword 13
66599 .xword 7
66600 .xword 1
66601 .xword 7
66602 .xword 5
66603 .xword 7
66604 .xword 0
66605 .xword 7
66606 .xword 5
66607 .xword 14
66608 .xword 7
66609 .xword 3
66610 .xword 5
66611 .xword 10
66612 .xword 11
66613 .xword 5
66614 .xword 14
66615 .xword 4
66616 .xword 3
66617 .xword 4
66618 .xword 3
66619 .xword 13
66620 .xword 14
66621 .xword 6
66622 .xword 6
66623 .xword 12
66624 .xword 10
66625 .xword 12
66626 .xword 13
66627 .xword 8
66628 .xword 3
66629 .xword 5
66630 .xword 13
66631 .xword 0
66632 .xword 8
66633 .xword 11
66634 .xword 1
66635 .xword 1
66636 .xword 11
66637 .xword 11
66638 .xword 15
66639 .xword 15
66640 .xword 4
66641 .xword 0
66642 .xword 13
66643 .xword 11
66644 .xword 13
66645 .xword 9
66646 .xword 14
66647_t1_crc_src:
66648 .xword 0xc0b06bf9c1cfc84c
66649 .xword 0x7f00d3caec98533d
66650 .xword 0x27aa6dc2e8ac2ee1
66651 .xword 0x1077a906e353bbe8
66652 .xword 0x0234ad19056d4610
66653 .xword 0x88d7d6d513b7e07a
66654 .xword 0x0cf34f9461bf1877
66655 .xword 0x68f7c33dbe12a310
66656 .xword 0xc12a301feba66f70
66657 .xword 0x227f45f9bdd97db1
66658 .xword 0xeb91f06cf85f4d52
66659 .xword 0x8e478aea61a2bc97
66660 .xword 0x08a45287b9787dde
66661 .xword 0xd84b583f70622430
66662 .xword 0x7911655bcb536b13
66663 .xword 0x8dbc853d0d435088
66664 .xword 0xaa437d3f18c2a1f3
66665 .xword 0x0562721f7b97e636
66666 .xword 0x5d12988832966316
66667 .xword 0xad13af90c3fd9ac6
66668 .xword 0xa9e405304d743d16
66669 .xword 0x54b969877646b162
66670 .xword 0x537690ab21939896
66671 .xword 0x01b6e828ab241f1f
66672 .xword 0x7d954a546fcfeeac
66673 .xword 0xe647deb19f005e91
66674 .xword 0x2ffbf9865439e130
66675 .xword 0x69ede5fa3491c197
66676 .xword 0x52d0122e7eeeeb75
66677 .xword 0x5cd5f27bbcbb3916
66678 .xword 0xd3bf3097d9949212
66679 .xword 0x6e1fcac47e012d9e
66680 .xword 0xd07213fa28974b8e
66681 .xword 0x9f91d369e75af70c
66682 .xword 0xf9437236e00e7cbb
66683 .xword 0x9b8086befb1e43e5
66684 .xword 0x8befd3dfd01be962
66685 .xword 0xc699d763031d1e99
66686 .xword 0x18823978d4bcbf50
66687 .xword 0x3b8ae3109d282b8f
66688 .xword 0x3b1c3add7dc1a457
66689 .xword 0xad8c7dfcdff7a2e7
66690 .xword 0x1ae1d59804f848d6
66691 .xword 0x364df8fd76393eb4
66692 .xword 0x1249ed0b8da7f23c
66693 .xword 0x801060b9e998c376
66694 .xword 0xe210e6f2d5ad6d51
66695 .xword 0x1ea8d7918c14c980
66696 .xword 0xf90333e23d7f6302
66697 .xword 0xa84c669f0dbcec48
66698 .xword 0xd3c84571d978af09
66699 .xword 0xc4bdbb3d7ae77eae
66700 .xword 0x85f5c96152783ca0
66701 .xword 0x036750be693a5b88
66702 .xword 0xfc021fe334a0f7b2
66703 .xword 0x674b3881f3a6c8de
66704 .xword 0xb3b2c0913a57c49b
66705 .xword 0x9731f2e1eb46d916
66706 .xword 0x60b62b32da1a8f5b
66707 .xword 0x58b9b78b7749ba66
66708 .xword 0xa508692ccad6a073
66709 .xword 0xa7d7af6de6e3c1a2
66710 .xword 0xee991823bc7a915b
66711 .xword 0x03783f859e9660e3
66712 .xword 0x63a60eeed4d67a7a
66713 .xword 0xd386308577721811
66714 .xword 0xcae95b279659e5a3
66715 .xword 0xf34536d4774dd334
66716 .xword 0xd73c5682342ee753
66717 .xword 0x1c1fea794583c31d
66718 .xword 0x92465acded06a789
66719 .xword 0x42fb79dceeca62a8
66720 .xword 0x4c46dd226f95eca9
66721 .xword 0x81291d219f34f30a
66722 .xword 0x6f530d5207f00953
66723 .xword 0x73f8b840e133996e
66724 .xword 0x65506c30a4297af0
66725 .xword 0x4ec5e3460d32a690
66726 .xword 0xd325864acdffaf9b
66727 .xword 0x0f2aff0ca1774d88
66728 .xword 0xb8076a7d62446292
66729 .xword 0x794236624ca92605
66730 .xword 0x83dc47ed0284b843
66731 .xword 0xfb2c30e372b880c8
66732 .xword 0xe819ddc023249e22
66733 .xword 0x8105e4895f891a1c
66734 .xword 0x3894dbd20a787f56
66735 .xword 0x1bef50fa6a9b2072
66736 .xword 0x0758910f1868349a
66737 .xword 0x7df697b450c244c3
66738 .xword 0xa3632ef9bcfb965a
66739 .xword 0xb2f7b7912ce86244
66740 .xword 0x7f7de00c7df3eb3c
66741 .xword 0xb832fc92752e218a
66742 .xword 0xa4a1b57c51336335
66743 .xword 0x361ee904d128cd6b
66744 .xword 0xdf11978479a03741
66745 .xword 0x05c20f22b52cb768
66746 .xword 0x868464faecf111d1
66747 .xword 0x913fa7e42981b638
66748 .xword 0x0ca2dcee013267ab
66749 .xword 0x658abdd193f83325
66750 .xword 0x43796c523d2e4352
66751 .xword 0xe483d85b358cc45a
66752 .xword 0x439faba39a03dc94
66753 .xword 0xfc0a8e5579dd22ec
66754 .xword 0x1dccf655eb2c5545
66755 .xword 0x55b33012616286ff
66756 .xword 0x0328173c0d502cf8
66757 .xword 0xdb86c1c7500a070e
66758 .xword 0xbd1bf1320841a7ab
66759 .xword 0x84535b2e285592c8
66760 .xword 0x6983db226a97756c
66761 .xword 0xf467d8fc8d0ab32c
66762 .xword 0xc6925c0fcee4e0dd
66763 .xword 0x10403379143ce990
66764 .xword 0xa8f4b6ed82c8021f
66765 .xword 0xef1c9cd43fdc91f0
66766 .xword 0xf1f8889b96bc8fbd
66767 .xword 0x470dc4cadb490488
66768 .xword 0xb67e494149d8aeff
66769 .xword 0x5f156cb46bfc78ad
66770 .xword 0xc9909a9127592e18
66771 .xword 0x73cfc682bcfa6003
66772 .xword 0xb403a57ae97d05f3
66773 .xword 0xeaaca67e86251d55
66774 .xword 0x657d918efee25992
66775 .xword 0x032a1e6e266a2574
66776 .xword 0xe7a35d2569bac59f
66777 .xword 0xe1f6fe14c55bd48c
66778 .xword 0x3fa70332a18343a8
66779 .xword 0x8edb4dfbab9f3fd4
66780 .xword 0xc1e3a2c4b3e47a27
66781 .xword 0xda221c20f318ccfd
66782 .xword 0xa25143f2d1eca6e7
66783 .xword 0xab7fca7ef90a4d0d
66784 .xword 0x9f54d40fb31cbd2a
66785 .xword 0x3b766e7423da93ed
66786 .xword 0x04777dfbe3478ae4
66787 .xword 0x8146c7476eabab2a
66788 .xword 0x2815ca773e91058e
66789 .xword 0x66b432f8d60af054
66790 .xword 0xa4918a216db37c92
66791 .xword 0xed92d491787ed88c
66792 .xword 0xb27fcab6d7dbe6e3
66793 .xword 0x11bc90474c820a9b
66794 .xword 0xbf0ffd183f5a2ede
66795 .xword 0x930fed7361c4cc9f
66796 .xword 0xf49301d641bf04ed
66797 .xword 0x7042702378fc928c
66798 .xword 0xfe2e8a9f732a85f1
66799 .xword 0x44a9e9b90e601435
66800 .xword 0x4dcafb6d9688da7e
66801 .xword 0x5ca918a627d7161c
66802 .xword 0xbc2977b830374cc6
66803 .xword 0x9743ba72c797218d
66804 .xword 0x6737d3a435e1c86c
66805 .xword 0x60c6440bf481cfb1
66806 .xword 0xd4c6070c1d14380b
66807 .xword 0x4e607ef40e22d044
66808 .xword 0x9928a205caf6b799
66809 .xword 0x3f11c5fbaf8e96d7
66810 .xword 0x39db529abf00b678
66811 .xword 0x1c91d7da5e4eb8c0
66812 .xword 0x12cfeedd648abe4a
66813 .xword 0xd3fab2d3554997f6
66814 .xword 0xf3717420b2fe0053
66815 .xword 0x6d597b526515ee21
66816 .xword 0x5781cffab8dbd7b2
66817 .xword 0xda946e940fa817e7
66818 .xword 0xfd6956f0262d0395
66819 .xword 0x9779361ec15c8bbd
66820 .xword 0x68c3d26f8ae32a33
66821 .xword 0x66b38719f143e7fb
66822 .xword 0x27cff49e75759730
66823 .xword 0x1889edf0331fa81d
66824 .xword 0xfd5749e2f5b1945e
66825 .xword 0x39b1b0240a6e1620
66826 .xword 0xc812ab935b8bb5fd
66827 .xword 0x477313fb78673cfa
66828 .xword 0xf163fa36c571f389
66829 .xword 0x1194e5541b0ca248
66830 .xword 0xe2e0dd4d222c24ce
66831 .xword 0x9e6e2cbe1895384d
66832 .xword 0x22b5c463443b4adb
66833 .xword 0x82987963d768e60c
66834 .xword 0xda90f7581e80fdd3
66835 .xword 0x0f116ecdc5f64d1a
66836 .xword 0xe2ad4f03a0ed3685
66837 .xword 0xc5130f257a6e7a17
66838 .xword 0x4525811a054aaa26
66839 .xword 0x2f7f03f420c4c897
66840 .xword 0x52614fdba46b5b69
66841 .xword 0x59edbff1bf7549fb
66842 .xword 0x46b78b8e3d25deb2
66843 .xword 0x409d3a4fef3726b1
66844 .xword 0x2ddb08f73bc957d9
66845 .xword 0x3d3ce9efa59136b6
66846 .xword 0xef17237d25a1f100
66847 .xword 0xad00b85ffa632ed4
66848 .xword 0x530bbcc3e7522d84
66849 .xword 0xbc29ef509d0cfa18
66850 .xword 0xd28e07271cb8e7ab
66851 .xword 0x7c66099b7ee4f5d7
66852 .xword 0x54216170247610e5
66853 .xword 0xdc0d827595096123
66854 .xword 0x4ccf7d145442fdbe
66855 .xword 0x6d1677b92cf0aeb1
66856 .xword 0x31bf905c24b9f77e
66857 .xword 0x9d1ac7b041b1caa8
66858 .xword 0x87555ce514dc3c65
66859 .xword 0xc3911a7cc3b7a827
66860 .xword 0x1bea4472c10c7bd3
66861 .xword 0x25d465e53ecccbfb
66862 .xword 0x171433fcc05b830f
66863 .xword 0x663dca451b0b0d78
66864 .xword 0xaffe4f5970482423
66865 .xword 0xae0083bc142b8ca5
66866 .xword 0x341da21bf1d13779
66867 .xword 0x9d62ae74430e9871
66868 .xword 0xbb47b4049247e821
66869 .xword 0xa83927a1a96162bd
66870 .xword 0xe508e569ed09b5b6
66871 .xword 0xdac93fb06dbafffd
66872 .xword 0xd789f708761992db
66873 .xword 0xff768e8ed943ed58
66874 .xword 0x38083969ba4b4ca6
66875 .xword 0x4a3f2937c02dc3ba
66876 .xword 0x9bf72b8deb8c46c4
66877 .xword 0xd83a635e86c9eef9
66878 .xword 0x40bd7154228fb313
66879 .xword 0xddef9d31ff67e474
66880 .xword 0x60eb52414219e2c6
66881 .xword 0x4a0fa8fa22f88224
66882 .xword 0x6a75d24c8b03c5e9
66883 .xword 0x6fabf7f6cc15e14c
66884 .xword 0xa140e693f72336e8
66885 .xword 0xf457073d1ffb047f
66886 .xword 0x6c283800b5ad7a2c
66887 .xword 0x2c0b38de512d99f2
66888 .xword 0x96316af1960131f2
66889 .xword 0x00b5042da80ee77e
66890 .xword 0x3ec2f84986860b85
66891 .xword 0x58d742093f516375
66892 .xword 0xd5faae01a6e47776
66893 .xword 0x3bf65516d708e84e
66894 .xword 0x870c5322cad22b2d
66895 .xword 0x2e74721c7aebf1b0
66896 .xword 0xf3e755683031896a
66897 .xword 0xd0cbb4dda06ca200
66898 .xword 0xb5bc2ebf39658981
66899 .xword 0xe74f4014184b157a
66900 .xword 0x3450ec852851bedf
66901 .xword 0xfb0bc7b83eec5d02
66902 .xword 0x9a9cf06c9ddb034b
66903 .xword 0x0ce9809244e7903e
66904 .xword 0xe4d6adc7078796ef
66905 .xword 0x25b1b3b47d49f9b7
66906 .xword 0x4f78d3b96a7d4508
66907 .xword 0xae2b80294f11629a
66908 .xword 0x77405f9a44acd5f5
66909 .xword 0x338b5b1e0b1c6257
66910 .xword 0x0896a19b0af53c63
66911 .xword 0x5957e8a000b29d55
66912 .xword 0x7c35e53431cd693b
66913 .xword 0x408381c668260d48
66914 .xword 0x245f7e8415f2ac47
66915 .xword 0xf57d43237ad82c73
66916 .xword 0x4ed91926a5e1aef4
66917 .xword 0x84fabf950b0a1902
66918 .xword 0x4c3479dd03f11a0f
66919 .xword 0xd9ad59348af6b81b
66920 .xword 0x46f6cbb881d7fec7
66921 .xword 0x4d168a78cb9bdcbc
66922 .xword 0x28a83f181fbbc6d5
66923_t1_crc_dest:
66924 .xword 0xDEADBEEFDEADBEEF
66925 .xword 0xDEADBEEFDEADBEEF
66926 .xword 0xDEADBEEFDEADBEEF
66927 .xword 0xDEADBEEFDEADBEEF
66928 .xword 0xDEADBEEFDEADBEEF
66929 .xword 0xDEADBEEFDEADBEEF
66930 .xword 0xDEADBEEFDEADBEEF
66931 .xword 0xDEADBEEFDEADBEEF
66932 .xword 0xDEADBEEFDEADBEEF
66933 .xword 0xDEADBEEFDEADBEEF
66934 .xword 0xDEADBEEFDEADBEEF
66935 .xword 0xDEADBEEFDEADBEEF
66936 .xword 0xDEADBEEFDEADBEEF
66937 .xword 0xDEADBEEFDEADBEEF
66938 .xword 0xDEADBEEFDEADBEEF
66939 .xword 0xDEADBEEFDEADBEEF
66940 .xword 0xDEADBEEFDEADBEEF
66941 .xword 0xDEADBEEFDEADBEEF
66942 .xword 0xDEADBEEFDEADBEEF
66943 .xword 0xDEADBEEFDEADBEEF
66944 .xword 0xDEADBEEFDEADBEEF
66945 .xword 0xDEADBEEFDEADBEEF
66946 .xword 0xDEADBEEFDEADBEEF
66947 .xword 0xDEADBEEFDEADBEEF
66948 .xword 0xDEADBEEFDEADBEEF
66949 .xword 0xDEADBEEFDEADBEEF
66950 .xword 0xDEADBEEFDEADBEEF
66951 .xword 0xDEADBEEFDEADBEEF
66952 .xword 0xDEADBEEFDEADBEEF
66953 .xword 0xDEADBEEFDEADBEEF
66954 .xword 0xDEADBEEFDEADBEEF
66955 .xword 0xDEADBEEFDEADBEEF
66956 .xword 0xDEADBEEFDEADBEEF
66957 .xword 0xDEADBEEFDEADBEEF
66958 .xword 0xDEADBEEFDEADBEEF
66959 .xword 0xDEADBEEFDEADBEEF
66960 .xword 0xDEADBEEFDEADBEEF
66961 .xword 0xDEADBEEFDEADBEEF
66962 .xword 0xDEADBEEFDEADBEEF
66963 .xword 0xDEADBEEFDEADBEEF
66964 .xword 0xDEADBEEFDEADBEEF
66965 .xword 0xDEADBEEFDEADBEEF
66966 .xword 0xDEADBEEFDEADBEEF
66967 .xword 0xDEADBEEFDEADBEEF
66968 .xword 0xDEADBEEFDEADBEEF
66969 .xword 0xDEADBEEFDEADBEEF
66970 .xword 0xDEADBEEFDEADBEEF
66971 .xword 0xDEADBEEFDEADBEEF
66972 .xword 0xDEADBEEFDEADBEEF
66973 .xword 0xDEADBEEFDEADBEEF
66974 .xword 0xDEADBEEFDEADBEEF
66975 .xword 0xDEADBEEFDEADBEEF
66976 .xword 0xDEADBEEFDEADBEEF
66977 .xword 0xDEADBEEFDEADBEEF
66978 .xword 0xDEADBEEFDEADBEEF
66979 .xword 0xDEADBEEFDEADBEEF
66980 .xword 0xDEADBEEFDEADBEEF
66981 .xword 0xDEADBEEFDEADBEEF
66982 .xword 0xDEADBEEFDEADBEEF
66983 .xword 0xDEADBEEFDEADBEEF
66984 .xword 0xDEADBEEFDEADBEEF
66985 .xword 0xDEADBEEFDEADBEEF
66986 .xword 0xDEADBEEFDEADBEEF
66987 .xword 0xDEADBEEFDEADBEEF
66988 .xword 0xDEADBEEFDEADBEEF
66989 .xword 0xDEADBEEFDEADBEEF
66990 .xword 0xDEADBEEFDEADBEEF
66991 .xword 0xDEADBEEFDEADBEEF
66992 .xword 0xDEADBEEFDEADBEEF
66993 .xword 0xDEADBEEFDEADBEEF
66994 .xword 0xDEADBEEFDEADBEEF
66995 .xword 0xDEADBEEFDEADBEEF
66996 .xword 0xDEADBEEFDEADBEEF
66997 .xword 0xDEADBEEFDEADBEEF
66998 .xword 0xDEADBEEFDEADBEEF
66999 .xword 0xDEADBEEFDEADBEEF
67000 .xword 0xDEADBEEFDEADBEEF
67001 .xword 0xDEADBEEFDEADBEEF
67002 .xword 0xDEADBEEFDEADBEEF
67003 .xword 0xDEADBEEFDEADBEEF
67004 .xword 0xDEADBEEFDEADBEEF
67005 .xword 0xDEADBEEFDEADBEEF
67006 .xword 0xDEADBEEFDEADBEEF
67007 .xword 0xDEADBEEFDEADBEEF
67008 .xword 0xDEADBEEFDEADBEEF
67009 .xword 0xDEADBEEFDEADBEEF
67010 .xword 0xDEADBEEFDEADBEEF
67011 .xword 0xDEADBEEFDEADBEEF
67012 .xword 0xDEADBEEFDEADBEEF
67013 .xword 0xDEADBEEFDEADBEEF
67014 .xword 0xDEADBEEFDEADBEEF
67015 .xword 0xDEADBEEFDEADBEEF
67016 .xword 0xDEADBEEFDEADBEEF
67017 .xword 0xDEADBEEFDEADBEEF
67018 .xword 0xDEADBEEFDEADBEEF
67019 .xword 0xDEADBEEFDEADBEEF
67020 .xword 0xDEADBEEFDEADBEEF
67021 .xword 0xDEADBEEFDEADBEEF
67022 .xword 0xDEADBEEFDEADBEEF
67023 .xword 0xDEADBEEFDEADBEEF
67024 .xword 0xDEADBEEFDEADBEEF
67025 .xword 0xDEADBEEFDEADBEEF
67026 .xword 0xDEADBEEFDEADBEEF
67027 .xword 0xDEADBEEFDEADBEEF
67028 .xword 0xDEADBEEFDEADBEEF
67029 .xword 0xDEADBEEFDEADBEEF
67030 .xword 0xDEADBEEFDEADBEEF
67031 .xword 0xDEADBEEFDEADBEEF
67032 .xword 0xDEADBEEFDEADBEEF
67033 .xword 0xDEADBEEFDEADBEEF
67034 .xword 0xDEADBEEFDEADBEEF
67035 .xword 0xDEADBEEFDEADBEEF
67036 .xword 0xDEADBEEFDEADBEEF
67037 .xword 0xDEADBEEFDEADBEEF
67038 .xword 0xDEADBEEFDEADBEEF
67039 .xword 0xDEADBEEFDEADBEEF
67040 .xword 0xDEADBEEFDEADBEEF
67041 .xword 0xDEADBEEFDEADBEEF
67042 .xword 0xDEADBEEFDEADBEEF
67043 .xword 0xDEADBEEFDEADBEEF
67044 .xword 0xDEADBEEFDEADBEEF
67045 .xword 0xDEADBEEFDEADBEEF
67046 .xword 0xDEADBEEFDEADBEEF
67047 .xword 0xDEADBEEFDEADBEEF
67048 .xword 0xDEADBEEFDEADBEEF
67049 .xword 0xDEADBEEFDEADBEEF
67050 .xword 0xDEADBEEFDEADBEEF
67051 .xword 0xDEADBEEFDEADBEEF
67052 .xword 0xDEADBEEFDEADBEEF
67053 .xword 0xDEADBEEFDEADBEEF
67054 .xword 0xDEADBEEFDEADBEEF
67055 .xword 0xDEADBEEFDEADBEEF
67056 .xword 0xDEADBEEFDEADBEEF
67057 .xword 0xDEADBEEFDEADBEEF
67058 .xword 0xDEADBEEFDEADBEEF
67059 .xword 0xDEADBEEFDEADBEEF
67060 .xword 0xDEADBEEFDEADBEEF
67061 .xword 0xDEADBEEFDEADBEEF
67062 .xword 0xDEADBEEFDEADBEEF
67063 .xword 0xDEADBEEFDEADBEEF
67064 .xword 0xDEADBEEFDEADBEEF
67065 .xword 0xDEADBEEFDEADBEEF
67066 .xword 0xDEADBEEFDEADBEEF
67067 .xword 0xDEADBEEFDEADBEEF
67068 .xword 0xDEADBEEFDEADBEEF
67069 .xword 0xDEADBEEFDEADBEEF
67070 .xword 0xDEADBEEFDEADBEEF
67071 .xword 0xDEADBEEFDEADBEEF
67072 .xword 0xDEADBEEFDEADBEEF
67073 .xword 0xDEADBEEFDEADBEEF
67074 .xword 0xDEADBEEFDEADBEEF
67075 .xword 0xDEADBEEFDEADBEEF
67076 .xword 0xDEADBEEFDEADBEEF
67077 .xword 0xDEADBEEFDEADBEEF
67078 .xword 0xDEADBEEFDEADBEEF
67079 .xword 0xDEADBEEFDEADBEEF
67080 .xword 0xDEADBEEFDEADBEEF
67081 .xword 0xDEADBEEFDEADBEEF
67082 .xword 0xDEADBEEFDEADBEEF
67083 .xword 0xDEADBEEFDEADBEEF
67084 .xword 0xDEADBEEFDEADBEEF
67085 .xword 0xDEADBEEFDEADBEEF
67086 .xword 0xDEADBEEFDEADBEEF
67087 .xword 0xDEADBEEFDEADBEEF
67088 .xword 0xDEADBEEFDEADBEEF
67089 .xword 0xDEADBEEFDEADBEEF
67090 .xword 0xDEADBEEFDEADBEEF
67091 .xword 0xDEADBEEFDEADBEEF
67092 .xword 0xDEADBEEFDEADBEEF
67093 .xword 0xDEADBEEFDEADBEEF
67094 .xword 0xDEADBEEFDEADBEEF
67095 .xword 0xDEADBEEFDEADBEEF
67096 .xword 0xDEADBEEFDEADBEEF
67097 .xword 0xDEADBEEFDEADBEEF
67098 .xword 0xDEADBEEFDEADBEEF
67099 .xword 0xDEADBEEFDEADBEEF
67100 .xword 0xDEADBEEFDEADBEEF
67101 .xword 0xDEADBEEFDEADBEEF
67102 .xword 0xDEADBEEFDEADBEEF
67103 .xword 0xDEADBEEFDEADBEEF
67104 .xword 0xDEADBEEFDEADBEEF
67105 .xword 0xDEADBEEFDEADBEEF
67106 .xword 0xDEADBEEFDEADBEEF
67107 .xword 0xDEADBEEFDEADBEEF
67108 .xword 0xDEADBEEFDEADBEEF
67109 .xword 0xDEADBEEFDEADBEEF
67110 .xword 0xDEADBEEFDEADBEEF
67111 .xword 0xDEADBEEFDEADBEEF
67112 .xword 0xDEADBEEFDEADBEEF
67113 .xword 0xDEADBEEFDEADBEEF
67114 .xword 0xDEADBEEFDEADBEEF
67115 .xword 0xDEADBEEFDEADBEEF
67116 .xword 0xDEADBEEFDEADBEEF
67117 .xword 0xDEADBEEFDEADBEEF
67118 .xword 0xDEADBEEFDEADBEEF
67119 .xword 0xDEADBEEFDEADBEEF
67120 .xword 0xDEADBEEFDEADBEEF
67121 .xword 0xDEADBEEFDEADBEEF
67122 .xword 0xDEADBEEFDEADBEEF
67123 .xword 0xDEADBEEFDEADBEEF
67124 .xword 0xDEADBEEFDEADBEEF
67125 .xword 0xDEADBEEFDEADBEEF
67126 .xword 0xDEADBEEFDEADBEEF
67127 .xword 0xDEADBEEFDEADBEEF
67128 .xword 0xDEADBEEFDEADBEEF
67129 .xword 0xDEADBEEFDEADBEEF
67130 .xword 0xDEADBEEFDEADBEEF
67131 .xword 0xDEADBEEFDEADBEEF
67132 .xword 0xDEADBEEFDEADBEEF
67133 .xword 0xDEADBEEFDEADBEEF
67134 .xword 0xDEADBEEFDEADBEEF
67135 .xword 0xDEADBEEFDEADBEEF
67136 .xword 0xDEADBEEFDEADBEEF
67137 .xword 0xDEADBEEFDEADBEEF
67138 .xword 0xDEADBEEFDEADBEEF
67139 .xword 0xDEADBEEFDEADBEEF
67140 .xword 0xDEADBEEFDEADBEEF
67141 .xword 0xDEADBEEFDEADBEEF
67142 .xword 0xDEADBEEFDEADBEEF
67143 .xword 0xDEADBEEFDEADBEEF
67144 .xword 0xDEADBEEFDEADBEEF
67145 .xword 0xDEADBEEFDEADBEEF
67146 .xword 0xDEADBEEFDEADBEEF
67147 .xword 0xDEADBEEFDEADBEEF
67148 .xword 0xDEADBEEFDEADBEEF
67149 .xword 0xDEADBEEFDEADBEEF
67150 .xword 0xDEADBEEFDEADBEEF
67151 .xword 0xDEADBEEFDEADBEEF
67152 .xword 0xDEADBEEFDEADBEEF
67153 .xword 0xDEADBEEFDEADBEEF
67154 .xword 0xDEADBEEFDEADBEEF
67155 .xword 0xDEADBEEFDEADBEEF
67156 .xword 0xDEADBEEFDEADBEEF
67157 .xword 0xDEADBEEFDEADBEEF
67158 .xword 0xDEADBEEFDEADBEEF
67159 .xword 0xDEADBEEFDEADBEEF
67160 .xword 0xDEADBEEFDEADBEEF
67161 .xword 0xDEADBEEFDEADBEEF
67162 .xword 0xDEADBEEFDEADBEEF
67163 .xword 0xDEADBEEFDEADBEEF
67164 .xword 0xDEADBEEFDEADBEEF
67165 .xword 0xDEADBEEFDEADBEEF
67166 .xword 0xDEADBEEFDEADBEEF
67167 .xword 0xDEADBEEFDEADBEEF
67168 .xword 0xDEADBEEFDEADBEEF
67169 .xword 0xDEADBEEFDEADBEEF
67170 .xword 0xDEADBEEFDEADBEEF
67171 .xword 0xDEADBEEFDEADBEEF
67172 .xword 0xDEADBEEFDEADBEEF
67173 .xword 0xDEADBEEFDEADBEEF
67174 .xword 0xDEADBEEFDEADBEEF
67175 .xword 0xDEADBEEFDEADBEEF
67176 .xword 0xDEADBEEFDEADBEEF
67177 .xword 0xDEADBEEFDEADBEEF
67178 .xword 0xDEADBEEFDEADBEEF
67179 .xword 0xDEADBEEFDEADBEEF
67180 .xword 0xDEADBEEFDEADBEEF
67181 .xword 0xDEADBEEFDEADBEEF
67182 .xword 0xDEADBEEFDEADBEEF
67183 .xword 0xDEADBEEFDEADBEEF
67184 .xword 0xDEADBEEFDEADBEEF
67185 .xword 0xDEADBEEFDEADBEEF
67186 .xword 0xDEADBEEFDEADBEEF
67187 .xword 0xDEADBEEFDEADBEEF
67188 .xword 0xDEADBEEFDEADBEEF
67189 .xword 0xDEADBEEFDEADBEEF
67190 .xword 0xDEADBEEFDEADBEEF
67191 .xword 0xDEADBEEFDEADBEEF
67192 .xword 0xDEADBEEFDEADBEEF
67193 .xword 0xDEADBEEFDEADBEEF
67194 .xword 0xDEADBEEFDEADBEEF
67195 .xword 0xDEADBEEFDEADBEEF
67196 .xword 0xDEADBEEFDEADBEEF
67197 .xword 0xDEADBEEFDEADBEEF
67198 .xword 0xDEADBEEFDEADBEEF
67199_t1_crc_auth_key:
67200 .xword 0x599ef898ba07b9ff
67201 .xword 0x926c84ae4f457253
67202 .xword 0x5effcbd39ffacb2b
67203 .xword 0x35a193894aefc6ae
67204 .xword 0x6b5798b63387259f
67205 .xword 0xe1858b3f52ea10fd
67206 .xword 0x51c22bb24ce00095
67207 .xword 0x15d1e04dbe58c443
67208 .xword 0xf281013cb2f12c1e
67209 .xword 0xa156d63157781e5f
67210 .xword 0x0e2a2be9cf3074e7
67211 .xword 0x062f1ae28af39da0
67212 .xword 0x9e268da0c8b1bf23
67213 .xword 0x80fba3263be05fa1
67214 .xword 0x8956c003de06d3ec
67215 .xword 0x114bd3ba2066959a
67216 .xword 0x13a38da8d8e15f7a
67217 .xword 0x7d9a74e299c89d70
67218 .xword 0x859853b6e0fc0b1f
67219 .xword 0x7e588f3637763564
67220 .xword 0xa5fc09a7c398982a
67221 .xword 0xd64bc062992ae148
67222 .xword 0xe56b904f67b3c325
67223_t1_crc_auth_iv:
67224 .xword 0xc0d73b73aca6d83e
67225 .xword 0x9d91aac8171414d7
67226 .xword 0xb4cf9d07243aadee
67227 .xword 0x0de9031b6bca8dda
67228 .xword 0x5fc167717de0a93c
67229 .xword 0x939bc38701bbbe7e
67230 .xword 0xb9462ea2460fcdb4
67231 .xword 0xda2d9c11cf407c46
67232 .xword 0x96d4123349dde378
67233 .xword 0x9116e08dddb6caee
67234 .xword 0xa071b06fcdf2e5b0
67235 .xword 0xfaff3e412c044c9b
67236 .xword 0xc01ad563b69dbe45
67237 .xword 0xa1f5f2e81649c47c
67238 .xword 0x9d0a01216555e2ae
67239 .xword 0xac73b2106e339b6a
67240 .xword 0x2b500bd41e1d2db8
67241 .xword 0xe45ff23dc4f73c5e
67242 .xword 0x0005bfec6efd497c
67243 .xword 0xe18abd99c1c65253
67244 .xword 0x9575442dfdb69b4f
67245 .xword 0xe27c8d10ee348717
67246 .xword 0xcc825f02e0207d8c
67247_t1_crc_fas_result:
67248 .xword 0xDEADBEEFDEADBEEF
67249 .xword 0xDEADBEEFDEADBEEF
67250 .xword 0xDEADBEEFDEADBEEF
67251 .xword 0xDEADBEEFDEADBEEF
67252 .xword 0xDEADBEEFDEADBEEF
67253 .xword 0xDEADBEEFDEADBEEF
67254 .xword 0xDEADBEEFDEADBEEF
67255 .xword 0xDEADBEEFDEADBEEF
67256 .xword 0xDEADBEEFDEADBEEF
67257 .xword 0xDEADBEEFDEADBEEF
67258 .xword 0xDEADBEEFDEADBEEF
67259 .xword 0xDEADBEEFDEADBEEF
67260 .xword 0xDEADBEEFDEADBEEF
67261 .xword 0xDEADBEEFDEADBEEF
67262 .xword 0xDEADBEEFDEADBEEF
67263 .xword 0xDEADBEEFDEADBEEF
67264 .xword 0xDEADBEEFDEADBEEF
67265 .xword 0xDEADBEEFDEADBEEF
67266 .xword 0xDEADBEEFDEADBEEF
67267 .xword 0xDEADBEEFDEADBEEF
67268 .xword 0xDEADBEEFDEADBEEF
67269 .xword 0xDEADBEEFDEADBEEF
67270 .xword 0xDEADBEEFDEADBEEF
67271_t1_hash_key_array:
67272 .xword 0xde6cbba06904ebd5
67273 .xword 0x3a0ef2e15ddd6d8e
67274 .xword 0xc73d7803ee20619d
67275 .xword 0x82eb6883875e28b0
67276 .xword 0x916615c89a56c7f3
67277 .xword 0x00ca032a32c9e000
67278 .xword 0x18344d81395fc1b5
67279 .xword 0xc4b7dbe27712f398
67280 .xword 0x30d1ae6ddee9fe69
67281 .xword 0x2906cc1b46d34928
67282 .xword 0x028a1e326e8046bc
67283 .xword 0xe2958c34ed72f2e2
67284 .xword 0xab6c6750477f06cb
67285 .xword 0x204bb959d4f13509
67286 .xword 0xa648a27235ef9c4b
67287 .xword 0xe3701af3ed2b2f6e
67288 .xword 0x587df0e2e3cc077c
67289 .xword 0xba9ed59d021a8e97
67290 .xword 0x4a36bce426249142
67291 .xword 0xb05a57b15a76d84c
67292 .xword 0x76598b4393458071
67293 .xword 0xbf11eab1de249bcc
67294 .xword 0x8d0b8ca97105162b
67295 .xword 0xc26ea6a177eba84a
67296 .xword 0xd28ed367607ed290
67297 .xword 0xa9e7c4d0d320fe4c
67298 .xword 0x5fa4a0461b996b6a
67299 .xword 0xe242befed8597873
67300 .xword 0x32c420c9275a61fb
67301 .xword 0x3610d78957ee257b
67302 .xword 0x2d732ee2e1be7409
67303 .xword 0x860dcccb0f627b44
67304 .xword 0xb1ca55f3b5ad9304
67305 .xword 0xd45564cd54aafcb0
67306 .xword 0x1f247d40c6be4c04
67307 .xword 0x9d525c9bd13c2026
67308 .xword 0xb2d07580f7c428c8
67309 .xword 0xe733f2443670cfc7
67310 .xword 0xaa7fbde285a59075
67311 .xword 0xbe332ee378ee5176
67312 .xword 0x75718dc3d527f306
67313 .xword 0x5a18193fce18ec7c
67314 .xword 0xe3f225c79bf4930a
67315 .xword 0xb9ba0df4e25935e7
67316 .xword 0xec5a227390cf64ae
67317 .xword 0xf7383b237142e2ab
67318 .xword 0xcae696548d716098
67319 .xword 0x358568b8e874943c
67320 .xword 0x2503fcb3e5c4fb8e
67321 .xword 0x9ee03fddcda35e10
67322 .xword 0xa2d67659869941ff
67323_t1_hash_iv_array:
67324 .xword 0x8ec7ef07fd3d14d7
67325 .xword 0xcd0e01d8a4e93303
67326 .xword 0x4afd1b970c2279c6
67327 .xword 0x14afb68b7a77c2d8
67328 .xword 0xfb43d2bbad3c7fa7
67329 .xword 0x57907cc3cf4201e1
67330 .xword 0x9126c7cbcf18c11e
67331 .xword 0xfcd07118c73e660e
67332 .xword 0x439c4855e28463c0
67333 .xword 0x073beb4d3266d8b0
67334 .xword 0x52c3cc80c4f8925b
67335 .xword 0xee7af5b90096d949
67336 .xword 0x60db83aaed95ec54
67337 .xword 0x1340909e4f6adb09
67338 .xword 0xcc32c1e878fcb961
67339 .xword 0x530589d7445ddedf
67340 .xword 0x6767c341051f8daf
67341 .xword 0x39fddc1c98f45556
67342 .xword 0x89182f1bd2aa9653
67343 .xword 0xf32063d6e33f97c4
67344 .xword 0xd9c04950b77713e8
67345 .xword 0xd0374a44aab775a6
67346 .xword 0x6190fdbff044d554
67347 .xword 0xb69343844aa44530
67348 .xword 0x0fbe31fd47100175
67349 .xword 0x0a92e07697e82a2c
67350 .xword 0x68d76876646ce481
67351 .xword 0x290319869bbf27cc
67352 .xword 0x51a798f773b1334e
67353 .xword 0xb02aa2b6b9a15f57
67354 .xword 0x0607590e07cc8220
67355 .xword 0x9bdcefe2872378ab
67356 .xword 0x7223e3c82a65ed15
67357 .xword 0x35573fefa810457f
67358 .xword 0xfeae564a478fa6f8
67359 .xword 0x76a70cdaa3482d4b
67360 .xword 0xf8c83ced6502f355
67361 .xword 0xf29f31de82c8697e
67362 .xword 0x54bc6418c7b48a0d
67363 .xword 0x0de6fefe9e64d005
67364 .xword 0xee318a1d43655f3f
67365 .xword 0x8bdf524120b86e82
67366 .xword 0xc8673496e882d3d7
67367 .xword 0xa7c277f49eb31da6
67368 .xword 0x218bf8d2ae201288
67369_t1_hash_alignment_array:
67370 .xword 2
67371 .xword 15
67372 .xword 0
67373 .xword 10
67374 .xword 12
67375 .xword 2
67376 .xword 0
67377 .xword 10
67378 .xword 2
67379 .xword 0
67380 .xword 15
67381 .xword 5
67382 .xword 5
67383 .xword 4
67384 .xword 5
67385 .xword 10
67386 .xword 11
67387 .xword 12
67388 .xword 8
67389 .xword 9
67390 .xword 8
67391 .xword 0
67392 .xword 7
67393 .xword 0
67394 .xword 1
67395 .xword 15
67396 .xword 13
67397 .xword 0
67398 .xword 4
67399 .xword 10
67400 .xword 10
67401 .xword 11
67402 .xword 10
67403 .xword 5
67404 .xword 4
67405 .xword 0
67406 .xword 3
67407 .xword 12
67408 .xword 11
67409 .xword 8
67410 .xword 13
67411 .xword 6
67412 .xword 3
67413 .xword 4
67414 .xword 3
67415 .xword 8
67416 .xword 8
67417 .xword 13
67418 .xword 10
67419 .xword 15
67420 .xword 7
67421 .xword 4
67422 .xword 15
67423 .xword 4
67424 .xword 4
67425 .xword 4
67426 .xword 9
67427 .xword 9
67428 .xword 12
67429 .xword 8
67430 .xword 10
67431 .xword 2
67432 .xword 7
67433 .xword 5
67434 .xword 6
67435 .xword 2
67436 .xword 9
67437 .xword 8
67438 .xword 14
67439 .xword 3
67440 .xword 5
67441 .xword 13
67442 .xword 0
67443 .xword 9
67444 .xword 12
67445 .xword 14
67446 .xword 8
67447 .xword 13
67448 .xword 5
67449 .xword 4
67450 .xword 5
67451 .xword 13
67452 .xword 5
67453 .xword 8
67454 .xword 13
67455 .xword 10
67456 .xword 11
67457 .xword 7
67458 .xword 11
67459 .xword 12
67460 .xword 14
67461 .xword 15
67462 .xword 8
67463 .xword 14
67464 .xword 5
67465 .xword 5
67466 .xword 8
67467 .xword 10
67468 .xword 5
67469 .xword 6
67470 .xword 14
67471 .xword 1
67472 .xword 8
67473 .xword 0
67474 .xword 1
67475_t1_hash_src:
67476 .xword 0x789e66e53d7ee0c6
67477 .xword 0x04c53cc699ea00c9
67478 .xword 0x8edf99e3c0086e1f
67479 .xword 0x14b9e5eaf40833f7
67480 .xword 0x94fde56dd60cdcd7
67481 .xword 0xcdb153c1d3107827
67482 .xword 0x725e081eab381df0
67483 .xword 0x4da4cef87fe62b85
67484 .xword 0xc06af8c72bb10c54
67485 .xword 0x3eb7372b0e36c991
67486 .xword 0xad84336118aca43d
67487 .xword 0x13d76a7ea58e1921
67488 .xword 0x60351ee279c41af3
67489 .xword 0x48d65e2504e053d5
67490 .xword 0x11eb4c884923b64b
67491 .xword 0xb1e26e5c3009dfa1
67492 .xword 0x67fba56dd3e87071
67493 .xword 0x9882b82ae623d0e0
67494 .xword 0x8ad6b45686ec5e40
67495 .xword 0x244b6c77a3e63ce4
67496 .xword 0x437937825886f415
67497 .xword 0x32f4adc2baf29294
67498 .xword 0x68f40ab371d628b9
67499 .xword 0x1c65db51cb6eabf9
67500 .xword 0x04588c7285f76703
67501 .xword 0xc5963dba1134cf94
67502 .xword 0x8952303e46a98c34
67503 .xword 0xbc14c7fc49b767e7
67504 .xword 0xc2dfc1ab503ef74f
67505 .xword 0xe3b461723a665184
67506 .xword 0xc529df45e94ea9cf
67507 .xword 0xd4a6a68f0450b692
67508 .xword 0xbbc814a68e00ccb0
67509 .xword 0xd8a21cce33c57464
67510 .xword 0xe1664cf3bcb97e96
67511 .xword 0x9cffccd5725880b8
67512 .xword 0x34c9713728bba2a9
67513 .xword 0x1976f52ec7748f46
67514 .xword 0xc2b9f9f34f8e82ec
67515 .xword 0x05b393b7d28508bb
67516 .xword 0x62b6642d3fea689f
67517 .xword 0x93315549f3e6d50c
67518 .xword 0xf8087d3b12d6d46f
67519 .xword 0x1f93961f935975ba
67520 .xword 0x4adcf88145977ead
67521 .xword 0x05b76805a35c065c
67522 .xword 0x35b54f3a800a4fc3
67523 .xword 0xa5e41ac50960326a
67524 .xword 0x54935708714c0fb4
67525 .xword 0x978e72e31dd4ff6c
67526 .xword 0xa635a4f4f3ea8ce4
67527 .xword 0xc03b6fdb75a94dd0
67528 .xword 0x3bc931d0b2f42367
67529 .xword 0x256ab778ae702ea5
67530 .xword 0x1e13277129191704
67531 .xword 0x8e3ec7be3f039c92
67532 .xword 0x2a3d43cc98390730
67533 .xword 0xca60f6c6b00e0d33
67534 .xword 0xcfc9fd9dc337cbf9
67535 .xword 0x6913ef3e1fd76e8a
67536 .xword 0x53b33bbae94a6b59
67537 .xword 0x38deaeec7ff198d7
67538 .xword 0x6a5e868153f97d46
67539 .xword 0x397a7ecf82a134c3
67540 .xword 0xfa8570f4a2f34f9c
67541 .xword 0x1e446707c0f33216
67542 .xword 0x7ddd188639731e62
67543 .xword 0xc8d08261168a944e
67544 .xword 0xcc77c2477ec61ed3
67545 .xword 0x4e0d6d87e01846ce
67546 .xword 0x3d8ff9f0103f50bc
67547 .xword 0x0cc401492c030fe6
67548 .xword 0x78e967c4f554e74e
67549 .xword 0x270cfd4469ec2963
67550 .xword 0x4b892ec8a4ced254
67551 .xword 0xbf0896df0bfccb8d
67552 .xword 0x0606bbb395e33aab
67553 .xword 0xbefac18e71042a24
67554 .xword 0xfbd8c1d7fefae821
67555 .xword 0xd617333a75c02f5b
67556 .xword 0xd3ef7595a4aee7e1
67557 .xword 0xc755814c490ebd8e
67558 .xword 0x178d3d4d604eedfb
67559 .xword 0xe11c4603b44039f2
67560 .xword 0xb8189fd989197fbd
67561 .xword 0xd8144c302e735ec8
67562 .xword 0x55f5eb6ca37e9b91
67563 .xword 0xd846b894e0fb4c15
67564 .xword 0x852df9dff5734587
67565 .xword 0xae4562bf23746594
67566 .xword 0xb34625887bff4648
67567 .xword 0x25c58b036606abfb
67568 .xword 0x27f4ce6466859752
67569 .xword 0x3aa6b9c6a8fb405e
67570 .xword 0x425f0c24ca669cee
67571 .xword 0xd521a8b924ea89ce
67572 .xword 0xef2baad68e5bdfa7
67573 .xword 0xbc48696a40936df7
67574 .xword 0xdeff641170416d3a
67575 .xword 0x0239d150eb581cf7
67576 .xword 0x129ef401fdcfb221
67577 .xword 0x36d0a2eac0cced61
67578 .xword 0x2a32d3504dd7ae08
67579 .xword 0xee5099d86b02979c
67580 .xword 0xcf869b9a4b99a8a9
67581 .xword 0x5fdf80f57ab2d001
67582 .xword 0xcb01991cf71d8f8d
67583 .xword 0x56cb48ce54237a34
67584 .xword 0xeb8119d78ae6de83
67585 .xword 0x2e6895f8e686ff00
67586 .xword 0x3a2a4f7fa47824f5
67587 .xword 0x006a3f682c6677b4
67588 .xword 0x34aa429021f6ae4a
67589 .xword 0xca82eae7f2cc5579
67590 .xword 0x9fd2e316da3a8e6a
67591 .xword 0x7c72be88800be638
67592 .xword 0x5281f06aa76493f8
67593 .xword 0xbb0bc0991eaa62a3
67594 .xword 0x5d94d4c2d1fd2ab5
67595 .xword 0x8779a2c082f7b236
67596 .xword 0xf53a7d37ca4ccb7f
67597 .xword 0x41114e883a9ca309
67598 .xword 0xaa7d26e38f947d6f
67599 .xword 0xb7f9cd2e949cf484
67600 .xword 0x25dbb0883b3fcf13
67601 .xword 0x09fc539098afabfb
67602 .xword 0x5f329827854cfd7e
67603 .xword 0x597e17c0bad6e7ea
67604 .xword 0xf554c343e0531be2
67605 .xword 0x128d758fe3f220c7
67606 .xword 0x6de30addf6f1e132
67607 .xword 0xf60ca4fa17a00c0d
67608 .xword 0xfe10d98702e67961
67609 .xword 0x89333624b17046da
67610 .xword 0x9d28445b1f6307ac
67611 .xword 0xd1f026a37b8938e6
67612 .xword 0x20020cfb35c6767b
67613 .xword 0xe66afce5adca3486
67614 .xword 0xc1b47127707ee0c3
67615 .xword 0x41cee4e7caed1383
67616 .xword 0x9d8a12d3ccc0a616
67617 .xword 0xfe904d274d2e5d8c
67618 .xword 0xcbf7f1a554361a4d
67619 .xword 0x7539ce0f317f8591
67620 .xword 0x25d3f66284a85794
67621 .xword 0x8c221cb53c880cc9
67622 .xword 0x6ee60236e35a4a1e
67623 .xword 0xf26e8f38da20399a
67624 .xword 0x0aefbfb3a077656f
67625 .xword 0x8f75df80b7c3f7fe
67626 .xword 0x86580a5ad136d933
67627 .xword 0x0a92a45ace770a95
67628 .xword 0x604f03d897ec97b4
67629 .xword 0x2d109a0311c297bd
67630 .xword 0xcd1d2bdccaf05eff
67631 .xword 0xa75026e79d8d6b25
67632 .xword 0xe604081a8eb9b285
67633 .xword 0x5404bada2192ba45
67634 .xword 0x7073299454518cb2
67635 .xword 0x8e1be315963c223f
67636 .xword 0x2422c6358a01f94a
67637 .xword 0x8e353da419bfdb41
67638 .xword 0x94d2fc7e7c994cae
67639 .xword 0x2eecec55c49edde8
67640 .xword 0x98550e8428ece074
67641 .xword 0xa2acc54d6899c4d1
67642 .xword 0xac3f56480aa1852c
67643 .xword 0x913988fa3358ee5b
67644 .xword 0x47c394392ecb3ae5
67645 .xword 0x0f11a6bb442146a6
67646 .xword 0x656f4b5e8a01ca93
67647 .xword 0xdbe6fec10c71c16c
67648 .xword 0x8cd656cdb5d6b99a
67649 .xword 0x27b247b3a8b1b2a7
67650 .xword 0x667949af4da413ce
67651 .xword 0xc52ef47de8ecb3b4
67652 .xword 0x6b1c2d311a13899b
67653 .xword 0x0df3050c14601671
67654 .xword 0xc426bfdfceef7454
67655 .xword 0x776b6b4145ad6c17
67656 .xword 0x48186e9f981f0c73
67657 .xword 0xe98a9c2a6e0f87af
67658 .xword 0x073684753f50ff39
67659 .xword 0xe5b747c81eb38c24
67660 .xword 0x2a6ed070c99d002e
67661 .xword 0x67b770e2f23bab47
67662 .xword 0xbea1de31dcdb4bd5
67663 .xword 0x22342c3cac77fc46
67664 .xword 0xdc19768c17588d98
67665 .xword 0xff795f95a366e2d3
67666 .xword 0xa76c78bd367dc7e3
67667 .xword 0x9f0da5edf19954f3
67668 .xword 0x0dd64e52d65fd346
67669 .xword 0xe14d12f694da72dc
67670 .xword 0x9a85ce83640c236a
67671 .xword 0x680791262ee9ead6
67672 .xword 0xbce2700d86dae560
67673 .xword 0xe3119deabc1b1d45
67674 .xword 0xd291dec39e47b6ce
67675 .xword 0xbb1ff63cf64dd966
67676 .xword 0xddacb20d647fc195
67677 .xword 0xe7efb0f44d96d7de
67678 .xword 0x5438ff05add474fa
67679 .xword 0xda2dbb748989cdbf
67680 .xword 0x3eb5b61949f03817
67681 .xword 0xb0f10de81f2c964d
67682 .xword 0x6450fa072647614b
67683 .xword 0x6606058c6502ef18
67684 .xword 0x5007a28c3cf6c9f0
67685 .xword 0x4dfeb32926169b72
67686 .xword 0x6d601162f4b9d614
67687 .xword 0xa435c07ace11c496
67688 .xword 0xd068bbf36db8004a
67689 .xword 0x75a7e965d377a592
67690 .xword 0x8ca789eaae219001
67691 .xword 0x5d04e40eb144c2a4
67692 .xword 0x32bbe39d7a34cce8
67693 .xword 0x6715e245eb769cf7
67694 .xword 0xd10d6f8861e25c8e
67695 .xword 0xa678a8f1961473e3
67696 .xword 0x793a4a31c8d16186
67697 .xword 0x1956e0c1f4133bae
67698 .xword 0x31a459292afa38ea
67699 .xword 0xe07c961cc853809c
67700 .xword 0x206cb2a53d09e297
67701 .xword 0x4cffb0ccad657331
67702 .xword 0xa336a68e1e6ac31a
67703 .xword 0x8b193480eaa5bd35
67704 .xword 0xa21ae6d377294d67
67705 .xword 0xd419f0df4478eb1f
67706 .xword 0x30a24b0d84aafb0a
67707 .xword 0xced87be15681ce8e
67708 .xword 0xfa08c45f24bb5f3d
67709 .xword 0xfc8969e60b9762ff
67710 .xword 0x855213bd882e51f1
67711 .xword 0x6698111a78dad6e5
67712 .xword 0x11a6fe03d26cfe88
67713 .xword 0x9b9c644e246a2d0e
67714 .xword 0x2aab75ec205624eb
67715 .xword 0xecefedb78261c768
67716 .xword 0xec453f8f6dc9b10e
67717 .xword 0x2d4c6caef7fc89da
67718 .xword 0xcb46a4c065763b97
67719 .xword 0x981f831d8e5c0bd9
67720 .xword 0x9bc2176dd5d50247
67721 .xword 0x2ed507964235a319
67722 .xword 0xb3a038f51ecac36d
67723 .xword 0x92eaa90e797bb0db
67724 .xword 0xcaa2ecb55b81daa0
67725 .xword 0x25ddb84651271554
67726 .xword 0x58034a4a8fe1ccc5
67727 .xword 0x34cea043121b184b
67728 .xword 0x59725ea70e680cb5
67729 .xword 0x4ea41745f42e8564
67730 .xword 0x17cff0f5c1853fd0
67731 .xword 0xa5ce95dfbad99c2c
67732 .xword 0xf547925ffed9b9ad
67733 .xword 0x935435fce687b655
67734 .xword 0x63df60dcb6b4aeae
67735 .xword 0xdb9cecfb45d61877
67736 .xword 0x410e842edbd61a16
67737 .xword 0x9017dd103a85d8cc
67738 .xword 0x9b8a5169234f4083
67739 .xword 0xdb127cb67558e605
67740 .xword 0xb409785335d6950a
67741 .xword 0x14ed93a98360f797
67742 .xword 0x53c6bb5e8b3da047
67743 .xword 0xa1225ceb22f421c6
67744 .xword 0x033aae5acea5211d
67745 .xword 0x9c52dbabda5b78d0
67746 .xword 0x4d4924ae82915c25
67747 .xword 0x9c7ea1cf63eea65a
67748 .xword 0x87accbe4d680bd1a
67749 .xword 0xf13d52d0a3291262
67750 .xword 0x6fa4da60fcf52b68
67751_t1_hash_dest:
67752 .xword 0xDEADBEEFDEADBEEF
67753 .xword 0xDEADBEEFDEADBEEF
67754 .xword 0xDEADBEEFDEADBEEF
67755 .xword 0xDEADBEEFDEADBEEF
67756 .xword 0xDEADBEEFDEADBEEF
67757 .xword 0xDEADBEEFDEADBEEF
67758 .xword 0xDEADBEEFDEADBEEF
67759 .xword 0xDEADBEEFDEADBEEF
67760 .xword 0xDEADBEEFDEADBEEF
67761 .xword 0xDEADBEEFDEADBEEF
67762 .xword 0xDEADBEEFDEADBEEF
67763 .xword 0xDEADBEEFDEADBEEF
67764 .xword 0xDEADBEEFDEADBEEF
67765 .xword 0xDEADBEEFDEADBEEF
67766 .xword 0xDEADBEEFDEADBEEF
67767 .xword 0xDEADBEEFDEADBEEF
67768 .xword 0xDEADBEEFDEADBEEF
67769 .xword 0xDEADBEEFDEADBEEF
67770 .xword 0xDEADBEEFDEADBEEF
67771 .xword 0xDEADBEEFDEADBEEF
67772 .xword 0xDEADBEEFDEADBEEF
67773 .xword 0xDEADBEEFDEADBEEF
67774 .xword 0xDEADBEEFDEADBEEF
67775 .xword 0xDEADBEEFDEADBEEF
67776 .xword 0xDEADBEEFDEADBEEF
67777 .xword 0xDEADBEEFDEADBEEF
67778 .xword 0xDEADBEEFDEADBEEF
67779 .xword 0xDEADBEEFDEADBEEF
67780 .xword 0xDEADBEEFDEADBEEF
67781 .xword 0xDEADBEEFDEADBEEF
67782 .xword 0xDEADBEEFDEADBEEF
67783 .xword 0xDEADBEEFDEADBEEF
67784 .xword 0xDEADBEEFDEADBEEF
67785 .xword 0xDEADBEEFDEADBEEF
67786 .xword 0xDEADBEEFDEADBEEF
67787 .xword 0xDEADBEEFDEADBEEF
67788 .xword 0xDEADBEEFDEADBEEF
67789 .xword 0xDEADBEEFDEADBEEF
67790 .xword 0xDEADBEEFDEADBEEF
67791 .xword 0xDEADBEEFDEADBEEF
67792 .xword 0xDEADBEEFDEADBEEF
67793 .xword 0xDEADBEEFDEADBEEF
67794 .xword 0xDEADBEEFDEADBEEF
67795 .xword 0xDEADBEEFDEADBEEF
67796 .xword 0xDEADBEEFDEADBEEF
67797 .xword 0xDEADBEEFDEADBEEF
67798 .xword 0xDEADBEEFDEADBEEF
67799 .xword 0xDEADBEEFDEADBEEF
67800 .xword 0xDEADBEEFDEADBEEF
67801 .xword 0xDEADBEEFDEADBEEF
67802 .xword 0xDEADBEEFDEADBEEF
67803 .xword 0xDEADBEEFDEADBEEF
67804 .xword 0xDEADBEEFDEADBEEF
67805 .xword 0xDEADBEEFDEADBEEF
67806 .xword 0xDEADBEEFDEADBEEF
67807 .xword 0xDEADBEEFDEADBEEF
67808 .xword 0xDEADBEEFDEADBEEF
67809 .xword 0xDEADBEEFDEADBEEF
67810 .xword 0xDEADBEEFDEADBEEF
67811 .xword 0xDEADBEEFDEADBEEF
67812 .xword 0xDEADBEEFDEADBEEF
67813 .xword 0xDEADBEEFDEADBEEF
67814 .xword 0xDEADBEEFDEADBEEF
67815 .xword 0xDEADBEEFDEADBEEF
67816 .xword 0xDEADBEEFDEADBEEF
67817 .xword 0xDEADBEEFDEADBEEF
67818 .xword 0xDEADBEEFDEADBEEF
67819 .xword 0xDEADBEEFDEADBEEF
67820 .xword 0xDEADBEEFDEADBEEF
67821 .xword 0xDEADBEEFDEADBEEF
67822 .xword 0xDEADBEEFDEADBEEF
67823 .xword 0xDEADBEEFDEADBEEF
67824 .xword 0xDEADBEEFDEADBEEF
67825 .xword 0xDEADBEEFDEADBEEF
67826 .xword 0xDEADBEEFDEADBEEF
67827 .xword 0xDEADBEEFDEADBEEF
67828 .xword 0xDEADBEEFDEADBEEF
67829 .xword 0xDEADBEEFDEADBEEF
67830 .xword 0xDEADBEEFDEADBEEF
67831 .xword 0xDEADBEEFDEADBEEF
67832 .xword 0xDEADBEEFDEADBEEF
67833 .xword 0xDEADBEEFDEADBEEF
67834 .xword 0xDEADBEEFDEADBEEF
67835 .xword 0xDEADBEEFDEADBEEF
67836 .xword 0xDEADBEEFDEADBEEF
67837 .xword 0xDEADBEEFDEADBEEF
67838 .xword 0xDEADBEEFDEADBEEF
67839 .xword 0xDEADBEEFDEADBEEF
67840 .xword 0xDEADBEEFDEADBEEF
67841 .xword 0xDEADBEEFDEADBEEF
67842 .xword 0xDEADBEEFDEADBEEF
67843 .xword 0xDEADBEEFDEADBEEF
67844 .xword 0xDEADBEEFDEADBEEF
67845 .xword 0xDEADBEEFDEADBEEF
67846 .xword 0xDEADBEEFDEADBEEF
67847 .xword 0xDEADBEEFDEADBEEF
67848 .xword 0xDEADBEEFDEADBEEF
67849 .xword 0xDEADBEEFDEADBEEF
67850 .xword 0xDEADBEEFDEADBEEF
67851 .xword 0xDEADBEEFDEADBEEF
67852 .xword 0xDEADBEEFDEADBEEF
67853 .xword 0xDEADBEEFDEADBEEF
67854 .xword 0xDEADBEEFDEADBEEF
67855 .xword 0xDEADBEEFDEADBEEF
67856 .xword 0xDEADBEEFDEADBEEF
67857 .xword 0xDEADBEEFDEADBEEF
67858 .xword 0xDEADBEEFDEADBEEF
67859 .xword 0xDEADBEEFDEADBEEF
67860 .xword 0xDEADBEEFDEADBEEF
67861 .xword 0xDEADBEEFDEADBEEF
67862 .xword 0xDEADBEEFDEADBEEF
67863 .xword 0xDEADBEEFDEADBEEF
67864 .xword 0xDEADBEEFDEADBEEF
67865 .xword 0xDEADBEEFDEADBEEF
67866 .xword 0xDEADBEEFDEADBEEF
67867 .xword 0xDEADBEEFDEADBEEF
67868 .xword 0xDEADBEEFDEADBEEF
67869 .xword 0xDEADBEEFDEADBEEF
67870 .xword 0xDEADBEEFDEADBEEF
67871 .xword 0xDEADBEEFDEADBEEF
67872 .xword 0xDEADBEEFDEADBEEF
67873 .xword 0xDEADBEEFDEADBEEF
67874 .xword 0xDEADBEEFDEADBEEF
67875 .xword 0xDEADBEEFDEADBEEF
67876 .xword 0xDEADBEEFDEADBEEF
67877 .xword 0xDEADBEEFDEADBEEF
67878 .xword 0xDEADBEEFDEADBEEF
67879 .xword 0xDEADBEEFDEADBEEF
67880 .xword 0xDEADBEEFDEADBEEF
67881 .xword 0xDEADBEEFDEADBEEF
67882 .xword 0xDEADBEEFDEADBEEF
67883 .xword 0xDEADBEEFDEADBEEF
67884 .xword 0xDEADBEEFDEADBEEF
67885 .xword 0xDEADBEEFDEADBEEF
67886 .xword 0xDEADBEEFDEADBEEF
67887 .xword 0xDEADBEEFDEADBEEF
67888 .xword 0xDEADBEEFDEADBEEF
67889 .xword 0xDEADBEEFDEADBEEF
67890 .xword 0xDEADBEEFDEADBEEF
67891 .xword 0xDEADBEEFDEADBEEF
67892 .xword 0xDEADBEEFDEADBEEF
67893 .xword 0xDEADBEEFDEADBEEF
67894 .xword 0xDEADBEEFDEADBEEF
67895 .xword 0xDEADBEEFDEADBEEF
67896 .xword 0xDEADBEEFDEADBEEF
67897 .xword 0xDEADBEEFDEADBEEF
67898 .xword 0xDEADBEEFDEADBEEF
67899 .xword 0xDEADBEEFDEADBEEF
67900 .xword 0xDEADBEEFDEADBEEF
67901 .xword 0xDEADBEEFDEADBEEF
67902 .xword 0xDEADBEEFDEADBEEF
67903 .xword 0xDEADBEEFDEADBEEF
67904 .xword 0xDEADBEEFDEADBEEF
67905 .xword 0xDEADBEEFDEADBEEF
67906 .xword 0xDEADBEEFDEADBEEF
67907 .xword 0xDEADBEEFDEADBEEF
67908 .xword 0xDEADBEEFDEADBEEF
67909 .xword 0xDEADBEEFDEADBEEF
67910 .xword 0xDEADBEEFDEADBEEF
67911 .xword 0xDEADBEEFDEADBEEF
67912 .xword 0xDEADBEEFDEADBEEF
67913 .xword 0xDEADBEEFDEADBEEF
67914 .xword 0xDEADBEEFDEADBEEF
67915 .xword 0xDEADBEEFDEADBEEF
67916 .xword 0xDEADBEEFDEADBEEF
67917 .xword 0xDEADBEEFDEADBEEF
67918 .xword 0xDEADBEEFDEADBEEF
67919 .xword 0xDEADBEEFDEADBEEF
67920 .xword 0xDEADBEEFDEADBEEF
67921 .xword 0xDEADBEEFDEADBEEF
67922 .xword 0xDEADBEEFDEADBEEF
67923 .xword 0xDEADBEEFDEADBEEF
67924 .xword 0xDEADBEEFDEADBEEF
67925 .xword 0xDEADBEEFDEADBEEF
67926 .xword 0xDEADBEEFDEADBEEF
67927 .xword 0xDEADBEEFDEADBEEF
67928 .xword 0xDEADBEEFDEADBEEF
67929 .xword 0xDEADBEEFDEADBEEF
67930 .xword 0xDEADBEEFDEADBEEF
67931 .xword 0xDEADBEEFDEADBEEF
67932 .xword 0xDEADBEEFDEADBEEF
67933 .xword 0xDEADBEEFDEADBEEF
67934 .xword 0xDEADBEEFDEADBEEF
67935 .xword 0xDEADBEEFDEADBEEF
67936 .xword 0xDEADBEEFDEADBEEF
67937 .xword 0xDEADBEEFDEADBEEF
67938 .xword 0xDEADBEEFDEADBEEF
67939 .xword 0xDEADBEEFDEADBEEF
67940 .xword 0xDEADBEEFDEADBEEF
67941 .xword 0xDEADBEEFDEADBEEF
67942 .xword 0xDEADBEEFDEADBEEF
67943 .xword 0xDEADBEEFDEADBEEF
67944 .xword 0xDEADBEEFDEADBEEF
67945 .xword 0xDEADBEEFDEADBEEF
67946 .xword 0xDEADBEEFDEADBEEF
67947 .xword 0xDEADBEEFDEADBEEF
67948 .xword 0xDEADBEEFDEADBEEF
67949 .xword 0xDEADBEEFDEADBEEF
67950 .xword 0xDEADBEEFDEADBEEF
67951 .xword 0xDEADBEEFDEADBEEF
67952 .xword 0xDEADBEEFDEADBEEF
67953 .xword 0xDEADBEEFDEADBEEF
67954 .xword 0xDEADBEEFDEADBEEF
67955 .xword 0xDEADBEEFDEADBEEF
67956 .xword 0xDEADBEEFDEADBEEF
67957 .xword 0xDEADBEEFDEADBEEF
67958 .xword 0xDEADBEEFDEADBEEF
67959 .xword 0xDEADBEEFDEADBEEF
67960 .xword 0xDEADBEEFDEADBEEF
67961 .xword 0xDEADBEEFDEADBEEF
67962 .xword 0xDEADBEEFDEADBEEF
67963 .xword 0xDEADBEEFDEADBEEF
67964 .xword 0xDEADBEEFDEADBEEF
67965 .xword 0xDEADBEEFDEADBEEF
67966 .xword 0xDEADBEEFDEADBEEF
67967 .xword 0xDEADBEEFDEADBEEF
67968 .xword 0xDEADBEEFDEADBEEF
67969 .xword 0xDEADBEEFDEADBEEF
67970 .xword 0xDEADBEEFDEADBEEF
67971 .xword 0xDEADBEEFDEADBEEF
67972 .xword 0xDEADBEEFDEADBEEF
67973 .xword 0xDEADBEEFDEADBEEF
67974 .xword 0xDEADBEEFDEADBEEF
67975 .xword 0xDEADBEEFDEADBEEF
67976 .xword 0xDEADBEEFDEADBEEF
67977 .xword 0xDEADBEEFDEADBEEF
67978 .xword 0xDEADBEEFDEADBEEF
67979 .xword 0xDEADBEEFDEADBEEF
67980 .xword 0xDEADBEEFDEADBEEF
67981 .xword 0xDEADBEEFDEADBEEF
67982 .xword 0xDEADBEEFDEADBEEF
67983 .xword 0xDEADBEEFDEADBEEF
67984 .xword 0xDEADBEEFDEADBEEF
67985 .xword 0xDEADBEEFDEADBEEF
67986 .xword 0xDEADBEEFDEADBEEF
67987 .xword 0xDEADBEEFDEADBEEF
67988 .xword 0xDEADBEEFDEADBEEF
67989 .xword 0xDEADBEEFDEADBEEF
67990 .xword 0xDEADBEEFDEADBEEF
67991 .xword 0xDEADBEEFDEADBEEF
67992 .xword 0xDEADBEEFDEADBEEF
67993 .xword 0xDEADBEEFDEADBEEF
67994 .xword 0xDEADBEEFDEADBEEF
67995 .xword 0xDEADBEEFDEADBEEF
67996 .xword 0xDEADBEEFDEADBEEF
67997 .xword 0xDEADBEEFDEADBEEF
67998 .xword 0xDEADBEEFDEADBEEF
67999 .xword 0xDEADBEEFDEADBEEF
68000 .xword 0xDEADBEEFDEADBEEF
68001 .xword 0xDEADBEEFDEADBEEF
68002 .xword 0xDEADBEEFDEADBEEF
68003 .xword 0xDEADBEEFDEADBEEF
68004 .xword 0xDEADBEEFDEADBEEF
68005 .xword 0xDEADBEEFDEADBEEF
68006 .xword 0xDEADBEEFDEADBEEF
68007 .xword 0xDEADBEEFDEADBEEF
68008 .xword 0xDEADBEEFDEADBEEF
68009 .xword 0xDEADBEEFDEADBEEF
68010 .xword 0xDEADBEEFDEADBEEF
68011 .xword 0xDEADBEEFDEADBEEF
68012 .xword 0xDEADBEEFDEADBEEF
68013 .xword 0xDEADBEEFDEADBEEF
68014 .xword 0xDEADBEEFDEADBEEF
68015 .xword 0xDEADBEEFDEADBEEF
68016 .xword 0xDEADBEEFDEADBEEF
68017 .xword 0xDEADBEEFDEADBEEF
68018 .xword 0xDEADBEEFDEADBEEF
68019 .xword 0xDEADBEEFDEADBEEF
68020 .xword 0xDEADBEEFDEADBEEF
68021 .xword 0xDEADBEEFDEADBEEF
68022 .xword 0xDEADBEEFDEADBEEF
68023 .xword 0xDEADBEEFDEADBEEF
68024 .xword 0xDEADBEEFDEADBEEF
68025 .xword 0xDEADBEEFDEADBEEF
68026 .xword 0xDEADBEEFDEADBEEF
68027_t1_hash_auth_key:
68028 .xword 0xbc0e2f49f9af0180
68029 .xword 0xda4b6360a8665cb5
68030 .xword 0xb9b8e5b18e2136c7
68031 .xword 0xe03fc11930306b10
68032 .xword 0xffeebe9c3c6e8532
68033 .xword 0x88a394c7b712feb6
68034 .xword 0xef412293c8ca3666
68035 .xword 0xec5c82f76983f5be
68036 .xword 0x8e8aedc35894f1ca
68037 .xword 0x5be90b1e7c5464fe
68038 .xword 0xa51a4c8a4205566c
68039 .xword 0x428e00df20705cf9
68040 .xword 0xada1edaf8220baf0
68041 .xword 0x342d41caa8332e9a
68042 .xword 0xfee47d19d319f9e7
68043 .xword 0xe88e835ea7ac9bd5
68044 .xword 0x231cd4c31d6306d7
68045 .xword 0x6851ef058394ac99
68046 .xword 0x2a4d865a2aeaee3d
68047 .xword 0x7e2386102cce1dd6
68048 .xword 0xeda9ffd9764e8d81
68049 .xword 0x2296f3ba5241396f
68050 .xword 0x7a348b8a74a43c9d
68051_t1_hash_auth_iv:
68052 .xword 0x87fab23120b796db
68053 .xword 0xb9b4d9585cf0239f
68054 .xword 0xac9ac8034b708efa
68055 .xword 0x388afb7abefa6358
68056 .xword 0xd1314f7e104a9d1f
68057 .xword 0xb24dd675be602a9a
68058 .xword 0x033d57732215e4b3
68059 .xword 0xfb58fbb79eedc3f0
68060 .xword 0x6f45e4ad29273544
68061 .xword 0x7b3dfeb1cebf7e5e
68062 .xword 0x62badc9463e7c17e
68063 .xword 0x858f7b50befca0bd
68064 .xword 0xa7ed2cb6ff9886f7
68065 .xword 0xd96b386e7f4dbfd8
68066 .xword 0xf3d31a003f0019f7
68067 .xword 0xe53fa604d10f8fe3
68068 .xword 0x9fb8c2b528ba32e6
68069 .xword 0xee70f3bb38b9c609
68070 .xword 0xa34cf0327036bae2
68071 .xword 0x032fdffeeeb7119c
68072 .xword 0xdf204b377b857733
68073 .xword 0x05d41e2d08b64d7e
68074 .xword 0x58d35fdd68c26b02
68075_t1_hash_fas_result:
68076 .xword 0xDEADBEEFDEADBEEF
68077 .xword 0xDEADBEEFDEADBEEF
68078 .xword 0xDEADBEEFDEADBEEF
68079 .xword 0xDEADBEEFDEADBEEF
68080 .xword 0xDEADBEEFDEADBEEF
68081 .xword 0xDEADBEEFDEADBEEF
68082 .xword 0xDEADBEEFDEADBEEF
68083 .xword 0xDEADBEEFDEADBEEF
68084 .xword 0xDEADBEEFDEADBEEF
68085 .xword 0xDEADBEEFDEADBEEF
68086 .xword 0xDEADBEEFDEADBEEF
68087 .xword 0xDEADBEEFDEADBEEF
68088 .xword 0xDEADBEEFDEADBEEF
68089 .xword 0xDEADBEEFDEADBEEF
68090 .xword 0xDEADBEEFDEADBEEF
68091 .xword 0xDEADBEEFDEADBEEF
68092 .xword 0xDEADBEEFDEADBEEF
68093 .xword 0xDEADBEEFDEADBEEF
68094 .xword 0xDEADBEEFDEADBEEF
68095 .xword 0xDEADBEEFDEADBEEF
68096 .xword 0xDEADBEEFDEADBEEF
68097 .xword 0xDEADBEEFDEADBEEF
68098 .xword 0xDEADBEEFDEADBEEF
68099_t1_hmac_key_array:
68100 .xword 0x76ae3f13c8e00696
68101 .xword 0xe44c98be846d5a95
68102 .xword 0x2e8a4aba9436cb6a
68103 .xword 0x6a9e76fef66b9b6f
68104 .xword 0x5b6d904b90377b5f
68105 .xword 0xd4f2b0ad93dbff4a
68106 .xword 0xeb3f3acecb66542d
68107 .xword 0xa6daf626892bf8a7
68108 .xword 0x5033933429a0d16c
68109 .xword 0x852d213f9a3d77a2
68110 .xword 0xd7c7a77ac8ea14f5
68111 .xword 0xf554fbe969904370
68112 .xword 0x964e188f192cdf2e
68113 .xword 0x0da612755a79e464
68114 .xword 0x4dcdde570d057263
68115 .xword 0x8b0ae25afd2d99c2
68116 .xword 0xe7351a34780b7998
68117 .xword 0x30eb5ee4a033eff8
68118 .xword 0xfb344d65e553cd49
68119 .xword 0xff943a3fc8f6881f
68120 .xword 0xfc2429cc1429e321
68121 .xword 0xe296f25e3ef71f18
68122 .xword 0xd5398e3706225883
68123 .xword 0x3f9c60567f151504
68124 .xword 0xdf9ede125dcdde14
68125 .xword 0xd7b6d74bf6eeca98
68126 .xword 0x020bb7f6b2ab8c9c
68127 .xword 0x7e1e4167c47a3f8e
68128 .xword 0xf1dae53424f1904f
68129 .xword 0x44f387800f7ce485
68130 .xword 0x305c53d27462b73c
68131 .xword 0x19c8b348c3b48d5a
68132 .xword 0xa90d66399f07d8f4
68133 .xword 0x10356a7532ea8f66
68134 .xword 0x88a73e4060ecfe47
68135 .xword 0xcff71ab69c8d4d0c
68136 .xword 0x11d8eca559181a4a
68137 .xword 0x4e78023602b5c885
68138 .xword 0x44252a62fa3b93ce
68139 .xword 0x23d31bf87b740b92
68140 .xword 0x9ff2acfed7a0762b
68141 .xword 0xbad5a9f8b38c1b81
68142 .xword 0x6c2ae39889bb8da0
68143 .xword 0xf2804d2a5aa61743
68144 .xword 0xf1ff5547feeccdd6
68145 .xword 0x9640e7b47bec527c
68146 .xword 0x9bd69debd5042a0f
68147 .xword 0x2cd61a2c7320bdaf
68148 .xword 0x6b598c0f633243bb
68149 .xword 0x8d3529253b70d32b
68150 .xword 0x2d4529ad09ab9a2f
68151_t1_hmac_iv_array:
68152 .xword 0x3bcbb2a3dcaec488
68153 .xword 0xf7203b8e220a194b
68154 .xword 0x2f69e2a7133ed58d
68155 .xword 0xa4dab8da14f4d1c7
68156 .xword 0xeec84aff3d32b292
68157 .xword 0x471c4a3f14715138
68158 .xword 0x8a2c6b4fdee6367e
68159 .xword 0x4ef33ecf86ed8b26
68160 .xword 0x4aa87862eafab41e
68161 .xword 0x2660f41fb27546ea
68162 .xword 0xdc9c01b9b0ccd8e7
68163 .xword 0x598a5e94154d4e3d
68164 .xword 0x481169cc653b94f2
68165 .xword 0x8dd4dd833b66f5ab
68166 .xword 0x5e8181cf7e172ec9
68167 .xword 0xd21ba27ab0a9abd4
68168 .xword 0x8f1f688315dd1306
68169 .xword 0x5b34bf326389bc23
68170 .xword 0xdc9cbb90ad066d26
68171 .xword 0x437a7d3d71451ae8
68172 .xword 0xea77e05ff381c20e
68173 .xword 0x2e2aba1f5af11eb1
68174 .xword 0x061acee57b0376bf
68175 .xword 0x7669cda4d8e2bb6d
68176 .xword 0x790d3ad68d8dd706
68177 .xword 0x9ca97601d12c5edd
68178 .xword 0x9064a7a92d1e1d36
68179 .xword 0xdf5abdf2e1b8bcf9
68180 .xword 0xb647b905d3dfbe1a
68181 .xword 0xe5ba354922479a1a
68182 .xword 0xa2cfd67bdf959eed
68183 .xword 0x47bc0be4158136af
68184 .xword 0x968ba8a404226e8b
68185 .xword 0x09e2a5d4cb846453
68186 .xword 0x6debf738bd16147a
68187 .xword 0xde4e2b2feaf32e45
68188 .xword 0x30f24ca4c146c3bc
68189 .xword 0x7f3ba836769dff18
68190 .xword 0x3be89207825a2120
68191 .xword 0xd749331764fa78df
68192 .xword 0x31185273a8ed1cd2
68193 .xword 0xfc53a484987df0fc
68194 .xword 0x5a6184c88354655b
68195 .xword 0xc266aba851394fe5
68196 .xword 0xb483306dea10f402
68197_t1_hmac_alignment_array:
68198 .xword 4
68199 .xword 3
68200 .xword 1
68201 .xword 1
68202 .xword 7
68203 .xword 8
68204 .xword 15
68205 .xword 15
68206 .xword 4
68207 .xword 12
68208 .xword 3
68209 .xword 3
68210 .xword 2
68211 .xword 4
68212 .xword 0
68213 .xword 5
68214 .xword 11
68215 .xword 3
68216 .xword 2
68217 .xword 12
68218 .xword 11
68219 .xword 6
68220 .xword 0
68221 .xword 8
68222 .xword 4
68223 .xword 9
68224 .xword 10
68225 .xword 4
68226 .xword 11
68227 .xword 6
68228 .xword 13
68229 .xword 0
68230 .xword 4
68231 .xword 10
68232 .xword 3
68233 .xword 10
68234 .xword 5
68235 .xword 12
68236 .xword 8
68237 .xword 12
68238 .xword 15
68239 .xword 12
68240 .xword 15
68241 .xword 14
68242 .xword 7
68243 .xword 12
68244 .xword 6
68245 .xword 4
68246 .xword 13
68247 .xword 10
68248 .xword 13
68249 .xword 2
68250 .xword 15
68251 .xword 2
68252 .xword 8
68253 .xword 15
68254 .xword 0
68255 .xword 4
68256 .xword 0
68257 .xword 10
68258 .xword 2
68259 .xword 4
68260 .xword 13
68261 .xword 7
68262 .xword 5
68263 .xword 15
68264 .xword 14
68265 .xword 0
68266 .xword 3
68267 .xword 0
68268 .xword 12
68269 .xword 15
68270 .xword 14
68271 .xword 1
68272 .xword 4
68273 .xword 9
68274 .xword 8
68275 .xword 2
68276 .xword 13
68277 .xword 12
68278 .xword 9
68279 .xword 6
68280 .xword 1
68281 .xword 5
68282 .xword 9
68283 .xword 13
68284 .xword 8
68285 .xword 6
68286 .xword 8
68287 .xword 3
68288 .xword 11
68289 .xword 6
68290 .xword 2
68291 .xword 8
68292 .xword 8
68293 .xword 6
68294 .xword 10
68295 .xword 10
68296 .xword 8
68297 .xword 15
68298 .xword 11
68299 .xword 7
68300 .xword 14
68301 .xword 14
68302 .xword 10
68303_t1_hmac_src:
68304 .xword 0xd8e784a93b58cdec
68305 .xword 0xf2e1011fb25de6d2
68306 .xword 0x72c9bf93e24bb0ab
68307 .xword 0x31db70e921cfc0ef
68308 .xword 0x609fb5ee01c65951
68309 .xword 0x06e45b49bb9ecdd8
68310 .xword 0xa18c93209d91f50d
68311 .xword 0xfa743f9c41dbb988
68312 .xword 0x28cb3c9003c31b7d
68313 .xword 0x468a8f27a29eb0f2
68314 .xword 0x54643a8415e184a3
68315 .xword 0x6291629774546a6a
68316 .xword 0x7fcdee4f5e2d8849
68317 .xword 0x2855142ed1bdb14a
68318 .xword 0xadb39903fa1fecd8
68319 .xword 0xe36c7a63df2c35ab
68320 .xword 0x1880f67fccafd6df
68321 .xword 0x610d17710903a1eb
68322 .xword 0x263ad1d990f81aae
68323 .xword 0x3fb75e98405d0b8e
68324 .xword 0x720e4c505c165d4e
68325 .xword 0x7bfd6e0e3ab3c75e
68326 .xword 0x0407237db7059287
68327 .xword 0xff0306639892994c
68328 .xword 0x5d2fecb58df94155
68329 .xword 0x04b925067564fdcd
68330 .xword 0x6b70d89d27de0d16
68331 .xword 0xeafc5e60978bfe8e
68332 .xword 0xbf3c2891a86ba24e
68333 .xword 0x4022f3ee78ad8767
68334 .xword 0x9a79a2cb2ab77613
68335 .xword 0x5b5110510c63a016
68336 .xword 0x0dd4e2ce0049786b
68337 .xword 0x6043d481b1265798
68338 .xword 0xa15f5f6f2c05ca16
68339 .xword 0x11e8dd86750dda40
68340 .xword 0xc99831b6f6ace353
68341 .xword 0x9a0a320209d9510f
68342 .xword 0x155ac2b79116ba8a
68343 .xword 0x1f2276546ab1691e
68344 .xword 0xa14083e378d3f17d
68345 .xword 0xd0634d147d31e5f2
68346 .xword 0xfd098f4feb0dc335
68347 .xword 0x87fe36a73c943e62
68348 .xword 0x49b2055a5c150b12
68349 .xword 0xee409c5a8ea14e25
68350 .xword 0x8c725ef33decbd7a
68351 .xword 0x53eb9bac25726da2
68352 .xword 0x8c4a1892449454ca
68353 .xword 0x7e81314ee1dbdcc3
68354 .xword 0xad5276e7b65c51d3
68355 .xword 0xfae932139f61f38e
68356 .xword 0x271e4d6a24cd034b
68357 .xword 0x4d2b0817a4e55cd3
68358 .xword 0xba680222c9159654
68359 .xword 0xd43c7c756ac90e92
68360 .xword 0x9c9305928e3f7d43
68361 .xword 0xcbcceb9c8707202c
68362 .xword 0x999709f25d91d3b7
68363 .xword 0x00f8daa17d942b2e
68364 .xword 0x01aca00a78865d59
68365 .xword 0x19edc48835640ab6
68366 .xword 0xf385a7bb6696cca4
68367 .xword 0x8822a261e7437bde
68368 .xword 0xec4fdb0a7d3e0be9
68369 .xword 0xcce4d23bf3748b8e
68370 .xword 0x46985690c1f36d5c
68371 .xword 0x435eb0a0a4604476
68372 .xword 0xc162d5e97d9463d1
68373 .xword 0xf3033f62e8bc0cf9
68374 .xword 0xc5b467b7b1751afe
68375 .xword 0x981a9c5fdb1f0fd6
68376 .xword 0xc04e30537e24ab1e
68377 .xword 0x5e24747d38d3b514
68378 .xword 0xec8df8283daf535b
68379 .xword 0x7c267fc98a168326
68380 .xword 0xf59b97922b28f24a
68381 .xword 0x9b54df47551138f1
68382 .xword 0xe781b8d74c46814e
68383 .xword 0xf937b822cdcd6e24
68384 .xword 0xc2e972e9e7dba98e
68385 .xword 0x71ccd12c318f8a34
68386 .xword 0x9e6ca0658ce7c7a5
68387 .xword 0x75cdbaf7f7794fcc
68388 .xword 0xf35a06244dfdee73
68389 .xword 0xbbea4f64e169fb63
68390 .xword 0x9461b05c624c2112
68391 .xword 0x6957bac4d018c8d9
68392 .xword 0x25652a587a5df8d7
68393 .xword 0x27009917c045c498
68394 .xword 0x4667063b9dee4672
68395 .xword 0xe0feca4e28676d5e
68396 .xword 0x6c392dfece4c1f4d
68397 .xword 0xa6758832c60eb504
68398 .xword 0x96fb509db4db0d3f
68399 .xword 0xfb59fa015566d288
68400 .xword 0xe08f27f938089b33
68401 .xword 0x5750d2ba63ef5b4b
68402 .xword 0xd348dfcf79108913
68403 .xword 0xad49d87f939a326c
68404 .xword 0x90ce06716f1eb0d6
68405 .xword 0x4d7ebbf10a9c3874
68406 .xword 0xf3412b60d2db5198
68407 .xword 0x403316fbfc9b3d6c
68408 .xword 0x717f620a92cf0ad6
68409 .xword 0xab34d6b11ba516d0
68410 .xword 0xf3e2b2225e76707c
68411 .xword 0x2df1f460428f2dfe
68412 .xword 0x214bb679c46e10c8
68413 .xword 0x8402c80b44ebca84
68414 .xword 0x98edd3c2d4da9096
68415 .xword 0xf9d94202f5fa5840
68416 .xword 0x25a14b472581b423
68417 .xword 0x9dc9a6444ce4907e
68418 .xword 0xbde188ea4abc39c5
68419 .xword 0x4e9933fd02d14f62
68420 .xword 0xbb30bae2342ac10f
68421 .xword 0xfa99b53927c97fa8
68422 .xword 0xd18b8ea5ea40079a
68423 .xword 0x8e9ab4868afe63aa
68424 .xword 0xf1218d632d4c5c28
68425 .xword 0xb3f60106cfa84066
68426 .xword 0xd661a01a5c7dfa5e
68427 .xword 0x9e2cc81bb27a97da
68428 .xword 0xe1e281add01c2502
68429 .xword 0x2b8dbe2d3cbe40dc
68430 .xword 0x14b34a53197d2633
68431 .xword 0xd2071db5149635cf
68432 .xword 0xe54202399cbd5dff
68433 .xword 0x88480f5cac1abb81
68434 .xword 0xbd72b36c4ef970e2
68435 .xword 0xbaff6c6203d0b3c7
68436 .xword 0x24717cbf23568076
68437 .xword 0x37b97a5874e616b9
68438 .xword 0x219c48de3cd660fc
68439 .xword 0x37ede9bec71f1be0
68440 .xword 0x76fee4de1c47dc6c
68441 .xword 0xd28e6efece4ce284
68442 .xword 0x29f59016a6e7512d
68443 .xword 0x44beaf16fe69a4a0
68444 .xword 0xb9349135c29fba76
68445 .xword 0xcc31a566930d0c8c
68446 .xword 0x5c71839a13ecba37
68447 .xword 0x79fe18275892a521
68448 .xword 0xae66e055b7298925
68449 .xword 0x8b70d62a257fc7dd
68450 .xword 0xc2a8c9b3da28e45a
68451 .xword 0xdd06540538bd1761
68452 .xword 0x87a75389a733857d
68453 .xword 0xbb2fe5e4c9db68de
68454 .xword 0xd4e1e0f7d99f397f
68455 .xword 0xe74f7ed3c0e8917b
68456 .xword 0xc058deadd905879d
68457 .xword 0xf5e80004d80a0ed7
68458 .xword 0xadc25b1ee6ffa4ab
68459 .xword 0xad543189f6bd8ca2
68460 .xword 0x03b74ca304574425
68461 .xword 0x98f3bca726e15217
68462 .xword 0xb09889775ae9a01b
68463 .xword 0x0ed0b981f5ac5189
68464 .xword 0x98817964a212cb03
68465 .xword 0x39501ffd1c3c0762
68466 .xword 0x13184d18324f195a
68467 .xword 0x94529abe18c416d4
68468 .xword 0xd0f02783e8c99d8e
68469 .xword 0xb15e244194a639ad
68470 .xword 0x3e3e03dd5dd06f77
68471 .xword 0x1035deb3687a462c
68472 .xword 0xa28552024e6f96dc
68473 .xword 0xefff9980b0088eb1
68474 .xword 0x011863089c2fe514
68475 .xword 0xa51fdeca242fe012
68476 .xword 0x884bf1e0bcfc7a76
68477 .xword 0x27a0b20cd2d75747
68478 .xword 0x250228acabd07e63
68479 .xword 0x3e00b9dcad8bb246
68480 .xword 0x0ddb77bd3d731777
68481 .xword 0x6e2971543b5c29ab
68482 .xword 0x083daf9978bd5de7
68483 .xword 0x803cfe80c4d38f86
68484 .xword 0x3b9a27b893fcf9f9
68485 .xword 0x474899b0574b1cb1
68486 .xword 0x21e192fda2b31a1b
68487 .xword 0x247653fdd1fe191f
68488 .xword 0x0c8354f3f100cb74
68489 .xword 0x5953658d7383abc1
68490 .xword 0xbe51009cf611153c
68491 .xword 0xa475a3f291ba10f5
68492 .xword 0x5facf17a8519d0b7
68493 .xword 0xe0b4b2891141f548
68494 .xword 0x95c321961b8eb2ee
68495 .xword 0x383267b83ac594b7
68496 .xword 0xabcbad6ed469eb09
68497 .xword 0xa5ea0fe290e41f39
68498 .xword 0x7ab82123f07bb4de
68499 .xword 0x22d9af266b072a84
68500 .xword 0xff218abe3664304f
68501 .xword 0x15382503f487c2f9
68502 .xword 0xfb3844b9319d421e
68503 .xword 0xddc15da4d393bf53
68504 .xword 0x7dc7f8ec06fd7edb
68505 .xword 0x4bdd1abdf88373ef
68506 .xword 0xd9a8539061616052
68507 .xword 0x2cf335da036f1318
68508 .xword 0x1f1f90e5e8f1f413
68509 .xword 0xf072bd846b66048c
68510 .xword 0x9d259d2451f4b741
68511 .xword 0x592d74ec7e35e898
68512 .xword 0xd8b1525ddfb5374e
68513 .xword 0x9d742df6fbca98ef
68514 .xword 0xa1da7aaa909c0531
68515 .xword 0x78e8201a12849067
68516 .xword 0xb83691e0af988779
68517 .xword 0xd3f92cfffe1b3d63
68518 .xword 0x4f906f4d62ebe4c6
68519 .xword 0x02c4e7bd99c35777
68520 .xword 0x61dd5e20fce651ad
68521 .xword 0x48f54318041534df
68522 .xword 0x8ccfdc9beb566e6d
68523 .xword 0x44f5e44734bf825d
68524 .xword 0xa465329757ecc67a
68525 .xword 0x9607959858dbd06b
68526 .xword 0xc75deaa1d24d74e0
68527 .xword 0xda2b36f41bf02d2a
68528 .xword 0xdc76c11f192c59da
68529 .xword 0x769ade1b42900f6d
68530 .xword 0xa44ac80b96d7da44
68531 .xword 0x83e0483532e5be94
68532 .xword 0x2ac4ae8861c8130d
68533 .xword 0xa8958a8923caa30e
68534 .xword 0xe589ff1c63b8d6c0
68535 .xword 0x24c16ac019386db8
68536 .xword 0x0bebde1ffbd15aed
68537 .xword 0xc3563e30c272acd4
68538 .xword 0x3a317754a1806c50
68539 .xword 0xfe4d328eb675accc
68540 .xword 0xef80094dcdbc1092
68541 .xword 0x73d654773739a9c8
68542 .xword 0x28fb8c289c0ad3c8
68543 .xword 0x998b73c9c2a5c4d4
68544 .xword 0x1274f614f7778639
68545 .xword 0xd21c94e27c82ae23
68546 .xword 0x0228288bc723a3c0
68547 .xword 0x5b031cfae2a062c3
68548 .xword 0x3c37d9366445642a
68549 .xword 0xdde840358e32e233
68550 .xword 0xb1f0658d18b83141
68551 .xword 0x4584f8682954dd5b
68552 .xword 0x5ea8104e454c14aa
68553 .xword 0x2200bbade8f74376
68554 .xword 0xfa19eb6a6e511928
68555 .xword 0xea071f8f6e88255d
68556 .xword 0x532ea72bc2d5c8b3
68557 .xword 0x2ea80e1918cc7cfc
68558 .xword 0x3b7b34563f5c64c5
68559 .xword 0x0387b1aca0672928
68560 .xword 0xdfc791c03117922b
68561 .xword 0x07ac22db25be62d3
68562 .xword 0x7d8e02f6613c4116
68563 .xword 0xe8ac3da99e4aa45e
68564 .xword 0xf86850127d0289ce
68565 .xword 0xd1914130b4d0b2b3
68566 .xword 0xa73022757bae92df
68567 .xword 0x4c4970d05942f672
68568 .xword 0x8ba54d7efc95d50c
68569 .xword 0xac6303b6c5d2c587
68570 .xword 0xff127d60f79879e0
68571 .xword 0x7cc87a9881cbf857
68572 .xword 0x10b6a782ce6d897c
68573 .xword 0x1aa0b2d6f90bad13
68574 .xword 0x8a0f75caa3bc04d0
68575 .xword 0x67e05c73d4d9e35a
68576 .xword 0x219dc32b63f6a1aa
68577 .xword 0xbc41ffa1d3532125
68578 .xword 0x74f6b5d41bcc7da7
68579_t1_hmac_dest:
68580 .xword 0xDEADBEEFDEADBEEF
68581 .xword 0xDEADBEEFDEADBEEF
68582 .xword 0xDEADBEEFDEADBEEF
68583 .xword 0xDEADBEEFDEADBEEF
68584 .xword 0xDEADBEEFDEADBEEF
68585 .xword 0xDEADBEEFDEADBEEF
68586 .xword 0xDEADBEEFDEADBEEF
68587 .xword 0xDEADBEEFDEADBEEF
68588 .xword 0xDEADBEEFDEADBEEF
68589 .xword 0xDEADBEEFDEADBEEF
68590 .xword 0xDEADBEEFDEADBEEF
68591 .xword 0xDEADBEEFDEADBEEF
68592 .xword 0xDEADBEEFDEADBEEF
68593 .xword 0xDEADBEEFDEADBEEF
68594 .xword 0xDEADBEEFDEADBEEF
68595 .xword 0xDEADBEEFDEADBEEF
68596 .xword 0xDEADBEEFDEADBEEF
68597 .xword 0xDEADBEEFDEADBEEF
68598 .xword 0xDEADBEEFDEADBEEF
68599 .xword 0xDEADBEEFDEADBEEF
68600 .xword 0xDEADBEEFDEADBEEF
68601 .xword 0xDEADBEEFDEADBEEF
68602 .xword 0xDEADBEEFDEADBEEF
68603 .xword 0xDEADBEEFDEADBEEF
68604 .xword 0xDEADBEEFDEADBEEF
68605 .xword 0xDEADBEEFDEADBEEF
68606 .xword 0xDEADBEEFDEADBEEF
68607 .xword 0xDEADBEEFDEADBEEF
68608 .xword 0xDEADBEEFDEADBEEF
68609 .xword 0xDEADBEEFDEADBEEF
68610 .xword 0xDEADBEEFDEADBEEF
68611 .xword 0xDEADBEEFDEADBEEF
68612 .xword 0xDEADBEEFDEADBEEF
68613 .xword 0xDEADBEEFDEADBEEF
68614 .xword 0xDEADBEEFDEADBEEF
68615 .xword 0xDEADBEEFDEADBEEF
68616 .xword 0xDEADBEEFDEADBEEF
68617 .xword 0xDEADBEEFDEADBEEF
68618 .xword 0xDEADBEEFDEADBEEF
68619 .xword 0xDEADBEEFDEADBEEF
68620 .xword 0xDEADBEEFDEADBEEF
68621 .xword 0xDEADBEEFDEADBEEF
68622 .xword 0xDEADBEEFDEADBEEF
68623 .xword 0xDEADBEEFDEADBEEF
68624 .xword 0xDEADBEEFDEADBEEF
68625 .xword 0xDEADBEEFDEADBEEF
68626 .xword 0xDEADBEEFDEADBEEF
68627 .xword 0xDEADBEEFDEADBEEF
68628 .xword 0xDEADBEEFDEADBEEF
68629 .xword 0xDEADBEEFDEADBEEF
68630 .xword 0xDEADBEEFDEADBEEF
68631 .xword 0xDEADBEEFDEADBEEF
68632 .xword 0xDEADBEEFDEADBEEF
68633 .xword 0xDEADBEEFDEADBEEF
68634 .xword 0xDEADBEEFDEADBEEF
68635 .xword 0xDEADBEEFDEADBEEF
68636 .xword 0xDEADBEEFDEADBEEF
68637 .xword 0xDEADBEEFDEADBEEF
68638 .xword 0xDEADBEEFDEADBEEF
68639 .xword 0xDEADBEEFDEADBEEF
68640 .xword 0xDEADBEEFDEADBEEF
68641 .xword 0xDEADBEEFDEADBEEF
68642 .xword 0xDEADBEEFDEADBEEF
68643 .xword 0xDEADBEEFDEADBEEF
68644 .xword 0xDEADBEEFDEADBEEF
68645 .xword 0xDEADBEEFDEADBEEF
68646 .xword 0xDEADBEEFDEADBEEF
68647 .xword 0xDEADBEEFDEADBEEF
68648 .xword 0xDEADBEEFDEADBEEF
68649 .xword 0xDEADBEEFDEADBEEF
68650 .xword 0xDEADBEEFDEADBEEF
68651 .xword 0xDEADBEEFDEADBEEF
68652 .xword 0xDEADBEEFDEADBEEF
68653 .xword 0xDEADBEEFDEADBEEF
68654 .xword 0xDEADBEEFDEADBEEF
68655 .xword 0xDEADBEEFDEADBEEF
68656 .xword 0xDEADBEEFDEADBEEF
68657 .xword 0xDEADBEEFDEADBEEF
68658 .xword 0xDEADBEEFDEADBEEF
68659 .xword 0xDEADBEEFDEADBEEF
68660 .xword 0xDEADBEEFDEADBEEF
68661 .xword 0xDEADBEEFDEADBEEF
68662 .xword 0xDEADBEEFDEADBEEF
68663 .xword 0xDEADBEEFDEADBEEF
68664 .xword 0xDEADBEEFDEADBEEF
68665 .xword 0xDEADBEEFDEADBEEF
68666 .xword 0xDEADBEEFDEADBEEF
68667 .xword 0xDEADBEEFDEADBEEF
68668 .xword 0xDEADBEEFDEADBEEF
68669 .xword 0xDEADBEEFDEADBEEF
68670 .xword 0xDEADBEEFDEADBEEF
68671 .xword 0xDEADBEEFDEADBEEF
68672 .xword 0xDEADBEEFDEADBEEF
68673 .xword 0xDEADBEEFDEADBEEF
68674 .xword 0xDEADBEEFDEADBEEF
68675 .xword 0xDEADBEEFDEADBEEF
68676 .xword 0xDEADBEEFDEADBEEF
68677 .xword 0xDEADBEEFDEADBEEF
68678 .xword 0xDEADBEEFDEADBEEF
68679 .xword 0xDEADBEEFDEADBEEF
68680 .xword 0xDEADBEEFDEADBEEF
68681 .xword 0xDEADBEEFDEADBEEF
68682 .xword 0xDEADBEEFDEADBEEF
68683 .xword 0xDEADBEEFDEADBEEF
68684 .xword 0xDEADBEEFDEADBEEF
68685 .xword 0xDEADBEEFDEADBEEF
68686 .xword 0xDEADBEEFDEADBEEF
68687 .xword 0xDEADBEEFDEADBEEF
68688 .xword 0xDEADBEEFDEADBEEF
68689 .xword 0xDEADBEEFDEADBEEF
68690 .xword 0xDEADBEEFDEADBEEF
68691 .xword 0xDEADBEEFDEADBEEF
68692 .xword 0xDEADBEEFDEADBEEF
68693 .xword 0xDEADBEEFDEADBEEF
68694 .xword 0xDEADBEEFDEADBEEF
68695 .xword 0xDEADBEEFDEADBEEF
68696 .xword 0xDEADBEEFDEADBEEF
68697 .xword 0xDEADBEEFDEADBEEF
68698 .xword 0xDEADBEEFDEADBEEF
68699 .xword 0xDEADBEEFDEADBEEF
68700 .xword 0xDEADBEEFDEADBEEF
68701 .xword 0xDEADBEEFDEADBEEF
68702 .xword 0xDEADBEEFDEADBEEF
68703 .xword 0xDEADBEEFDEADBEEF
68704 .xword 0xDEADBEEFDEADBEEF
68705 .xword 0xDEADBEEFDEADBEEF
68706 .xword 0xDEADBEEFDEADBEEF
68707 .xword 0xDEADBEEFDEADBEEF
68708 .xword 0xDEADBEEFDEADBEEF
68709 .xword 0xDEADBEEFDEADBEEF
68710 .xword 0xDEADBEEFDEADBEEF
68711 .xword 0xDEADBEEFDEADBEEF
68712 .xword 0xDEADBEEFDEADBEEF
68713 .xword 0xDEADBEEFDEADBEEF
68714 .xword 0xDEADBEEFDEADBEEF
68715 .xword 0xDEADBEEFDEADBEEF
68716 .xword 0xDEADBEEFDEADBEEF
68717 .xword 0xDEADBEEFDEADBEEF
68718 .xword 0xDEADBEEFDEADBEEF
68719 .xword 0xDEADBEEFDEADBEEF
68720 .xword 0xDEADBEEFDEADBEEF
68721 .xword 0xDEADBEEFDEADBEEF
68722 .xword 0xDEADBEEFDEADBEEF
68723 .xword 0xDEADBEEFDEADBEEF
68724 .xword 0xDEADBEEFDEADBEEF
68725 .xword 0xDEADBEEFDEADBEEF
68726 .xword 0xDEADBEEFDEADBEEF
68727 .xword 0xDEADBEEFDEADBEEF
68728 .xword 0xDEADBEEFDEADBEEF
68729 .xword 0xDEADBEEFDEADBEEF
68730 .xword 0xDEADBEEFDEADBEEF
68731 .xword 0xDEADBEEFDEADBEEF
68732 .xword 0xDEADBEEFDEADBEEF
68733 .xword 0xDEADBEEFDEADBEEF
68734 .xword 0xDEADBEEFDEADBEEF
68735 .xword 0xDEADBEEFDEADBEEF
68736 .xword 0xDEADBEEFDEADBEEF
68737 .xword 0xDEADBEEFDEADBEEF
68738 .xword 0xDEADBEEFDEADBEEF
68739 .xword 0xDEADBEEFDEADBEEF
68740 .xword 0xDEADBEEFDEADBEEF
68741 .xword 0xDEADBEEFDEADBEEF
68742 .xword 0xDEADBEEFDEADBEEF
68743 .xword 0xDEADBEEFDEADBEEF
68744 .xword 0xDEADBEEFDEADBEEF
68745 .xword 0xDEADBEEFDEADBEEF
68746 .xword 0xDEADBEEFDEADBEEF
68747 .xword 0xDEADBEEFDEADBEEF
68748 .xword 0xDEADBEEFDEADBEEF
68749 .xword 0xDEADBEEFDEADBEEF
68750 .xword 0xDEADBEEFDEADBEEF
68751 .xword 0xDEADBEEFDEADBEEF
68752 .xword 0xDEADBEEFDEADBEEF
68753 .xword 0xDEADBEEFDEADBEEF
68754 .xword 0xDEADBEEFDEADBEEF
68755 .xword 0xDEADBEEFDEADBEEF
68756 .xword 0xDEADBEEFDEADBEEF
68757 .xword 0xDEADBEEFDEADBEEF
68758 .xword 0xDEADBEEFDEADBEEF
68759 .xword 0xDEADBEEFDEADBEEF
68760 .xword 0xDEADBEEFDEADBEEF
68761 .xword 0xDEADBEEFDEADBEEF
68762 .xword 0xDEADBEEFDEADBEEF
68763 .xword 0xDEADBEEFDEADBEEF
68764 .xword 0xDEADBEEFDEADBEEF
68765 .xword 0xDEADBEEFDEADBEEF
68766 .xword 0xDEADBEEFDEADBEEF
68767 .xword 0xDEADBEEFDEADBEEF
68768 .xword 0xDEADBEEFDEADBEEF
68769 .xword 0xDEADBEEFDEADBEEF
68770 .xword 0xDEADBEEFDEADBEEF
68771 .xword 0xDEADBEEFDEADBEEF
68772 .xword 0xDEADBEEFDEADBEEF
68773 .xword 0xDEADBEEFDEADBEEF
68774 .xword 0xDEADBEEFDEADBEEF
68775 .xword 0xDEADBEEFDEADBEEF
68776 .xword 0xDEADBEEFDEADBEEF
68777 .xword 0xDEADBEEFDEADBEEF
68778 .xword 0xDEADBEEFDEADBEEF
68779 .xword 0xDEADBEEFDEADBEEF
68780 .xword 0xDEADBEEFDEADBEEF
68781 .xword 0xDEADBEEFDEADBEEF
68782 .xword 0xDEADBEEFDEADBEEF
68783 .xword 0xDEADBEEFDEADBEEF
68784 .xword 0xDEADBEEFDEADBEEF
68785 .xword 0xDEADBEEFDEADBEEF
68786 .xword 0xDEADBEEFDEADBEEF
68787 .xword 0xDEADBEEFDEADBEEF
68788 .xword 0xDEADBEEFDEADBEEF
68789 .xword 0xDEADBEEFDEADBEEF
68790 .xword 0xDEADBEEFDEADBEEF
68791 .xword 0xDEADBEEFDEADBEEF
68792 .xword 0xDEADBEEFDEADBEEF
68793 .xword 0xDEADBEEFDEADBEEF
68794 .xword 0xDEADBEEFDEADBEEF
68795 .xword 0xDEADBEEFDEADBEEF
68796 .xword 0xDEADBEEFDEADBEEF
68797 .xword 0xDEADBEEFDEADBEEF
68798 .xword 0xDEADBEEFDEADBEEF
68799 .xword 0xDEADBEEFDEADBEEF
68800 .xword 0xDEADBEEFDEADBEEF
68801 .xword 0xDEADBEEFDEADBEEF
68802 .xword 0xDEADBEEFDEADBEEF
68803 .xword 0xDEADBEEFDEADBEEF
68804 .xword 0xDEADBEEFDEADBEEF
68805 .xword 0xDEADBEEFDEADBEEF
68806 .xword 0xDEADBEEFDEADBEEF
68807 .xword 0xDEADBEEFDEADBEEF
68808 .xword 0xDEADBEEFDEADBEEF
68809 .xword 0xDEADBEEFDEADBEEF
68810 .xword 0xDEADBEEFDEADBEEF
68811 .xword 0xDEADBEEFDEADBEEF
68812 .xword 0xDEADBEEFDEADBEEF
68813 .xword 0xDEADBEEFDEADBEEF
68814 .xword 0xDEADBEEFDEADBEEF
68815 .xword 0xDEADBEEFDEADBEEF
68816 .xword 0xDEADBEEFDEADBEEF
68817 .xword 0xDEADBEEFDEADBEEF
68818 .xword 0xDEADBEEFDEADBEEF
68819 .xword 0xDEADBEEFDEADBEEF
68820 .xword 0xDEADBEEFDEADBEEF
68821 .xword 0xDEADBEEFDEADBEEF
68822 .xword 0xDEADBEEFDEADBEEF
68823 .xword 0xDEADBEEFDEADBEEF
68824 .xword 0xDEADBEEFDEADBEEF
68825 .xword 0xDEADBEEFDEADBEEF
68826 .xword 0xDEADBEEFDEADBEEF
68827 .xword 0xDEADBEEFDEADBEEF
68828 .xword 0xDEADBEEFDEADBEEF
68829 .xword 0xDEADBEEFDEADBEEF
68830 .xword 0xDEADBEEFDEADBEEF
68831 .xword 0xDEADBEEFDEADBEEF
68832 .xword 0xDEADBEEFDEADBEEF
68833 .xword 0xDEADBEEFDEADBEEF
68834 .xword 0xDEADBEEFDEADBEEF
68835 .xword 0xDEADBEEFDEADBEEF
68836 .xword 0xDEADBEEFDEADBEEF
68837 .xword 0xDEADBEEFDEADBEEF
68838 .xword 0xDEADBEEFDEADBEEF
68839 .xword 0xDEADBEEFDEADBEEF
68840 .xword 0xDEADBEEFDEADBEEF
68841 .xword 0xDEADBEEFDEADBEEF
68842 .xword 0xDEADBEEFDEADBEEF
68843 .xword 0xDEADBEEFDEADBEEF
68844 .xword 0xDEADBEEFDEADBEEF
68845 .xword 0xDEADBEEFDEADBEEF
68846 .xword 0xDEADBEEFDEADBEEF
68847 .xword 0xDEADBEEFDEADBEEF
68848 .xword 0xDEADBEEFDEADBEEF
68849 .xword 0xDEADBEEFDEADBEEF
68850 .xword 0xDEADBEEFDEADBEEF
68851 .xword 0xDEADBEEFDEADBEEF
68852 .xword 0xDEADBEEFDEADBEEF
68853 .xword 0xDEADBEEFDEADBEEF
68854 .xword 0xDEADBEEFDEADBEEF
68855_t1_hmac_auth_key:
68856 .xword 0xa3f0cc7c6a22e8e0
68857 .xword 0x721070ba4a9bd5d3
68858 .xword 0x6137ae204519feab
68859 .xword 0x0e2c3d59acacbf9b
68860 .xword 0x0076eb16b98c2312
68861 .xword 0x4aa6830d7713902e
68862 .xword 0x8eada86e068fdb68
68863 .xword 0xa522fd254eb9c0ec
68864 .xword 0x00feef3bea0c4933
68865 .xword 0x47234d2bc8b3c199
68866 .xword 0x56f2bd72c30cd69c
68867 .xword 0xaa427769ddac4068
68868 .xword 0xa0b2b2c82100bc5e
68869 .xword 0x7b4eb339916b5da3
68870 .xword 0xd5b6142a94c753b3
68871 .xword 0xfa1d15b5476d206f
68872 .xword 0x96b43c6aa210f8fc
68873 .xword 0xb72bfcd8bbf81a5a
68874 .xword 0x5385ace7e2c9fb60
68875 .xword 0x365205aced1b0278
68876 .xword 0xa47318883e75a3b7
68877 .xword 0x9365c1311347bc60
68878 .xword 0x5c76fe0d5afb7da9
68879_t1_hmac_auth_iv:
68880 .xword 0x36321d3b48a5176d
68881 .xword 0xaa02c33587caaf19
68882 .xword 0x41e2b94fbd73efad
68883 .xword 0x325650dff3f16bcd
68884 .xword 0x17224a7d06d481bb
68885 .xword 0xd95d669bf1e14a51
68886 .xword 0x276ff135dc205aae
68887 .xword 0x21916e40bf5034c0
68888 .xword 0x8dda067ac10fd228
68889 .xword 0x3b9a9b9ce282b7c6
68890 .xword 0xa4e07ce915ca7d50
68891 .xword 0xebc57a59ae882cbe
68892 .xword 0x1ba36c8675c31dd1
68893 .xword 0x43f8000a6d5393a8
68894 .xword 0x2ed753735f45b028
68895 .xword 0x4660c48b9b0cdcfb
68896 .xword 0xfa1e74b42e3a23f5
68897 .xword 0x84753e8e2ac2ada5
68898 .xword 0x8a3b080ac1c527d4
68899 .xword 0xd4a7d13c38788036
68900 .xword 0xc1d698adf867f7d4
68901 .xword 0x8917d278fa5315a1
68902 .xword 0x95fff6252892904f
68903_t1_hmac_fas_result:
68904 .xword 0xDEADBEEFDEADBEEF
68905 .xword 0xDEADBEEFDEADBEEF
68906 .xword 0xDEADBEEFDEADBEEF
68907 .xword 0xDEADBEEFDEADBEEF
68908 .xword 0xDEADBEEFDEADBEEF
68909 .xword 0xDEADBEEFDEADBEEF
68910 .xword 0xDEADBEEFDEADBEEF
68911 .xword 0xDEADBEEFDEADBEEF
68912 .xword 0xDEADBEEFDEADBEEF
68913 .xword 0xDEADBEEFDEADBEEF
68914 .xword 0xDEADBEEFDEADBEEF
68915 .xword 0xDEADBEEFDEADBEEF
68916 .xword 0xDEADBEEFDEADBEEF
68917 .xword 0xDEADBEEFDEADBEEF
68918 .xword 0xDEADBEEFDEADBEEF
68919 .xword 0xDEADBEEFDEADBEEF
68920 .xword 0xDEADBEEFDEADBEEF
68921 .xword 0xDEADBEEFDEADBEEF
68922 .xword 0xDEADBEEFDEADBEEF
68923 .xword 0xDEADBEEFDEADBEEF
68924 .xword 0xDEADBEEFDEADBEEF
68925 .xword 0xDEADBEEFDEADBEEF
68926 .xword 0xDEADBEEFDEADBEEF
68927_t1_rc4_key_array:
68928 .xword 0x1131f5903aacbdc6
68929 .xword 0x6233b79f2096f052
68930 .xword 0x6dcccb5f4bdf1e22
68931 .xword 0x88f2608740a69c44
68932 .xword 0x42d0131b357acc41
68933 .xword 0x653345f5f85b346e
68934 .xword 0x8a7259a902b826aa
68935 .xword 0x3c3fa074870d471a
68936 .xword 0xacaedc6bb408aaf9
68937 .xword 0x09d73ace1969f512
68938 .xword 0x08f10fafa5d95207
68939 .xword 0x469f33422fcc3fe3
68940 .xword 0xaf88ee2ee1e93b81
68941 .xword 0x431415e394456107
68942 .xword 0xfa6648e97060e952
68943 .xword 0x357a12b81e402091
68944 .xword 0xb614fb81e73fe015
68945 .xword 0x83589d051ff2e868
68946 .xword 0xa2defdccf66ad331
68947 .xword 0xaff48d4534520707
68948 .xword 0xa1846c1b11ae07b5
68949 .xword 0x9c4104b23fb3e089
68950 .xword 0x2a976e533f6e06bc
68951 .xword 0x1576fa0d36960135
68952 .xword 0xfd48a14873ad3725
68953 .xword 0xd2ff548397310728
68954 .xword 0x1b835191b7bb876a
68955 .xword 0xa0a0951e2a62d8c5
68956 .xword 0xdd868777f705eca0
68957 .xword 0x69beda14a98c69a5
68958 .xword 0xd2452b722de624d1
68959 .xword 0x84462dd3170f7e32
68960 .xword 0xbffbd29b443d0f1c
68961 .xword 0xb12330d9f0c54cc6
68962 .xword 0xf60e389c2c8d651c
68963 .xword 0x039b825d32c0e36d
68964 .xword 0x0e5f8941a057b4c9
68965 .xword 0xbd67eade18bb4962
68966 .xword 0xb8407d40ef8cd497
68967 .xword 0xeabbb1bcfb38fbeb
68968 .xword 0x42312ab0a4f3190c
68969 .xword 0x9c74db942baec30b
68970 .xword 0x88f8faaf9e086a03
68971 .xword 0x08434038e20bb6e3
68972 .xword 0xf7ccaf6658ad9b9d
68973 .xword 0x9e8e104e5f3e6228
68974 .xword 0x1cacd9a2b59dd295
68975 .xword 0x0228042dc4a59dae
68976 .xword 0xde511879bfdc2b4f
68977 .xword 0xe20b0e510988fc9a
68978 .xword 0x01a1683985197ca7
68979_t1_rc4_iv_array:
68980 .xword 0x0c36056287f9a5fd
68981 .xword 0xc61375d132eae69a
68982 .xword 0xd390f82890f9aa7c
68983 .xword 0xf62191fa777f7e70
68984 .xword 0xa1b55bff15ab2d9e
68985 .xword 0xf5b937c6ea1167ed
68986 .xword 0xe6b1a8249bfd303a
68987 .xword 0x1a58e07359f1617f
68988 .xword 0x6e562cd7bfd021fc
68989 .xword 0xa7dfff9a44c44a53
68990 .xword 0xfa052628593f16db
68991 .xword 0x697bc5e3bfe10392
68992 .xword 0x613611f3719fce82
68993 .xword 0xbbbcb204398f56ed
68994 .xword 0xdd2a33466d3a6a7d
68995 .xword 0xba7b80f20ac1d3d7
68996 .xword 0xb6c8a5482448855b
68997 .xword 0x73eccffb95369d53
68998 .xword 0xe5868581a00e9600
68999 .xword 0x32bfe50a3cc62283
69000 .xword 0x837001e3aaeda439
69001 .xword 0x1396ec54c64983b1
69002 .xword 0x5b596747bdc5f4cc
69003 .xword 0xed6a0ee286af71a3
69004 .xword 0x4a0a2c514f2fd36e
69005 .xword 0xe1afe2c3825b9019
69006 .xword 0x9b7f70a79ad86d67
69007 .xword 0x00d6c06395f74caf
69008 .xword 0x536b8637ef12bb1b
69009 .xword 0x07029d5fe7878ee3
69010 .xword 0xf16b170b80e865d9
69011 .xword 0x0a3558a27f4b610c
69012 .xword 0xc6dfe94c11aa9061
69013 .xword 0xefc537e6857e6176
69014 .xword 0xdaa95e9ebecb8a8b
69015 .xword 0xc34816f14603200c
69016 .xword 0x8aa9f00875c500e8
69017 .xword 0xcbcc1366de253808
69018 .xword 0xeeafc3384c1e524a
69019 .xword 0xe6a0c08ab3a78f26
69020 .xword 0x92cc182c8ee902c5
69021 .xword 0x348c3bda19fa2b2a
69022 .xword 0x35b22b2fef497583
69023 .xword 0xcac29798fc20eb35
69024 .xword 0xc8e0b0ba2a1d7829
69025_t1_rc4_alignment_array:
69026 .xword 12
69027 .xword 0
69028 .xword 0
69029 .xword 12
69030 .xword 7
69031 .xword 13
69032 .xword 3
69033 .xword 4
69034 .xword 13
69035 .xword 15
69036 .xword 12
69037 .xword 10
69038 .xword 7
69039 .xword 4
69040 .xword 2
69041 .xword 13
69042 .xword 1
69043 .xword 2
69044 .xword 13
69045 .xword 4
69046 .xword 12
69047 .xword 11
69048 .xword 14
69049 .xword 4
69050 .xword 7
69051 .xword 8
69052 .xword 3
69053 .xword 2
69054 .xword 10
69055 .xword 14
69056 .xword 8
69057 .xword 7
69058 .xword 14
69059 .xword 6
69060 .xword 2
69061 .xword 0
69062 .xword 6
69063 .xword 5
69064 .xword 5
69065 .xword 5
69066 .xword 8
69067 .xword 15
69068 .xword 2
69069 .xword 3
69070 .xword 14
69071 .xword 9
69072 .xword 11
69073 .xword 3
69074 .xword 2
69075 .xword 7
69076 .xword 13
69077 .xword 6
69078 .xword 3
69079 .xword 8
69080 .xword 7
69081 .xword 6
69082 .xword 1
69083 .xword 12
69084 .xword 2
69085 .xword 2
69086 .xword 5
69087 .xword 11
69088 .xword 7
69089 .xword 6
69090 .xword 6
69091 .xword 1
69092 .xword 3
69093 .xword 4
69094 .xword 0
69095 .xword 4
69096 .xword 13
69097 .xword 2
69098 .xword 15
69099 .xword 7
69100 .xword 7
69101 .xword 12
69102 .xword 12
69103 .xword 6
69104 .xword 1
69105 .xword 12
69106 .xword 12
69107 .xword 6
69108 .xword 3
69109 .xword 6
69110 .xword 0
69111 .xword 0
69112 .xword 1
69113 .xword 1
69114 .xword 8
69115 .xword 14
69116 .xword 0
69117 .xword 9
69118 .xword 2
69119 .xword 2
69120 .xword 11
69121 .xword 12
69122 .xword 11
69123 .xword 6
69124 .xword 10
69125 .xword 10
69126 .xword 1
69127 .xword 14
69128 .xword 3
69129 .xword 4
69130 .xword 4
69131_t1_rc4_src:
69132 .xword 0x75f668ed6539a64b
69133 .xword 0x4cd56343ab7edb1f
69134 .xword 0x4e6fb260385c4e11
69135 .xword 0xd1bdbc221091414f
69136 .xword 0xc9cdb78e090b7b25
69137 .xword 0x69ea58344394022e
69138 .xword 0x6b22b602746bd452
69139 .xword 0xe25dc30b2f318c99
69140 .xword 0x5a8e39c5f4e41563
69141 .xword 0xa4925d0d6a032eb5
69142 .xword 0xdaca3688749eb94f
69143 .xword 0xeb6e0764e6c2e03b
69144 .xword 0x4fb79c5a5ba1dc09
69145 .xword 0xa846cad12239d7f1
69146 .xword 0xeb96dc0a0a7d5f06
69147 .xword 0x98723b48f7fc9239
69148 .xword 0xf92a0f46b2618d7a
69149 .xword 0xfb6a82faab3f10dd
69150 .xword 0x38224be6aa575112
69151 .xword 0xda61cb486c0c1810
69152 .xword 0x6e4f06623ac192f3
69153 .xword 0x4cf110430aa27e9a
69154 .xword 0x7345748370b1acce
69155 .xword 0x282e73c213ef21d5
69156 .xword 0xca6776f50478aac9
69157 .xword 0x8f21fc6b932e1209
69158 .xword 0x1a6178a4395a4ebd
69159 .xword 0xa08971835acf42ee
69160 .xword 0x6a3172a542504570
69161 .xword 0xbce46ce1bf1984b0
69162 .xword 0xd132f9dfa7224e66
69163 .xword 0x66aa4912c8cbe436
69164 .xword 0x94b273068afdfd7f
69165 .xword 0x222d7cf5ec07f275
69166 .xword 0x71cbee2e913b6ac1
69167 .xword 0x0177d96a7259cccd
69168 .xword 0x4fba8ce4639d1bb3
69169 .xword 0xa9f02fc42d111d91
69170 .xword 0x3065fb69cef3315a
69171 .xword 0xde95630959abd86f
69172 .xword 0x20d9ce02258feb99
69173 .xword 0x2a4526328f9ded85
69174 .xword 0x109026c8b90965a2
69175 .xword 0xf0d865e99ceacade
69176 .xword 0x37e69763bd36dbc4
69177 .xword 0x67c4bb89ae0a3c08
69178 .xword 0x774978aab6ac933b
69179 .xword 0x75ef2fa39ac6cddf
69180 .xword 0xad49a09d6c50252c
69181 .xword 0xc3ae50e55f9c3d60
69182 .xword 0x0caaff502012b24e
69183 .xword 0x386403dd0b84d38a
69184 .xword 0xa64ad1ad8b6339a8
69185 .xword 0x42bc0969f0eaa543
69186 .xword 0xeef485f31bec2811
69187 .xword 0x038fab2128d3c89e
69188 .xword 0xbebe1633e4fa8047
69189 .xword 0x045966966c02d6a6
69190 .xword 0xfaf11ca6d00b4ea3
69191 .xword 0x23045162bb482136
69192 .xword 0xbfa71c8c5ce5073a
69193 .xword 0x5eda9c1324a7d6a3
69194 .xword 0x16ab021791f8d44d
69195 .xword 0xa1a633064f44d6c4
69196 .xword 0x6700441c6d71ad4f
69197 .xword 0x2c80162c4ddbf63e
69198 .xword 0x067ed0bba794b4f1
69199 .xword 0x2ba6751b70b5dfdb
69200 .xword 0xdb657637f822a257
69201 .xword 0xa189273a445988de
69202 .xword 0x9e64b0dc4f86523e
69203 .xword 0xf7099a03dd6f057a
69204 .xword 0xaa4d255ce7fbc6c9
69205 .xword 0x8c53789d1b44fbee
69206 .xword 0x855ec796c9a3d64e
69207 .xword 0x99e3af85ddd10fa9
69208 .xword 0x05da370d50f3f374
69209 .xword 0x2a8e0edca24c47b6
69210 .xword 0x7af01f41e525db37
69211 .xword 0x7dd9c9376ccc1d33
69212 .xword 0xe2e33ea94740bc9e
69213 .xword 0x7cc408b547bbfd32
69214 .xword 0xd2c864c65cb52d58
69215 .xword 0xa464bc4e82830bd9
69216 .xword 0xf356b3a334bd199a
69217 .xword 0x8b4b09da1637b1a8
69218 .xword 0xa785f164ce543154
69219 .xword 0x2bbfc7d5c51600a3
69220 .xword 0xadd42ad5f41fd8d0
69221 .xword 0xc736e6c6432efcd4
69222 .xword 0xb995013e4843bc55
69223 .xword 0xd62b6520632b6ceb
69224 .xword 0x8151f276096c20b9
69225 .xword 0xb76e8fa48f46f74c
69226 .xword 0x60b6a429f58dc1a8
69227 .xword 0xcfeb4f7cea7216aa
69228 .xword 0x09a16aab62f4871c
69229 .xword 0xc417ec1188896754
69230 .xword 0x58c328205bd6badb
69231 .xword 0x0e1c8e938fc140c8
69232 .xword 0xcd85bafe222581a1
69233 .xword 0x9d8bf5c7aace69f5
69234 .xword 0x9e641a49824f435b
69235 .xword 0x9a8986bd0ed32d75
69236 .xword 0xdacc3f3910fff9fb
69237 .xword 0x8324ce06fbcbf3e7
69238 .xword 0xe612db00a31b5697
69239 .xword 0x4f156f5c846b5aaf
69240 .xword 0x1b53ede504d90ad7
69241 .xword 0x484770acd13a24f2
69242 .xword 0x84bb1f537f5a2b6c
69243 .xword 0x7b50545019d2733a
69244 .xword 0xc8e213c2a05eb84c
69245 .xword 0x0a3a3a7cef2de676
69246 .xword 0x82a201a18ebe8da3
69247 .xword 0x26c538476f66e1d5
69248 .xword 0x56ad29ca1ff16b7a
69249 .xword 0xfdffb04c96358d55
69250 .xword 0xc45466059a8c9e3d
69251 .xword 0x0e4b5dbf0abfd547
69252 .xword 0x05890a9b6b5ad9e5
69253 .xword 0xf40b81d34283b5a3
69254 .xword 0xbac2f3139c8762af
69255 .xword 0x204e600433a55482
69256 .xword 0xa8de16c5e6e24c53
69257 .xword 0x4646154a15b39181
69258 .xword 0xa0d8d100bb5cee48
69259 .xword 0x1ca5f5bb015e9a44
69260 .xword 0x9aefdf0a1c3a5a3f
69261 .xword 0xe425dd603b88f91c
69262 .xword 0x88f2db773df5f486
69263 .xword 0x150aeab6c917327c
69264 .xword 0xb9903e674f63bb1f
69265 .xword 0x25081210b3e959dd
69266 .xword 0xee4b0e58291b69a7
69267 .xword 0x79f0cad90858dcf9
69268 .xword 0x570702c61887655f
69269 .xword 0x2e9fa11cfe1d4b6f
69270 .xword 0x124584a115217b6c
69271 .xword 0x46fe5a1f04855ffa
69272 .xword 0x5a2f50aacbdded9b
69273 .xword 0x10ff6cb0b6b6df37
69274 .xword 0xd35228d0103ab63a
69275 .xword 0x5dbc45ead8fd05b5
69276 .xword 0xcaab0dd887fcd4dc
69277 .xword 0xb9ba3b6054d192e1
69278 .xword 0x177b3bc0b8c9f8f1
69279 .xword 0x51658968ec3a5962
69280 .xword 0x0e48ce2d4635c6de
69281 .xword 0xe210346a3b47961c
69282 .xword 0x245e4dafe58eaca2
69283 .xword 0xce16a35c76329ca1
69284 .xword 0xb2f522e77aa7cc76
69285 .xword 0xd03757e685be54e4
69286 .xword 0x1577968b4084c001
69287 .xword 0x6a4e09b3d2a8d3b0
69288 .xword 0xaf04d3202fd85657
69289 .xword 0xdf9040393e91b749
69290 .xword 0x5e26828419f1d604
69291 .xword 0x515e6088c40cb138
69292 .xword 0x87078af85869e4ad
69293 .xword 0x9400997199dc6fb9
69294 .xword 0x6e8da7beac8254ce
69295 .xword 0xa33935a7fa258454
69296 .xword 0x6bd335af4419b2d4
69297 .xword 0xa289d6746f3da8f3
69298 .xword 0xee52117e5e030ac0
69299 .xword 0xce39c78878a18aec
69300 .xword 0x7d4dd0cebe1ee588
69301 .xword 0x72348bbacb8f98b6
69302 .xword 0x31b0b68664503c4d
69303 .xword 0x3dd0b155d0333d6c
69304 .xword 0xc30ceafc693e5aba
69305 .xword 0xd32ecf7f8caa5ccf
69306 .xword 0x72055fdd108ae72a
69307 .xword 0x705fe8070b39f29d
69308 .xword 0x4d802edbbe88f98c
69309 .xword 0xdc47a4cbb2fed66d
69310 .xword 0x7e761c8487a18f27
69311 .xword 0x6d9fafd46d28a0d6
69312 .xword 0xee2d4a082095df94
69313 .xword 0x17f21ed3fdad03bf
69314 .xword 0xd89fbd606dffaf7d
69315 .xword 0x7bceade768921109
69316 .xword 0xf4265e7293ae2557
69317 .xword 0x94418acecf573554
69318 .xword 0x4922e904f254e6bd
69319 .xword 0xd578d2a299910b43
69320 .xword 0xd971b7317174ff63
69321 .xword 0x64df00a66e0b82dd
69322 .xword 0x461151e2d42a6ffc
69323 .xword 0x5e479526a7e9c5e0
69324 .xword 0x8ec074add1e3fe5d
69325 .xword 0x4c6633969684209b
69326 .xword 0xd9a4b67e226b9ef0
69327 .xword 0xc04cfff80fb67b27
69328 .xword 0x89ca24a78b95564d
69329 .xword 0x661ea48c22593098
69330 .xword 0xf0e402c9d25d1ccc
69331 .xword 0xcd9393ad50e7c734
69332 .xword 0xdc0dbe6c7676a01e
69333 .xword 0x9fd787de92c2f4e2
69334 .xword 0x23117b87a84426d6
69335 .xword 0x7f442d87d5a72a30
69336 .xword 0x0d2e0239619a737d
69337 .xword 0xc1109dfd2e73bff2
69338 .xword 0x5647be192fd76e14
69339 .xword 0x57808b27a3b33d3a
69340 .xword 0xd0b65cad7fc0e483
69341 .xword 0x93d65932cf27d4c6
69342 .xword 0x4cfb8415c2c95273
69343 .xword 0x05cfd0a0e590111c
69344 .xword 0x6bc9bcff735ca07d
69345 .xword 0x45b77c20c71718e7
69346 .xword 0xc5a6fbe98fa630c5
69347 .xword 0x07bf3c97fe581456
69348 .xword 0xa2e1f7798e1a3dc6
69349 .xword 0x37b59d640fb5c78f
69350 .xword 0x2ad5f2b55e27dfec
69351 .xword 0xd94e29dc01f0eb3e
69352 .xword 0xdba9ebb01fd9972b
69353 .xword 0xbda23ac269d15290
69354 .xword 0x8ec11fff40f96cfb
69355 .xword 0xcf00a286fcdf6a7a
69356 .xword 0xb95d5175e5ec28ee
69357 .xword 0xda81e2ad0856eab7
69358 .xword 0x0e566a57bee1beb5
69359 .xword 0x9bff17d20b8777bb
69360 .xword 0xc62ac8fd9a50666d
69361 .xword 0xa1a6ba32aec5a001
69362 .xword 0xd61bd01e2250c6bf
69363 .xword 0x1d09ee061c7528c7
69364 .xword 0x8cab7ea0bfafd670
69365 .xword 0x5869505f9ff8acd5
69366 .xword 0x223335085cce08c2
69367 .xword 0xa7e636ac36853ea9
69368 .xword 0x8560801d6e15092c
69369 .xword 0x1ba01254fc6e4c84
69370 .xword 0x2e6dfb6bbfcc0ea0
69371 .xword 0xa066ad8fe9e0ac7a
69372 .xword 0x87f2c887f6aec9b6
69373 .xword 0xdb1ee908f3035e2f
69374 .xword 0x2ef2653287a6c50c
69375 .xword 0x8ee16b9170b4e5cb
69376 .xword 0xa2dd361217ec0af8
69377 .xword 0x85221537660f9e11
69378 .xword 0x89d0bfdeb5f96a39
69379 .xword 0x6f45d4f375f33843
69380 .xword 0x07aa59abc84bcb98
69381 .xword 0x6d894e7f393a7149
69382 .xword 0x612c26b3b6ba534a
69383 .xword 0x4a58387e124fbd92
69384 .xword 0xea8b96882b290afa
69385 .xword 0xee947f7bf6019278
69386 .xword 0x86e9f43d0e2b2a69
69387 .xword 0xc7b0a647e8d510b2
69388 .xword 0xc8b3f681564dcfb9
69389 .xword 0x3297f1bbfed58976
69390 .xword 0x45833d8e511d66bb
69391 .xword 0x6fa7d5f8bb1dbd8c
69392 .xword 0xa999fa628aa22d18
69393 .xword 0xf10bdf76fcb29215
69394 .xword 0x40473085a7995482
69395 .xword 0x5e30f37e5acb1088
69396 .xword 0x4d2805c1cc699dfa
69397 .xword 0xffc9a9fee5234621
69398 .xword 0x890a18ed8cf652b1
69399 .xword 0xade16cd98a25bb37
69400 .xword 0xa8c948d647f14746
69401 .xword 0xa715a3ba56f34542
69402 .xword 0x26fbcb0de9d5174f
69403 .xword 0xcf394ccea86aac53
69404 .xword 0x615993371a41eb6b
69405 .xword 0xd99b79852d631784
69406 .xword 0x9b2fd053597d6d3c
69407_t1_rc4_dest:
69408 .xword 0xDEADBEEFDEADBEEF
69409 .xword 0xDEADBEEFDEADBEEF
69410 .xword 0xDEADBEEFDEADBEEF
69411 .xword 0xDEADBEEFDEADBEEF
69412 .xword 0xDEADBEEFDEADBEEF
69413 .xword 0xDEADBEEFDEADBEEF
69414 .xword 0xDEADBEEFDEADBEEF
69415 .xword 0xDEADBEEFDEADBEEF
69416 .xword 0xDEADBEEFDEADBEEF
69417 .xword 0xDEADBEEFDEADBEEF
69418 .xword 0xDEADBEEFDEADBEEF
69419 .xword 0xDEADBEEFDEADBEEF
69420 .xword 0xDEADBEEFDEADBEEF
69421 .xword 0xDEADBEEFDEADBEEF
69422 .xword 0xDEADBEEFDEADBEEF
69423 .xword 0xDEADBEEFDEADBEEF
69424 .xword 0xDEADBEEFDEADBEEF
69425 .xword 0xDEADBEEFDEADBEEF
69426 .xword 0xDEADBEEFDEADBEEF
69427 .xword 0xDEADBEEFDEADBEEF
69428 .xword 0xDEADBEEFDEADBEEF
69429 .xword 0xDEADBEEFDEADBEEF
69430 .xword 0xDEADBEEFDEADBEEF
69431 .xword 0xDEADBEEFDEADBEEF
69432 .xword 0xDEADBEEFDEADBEEF
69433 .xword 0xDEADBEEFDEADBEEF
69434 .xword 0xDEADBEEFDEADBEEF
69435 .xword 0xDEADBEEFDEADBEEF
69436 .xword 0xDEADBEEFDEADBEEF
69437 .xword 0xDEADBEEFDEADBEEF
69438 .xword 0xDEADBEEFDEADBEEF
69439 .xword 0xDEADBEEFDEADBEEF
69440 .xword 0xDEADBEEFDEADBEEF
69441 .xword 0xDEADBEEFDEADBEEF
69442 .xword 0xDEADBEEFDEADBEEF
69443 .xword 0xDEADBEEFDEADBEEF
69444 .xword 0xDEADBEEFDEADBEEF
69445 .xword 0xDEADBEEFDEADBEEF
69446 .xword 0xDEADBEEFDEADBEEF
69447 .xword 0xDEADBEEFDEADBEEF
69448 .xword 0xDEADBEEFDEADBEEF
69449 .xword 0xDEADBEEFDEADBEEF
69450 .xword 0xDEADBEEFDEADBEEF
69451 .xword 0xDEADBEEFDEADBEEF
69452 .xword 0xDEADBEEFDEADBEEF
69453 .xword 0xDEADBEEFDEADBEEF
69454 .xword 0xDEADBEEFDEADBEEF
69455 .xword 0xDEADBEEFDEADBEEF
69456 .xword 0xDEADBEEFDEADBEEF
69457 .xword 0xDEADBEEFDEADBEEF
69458 .xword 0xDEADBEEFDEADBEEF
69459 .xword 0xDEADBEEFDEADBEEF
69460 .xword 0xDEADBEEFDEADBEEF
69461 .xword 0xDEADBEEFDEADBEEF
69462 .xword 0xDEADBEEFDEADBEEF
69463 .xword 0xDEADBEEFDEADBEEF
69464 .xword 0xDEADBEEFDEADBEEF
69465 .xword 0xDEADBEEFDEADBEEF
69466 .xword 0xDEADBEEFDEADBEEF
69467 .xword 0xDEADBEEFDEADBEEF
69468 .xword 0xDEADBEEFDEADBEEF
69469 .xword 0xDEADBEEFDEADBEEF
69470 .xword 0xDEADBEEFDEADBEEF
69471 .xword 0xDEADBEEFDEADBEEF
69472 .xword 0xDEADBEEFDEADBEEF
69473 .xword 0xDEADBEEFDEADBEEF
69474 .xword 0xDEADBEEFDEADBEEF
69475 .xword 0xDEADBEEFDEADBEEF
69476 .xword 0xDEADBEEFDEADBEEF
69477 .xword 0xDEADBEEFDEADBEEF
69478 .xword 0xDEADBEEFDEADBEEF
69479 .xword 0xDEADBEEFDEADBEEF
69480 .xword 0xDEADBEEFDEADBEEF
69481 .xword 0xDEADBEEFDEADBEEF
69482 .xword 0xDEADBEEFDEADBEEF
69483 .xword 0xDEADBEEFDEADBEEF
69484 .xword 0xDEADBEEFDEADBEEF
69485 .xword 0xDEADBEEFDEADBEEF
69486 .xword 0xDEADBEEFDEADBEEF
69487 .xword 0xDEADBEEFDEADBEEF
69488 .xword 0xDEADBEEFDEADBEEF
69489 .xword 0xDEADBEEFDEADBEEF
69490 .xword 0xDEADBEEFDEADBEEF
69491 .xword 0xDEADBEEFDEADBEEF
69492 .xword 0xDEADBEEFDEADBEEF
69493 .xword 0xDEADBEEFDEADBEEF
69494 .xword 0xDEADBEEFDEADBEEF
69495 .xword 0xDEADBEEFDEADBEEF
69496 .xword 0xDEADBEEFDEADBEEF
69497 .xword 0xDEADBEEFDEADBEEF
69498 .xword 0xDEADBEEFDEADBEEF
69499 .xword 0xDEADBEEFDEADBEEF
69500 .xword 0xDEADBEEFDEADBEEF
69501 .xword 0xDEADBEEFDEADBEEF
69502 .xword 0xDEADBEEFDEADBEEF
69503 .xword 0xDEADBEEFDEADBEEF
69504 .xword 0xDEADBEEFDEADBEEF
69505 .xword 0xDEADBEEFDEADBEEF
69506 .xword 0xDEADBEEFDEADBEEF
69507 .xword 0xDEADBEEFDEADBEEF
69508 .xword 0xDEADBEEFDEADBEEF
69509 .xword 0xDEADBEEFDEADBEEF
69510 .xword 0xDEADBEEFDEADBEEF
69511 .xword 0xDEADBEEFDEADBEEF
69512 .xword 0xDEADBEEFDEADBEEF
69513 .xword 0xDEADBEEFDEADBEEF
69514 .xword 0xDEADBEEFDEADBEEF
69515 .xword 0xDEADBEEFDEADBEEF
69516 .xword 0xDEADBEEFDEADBEEF
69517 .xword 0xDEADBEEFDEADBEEF
69518 .xword 0xDEADBEEFDEADBEEF
69519 .xword 0xDEADBEEFDEADBEEF
69520 .xword 0xDEADBEEFDEADBEEF
69521 .xword 0xDEADBEEFDEADBEEF
69522 .xword 0xDEADBEEFDEADBEEF
69523 .xword 0xDEADBEEFDEADBEEF
69524 .xword 0xDEADBEEFDEADBEEF
69525 .xword 0xDEADBEEFDEADBEEF
69526 .xword 0xDEADBEEFDEADBEEF
69527 .xword 0xDEADBEEFDEADBEEF
69528 .xword 0xDEADBEEFDEADBEEF
69529 .xword 0xDEADBEEFDEADBEEF
69530 .xword 0xDEADBEEFDEADBEEF
69531 .xword 0xDEADBEEFDEADBEEF
69532 .xword 0xDEADBEEFDEADBEEF
69533 .xword 0xDEADBEEFDEADBEEF
69534 .xword 0xDEADBEEFDEADBEEF
69535 .xword 0xDEADBEEFDEADBEEF
69536 .xword 0xDEADBEEFDEADBEEF
69537 .xword 0xDEADBEEFDEADBEEF
69538 .xword 0xDEADBEEFDEADBEEF
69539 .xword 0xDEADBEEFDEADBEEF
69540 .xword 0xDEADBEEFDEADBEEF
69541 .xword 0xDEADBEEFDEADBEEF
69542 .xword 0xDEADBEEFDEADBEEF
69543 .xword 0xDEADBEEFDEADBEEF
69544 .xword 0xDEADBEEFDEADBEEF
69545 .xword 0xDEADBEEFDEADBEEF
69546 .xword 0xDEADBEEFDEADBEEF
69547 .xword 0xDEADBEEFDEADBEEF
69548 .xword 0xDEADBEEFDEADBEEF
69549 .xword 0xDEADBEEFDEADBEEF
69550 .xword 0xDEADBEEFDEADBEEF
69551 .xword 0xDEADBEEFDEADBEEF
69552 .xword 0xDEADBEEFDEADBEEF
69553 .xword 0xDEADBEEFDEADBEEF
69554 .xword 0xDEADBEEFDEADBEEF
69555 .xword 0xDEADBEEFDEADBEEF
69556 .xword 0xDEADBEEFDEADBEEF
69557 .xword 0xDEADBEEFDEADBEEF
69558 .xword 0xDEADBEEFDEADBEEF
69559 .xword 0xDEADBEEFDEADBEEF
69560 .xword 0xDEADBEEFDEADBEEF
69561 .xword 0xDEADBEEFDEADBEEF
69562 .xword 0xDEADBEEFDEADBEEF
69563 .xword 0xDEADBEEFDEADBEEF
69564 .xword 0xDEADBEEFDEADBEEF
69565 .xword 0xDEADBEEFDEADBEEF
69566 .xword 0xDEADBEEFDEADBEEF
69567 .xword 0xDEADBEEFDEADBEEF
69568 .xword 0xDEADBEEFDEADBEEF
69569 .xword 0xDEADBEEFDEADBEEF
69570 .xword 0xDEADBEEFDEADBEEF
69571 .xword 0xDEADBEEFDEADBEEF
69572 .xword 0xDEADBEEFDEADBEEF
69573 .xword 0xDEADBEEFDEADBEEF
69574 .xword 0xDEADBEEFDEADBEEF
69575 .xword 0xDEADBEEFDEADBEEF
69576 .xword 0xDEADBEEFDEADBEEF
69577 .xword 0xDEADBEEFDEADBEEF
69578 .xword 0xDEADBEEFDEADBEEF
69579 .xword 0xDEADBEEFDEADBEEF
69580 .xword 0xDEADBEEFDEADBEEF
69581 .xword 0xDEADBEEFDEADBEEF
69582 .xword 0xDEADBEEFDEADBEEF
69583 .xword 0xDEADBEEFDEADBEEF
69584 .xword 0xDEADBEEFDEADBEEF
69585 .xword 0xDEADBEEFDEADBEEF
69586 .xword 0xDEADBEEFDEADBEEF
69587 .xword 0xDEADBEEFDEADBEEF
69588 .xword 0xDEADBEEFDEADBEEF
69589 .xword 0xDEADBEEFDEADBEEF
69590 .xword 0xDEADBEEFDEADBEEF
69591 .xword 0xDEADBEEFDEADBEEF
69592 .xword 0xDEADBEEFDEADBEEF
69593 .xword 0xDEADBEEFDEADBEEF
69594 .xword 0xDEADBEEFDEADBEEF
69595 .xword 0xDEADBEEFDEADBEEF
69596 .xword 0xDEADBEEFDEADBEEF
69597 .xword 0xDEADBEEFDEADBEEF
69598 .xword 0xDEADBEEFDEADBEEF
69599 .xword 0xDEADBEEFDEADBEEF
69600 .xword 0xDEADBEEFDEADBEEF
69601 .xword 0xDEADBEEFDEADBEEF
69602 .xword 0xDEADBEEFDEADBEEF
69603 .xword 0xDEADBEEFDEADBEEF
69604 .xword 0xDEADBEEFDEADBEEF
69605 .xword 0xDEADBEEFDEADBEEF
69606 .xword 0xDEADBEEFDEADBEEF
69607 .xword 0xDEADBEEFDEADBEEF
69608 .xword 0xDEADBEEFDEADBEEF
69609 .xword 0xDEADBEEFDEADBEEF
69610 .xword 0xDEADBEEFDEADBEEF
69611 .xword 0xDEADBEEFDEADBEEF
69612 .xword 0xDEADBEEFDEADBEEF
69613 .xword 0xDEADBEEFDEADBEEF
69614 .xword 0xDEADBEEFDEADBEEF
69615 .xword 0xDEADBEEFDEADBEEF
69616 .xword 0xDEADBEEFDEADBEEF
69617 .xword 0xDEADBEEFDEADBEEF
69618 .xword 0xDEADBEEFDEADBEEF
69619 .xword 0xDEADBEEFDEADBEEF
69620 .xword 0xDEADBEEFDEADBEEF
69621 .xword 0xDEADBEEFDEADBEEF
69622 .xword 0xDEADBEEFDEADBEEF
69623 .xword 0xDEADBEEFDEADBEEF
69624 .xword 0xDEADBEEFDEADBEEF
69625 .xword 0xDEADBEEFDEADBEEF
69626 .xword 0xDEADBEEFDEADBEEF
69627 .xword 0xDEADBEEFDEADBEEF
69628 .xword 0xDEADBEEFDEADBEEF
69629 .xword 0xDEADBEEFDEADBEEF
69630 .xword 0xDEADBEEFDEADBEEF
69631 .xword 0xDEADBEEFDEADBEEF
69632 .xword 0xDEADBEEFDEADBEEF
69633 .xword 0xDEADBEEFDEADBEEF
69634 .xword 0xDEADBEEFDEADBEEF
69635 .xword 0xDEADBEEFDEADBEEF
69636 .xword 0xDEADBEEFDEADBEEF
69637 .xword 0xDEADBEEFDEADBEEF
69638 .xword 0xDEADBEEFDEADBEEF
69639 .xword 0xDEADBEEFDEADBEEF
69640 .xword 0xDEADBEEFDEADBEEF
69641 .xword 0xDEADBEEFDEADBEEF
69642 .xword 0xDEADBEEFDEADBEEF
69643 .xword 0xDEADBEEFDEADBEEF
69644 .xword 0xDEADBEEFDEADBEEF
69645 .xword 0xDEADBEEFDEADBEEF
69646 .xword 0xDEADBEEFDEADBEEF
69647 .xword 0xDEADBEEFDEADBEEF
69648 .xword 0xDEADBEEFDEADBEEF
69649 .xword 0xDEADBEEFDEADBEEF
69650 .xword 0xDEADBEEFDEADBEEF
69651 .xword 0xDEADBEEFDEADBEEF
69652 .xword 0xDEADBEEFDEADBEEF
69653 .xword 0xDEADBEEFDEADBEEF
69654 .xword 0xDEADBEEFDEADBEEF
69655 .xword 0xDEADBEEFDEADBEEF
69656 .xword 0xDEADBEEFDEADBEEF
69657 .xword 0xDEADBEEFDEADBEEF
69658 .xword 0xDEADBEEFDEADBEEF
69659 .xword 0xDEADBEEFDEADBEEF
69660 .xword 0xDEADBEEFDEADBEEF
69661 .xword 0xDEADBEEFDEADBEEF
69662 .xword 0xDEADBEEFDEADBEEF
69663 .xword 0xDEADBEEFDEADBEEF
69664 .xword 0xDEADBEEFDEADBEEF
69665 .xword 0xDEADBEEFDEADBEEF
69666 .xword 0xDEADBEEFDEADBEEF
69667 .xword 0xDEADBEEFDEADBEEF
69668 .xword 0xDEADBEEFDEADBEEF
69669 .xword 0xDEADBEEFDEADBEEF
69670 .xword 0xDEADBEEFDEADBEEF
69671 .xword 0xDEADBEEFDEADBEEF
69672 .xword 0xDEADBEEFDEADBEEF
69673 .xword 0xDEADBEEFDEADBEEF
69674 .xword 0xDEADBEEFDEADBEEF
69675 .xword 0xDEADBEEFDEADBEEF
69676 .xword 0xDEADBEEFDEADBEEF
69677 .xword 0xDEADBEEFDEADBEEF
69678 .xword 0xDEADBEEFDEADBEEF
69679 .xword 0xDEADBEEFDEADBEEF
69680 .xword 0xDEADBEEFDEADBEEF
69681 .xword 0xDEADBEEFDEADBEEF
69682 .xword 0xDEADBEEFDEADBEEF
69683_t1_rc4_auth_key:
69684 .xword 0x3b6f94e4d0bb8409
69685 .xword 0xc698f7ca806dc6ed
69686 .xword 0x2263b1677d1a36dc
69687 .xword 0x657260b434322f13
69688 .xword 0x2080a6297258af86
69689 .xword 0xc11f1c4e71c159d8
69690 .xword 0x72296ef27abad437
69691 .xword 0xd1f7aa0f3f9c9091
69692 .xword 0x097c9ea71c56ef6d
69693 .xword 0x8896afbb35ede6f3
69694 .xword 0x88954eb1f58ca14d
69695 .xword 0x642697778fad98a1
69696 .xword 0xfe61c1a9e70967af
69697 .xword 0xe09783a827a46d34
69698 .xword 0xa445c35d1b871d72
69699 .xword 0x63ebabac9aa2eb01
69700 .xword 0x9b87e4dd6c73208a
69701 .xword 0x8569b8009121645e
69702 .xword 0xf780df99a6d1f8be
69703 .xword 0x0eba0fa28200e608
69704 .xword 0xac1d747f813257a7
69705 .xword 0xc40b06f455ddbfa7
69706 .xword 0x55a10e43ef4311ce
69707_t1_rc4_auth_iv:
69708 .xword 0x4bf45d13bdca2272
69709 .xword 0xad5539010e53867f
69710 .xword 0xe422016cc3445cf7
69711 .xword 0xa5ff7b9ab92692ec
69712 .xword 0xbfe28519c3d5c31b
69713 .xword 0x6371761d9e9d4353
69714 .xword 0x8b2065a1d348906e
69715 .xword 0xd0dc40bc9cea694d
69716 .xword 0x505085a8fa34ac74
69717 .xword 0x4c16140a01300579
69718 .xword 0xb3008c86d942250b
69719 .xword 0xdfd919b74a48d33d
69720 .xword 0x6b49c4c70988aeec
69721 .xword 0xb04698395fa3cb78
69722 .xword 0x2876421fd8f23101
69723 .xword 0x5ab333b0d6c0a077
69724 .xword 0x79c7b44a07bd1b5e
69725 .xword 0x92624200af781d51
69726 .xword 0x99e00fd5ac02a502
69727 .xword 0x30bc9ed7ae845eab
69728 .xword 0x71ffce47dd69ce96
69729 .xword 0x61135fcc48c9ab68
69730 .xword 0x9d3754a303736dba
69731_t1_rc4_fas_result:
69732 .xword 0xDEADBEEFDEADBEEF
69733 .xword 0xDEADBEEFDEADBEEF
69734 .xword 0xDEADBEEFDEADBEEF
69735 .xword 0xDEADBEEFDEADBEEF
69736 .xword 0xDEADBEEFDEADBEEF
69737 .xword 0xDEADBEEFDEADBEEF
69738 .xword 0xDEADBEEFDEADBEEF
69739 .xword 0xDEADBEEFDEADBEEF
69740 .xword 0xDEADBEEFDEADBEEF
69741 .xword 0xDEADBEEFDEADBEEF
69742 .xword 0xDEADBEEFDEADBEEF
69743 .xword 0xDEADBEEFDEADBEEF
69744 .xword 0xDEADBEEFDEADBEEF
69745 .xword 0xDEADBEEFDEADBEEF
69746 .xword 0xDEADBEEFDEADBEEF
69747 .xword 0xDEADBEEFDEADBEEF
69748 .xword 0xDEADBEEFDEADBEEF
69749 .xword 0xDEADBEEFDEADBEEF
69750 .xword 0xDEADBEEFDEADBEEF
69751 .xword 0xDEADBEEFDEADBEEF
69752 .xword 0xDEADBEEFDEADBEEF
69753 .xword 0xDEADBEEFDEADBEEF
69754 .xword 0xDEADBEEFDEADBEEF
69755_t1_sslkey_key_array:
69756 .xword 0x0e11f2c1218dcc65
69757 .xword 0x479ad5eeb5948a3d
69758 .xword 0xc9fb6b47af0ecbdc
69759 .xword 0xa04f93131b307f5a
69760 .xword 0xa536b626f9119653
69761 .xword 0xa0a32f035170d448
69762 .xword 0x030fff7fe6940f70
69763 .xword 0x78601371bf905516
69764 .xword 0x8527e9b97564129b
69765 .xword 0x1e1e386a995aabca
69766 .xword 0x2c45b563a6f19936
69767 .xword 0x2e5366c2b2bcfd5b
69768 .xword 0x6d857e94ad6d2f88
69769 .xword 0xa679eba4d41a0b6f
69770 .xword 0xd3db1b3dcadc1eda
69771 .xword 0xf13971265d9fe421
69772 .xword 0xbea451a66981c656
69773 .xword 0xca35eed4e2a1f9e5
69774 .xword 0x44436e3fe17ce87b
69775 .xword 0x2a1361c7070b1bf9
69776 .xword 0x129ea89d60c5a7d1
69777 .xword 0x38cb960ecf609212
69778 .xword 0x905acb1feed83b9f
69779 .xword 0x7b67a45c91a3a515
69780 .xword 0x954a2291f0e1b488
69781 .xword 0x04c14f51431f7eaa
69782 .xword 0x9dc9cfdf90a8b6d9
69783 .xword 0x909c7f6ab91dfafb
69784 .xword 0x8063b4402323763b
69785 .xword 0x59c53908e73026d7
69786 .xword 0xd4bd7c4d55c427c4
69787 .xword 0xacfbdf99faed70b4
69788 .xword 0xc7e85b83a8b6231f
69789 .xword 0x4e911f53681788fe
69790 .xword 0x51dc8f51ac843475
69791 .xword 0x91202bc095d47f6d
69792 .xword 0xac9a3c9968f5a05c
69793 .xword 0x74db98e003b6c50d
69794 .xword 0x7e690b7db011c6bd
69795 .xword 0x8463363fd9d62951
69796 .xword 0xdba3d0c3dabf78b7
69797 .xword 0xfed7e4efd584d90a
69798 .xword 0xf72154a814da890d
69799 .xword 0x03720291c2977642
69800 .xword 0xae5320788154f8c5
69801 .xword 0x27f9e522dd4d08c9
69802 .xword 0xf696bfa7f0a016f7
69803 .xword 0xdfdf56330e5b5d97
69804 .xword 0xafcb7ad632622338
69805 .xword 0x30aa8cd5e59a6f41
69806 .xword 0x57b07f600a4ba4cd
69807_t1_sslkey_iv_array:
69808 .xword 0xf91ae4b6915bb414
69809 .xword 0xb4f093bc5df44dd2
69810 .xword 0xc3d6361c836e1531
69811 .xword 0xd28d9f6372a57ac5
69812 .xword 0x4fa83b0b70ae53f1
69813 .xword 0x5c5e4bd8ca0edd5c
69814 .xword 0x42e185ba144f0690
69815 .xword 0xa39945ebb00ab5d5
69816 .xword 0xec6aa29c8538f938
69817 .xword 0x879bdc6a990123ee
69818 .xword 0x0dc1a00d12368ab7
69819 .xword 0x28571680223e3a3d
69820 .xword 0x1177759124513a4a
69821 .xword 0xbb6673d6c3c12b4b
69822 .xword 0x208cb7433c924ca0
69823 .xword 0x9328c094f234ced6
69824 .xword 0x2facab4e09fd3696
69825 .xword 0xba29102725fbb10c
69826 .xword 0xd63d20f63c2391e8
69827 .xword 0xb9aa7433abb46ef5
69828 .xword 0xa9ff1cea72f93de3
69829 .xword 0xb2100fc7c5852a41
69830 .xword 0x61718484f99f56e0
69831 .xword 0x2b5a4cdf8508b244
69832 .xword 0x6d2b9f7a34e9640d
69833 .xword 0xf877437444756f6e
69834 .xword 0x9266933a43268d6a
69835 .xword 0xf08c2eb1b9f7bb51
69836 .xword 0xcefd5332f2895553
69837 .xword 0xd21fd28d1e8226d7
69838 .xword 0x2132cea441f9f8e8
69839 .xword 0xe2a5029917f13419
69840 .xword 0x48e52fd64dc83bac
69841 .xword 0x780b8c477e340a9c
69842 .xword 0x9fbddfd705ff9df6
69843 .xword 0xec23034418f8acf4
69844 .xword 0x634dd726f2e0b8e5
69845 .xword 0x526fb9a82fed32ed
69846 .xword 0x8c530ade0b2187c8
69847 .xword 0xc999e5e74e64a8ba
69848 .xword 0xd194966eeb7403c7
69849 .xword 0xd1cefebb74f4369c
69850 .xword 0xbc65d1ad5a7aa357
69851 .xword 0x36c7f7b73df31da2
69852 .xword 0x6112d64f8cea6486
69853_t1_sslkey_alignment_array:
69854 .xword 0
69855 .xword 0
69856 .xword 0
69857 .xword 0
69858 .xword 0
69859 .xword 0
69860 .xword 0
69861 .xword 0
69862 .xword 0
69863 .xword 0
69864 .xword 0
69865 .xword 0
69866 .xword 0
69867 .xword 0
69868 .xword 0
69869 .xword 0
69870 .xword 0
69871 .xword 0
69872 .xword 0
69873 .xword 0
69874 .xword 0
69875 .xword 0
69876 .xword 0
69877 .xword 0
69878 .xword 0
69879 .xword 0
69880 .xword 0
69881 .xword 0
69882 .xword 0
69883 .xword 0
69884 .xword 0
69885 .xword 0
69886 .xword 0
69887 .xword 0
69888 .xword 0
69889 .xword 0
69890 .xword 0
69891 .xword 0
69892 .xword 0
69893 .xword 0
69894 .xword 0
69895 .xword 0
69896 .xword 0
69897 .xword 0
69898 .xword 0
69899 .xword 0
69900 .xword 0
69901 .xword 0
69902 .xword 0
69903 .xword 0
69904 .xword 0
69905 .xword 0
69906 .xword 0
69907 .xword 0
69908 .xword 0
69909 .xword 0
69910 .xword 0
69911 .xword 0
69912 .xword 0
69913 .xword 0
69914 .xword 0
69915 .xword 0
69916 .xword 0
69917 .xword 0
69918 .xword 0
69919 .xword 0
69920 .xword 0
69921 .xword 0
69922 .xword 0
69923 .xword 0
69924 .xword 0
69925 .xword 0
69926 .xword 0
69927 .xword 0
69928 .xword 0
69929 .xword 0
69930 .xword 0
69931 .xword 0
69932 .xword 0
69933 .xword 0
69934 .xword 0
69935 .xword 0
69936 .xword 0
69937 .xword 0
69938 .xword 0
69939 .xword 0
69940 .xword 0
69941 .xword 0
69942 .xword 0
69943 .xword 0
69944 .xword 0
69945 .xword 0
69946 .xword 0
69947 .xword 0
69948 .xword 0
69949 .xword 0
69950 .xword 0
69951 .xword 0
69952 .xword 0
69953 .xword 0
69954 .xword 0
69955 .xword 0
69956 .xword 0
69957 .xword 0
69958 .xword 0
69959_t1_sslkey_src:
69960 .xword 0xc128c8a4bea364bd
69961 .xword 0x82abf509c1f101a7
69962 .xword 0x6281c5fc4e8049ff
69963 .xword 0x5dc83593ba9efae6
69964 .xword 0x433791257a7ca357
69965 .xword 0xe44517eaaf0ae688
69966 .xword 0xb6210fd2cca9fc3f
69967 .xword 0x89053c94039549c8
69968 .xword 0x7b899aa0b5bfc21d
69969 .xword 0x5680d7c99406f371
69970 .xword 0x304e1b87351ce54b
69971 .xword 0x0093464cfc263ec6
69972 .xword 0xfdea7e730f9797df
69973 .xword 0x5d582a8fd9b1dd30
69974 .xword 0x3e62cb2a4bf673b7
69975 .xword 0xfe98fd7db0b6f15d
69976 .xword 0x4c8320d906af5546
69977 .xword 0x2ff767dba5818741
69978 .xword 0x050e05d7f8c9a3b9
69979 .xword 0xf75dcb863460a273
69980 .xword 0xd354065c6f4f18f0
69981 .xword 0x7c5969e1d3e41a37
69982 .xword 0x4301aadfdf4606a3
69983 .xword 0x0c0128a374e08f11
69984 .xword 0x844d019f88954dfc
69985 .xword 0x9b844ac304c4dae8
69986 .xword 0x45e2e22303dc17ce
69987 .xword 0xa979f54b790eafcc
69988 .xword 0xc83fb4e90af9f05b
69989 .xword 0x0f283184c98b60d0
69990 .xword 0x124c3c04b3e6cf85
69991 .xword 0x0e3619c8665e49ea
69992 .xword 0xe784cbf9a15f5783
69993 .xword 0xc32c6e13197b6fca
69994 .xword 0xeabc59b0de52a69f
69995 .xword 0xb79dd03516a98068
69996 .xword 0xf242a14fff1a301f
69997 .xword 0x60fac9de2bce6afd
69998 .xword 0x33266a41f706c688
69999 .xword 0xa348b9a89a76191e
70000 .xword 0x785f45fa15d752df
70001 .xword 0x0ec08bf8b3d0feac
70002 .xword 0xe93c61747ac2c1b4
70003 .xword 0xb3c993b4b0d12646
70004 .xword 0x88d79272746ed6fd
70005 .xword 0xf4f267c01cc43caf
70006 .xword 0x4a3d745787f88a2f
70007 .xword 0xe5a9e8169ad15aab
70008 .xword 0xe74e9f49805f799f
70009 .xword 0x2e17c452aded1476
70010 .xword 0xa0dd0003881bec60
70011 .xword 0xc6167b00ca646610
70012 .xword 0xd7621424fa139766
70013 .xword 0xa7d77389a73e11fe
70014 .xword 0x8536e89fc3bfdb2f
70015 .xword 0x2d58585883881d0e
70016 .xword 0x426ea91cd9f5b65e
70017 .xword 0x3ff4cb9822770841
70018 .xword 0xcfba079d94d69d61
70019 .xword 0x821dceab9a2c4e60
70020 .xword 0xaa1e9e0f36039d2d
70021 .xword 0xc85d009c915d0959
70022 .xword 0x1c7c459efc5ce609
70023 .xword 0x74b69fceeb0aae95
70024 .xword 0x1aad7d9d7446cc51
70025 .xword 0xf19cb0d6354cbb94
70026 .xword 0xc4c3bf60f87dc272
70027 .xword 0xe19372c25b113c4a
70028 .xword 0xed96723e0d8a64a9
70029 .xword 0xe07a5c983c9adc74
70030 .xword 0x73f36f1e0b7a8d65
70031 .xword 0x24b4fae32b6ae317
70032 .xword 0xb7a8b20e464a7bf6
70033 .xword 0x5744676aad3ca076
70034 .xword 0xf666477bd4a290d5
70035 .xword 0x538113d1c85f46f6
70036 .xword 0x3d91d6afc06df19c
70037 .xword 0x9dd24ec3250ed3fa
70038 .xword 0xe307f4e8a026c461
70039 .xword 0x6f79e8b1949a724d
70040 .xword 0xf9ce9ffb4cda11ae
70041 .xword 0xbe561902e72be35b
70042 .xword 0x6df8f96959fbb9a7
70043 .xword 0x9d58cbf020e6c2ea
70044 .xword 0xff38adf0e32bee1f
70045 .xword 0x6a915ad9d6eec33a
70046 .xword 0x54759e24bf230e4e
70047 .xword 0x28413ffe11290035
70048 .xword 0xa6f12d09dde9e632
70049 .xword 0xb4d680e9294cdebb
70050 .xword 0x198028562ebfaa4b
70051 .xword 0x5f4ff53058c82a32
70052 .xword 0x7820c8be7585b81b
70053 .xword 0xf42a135c38439f32
70054 .xword 0x8e3de437854a23c8
70055 .xword 0xd2d9e48312df9874
70056 .xword 0x5462d989718e4abd
70057 .xword 0x34f276ce922a364b
70058 .xword 0x41549bb44c2a2ae4
70059 .xword 0xa59dc6233b9aa4ca
70060 .xword 0x627f8b18ce2d9d8e
70061 .xword 0x1a5baa88aaa74c21
70062 .xword 0xe983e711883bda4c
70063 .xword 0xa4eaf66b763d6cdc
70064 .xword 0x314730decc46c4e2
70065 .xword 0xe4ec3fd8ce2e9fe5
70066 .xword 0x97ac09590ffb2e4f
70067 .xword 0xcf2af17fa6bf5644
70068 .xword 0xcc4536c0cfc1acef
70069 .xword 0x39533f6f917c5293
70070 .xword 0x8c503a323865ad86
70071 .xword 0x70cb76c34f14167f
70072 .xword 0xb8a5c27399dc75ba
70073 .xword 0x9720426a11ed3242
70074 .xword 0x86fb5a71f77b3e29
70075 .xword 0xbe814968b8d93dfb
70076 .xword 0xe2209ee998809d34
70077 .xword 0xe7ca5be9bc152a96
70078 .xword 0x98a5f7079c6ecded
70079 .xword 0x2c7f0094baf20443
70080 .xword 0x18396fc0c4d6ec54
70081 .xword 0x0a61cf8113d3226b
70082 .xword 0x5fd844e26db8795a
70083 .xword 0x1415b9a9ab8c0cbc
70084 .xword 0xe11a222ebbf31281
70085 .xword 0xc17a2827d250ca8d
70086 .xword 0x82f114e5da13fc5d
70087 .xword 0xd552c9fe1a51c709
70088 .xword 0x273396fc66d375b3
70089 .xword 0x15d079eac7254e3d
70090 .xword 0x8eaf7c3074a607dd
70091 .xword 0x80d3bc0581f78324
70092 .xword 0xb712df5e47b8bf76
70093 .xword 0xe097189c094f7f2c
70094 .xword 0x76aa8daaced58c3d
70095 .xword 0x41df102bb3a3f988
70096 .xword 0xb5f280c0b96c105b
70097 .xword 0xe1519b2c34827f1c
70098 .xword 0xe84cb6b41be7305a
70099 .xword 0x091e8407ea84b3e7
70100 .xword 0x18b6a19d9bf54c8d
70101 .xword 0x6682c42ac5169d88
70102 .xword 0xa72d14f55543cd9d
70103 .xword 0x5bb36fec9aaecfdb
70104 .xword 0x0788fb79c22155c5
70105 .xword 0xd25d327895200d41
70106 .xword 0x8ba822b209698557
70107 .xword 0x6096d8e4c7903917
70108 .xword 0xd89d6c7aa83093df
70109 .xword 0xb9f86afee408b15b
70110 .xword 0x7a2b97223201c21d
70111 .xword 0x1037367ef5618925
70112 .xword 0x863b964cda755082
70113 .xword 0x98a6b265859da217
70114 .xword 0x022a53761160570c
70115 .xword 0xfe28d25201bdca19
70116 .xword 0xe6e9a62388172730
70117 .xword 0x986cd28efe9b0c46
70118 .xword 0x4d53696bed048c7a
70119 .xword 0x58de86edaf86829f
70120 .xword 0xef3f4783a8c3447e
70121 .xword 0x887d0304909ba081
70122 .xword 0xaff3ec95704e4869
70123 .xword 0x3ff3066b46ae785e
70124 .xword 0x6268ed45bf6a9614
70125 .xword 0x8a0b941fbf865652
70126 .xword 0x81f42baf7e8ef8f6
70127 .xword 0x0d716c31c810e9bd
70128 .xword 0x8fca71eead0f28df
70129 .xword 0x6f139eae2190f9bc
70130 .xword 0x5c17d9fd8cc7b586
70131 .xword 0x33a334d9343fd622
70132 .xword 0xb0e044f8ab805a89
70133 .xword 0xe040b4056191c79b
70134 .xword 0xfa56e7ebf33081c5
70135 .xword 0x2260bbd20c1e5134
70136 .xword 0x09ab6d72a16952d7
70137 .xword 0x2ad8f9b5d5ff2928
70138 .xword 0x9bd2461250774201
70139 .xword 0x4a7c34b16288034b
70140 .xword 0x0f5d349db191a992
70141 .xword 0x89a57977147089aa
70142 .xword 0x083509b0873d7a90
70143 .xword 0xeedea1b29832734e
70144 .xword 0x77969223aebe0509
70145 .xword 0x1dd711739817ffe5
70146 .xword 0xde889c3ecb026e69
70147 .xword 0x00b0098476b3917e
70148 .xword 0xc73734b05714543c
70149 .xword 0x3e23eae9eeff7017
70150 .xword 0xe5be6c47f386ee35
70151 .xword 0xdc3c19330e308b86
70152 .xword 0xcd8b304482930564
70153 .xword 0xfb313fdd6306e469
70154 .xword 0x4d28ed47a5460f66
70155 .xword 0xe2fee6e6ed2df027
70156 .xword 0x244f6ff3d833bba8
70157 .xword 0x10aeecb0ad3771ce
70158 .xword 0x9901f4d8ccff9471
70159 .xword 0x09c9534370cf86ca
70160 .xword 0xd242d1e6153e4c8c
70161 .xword 0xf3e840632934e341
70162 .xword 0xbbc404300ea21e38
70163 .xword 0xd2adad3b93ee62e4
70164 .xword 0x768b2c35d3795fa4
70165 .xword 0xb10f8c5a7d6eebfc
70166 .xword 0x27a2aff339b3120b
70167 .xword 0x742ff08c40a8f6fc
70168 .xword 0xeb6e5489089cb7e1
70169 .xword 0xf64c598880e9adb0
70170 .xword 0xf1d29b134e95c063
70171 .xword 0xc9db683f3df7a186
70172 .xword 0x1fd7c2586ff60e9f
70173 .xword 0x276298886525d83b
70174 .xword 0x089cb64e128e64d9
70175 .xword 0xdc4d73833df31148
70176 .xword 0x0d4b1752f50ecff2
70177 .xword 0x90a1ffdd1cb55af7
70178 .xword 0x6e696318598d673e
70179 .xword 0xdc5c8f406bec4d2e
70180 .xword 0x430eb3139ac756e0
70181 .xword 0xf55060babaca6c48
70182 .xword 0xb6d51fd414e6e93f
70183 .xword 0x1feae8f8de9b53cc
70184 .xword 0xa5d623903dd4a813
70185 .xword 0x39f279751a10710d
70186 .xword 0xaa2f6a1303207b84
70187 .xword 0xa3b466ac15daa1ef
70188 .xword 0xdc0ab84cc26839af
70189 .xword 0x978b553c41e3fce4
70190 .xword 0xf390fec060893d2f
70191 .xword 0x2af5a19f63bc68d6
70192 .xword 0xd1f407ad6cc122c6
70193 .xword 0xc1e73a352cc77637
70194 .xword 0x9cf6f7daeafbeb20
70195 .xword 0xebc88a8be1e0f68d
70196 .xword 0x45314a79b030124d
70197 .xword 0xce2de7bcfd5ad70a
70198 .xword 0x7397b1688d25e074
70199 .xword 0xbd0c53c83f6ba758
70200 .xword 0xe7f59f90968edd71
70201 .xword 0x700b6132d9e204c9
70202 .xword 0x265fc687bf16dfe6
70203 .xword 0x0783bd719d08252f
70204 .xword 0x90bba157850db39b
70205 .xword 0x00391518877400e4
70206 .xword 0xc0e6ab6a677c5fda
70207 .xword 0x97e6a1d5ef22fbda
70208 .xword 0xd58b865ec5230604
70209 .xword 0x0bd2756e70bf4690
70210 .xword 0xade2b8f3de32a826
70211 .xword 0x0930c9c6d9713841
70212 .xword 0x5d02a4f790cf72c1
70213 .xword 0x8c75924c1c4d6be1
70214 .xword 0xf68d6a17bc71c046
70215 .xword 0x5d304223d11e7b22
70216 .xword 0xba83b2dbd3a1ed79
70217 .xword 0x8102877957bd5db0
70218 .xword 0xe05448b0c326467d
70219 .xword 0x55afbf64b10f535f
70220 .xword 0xe065baceac701002
70221 .xword 0x831ec97fffb0525b
70222 .xword 0xc857eb5c0f1a2db5
70223 .xword 0x814ccb77b4cc6a47
70224 .xword 0x2896a30d3c19fdcb
70225 .xword 0x3179f2986c72ebff
70226 .xword 0xa942b1ae84c99f43
70227 .xword 0x7cc36ec6980561df
70228 .xword 0x481bf6d0cce3794b
70229 .xword 0x54eb3e95661098c7
70230 .xword 0x7c17103d65527f8a
70231 .xword 0x2cca6afc7b78ab65
70232 .xword 0xf7375d80f4b4d476
70233 .xword 0x4c47d6786d4ab70d
70234 .xword 0x4d75a62c677415ea
70235_t1_sslkey_dest:
70236 .xword 0xDEADBEEFDEADBEEF
70237 .xword 0xDEADBEEFDEADBEEF
70238 .xword 0xDEADBEEFDEADBEEF
70239 .xword 0xDEADBEEFDEADBEEF
70240 .xword 0xDEADBEEFDEADBEEF
70241 .xword 0xDEADBEEFDEADBEEF
70242 .xword 0xDEADBEEFDEADBEEF
70243 .xword 0xDEADBEEFDEADBEEF
70244 .xword 0xDEADBEEFDEADBEEF
70245 .xword 0xDEADBEEFDEADBEEF
70246 .xword 0xDEADBEEFDEADBEEF
70247 .xword 0xDEADBEEFDEADBEEF
70248 .xword 0xDEADBEEFDEADBEEF
70249 .xword 0xDEADBEEFDEADBEEF
70250 .xword 0xDEADBEEFDEADBEEF
70251 .xword 0xDEADBEEFDEADBEEF
70252 .xword 0xDEADBEEFDEADBEEF
70253 .xword 0xDEADBEEFDEADBEEF
70254 .xword 0xDEADBEEFDEADBEEF
70255 .xword 0xDEADBEEFDEADBEEF
70256 .xword 0xDEADBEEFDEADBEEF
70257 .xword 0xDEADBEEFDEADBEEF
70258 .xword 0xDEADBEEFDEADBEEF
70259 .xword 0xDEADBEEFDEADBEEF
70260 .xword 0xDEADBEEFDEADBEEF
70261 .xword 0xDEADBEEFDEADBEEF
70262 .xword 0xDEADBEEFDEADBEEF
70263 .xword 0xDEADBEEFDEADBEEF
70264 .xword 0xDEADBEEFDEADBEEF
70265 .xword 0xDEADBEEFDEADBEEF
70266 .xword 0xDEADBEEFDEADBEEF
70267 .xword 0xDEADBEEFDEADBEEF
70268 .xword 0xDEADBEEFDEADBEEF
70269 .xword 0xDEADBEEFDEADBEEF
70270 .xword 0xDEADBEEFDEADBEEF
70271 .xword 0xDEADBEEFDEADBEEF
70272 .xword 0xDEADBEEFDEADBEEF
70273 .xword 0xDEADBEEFDEADBEEF
70274 .xword 0xDEADBEEFDEADBEEF
70275 .xword 0xDEADBEEFDEADBEEF
70276 .xword 0xDEADBEEFDEADBEEF
70277 .xword 0xDEADBEEFDEADBEEF
70278 .xword 0xDEADBEEFDEADBEEF
70279 .xword 0xDEADBEEFDEADBEEF
70280 .xword 0xDEADBEEFDEADBEEF
70281 .xword 0xDEADBEEFDEADBEEF
70282 .xword 0xDEADBEEFDEADBEEF
70283 .xword 0xDEADBEEFDEADBEEF
70284 .xword 0xDEADBEEFDEADBEEF
70285 .xword 0xDEADBEEFDEADBEEF
70286 .xword 0xDEADBEEFDEADBEEF
70287 .xword 0xDEADBEEFDEADBEEF
70288 .xword 0xDEADBEEFDEADBEEF
70289 .xword 0xDEADBEEFDEADBEEF
70290 .xword 0xDEADBEEFDEADBEEF
70291 .xword 0xDEADBEEFDEADBEEF
70292 .xword 0xDEADBEEFDEADBEEF
70293 .xword 0xDEADBEEFDEADBEEF
70294 .xword 0xDEADBEEFDEADBEEF
70295 .xword 0xDEADBEEFDEADBEEF
70296 .xword 0xDEADBEEFDEADBEEF
70297 .xword 0xDEADBEEFDEADBEEF
70298 .xword 0xDEADBEEFDEADBEEF
70299 .xword 0xDEADBEEFDEADBEEF
70300 .xword 0xDEADBEEFDEADBEEF
70301 .xword 0xDEADBEEFDEADBEEF
70302 .xword 0xDEADBEEFDEADBEEF
70303 .xword 0xDEADBEEFDEADBEEF
70304 .xword 0xDEADBEEFDEADBEEF
70305 .xword 0xDEADBEEFDEADBEEF
70306 .xword 0xDEADBEEFDEADBEEF
70307 .xword 0xDEADBEEFDEADBEEF
70308 .xword 0xDEADBEEFDEADBEEF
70309 .xword 0xDEADBEEFDEADBEEF
70310 .xword 0xDEADBEEFDEADBEEF
70311 .xword 0xDEADBEEFDEADBEEF
70312 .xword 0xDEADBEEFDEADBEEF
70313 .xword 0xDEADBEEFDEADBEEF
70314 .xword 0xDEADBEEFDEADBEEF
70315 .xword 0xDEADBEEFDEADBEEF
70316 .xword 0xDEADBEEFDEADBEEF
70317 .xword 0xDEADBEEFDEADBEEF
70318 .xword 0xDEADBEEFDEADBEEF
70319 .xword 0xDEADBEEFDEADBEEF
70320 .xword 0xDEADBEEFDEADBEEF
70321 .xword 0xDEADBEEFDEADBEEF
70322 .xword 0xDEADBEEFDEADBEEF
70323 .xword 0xDEADBEEFDEADBEEF
70324 .xword 0xDEADBEEFDEADBEEF
70325 .xword 0xDEADBEEFDEADBEEF
70326 .xword 0xDEADBEEFDEADBEEF
70327 .xword 0xDEADBEEFDEADBEEF
70328 .xword 0xDEADBEEFDEADBEEF
70329 .xword 0xDEADBEEFDEADBEEF
70330 .xword 0xDEADBEEFDEADBEEF
70331 .xword 0xDEADBEEFDEADBEEF
70332 .xword 0xDEADBEEFDEADBEEF
70333 .xword 0xDEADBEEFDEADBEEF
70334 .xword 0xDEADBEEFDEADBEEF
70335 .xword 0xDEADBEEFDEADBEEF
70336 .xword 0xDEADBEEFDEADBEEF
70337 .xword 0xDEADBEEFDEADBEEF
70338 .xword 0xDEADBEEFDEADBEEF
70339 .xword 0xDEADBEEFDEADBEEF
70340 .xword 0xDEADBEEFDEADBEEF
70341 .xword 0xDEADBEEFDEADBEEF
70342 .xword 0xDEADBEEFDEADBEEF
70343 .xword 0xDEADBEEFDEADBEEF
70344 .xword 0xDEADBEEFDEADBEEF
70345 .xword 0xDEADBEEFDEADBEEF
70346 .xword 0xDEADBEEFDEADBEEF
70347 .xword 0xDEADBEEFDEADBEEF
70348 .xword 0xDEADBEEFDEADBEEF
70349 .xword 0xDEADBEEFDEADBEEF
70350 .xword 0xDEADBEEFDEADBEEF
70351 .xword 0xDEADBEEFDEADBEEF
70352 .xword 0xDEADBEEFDEADBEEF
70353 .xword 0xDEADBEEFDEADBEEF
70354 .xword 0xDEADBEEFDEADBEEF
70355 .xword 0xDEADBEEFDEADBEEF
70356 .xword 0xDEADBEEFDEADBEEF
70357 .xword 0xDEADBEEFDEADBEEF
70358 .xword 0xDEADBEEFDEADBEEF
70359 .xword 0xDEADBEEFDEADBEEF
70360 .xword 0xDEADBEEFDEADBEEF
70361 .xword 0xDEADBEEFDEADBEEF
70362 .xword 0xDEADBEEFDEADBEEF
70363 .xword 0xDEADBEEFDEADBEEF
70364 .xword 0xDEADBEEFDEADBEEF
70365 .xword 0xDEADBEEFDEADBEEF
70366 .xword 0xDEADBEEFDEADBEEF
70367 .xword 0xDEADBEEFDEADBEEF
70368 .xword 0xDEADBEEFDEADBEEF
70369 .xword 0xDEADBEEFDEADBEEF
70370 .xword 0xDEADBEEFDEADBEEF
70371 .xword 0xDEADBEEFDEADBEEF
70372 .xword 0xDEADBEEFDEADBEEF
70373 .xword 0xDEADBEEFDEADBEEF
70374 .xword 0xDEADBEEFDEADBEEF
70375 .xword 0xDEADBEEFDEADBEEF
70376 .xword 0xDEADBEEFDEADBEEF
70377 .xword 0xDEADBEEFDEADBEEF
70378 .xword 0xDEADBEEFDEADBEEF
70379 .xword 0xDEADBEEFDEADBEEF
70380 .xword 0xDEADBEEFDEADBEEF
70381 .xword 0xDEADBEEFDEADBEEF
70382 .xword 0xDEADBEEFDEADBEEF
70383 .xword 0xDEADBEEFDEADBEEF
70384 .xword 0xDEADBEEFDEADBEEF
70385 .xword 0xDEADBEEFDEADBEEF
70386 .xword 0xDEADBEEFDEADBEEF
70387 .xword 0xDEADBEEFDEADBEEF
70388 .xword 0xDEADBEEFDEADBEEF
70389 .xword 0xDEADBEEFDEADBEEF
70390 .xword 0xDEADBEEFDEADBEEF
70391 .xword 0xDEADBEEFDEADBEEF
70392 .xword 0xDEADBEEFDEADBEEF
70393 .xword 0xDEADBEEFDEADBEEF
70394 .xword 0xDEADBEEFDEADBEEF
70395 .xword 0xDEADBEEFDEADBEEF
70396 .xword 0xDEADBEEFDEADBEEF
70397 .xword 0xDEADBEEFDEADBEEF
70398 .xword 0xDEADBEEFDEADBEEF
70399 .xword 0xDEADBEEFDEADBEEF
70400 .xword 0xDEADBEEFDEADBEEF
70401 .xword 0xDEADBEEFDEADBEEF
70402 .xword 0xDEADBEEFDEADBEEF
70403 .xword 0xDEADBEEFDEADBEEF
70404 .xword 0xDEADBEEFDEADBEEF
70405 .xword 0xDEADBEEFDEADBEEF
70406 .xword 0xDEADBEEFDEADBEEF
70407 .xword 0xDEADBEEFDEADBEEF
70408 .xword 0xDEADBEEFDEADBEEF
70409 .xword 0xDEADBEEFDEADBEEF
70410 .xword 0xDEADBEEFDEADBEEF
70411 .xword 0xDEADBEEFDEADBEEF
70412 .xword 0xDEADBEEFDEADBEEF
70413 .xword 0xDEADBEEFDEADBEEF
70414 .xword 0xDEADBEEFDEADBEEF
70415 .xword 0xDEADBEEFDEADBEEF
70416 .xword 0xDEADBEEFDEADBEEF
70417 .xword 0xDEADBEEFDEADBEEF
70418 .xword 0xDEADBEEFDEADBEEF
70419 .xword 0xDEADBEEFDEADBEEF
70420 .xword 0xDEADBEEFDEADBEEF
70421 .xword 0xDEADBEEFDEADBEEF
70422 .xword 0xDEADBEEFDEADBEEF
70423 .xword 0xDEADBEEFDEADBEEF
70424 .xword 0xDEADBEEFDEADBEEF
70425 .xword 0xDEADBEEFDEADBEEF
70426 .xword 0xDEADBEEFDEADBEEF
70427 .xword 0xDEADBEEFDEADBEEF
70428 .xword 0xDEADBEEFDEADBEEF
70429 .xword 0xDEADBEEFDEADBEEF
70430 .xword 0xDEADBEEFDEADBEEF
70431 .xword 0xDEADBEEFDEADBEEF
70432 .xword 0xDEADBEEFDEADBEEF
70433 .xword 0xDEADBEEFDEADBEEF
70434 .xword 0xDEADBEEFDEADBEEF
70435 .xword 0xDEADBEEFDEADBEEF
70436 .xword 0xDEADBEEFDEADBEEF
70437 .xword 0xDEADBEEFDEADBEEF
70438 .xword 0xDEADBEEFDEADBEEF
70439 .xword 0xDEADBEEFDEADBEEF
70440 .xword 0xDEADBEEFDEADBEEF
70441 .xword 0xDEADBEEFDEADBEEF
70442 .xword 0xDEADBEEFDEADBEEF
70443 .xword 0xDEADBEEFDEADBEEF
70444 .xword 0xDEADBEEFDEADBEEF
70445 .xword 0xDEADBEEFDEADBEEF
70446 .xword 0xDEADBEEFDEADBEEF
70447 .xword 0xDEADBEEFDEADBEEF
70448 .xword 0xDEADBEEFDEADBEEF
70449 .xword 0xDEADBEEFDEADBEEF
70450 .xword 0xDEADBEEFDEADBEEF
70451 .xword 0xDEADBEEFDEADBEEF
70452 .xword 0xDEADBEEFDEADBEEF
70453 .xword 0xDEADBEEFDEADBEEF
70454 .xword 0xDEADBEEFDEADBEEF
70455 .xword 0xDEADBEEFDEADBEEF
70456 .xword 0xDEADBEEFDEADBEEF
70457 .xword 0xDEADBEEFDEADBEEF
70458 .xword 0xDEADBEEFDEADBEEF
70459 .xword 0xDEADBEEFDEADBEEF
70460 .xword 0xDEADBEEFDEADBEEF
70461 .xword 0xDEADBEEFDEADBEEF
70462 .xword 0xDEADBEEFDEADBEEF
70463 .xword 0xDEADBEEFDEADBEEF
70464 .xword 0xDEADBEEFDEADBEEF
70465 .xword 0xDEADBEEFDEADBEEF
70466 .xword 0xDEADBEEFDEADBEEF
70467 .xword 0xDEADBEEFDEADBEEF
70468 .xword 0xDEADBEEFDEADBEEF
70469 .xword 0xDEADBEEFDEADBEEF
70470 .xword 0xDEADBEEFDEADBEEF
70471 .xword 0xDEADBEEFDEADBEEF
70472 .xword 0xDEADBEEFDEADBEEF
70473 .xword 0xDEADBEEFDEADBEEF
70474 .xword 0xDEADBEEFDEADBEEF
70475 .xword 0xDEADBEEFDEADBEEF
70476 .xword 0xDEADBEEFDEADBEEF
70477 .xword 0xDEADBEEFDEADBEEF
70478 .xword 0xDEADBEEFDEADBEEF
70479 .xword 0xDEADBEEFDEADBEEF
70480 .xword 0xDEADBEEFDEADBEEF
70481 .xword 0xDEADBEEFDEADBEEF
70482 .xword 0xDEADBEEFDEADBEEF
70483 .xword 0xDEADBEEFDEADBEEF
70484 .xword 0xDEADBEEFDEADBEEF
70485 .xword 0xDEADBEEFDEADBEEF
70486 .xword 0xDEADBEEFDEADBEEF
70487 .xword 0xDEADBEEFDEADBEEF
70488 .xword 0xDEADBEEFDEADBEEF
70489 .xword 0xDEADBEEFDEADBEEF
70490 .xword 0xDEADBEEFDEADBEEF
70491 .xword 0xDEADBEEFDEADBEEF
70492 .xword 0xDEADBEEFDEADBEEF
70493 .xword 0xDEADBEEFDEADBEEF
70494 .xword 0xDEADBEEFDEADBEEF
70495 .xword 0xDEADBEEFDEADBEEF
70496 .xword 0xDEADBEEFDEADBEEF
70497 .xword 0xDEADBEEFDEADBEEF
70498 .xword 0xDEADBEEFDEADBEEF
70499 .xword 0xDEADBEEFDEADBEEF
70500 .xword 0xDEADBEEFDEADBEEF
70501 .xword 0xDEADBEEFDEADBEEF
70502 .xword 0xDEADBEEFDEADBEEF
70503 .xword 0xDEADBEEFDEADBEEF
70504 .xword 0xDEADBEEFDEADBEEF
70505 .xword 0xDEADBEEFDEADBEEF
70506 .xword 0xDEADBEEFDEADBEEF
70507 .xword 0xDEADBEEFDEADBEEF
70508 .xword 0xDEADBEEFDEADBEEF
70509 .xword 0xDEADBEEFDEADBEEF
70510 .xword 0xDEADBEEFDEADBEEF
70511_t1_sslkey_auth_key:
70512 .xword 0x053c2f7108183531
70513 .xword 0x700336e62b391729
70514 .xword 0xc985e644f0c2b782
70515 .xword 0xa9d13cf923f13e74
70516 .xword 0x30c86f8b7a129e2a
70517 .xword 0x71cf5b3bcaceebb1
70518 .xword 0x48cecf480012340c
70519 .xword 0xe66f2a4247d738ea
70520 .xword 0x8da8b2c5b4d793c3
70521 .xword 0x2164c9d5d76a7a51
70522 .xword 0xed670286af6dc9da
70523 .xword 0xb7ab45516283eddb
70524 .xword 0xf2e5147e040f572c
70525 .xword 0x8c271327dbdbb4f6
70526 .xword 0xefb9c6dd6f0ce4eb
70527 .xword 0x1af5e56bbb5b1889
70528 .xword 0xf35e534adbafa548
70529 .xword 0x8e0e2f18524711fc
70530 .xword 0x54c5e6a23a43bd94
70531 .xword 0x447a444968d76365
70532 .xword 0xd6b208cfa8ff0207
70533 .xword 0x639405591d22dcf1
70534 .xword 0x706c0c95aa7a76b7
70535_t1_sslkey_auth_iv:
70536 .xword 0x48b78290c2ebf1cf
70537 .xword 0x6bf56097c639a5ae
70538 .xword 0xe37a1185d2539a8c
70539 .xword 0x0c4621c064c68b09
70540 .xword 0xc4796f9f59203b6c
70541 .xword 0x907f5e276578287a
70542 .xword 0xcad5f026f5da9b1c
70543 .xword 0x545ee0abf8f6228f
70544 .xword 0x70675c63783fce8f
70545 .xword 0x4845eb774ad51c78
70546 .xword 0x81ecefcf7e348459
70547 .xword 0x4ba329e322f79125
70548 .xword 0xc583d9166611ce17
70549 .xword 0x9725f21c1a1c708c
70550 .xword 0x5b50dae5de0725d0
70551 .xword 0x25397bec4eb80e61
70552 .xword 0x617e01faa3ea3f6f
70553 .xword 0x715186aa403f188a
70554 .xword 0xbb86a935bc74b4ea
70555 .xword 0x857d1988a2862975
70556 .xword 0xb3f69d988bd95fcd
70557 .xword 0x3061b561f57c9c9f
70558 .xword 0xcf450ce662a7f888
70559_t1_sslkey_fas_result:
70560 .xword 0xDEADBEEFDEADBEEF
70561 .xword 0xDEADBEEFDEADBEEF
70562 .xword 0xDEADBEEFDEADBEEF
70563 .xword 0xDEADBEEFDEADBEEF
70564 .xword 0xDEADBEEFDEADBEEF
70565 .xword 0xDEADBEEFDEADBEEF
70566 .xword 0xDEADBEEFDEADBEEF
70567 .xword 0xDEADBEEFDEADBEEF
70568 .xword 0xDEADBEEFDEADBEEF
70569 .xword 0xDEADBEEFDEADBEEF
70570 .xword 0xDEADBEEFDEADBEEF
70571 .xword 0xDEADBEEFDEADBEEF
70572 .xword 0xDEADBEEFDEADBEEF
70573 .xword 0xDEADBEEFDEADBEEF
70574 .xword 0xDEADBEEFDEADBEEF
70575 .xword 0xDEADBEEFDEADBEEF
70576 .xword 0xDEADBEEFDEADBEEF
70577 .xword 0xDEADBEEFDEADBEEF
70578 .xword 0xDEADBEEFDEADBEEF
70579 .xword 0xDEADBEEFDEADBEEF
70580 .xword 0xDEADBEEFDEADBEEF
70581 .xword 0xDEADBEEFDEADBEEF
70582 .xword 0xDEADBEEFDEADBEEF
70583_t1_aes_toc:
70584 .xword _t1_aes_cwd_array
70585 .xword _t1_aes_src
70586 .xword _t1_aes_auth_key
70587 .xword _t1_aes_auth_iv
70588 .xword _t1_aes_fas_result
70589 .xword _t1_aes_key_array
70590 .xword _t1_aes_iv_array
70591 .xword _t1_aes_dest
70592 .xword _t1_aes_alignment_array
70593_t1_des_toc:
70594 .xword _t1_des_cwd_array
70595 .xword _t1_des_src
70596 .xword _t1_des_auth_key
70597 .xword _t1_des_auth_iv
70598 .xword _t1_des_fas_result
70599 .xword _t1_des_key_array
70600 .xword _t1_des_iv_array
70601 .xword _t1_des_dest
70602 .xword _t1_des_alignment_array
70603_t1_copy_toc:
70604 .xword _t1_copy_cwd_array
70605 .xword _t1_copy_src
70606 .xword _t1_copy_auth_key
70607 .xword _t1_copy_auth_iv
70608 .xword _t1_copy_fas_result
70609 .xword _t1_copy_key_array
70610 .xword _t1_copy_iv_array
70611 .xword _t1_copy_dest
70612 .xword _t1_copy_alignment_array
70613_t1_crc_toc:
70614 .xword _t1_crc_cwd_array
70615 .xword _t1_crc_src
70616 .xword _t1_crc_auth_key
70617 .xword _t1_crc_auth_iv
70618 .xword _t1_crc_fas_result
70619 .xword _t1_crc_key_array
70620 .xword _t1_crc_iv_array
70621 .xword _t1_crc_dest
70622 .xword _t1_crc_alignment_array
70623_t1_hash_toc:
70624 .xword _t1_hash_cwd_array
70625 .xword _t1_hash_src
70626 .xword _t1_hash_auth_key
70627 .xword _t1_hash_auth_iv
70628 .xword _t1_hash_fas_result
70629 .xword _t1_hash_key_array
70630 .xword _t1_hash_iv_array
70631 .xword _t1_hash_dest
70632 .xword _t1_hash_alignment_array
70633_t1_hmac_toc:
70634 .xword _t1_hmac_cwd_array
70635 .xword _t1_hmac_src
70636 .xword _t1_hmac_auth_key
70637 .xword _t1_hmac_auth_iv
70638 .xword _t1_hmac_fas_result
70639 .xword _t1_hmac_key_array
70640 .xword _t1_hmac_iv_array
70641 .xword _t1_hmac_dest
70642 .xword _t1_hmac_alignment_array
70643_t1_rc4_toc:
70644 .xword _t1_rc4_cwd_array
70645 .xword _t1_rc4_src
70646 .xword _t1_rc4_auth_key
70647 .xword _t1_rc4_auth_iv
70648 .xword _t1_rc4_fas_result
70649 .xword _t1_rc4_key_array
70650 .xword _t1_rc4_iv_array
70651 .xword _t1_rc4_dest
70652 .xword _t1_rc4_alignment_array
70653_t1_sslkey_toc:
70654 .xword _t1_sslkey_cwd_array
70655 .xword _t1_sslkey_src
70656 .xword _t1_sslkey_auth_key
70657 .xword _t1_sslkey_auth_iv
70658 .xword _t1_sslkey_fas_result
70659 .xword _t1_sslkey_key_array
70660 .xword _t1_sslkey_iv_array
70661 .xword _t1_sslkey_dest
70662 .xword _t1_sslkey_alignment_array
70663_t1_table_of_context7:
70664 .xword _t1_aes_toc
70665 .xword _t1_des_toc
70666 .xword _t1_copy_toc
70667 .xword _t1_crc_toc
70668 .xword _t1_hash_toc
70669 .xword _t1_hmac_toc
70670 .xword _t1_rc4_toc
70671 .xword _t1_sslkey_toc
70672
70673!# CWQ data area, set aside 512 CW's worth
70674!# 512*8*8 = 32KB
70675.align 32*1024
70676_t1_cwq_base7:
70677 .xword 0xAAAAAAAAAAAAAAA
70678 .xword 0xAAAAAAAAAAAAAAA
70679 .xword 0xAAAAAAAAAAAAAAA
70680 .xword 0xAAAAAAAAAAAAAAA
70681 .xword 0xAAAAAAAAAAAAAAA
70682 .xword 0xAAAAAAAAAAAAAAA
70683 .xword 0xAAAAAAAAAAAAAAA
70684 .xword 0xAAAAAAAAAAAAAAA
70685.align 32*1024
70686_t1_cwq_last7:
70687
70688SECTION .T_MAX_DATA DATA_VA=0x10000000
70689attr_data {
70690 Name = .T_MAX_DATA
70691 hypervisor
70692}
70693.data
70694_t2_user_data_start:
70695_t2_scratch_area:
70696
70697.align 8
70698.global _t2_ma_operands
70699_t2_ma_operands:
70700.xword 0xbad96cc3d248ff2e
70701.xword 0x08bb4d59b3ffba0e
70702.xword 0xa0f0e5c15b4998e8
70703.xword 0x9ad3dc3bf3d77fa7
70704.xword 0x9dd1bbc2a9945abd
70705.xword 0x7c551d0d5fa2e975
70706.xword 0x04ef07996b0c50ae
70707.xword 0x60918d6fd20c53bf
70708.xword 0xe0de1703737784ee
70709.xword 0x54b0c66cbae711c2
70710.xword 0xba12924763f761a3
70711.xword 0x6258b730e0e61f04
70712.xword 0xcc24f55384807506
70713.xword 0x5a2e445a7f66982b
70714.xword 0x75ad12b0f26ef8b7
70715.xword 0x2c4d72870665b6e0
70716.xword 0x3e05a0cc886498c3
70717.xword 0x2ff615f47c718c44
70718.xword 0x068be33a01bf37f8
70719.xword 0x07db8077e7202cef
70720.xword 0x3785eb342aa73f87
70721.xword 0x762ec97728459fe5
70722.xword 0x7d64ea3787ddacac
70723.xword 0x4c574666995a7b52
70724.xword 0x67c4acac6aa45faf
70725.xword 0x5bde36f11ea09b4b
70726.xword 0xdcc642e0f85d2405
70727.xword 0x3d504f376abc602e
70728.xword 0x64d52705f551f239
70729.xword 0x1746f4c03bb32d79
70730.xword 0x896ee89de14ccb36
70731.xword 0x01bf0b273ed268d4
70732.xword 0xf24883640b455b90
70733.xword 0x54748153d4ce3b08
70734.xword 0x7e2352d6088de032
70735.xword 0x1507012325b45f48
70736.xword 0x3e284bee99ab9f8c
70737.xword 0x665f07cfed339322
70738.xword 0x9d527edc1c8e4b3f
70739.xword 0x301dd543923df542
70740.xword 0x868f5e1aa3619a4a
70741.xword 0x7cb143b443864b1b
70742.xword 0x603963d3ddadfe90
70743.xword 0x80da98a5288db9a0
70744.xword 0xd86efd8a13715035
70745.xword 0x9d32f3076dae478a
70746.xword 0xd31c7b1255c15a94
70747.xword 0xc6fd92758f814e43
70748.xword 0xe6cf4124fbc60899
70749.xword 0xe2b3a2087d4553ba
70750.xword 0xd380a02b335d8f0a
70751.xword 0x18d325a32806d8d8
70752.xword 0x25d0f00dda6b2e0b
70753.xword 0x72960e738f67896e
70754.xword 0x3ab06e59305750e3
70755.xword 0x0efe9c20ae38766a
70756.xword 0xeb957178e40de3f8
70757.xword 0x26113772f97e8c9b
70758.xword 0x4ccca6c11ded631f
70759.xword 0xcf8d40b4f3a17280
70760.xword 0x58fe6dfb402f0263
70761.xword 0x2f95e5a1b83f985e
70762.xword 0x6381c6bda66cd6cc
70763.xword 0xb2b30b7ec2951a9c
70764.xword 0x6c48ec285760ea61
70765.xword 0x7028b0dee87d626f
70766.xword 0x6fe5ed0f183fdc1c
70767.xword 0x0005786229c602dc
70768.xword 0xff409c8d8c3d5b34
70769.xword 0x9b33e9363f33736f
70770.xword 0x76f66f19e22199b8
70771.xword 0xb7d088d0ba00ad62
70772.xword 0x020f95a702441f23
70773.xword 0x947dab7957369f19
70774.xword 0x15f356553181cd87
70775.xword 0xa519b5dbdfa0809b
70776.xword 0xc29d7c018432661f
70777.xword 0xbd2e30995743921b
70778.xword 0x66ac065dc97aeca9
70779.xword 0xf8b94ad598aa3bee
70780.xword 0x519532e985832483
70781.xword 0x90dce471c542a1c8
70782.xword 0x1e3e241df6c97050
70783.xword 0x4d8edb8d29d75cce
70784.xword 0x1989e699ecc8f971
70785.xword 0x94ee4d2ec0ba949c
70786.xword 0x921e8ce5e6b6d65e
70787.xword 0xfa14b8d08fba0008
70788.xword 0xd7b6810452bf8483
70789.xword 0x8981cf5a783b920e
70790.xword 0xff54fd36912dcfca
70791.xword 0x8d8f7d27e6046239
70792.xword 0xd39528e5f35a4e57
70793.xword 0xe9731ef7f157f09a
70794.xword 0x9b3982fbde93b4fe
70795.xword 0x28a8e1275318ca01
70796.xword 0x721e5aa521c1bd3d
70797.xword 0xdad9ee6884db57f5
70798.xword 0x98e060dec979785f
70799.xword 0xedf6e101484e3122
70800.xword 0x2542f06feea7ea4e
70801.xword 0x0533b112e211063b
70802.xword 0x352b95422df90a23
70803.xword 0x99af29f2a21cd3f9
70804.xword 0x16651099d0528680
70805.xword 0x5bef2dc977ffa35b
70806.xword 0x95107dc2e3948361
70807.xword 0x323de787ad6ff328
70808.xword 0x49c456cd93158164
70809.xword 0x124e7d2ba4f93911
70810.xword 0xb21864224b34da5b
70811.xword 0xb2a0a5f7678f0ad8
70812.xword 0xff9bfd8435461200
70813.xword 0x602f098a51c86f20
70814.xword 0xa570e60516c91358
70815.xword 0x7a8973fc74e0619e
70816.xword 0xe3808c759687a0bd
70817.xword 0xe64c44e62c15b3ee
70818.xword 0x8ae02a56adc9927f
70819.xword 0x430d977258b46af2
70820.xword 0xebc1911af66c21bb
70821.xword 0xef1eea69c93de79a
70822.xword 0x78262bd75d4f35dc
70823.xword 0x148347e313cc7af3
70824.xword 0x81dc773185bcb83d
70825.xword 0x756d0827cda3ddf3
70826.xword 0x9dad853e3bf86cc4
70827.xword 0x6587835197a0b499
70828.xword 0x86a1f968101095ba
70829.xword 0x339323cb7907195f
70830.xword 0x095db39e00cd9876
70831.xword 0x4c3566cc8200b629
70832.xword 0x5babb0cddf1dfe49
70833.xword 0x09c1b2f6ca722057
70834.xword 0x3c800fcd98c16631
70835.xword 0x32b98860a1f92b99
70836.xword 0x87184678d98a23f6
70837.xword 0x27fc98fb18f0108b
70838.xword 0x225c80c7536c2217
70839.xword 0x9716980584ea956a
70840.xword 0x75b7bd6403c3bd9d
70841.xword 0x1033ff515f887768
70842.xword 0x883ba6efe9c7c9af
70843.xword 0x5f9762893adb426c
70844.xword 0xfaacd77ff224ad21
70845.xword 0xeb92855411b9c3bc
70846.xword 0xbba6a01e5fe7db52
70847.xword 0x107fdff28db19b6d
70848.xword 0x2e74ba7dbdc42e60
70849.xword 0x976584f480379653
70850.xword 0xfe6d558f857ea560
70851.xword 0x40b54f78b22d4bd7
70852.xword 0xdc4cc5f8176b8a3c
70853.xword 0x3e8ebe59737ab14c
70854.xword 0x1582d8b10f60cf91
70855.xword 0xc9826314f209e21b
70856.xword 0xe06cd8d0e62a24bf
70857.xword 0x200e9e74690f2930
70858.xword 0x1ca6899fe29aebc4
70859.xword 0x60e34eee0cd042a1
70860.xword 0xb00d58e61678a203
70861.xword 0x99c07c50e6d60296
70862.xword 0x747851ff0044eb88
70863.xword 0x9fda066ac8b5781f
70864
70865.align 8
70866.global _t2_ma_operations
70867_t2_ma_operations:
70868.xword 0
70869.xword 0x00020088 | (6 << 18) !ma_ctl_Ld (0)
70870
70871.xword 0
70872.xword 0x0002017b | (6 << 18) !ma_ctl_St (0)
70873
70874.xword 0x80604020
70875.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (0)
70876
70877.xword 0x356a
70878.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (0)
70879
70880.xword 0x0000006000408020
70881.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
70882
70883.xword 0x6a35
70884.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
70885
70886.xword 0x78285050
70887.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
70888
70889.xword 0x80604020
70890.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
70891
70892.xword 0x00287850
70893.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (0)
70894
70895.xword 0x00287850
70896.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (0)
70897
70898.xword 0x78285050
70899.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (0)
70900
70901.xword 0x64500000003c0000
70902.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
70903
70904.xword 0x7766000000554422
70905.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
70906
70907.xword 0x706200000054462a
70908.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
70909
70910.xword 0x784d005800423721
70911.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
70912
70913.xword 0x804d006000504020
70914.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
70915
70916.xword 0x0000006000408020
70917.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
70918
70919.xword 0
70920.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (0)
70921
70922.xword 0
70923.xword 0x00020046 | (6 << 18) !ma_ctl_Ld (1)
70924
70925.xword 0
70926.xword 0x00020156 | (6 << 18) !ma_ctl_St (1)
70927
70928.xword 0x80604020
70929.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (1)
70930
70931.xword 0x356a
70932.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (1)
70933
70934.xword 0x0000006000408020
70935.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
70936
70937.xword 0x6a35
70938.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
70939
70940.xword 0x78285050
70941.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
70942
70943.xword 0x80604020
70944.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
70945
70946.xword 0x00287850
70947.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
70948
70949.xword 0x00287850
70950.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
70951
70952.xword 0x78285050
70953.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (1)
70954
70955.xword 0x64500000003c0000
70956.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
70957
70958.xword 0x7766000000554422
70959.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
70960
70961.xword 0x706200000054462a
70962.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
70963
70964.xword 0x784d005800423721
70965.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
70966
70967.xword 0x804d006000504020
70968.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
70969
70970.xword 0x0000006000408020
70971.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
70972
70973.xword 0
70974.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (1)
70975
70976.xword 0
70977.xword 0x00020092 | (6 << 18) !ma_ctl_Ld (2)
70978
70979.xword 0
70980.xword 0x00020125 | (6 << 18) !ma_ctl_St (2)
70981
70982.xword 0x80604020
70983.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (2)
70984
70985.xword 0x356a
70986.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (2)
70987
70988.xword 0x0000006000408020
70989.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
70990
70991.xword 0x6a35
70992.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
70993
70994.xword 0x78285050
70995.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
70996
70997.xword 0x80604020
70998.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
70999
71000.xword 0x00287850
71001.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (2)
71002
71003.xword 0x00287850
71004.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (2)
71005
71006.xword 0x78285050
71007.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (2)
71008
71009.xword 0x64500000003c0000
71010.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
71011
71012.xword 0x7766000000554422
71013.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
71014
71015.xword 0x706200000054462a
71016.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
71017
71018.xword 0x784d005800423721
71019.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
71020
71021.xword 0x804d006000504020
71022.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
71023
71024.xword 0x0000006000408020
71025.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
71026
71027.xword 0
71028.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (2)
71029
71030.xword 0
71031.xword 0x0002006d | (6 << 18) !ma_ctl_Ld (3)
71032
71033.xword 0
71034.xword 0x00020128 | (6 << 18) !ma_ctl_St (3)
71035
71036.xword 0x80604020
71037.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (3)
71038
71039.xword 0x356a
71040.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (3)
71041
71042.xword 0x0000006000408020
71043.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
71044
71045.xword 0x6a35
71046.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
71047
71048.xword 0x78285050
71049.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
71050
71051.xword 0x80604020
71052.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
71053
71054.xword 0x00287850
71055.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (3)
71056
71057.xword 0x00287850
71058.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (3)
71059
71060.xword 0x78285050
71061.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (3)
71062
71063.xword 0x64500000003c0000
71064.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
71065
71066.xword 0x7766000000554422
71067.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
71068
71069.xword 0x706200000054462a
71070.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
71071
71072.xword 0x784d005800423721
71073.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
71074
71075.xword 0x804d006000504020
71076.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
71077
71078.xword 0x0000006000408020
71079.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
71080
71081.xword 0
71082.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (3)
71083
71084.xword 0
71085.xword 0x00020052 | (6 << 18) !ma_ctl_Ld (4)
71086
71087.xword 0
71088.xword 0x00020176 | (6 << 18) !ma_ctl_St (4)
71089
71090.xword 0x80604020
71091.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (4)
71092
71093.xword 0x356a
71094.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (4)
71095
71096.xword 0x0000006000408020
71097.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
71098
71099.xword 0x6a35
71100.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
71101
71102.xword 0x78285050
71103.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
71104
71105.xword 0x80604020
71106.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
71107
71108.xword 0x00287850
71109.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (4)
71110
71111.xword 0x00287850
71112.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (4)
71113
71114.xword 0x78285050
71115.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (4)
71116
71117.xword 0x64500000003c0000
71118.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
71119
71120.xword 0x7766000000554422
71121.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
71122
71123.xword 0x706200000054462a
71124.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
71125
71126.xword 0x784d005800423721
71127.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
71128
71129.xword 0x804d006000504020
71130.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
71131
71132.xword 0x0000006000408020
71133.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
71134
71135.xword 0
71136.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (4)
71137
71138.xword 0
71139.xword 0x00020091 | (6 << 18) !ma_ctl_Ld (5)
71140
71141.xword 0
71142.xword 0x00020155 | (6 << 18) !ma_ctl_St (5)
71143
71144.xword 0x80604020
71145.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (5)
71146
71147.xword 0x356a
71148.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (5)
71149
71150.xword 0x0000006000408020
71151.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
71152
71153.xword 0x6a35
71154.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
71155
71156.xword 0x78285050
71157.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
71158
71159.xword 0x80604020
71160.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
71161
71162.xword 0x00287850
71163.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (5)
71164
71165.xword 0x00287850
71166.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (5)
71167
71168.xword 0x78285050
71169.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
71170
71171.xword 0x64500000003c0000
71172.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
71173
71174.xword 0x7766000000554422
71175.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
71176
71177.xword 0x706200000054462a
71178.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
71179
71180.xword 0x784d005800423721
71181.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
71182
71183.xword 0x804d006000504020
71184.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
71185
71186.xword 0x0000006000408020
71187.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
71188
71189.xword 0
71190.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (5)
71191
71192.xword 0
71193.xword 0x0002003d | (6 << 18) !ma_ctl_Ld (6)
71194
71195.xword 0
71196.xword 0x00020141 | (6 << 18) !ma_ctl_St (6)
71197
71198.xword 0x80604020
71199.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (6)
71200
71201.xword 0x356a
71202.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (6)
71203
71204.xword 0x0000006000408020
71205.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
71206
71207.xword 0x6a35
71208.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
71209
71210.xword 0x78285050
71211.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
71212
71213.xword 0x80604020
71214.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
71215
71216.xword 0x00287850
71217.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (6)
71218
71219.xword 0x00287850
71220.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (6)
71221
71222.xword 0x78285050
71223.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (6)
71224
71225.xword 0x64500000003c0000
71226.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
71227
71228.xword 0x7766000000554422
71229.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
71230
71231.xword 0x706200000054462a
71232.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
71233
71234.xword 0x784d005800423721
71235.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
71236
71237.xword 0x804d006000504020
71238.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
71239
71240.xword 0x0000006000408020
71241.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
71242
71243.xword 0
71244.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (6)
71245
71246.xword 0
71247.xword 0x00020044 | (6 << 18) !ma_ctl_Ld (7)
71248
71249.xword 0
71250.xword 0x00020131 | (6 << 18) !ma_ctl_St (7)
71251
71252.xword 0x80604020
71253.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (7)
71254
71255.xword 0x356a
71256.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (7)
71257
71258.xword 0x0000006000408020
71259.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
71260
71261.xword 0x6a35
71262.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
71263
71264.xword 0x78285050
71265.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
71266
71267.xword 0x80604020
71268.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
71269
71270.xword 0x00287850
71271.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (7)
71272
71273.xword 0x00287850
71274.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (7)
71275
71276.xword 0x78285050
71277.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (7)
71278
71279.xword 0x64500000003c0000
71280.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
71281
71282.xword 0x7766000000554422
71283.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
71284
71285.xword 0x706200000054462a
71286.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
71287
71288.xword 0x784d005800423721
71289.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
71290
71291.xword 0x804d006000504020
71292.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
71293
71294.xword 0x0000006000408020
71295.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
71296
71297.xword 0
71298.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (7)
71299
71300.xword 0
71301.xword 0x0002005b | (6 << 18) !ma_ctl_Ld (8)
71302
71303.xword 0
71304.xword 0x0002013d | (6 << 18) !ma_ctl_St (8)
71305
71306.xword 0x80604020
71307.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (8)
71308
71309.xword 0x356a
71310.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (8)
71311
71312.xword 0x0000006000408020
71313.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
71314
71315.xword 0x6a35
71316.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
71317
71318.xword 0x78285050
71319.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
71320
71321.xword 0x80604020
71322.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
71323
71324.xword 0x00287850
71325.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (8)
71326
71327.xword 0x00287850
71328.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
71329
71330.xword 0x78285050
71331.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (8)
71332
71333.xword 0x64500000003c0000
71334.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
71335
71336.xword 0x7766000000554422
71337.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
71338
71339.xword 0x706200000054462a
71340.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
71341
71342.xword 0x784d005800423721
71343.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
71344
71345.xword 0x804d006000504020
71346.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
71347
71348.xword 0x0000006000408020
71349.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
71350
71351.xword 0
71352.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (8)
71353
71354.xword 0
71355.xword 0x00020072 | (6 << 18) !ma_ctl_Ld (9)
71356
71357.xword 0
71358.xword 0x0002011f | (6 << 18) !ma_ctl_St (9)
71359
71360.xword 0x80604020
71361.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (9)
71362
71363.xword 0x356a
71364.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
71365
71366.xword 0x0000006000408020
71367.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
71368
71369.xword 0x6a35
71370.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
71371
71372.xword 0x78285050
71373.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
71374
71375.xword 0x80604020
71376.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
71377
71378.xword 0x00287850
71379.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (9)
71380
71381.xword 0x00287850
71382.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (9)
71383
71384.xword 0x78285050
71385.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (9)
71386
71387.xword 0x64500000003c0000
71388.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
71389
71390.xword 0x7766000000554422
71391.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
71392
71393.xword 0x706200000054462a
71394.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
71395
71396.xword 0x784d005800423721
71397.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
71398
71399.xword 0x804d006000504020
71400.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
71401
71402.xword 0x0000006000408020
71403.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
71404
71405.xword 0
71406.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (9)
71407
71408.xword 0
71409.xword 0x00020053 | (6 << 18) !ma_ctl_Ld (10)
71410
71411.xword 0
71412.xword 0x00020170 | (6 << 18) !ma_ctl_St (10)
71413
71414.xword 0x80604020
71415.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (10)
71416
71417.xword 0x356a
71418.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (10)
71419
71420.xword 0x0000006000408020
71421.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
71422
71423.xword 0x6a35
71424.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
71425
71426.xword 0x78285050
71427.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
71428
71429.xword 0x80604020
71430.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
71431
71432.xword 0x00287850
71433.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (10)
71434
71435.xword 0x00287850
71436.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (10)
71437
71438.xword 0x78285050
71439.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
71440
71441.xword 0x64500000003c0000
71442.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
71443
71444.xword 0x7766000000554422
71445.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
71446
71447.xword 0x706200000054462a
71448.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
71449
71450.xword 0x784d005800423721
71451.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
71452
71453.xword 0x804d006000504020
71454.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
71455
71456.xword 0x0000006000408020
71457.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
71458
71459.xword 0
71460.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (10)
71461
71462.xword 0
71463.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (11)
71464
71465.xword 0
71466.xword 0x00020163 | (6 << 18) !ma_ctl_St (11)
71467
71468.xword 0x80604020
71469.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (11)
71470
71471.xword 0x356a
71472.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (11)
71473
71474.xword 0x0000006000408020
71475.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
71476
71477.xword 0x6a35
71478.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
71479
71480.xword 0x78285050
71481.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
71482
71483.xword 0x80604020
71484.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
71485
71486.xword 0x00287850
71487.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (11)
71488
71489.xword 0x00287850
71490.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (11)
71491
71492.xword 0x78285050
71493.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (11)
71494
71495.xword 0x64500000003c0000
71496.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
71497
71498.xword 0x7766000000554422
71499.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
71500
71501.xword 0x706200000054462a
71502.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
71503
71504.xword 0x784d005800423721
71505.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
71506
71507.xword 0x804d006000504020
71508.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
71509
71510.xword 0x0000006000408020
71511.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
71512
71513.xword 0
71514.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (11)
71515
71516.xword 0
71517.xword 0x0002009b | (6 << 18) !ma_ctl_Ld (12)
71518
71519.xword 0
71520.xword 0x0002017d | (6 << 18) !ma_ctl_St (12)
71521
71522.xword 0x80604020
71523.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (12)
71524
71525.xword 0x356a
71526.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (12)
71527
71528.xword 0x0000006000408020
71529.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
71530
71531.xword 0x6a35
71532.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
71533
71534.xword 0x78285050
71535.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
71536
71537.xword 0x80604020
71538.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
71539
71540.xword 0x00287850
71541.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (12)
71542
71543.xword 0x00287850
71544.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (12)
71545
71546.xword 0x78285050
71547.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (12)
71548
71549.xword 0x64500000003c0000
71550.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
71551
71552.xword 0x7766000000554422
71553.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
71554
71555.xword 0x706200000054462a
71556.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
71557
71558.xword 0x784d005800423721
71559.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
71560
71561.xword 0x804d006000504020
71562.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
71563
71564.xword 0x0000006000408020
71565.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
71566
71567.xword 0
71568.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (12)
71569
71570.xword 0
71571.xword 0x00020071 | (6 << 18) !ma_ctl_Ld (13)
71572
71573.xword 0
71574.xword 0x0002015f | (6 << 18) !ma_ctl_St (13)
71575
71576.xword 0x80604020
71577.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (13)
71578
71579.xword 0x356a
71580.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (13)
71581
71582.xword 0x0000006000408020
71583.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
71584
71585.xword 0x6a35
71586.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
71587
71588.xword 0x78285050
71589.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
71590
71591.xword 0x80604020
71592.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
71593
71594.xword 0x00287850
71595.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (13)
71596
71597.xword 0x00287850
71598.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (13)
71599
71600.xword 0x78285050
71601.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (13)
71602
71603.xword 0x64500000003c0000
71604.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
71605
71606.xword 0x7766000000554422
71607.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
71608
71609.xword 0x706200000054462a
71610.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
71611
71612.xword 0x784d005800423721
71613.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
71614
71615.xword 0x804d006000504020
71616.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
71617
71618.xword 0x0000006000408020
71619.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
71620
71621.xword 0
71622.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (13)
71623
71624.xword 0
71625.xword 0x00020035 | (6 << 18) !ma_ctl_Ld (14)
71626
71627.xword 0
71628.xword 0x0002018a | (6 << 18) !ma_ctl_St (14)
71629
71630.xword 0x80604020
71631.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (14)
71632
71633.xword 0x356a
71634.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (14)
71635
71636.xword 0x0000006000408020
71637.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
71638
71639.xword 0x6a35
71640.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
71641
71642.xword 0x78285050
71643.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
71644
71645.xword 0x80604020
71646.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
71647
71648.xword 0x00287850
71649.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (14)
71650
71651.xword 0x00287850
71652.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (14)
71653
71654.xword 0x78285050
71655.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (14)
71656
71657.xword 0x64500000003c0000
71658.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
71659
71660.xword 0x7766000000554422
71661.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
71662
71663.xword 0x706200000054462a
71664.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
71665
71666.xword 0x784d005800423721
71667.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
71668
71669.xword 0x804d006000504020
71670.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
71671
71672.xword 0x0000006000408020
71673.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
71674
71675.xword 0
71676.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (14)
71677
71678.xword 0
71679.xword 0x0002001e | (6 << 18) !ma_ctl_Ld (15)
71680
71681.xword 0
71682.xword 0x0002012d | (6 << 18) !ma_ctl_St (15)
71683
71684.xword 0x80604020
71685.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (15)
71686
71687.xword 0x356a
71688.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (15)
71689
71690.xword 0x0000006000408020
71691.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
71692
71693.xword 0x6a35
71694.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
71695
71696.xword 0x78285050
71697.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
71698
71699.xword 0x80604020
71700.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
71701
71702.xword 0x00287850
71703.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (15)
71704
71705.xword 0x00287850
71706.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (15)
71707
71708.xword 0x78285050
71709.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (15)
71710
71711.xword 0x64500000003c0000
71712.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
71713
71714.xword 0x7766000000554422
71715.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
71716
71717.xword 0x706200000054462a
71718.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
71719
71720.xword 0x784d005800423721
71721.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
71722
71723.xword 0x804d006000504020
71724.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
71725
71726.xword 0x0000006000408020
71727.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
71728
71729.xword 0
71730.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (15)
71731
71732.xword 0
71733.xword 0x00020022 | (6 << 18) !ma_ctl_Ld (16)
71734
71735.xword 0
71736.xword 0x00020155 | (6 << 18) !ma_ctl_St (16)
71737
71738.xword 0x80604020
71739.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (16)
71740
71741.xword 0x356a
71742.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (16)
71743
71744.xword 0x0000006000408020
71745.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
71746
71747.xword 0x6a35
71748.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
71749
71750.xword 0x78285050
71751.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
71752
71753.xword 0x80604020
71754.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
71755
71756.xword 0x00287850
71757.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (16)
71758
71759.xword 0x00287850
71760.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (16)
71761
71762.xword 0x78285050
71763.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (16)
71764
71765.xword 0x64500000003c0000
71766.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
71767
71768.xword 0x7766000000554422
71769.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
71770
71771.xword 0x706200000054462a
71772.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
71773
71774.xword 0x784d005800423721
71775.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
71776
71777.xword 0x804d006000504020
71778.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
71779
71780.xword 0x0000006000408020
71781.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
71782
71783.xword 0
71784.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (16)
71785
71786.xword 0
71787.xword 0x00020093 | (6 << 18) !ma_ctl_Ld (17)
71788
71789.xword 0
71790.xword 0x00020186 | (6 << 18) !ma_ctl_St (17)
71791
71792.xword 0x80604020
71793.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (17)
71794
71795.xword 0x356a
71796.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (17)
71797
71798.xword 0x0000006000408020
71799.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
71800
71801.xword 0x6a35
71802.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
71803
71804.xword 0x78285050
71805.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
71806
71807.xword 0x80604020
71808.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
71809
71810.xword 0x00287850
71811.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (17)
71812
71813.xword 0x00287850
71814.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (17)
71815
71816.xword 0x78285050
71817.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (17)
71818
71819.xword 0x64500000003c0000
71820.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
71821
71822.xword 0x7766000000554422
71823.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
71824
71825.xword 0x706200000054462a
71826.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
71827
71828.xword 0x784d005800423721
71829.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
71830
71831.xword 0x804d006000504020
71832.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
71833
71834.xword 0x0000006000408020
71835.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
71836
71837.xword 0
71838.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (17)
71839
71840.xword 0
71841.xword 0x0002002f | (6 << 18) !ma_ctl_Ld (18)
71842
71843.xword 0
71844.xword 0x0002014a | (6 << 18) !ma_ctl_St (18)
71845
71846.xword 0x80604020
71847.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (18)
71848
71849.xword 0x356a
71850.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (18)
71851
71852.xword 0x0000006000408020
71853.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
71854
71855.xword 0x6a35
71856.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
71857
71858.xword 0x78285050
71859.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
71860
71861.xword 0x80604020
71862.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
71863
71864.xword 0x00287850
71865.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (18)
71866
71867.xword 0x00287850
71868.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (18)
71869
71870.xword 0x78285050
71871.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (18)
71872
71873.xword 0x64500000003c0000
71874.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
71875
71876.xword 0x7766000000554422
71877.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
71878
71879.xword 0x706200000054462a
71880.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
71881
71882.xword 0x784d005800423721
71883.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
71884
71885.xword 0x804d006000504020
71886.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
71887
71888.xword 0x0000006000408020
71889.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
71890
71891.xword 0
71892.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (18)
71893
71894.xword 0
71895.xword 0x00020036 | (6 << 18) !ma_ctl_Ld (19)
71896
71897.xword 0
71898.xword 0x0002016a | (6 << 18) !ma_ctl_St (19)
71899
71900.xword 0x80604020
71901.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (19)
71902
71903.xword 0x356a
71904.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (19)
71905
71906.xword 0x0000006000408020
71907.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
71908
71909.xword 0x6a35
71910.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
71911
71912.xword 0x78285050
71913.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
71914
71915.xword 0x80604020
71916.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
71917
71918.xword 0x00287850
71919.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (19)
71920
71921.xword 0x00287850
71922.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (19)
71923
71924.xword 0x78285050
71925.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
71926
71927.xword 0x64500000003c0000
71928.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
71929
71930.xword 0x7766000000554422
71931.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
71932
71933.xword 0x706200000054462a
71934.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
71935
71936.xword 0x784d005800423721
71937.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
71938
71939.xword 0x804d006000504020
71940.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
71941
71942.xword 0x0000006000408020
71943.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
71944
71945.xword 0
71946.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (19)
71947
71948.xword 0
71949.xword 0x00020093 | (6 << 18) !ma_ctl_Ld (20)
71950
71951.xword 0
71952.xword 0x0002013e | (6 << 18) !ma_ctl_St (20)
71953
71954.xword 0x80604020
71955.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (20)
71956
71957.xword 0x356a
71958.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (20)
71959
71960.xword 0x0000006000408020
71961.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
71962
71963.xword 0x6a35
71964.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
71965
71966.xword 0x78285050
71967.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
71968
71969.xword 0x80604020
71970.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
71971
71972.xword 0x00287850
71973.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (20)
71974
71975.xword 0x00287850
71976.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (20)
71977
71978.xword 0x78285050
71979.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (20)
71980
71981.xword 0x64500000003c0000
71982.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
71983
71984.xword 0x7766000000554422
71985.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
71986
71987.xword 0x706200000054462a
71988.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
71989
71990.xword 0x784d005800423721
71991.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
71992
71993.xword 0x804d006000504020
71994.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
71995
71996.xword 0x0000006000408020
71997.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
71998
71999.xword 0
72000.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (20)
72001
72002.xword 0
72003.xword 0x00020020 | (6 << 18) !ma_ctl_Ld (21)
72004
72005.xword 0
72006.xword 0x00020129 | (6 << 18) !ma_ctl_St (21)
72007
72008.xword 0x80604020
72009.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (21)
72010
72011.xword 0x356a
72012.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (21)
72013
72014.xword 0x0000006000408020
72015.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
72016
72017.xword 0x6a35
72018.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
72019
72020.xword 0x78285050
72021.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
72022
72023.xword 0x80604020
72024.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
72025
72026.xword 0x00287850
72027.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (21)
72028
72029.xword 0x00287850
72030.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (21)
72031
72032.xword 0x78285050
72033.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (21)
72034
72035.xword 0x64500000003c0000
72036.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
72037
72038.xword 0x7766000000554422
72039.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
72040
72041.xword 0x706200000054462a
72042.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
72043
72044.xword 0x784d005800423721
72045.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
72046
72047.xword 0x804d006000504020
72048.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
72049
72050.xword 0x0000006000408020
72051.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
72052
72053.xword 0
72054.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (21)
72055
72056.xword 0
72057.xword 0x00020076 | (6 << 18) !ma_ctl_Ld (22)
72058
72059.xword 0
72060.xword 0x00020150 | (6 << 18) !ma_ctl_St (22)
72061
72062.xword 0x80604020
72063.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (22)
72064
72065.xword 0x356a
72066.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (22)
72067
72068.xword 0x0000006000408020
72069.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
72070
72071.xword 0x6a35
72072.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
72073
72074.xword 0x78285050
72075.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
72076
72077.xword 0x80604020
72078.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
72079
72080.xword 0x00287850
72081.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (22)
72082
72083.xword 0x00287850
72084.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (22)
72085
72086.xword 0x78285050
72087.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (22)
72088
72089.xword 0x64500000003c0000
72090.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
72091
72092.xword 0x7766000000554422
72093.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
72094
72095.xword 0x706200000054462a
72096.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
72097
72098.xword 0x784d005800423721
72099.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
72100
72101.xword 0x804d006000504020
72102.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
72103
72104.xword 0x0000006000408020
72105.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
72106
72107.xword 0
72108.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (22)
72109
72110.xword 0
72111.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (23)
72112
72113.xword 0
72114.xword 0x0002015b | (6 << 18) !ma_ctl_St (23)
72115
72116.xword 0x80604020
72117.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (23)
72118
72119.xword 0x356a
72120.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (23)
72121
72122.xword 0x0000006000408020
72123.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
72124
72125.xword 0x6a35
72126.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
72127
72128.xword 0x78285050
72129.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
72130
72131.xword 0x80604020
72132.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
72133
72134.xword 0x00287850
72135.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (23)
72136
72137.xword 0x00287850
72138.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (23)
72139
72140.xword 0x78285050
72141.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (23)
72142
72143.xword 0x64500000003c0000
72144.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
72145
72146.xword 0x7766000000554422
72147.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
72148
72149.xword 0x706200000054462a
72150.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
72151
72152.xword 0x784d005800423721
72153.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
72154
72155.xword 0x804d006000504020
72156.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
72157
72158.xword 0x0000006000408020
72159.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
72160
72161.xword 0
72162.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (23)
72163
72164.xword 0
72165.xword 0x0002008e | (6 << 18) !ma_ctl_Ld (24)
72166
72167.xword 0
72168.xword 0x0002014e | (6 << 18) !ma_ctl_St (24)
72169
72170.xword 0x80604020
72171.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (24)
72172
72173.xword 0x356a
72174.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (24)
72175
72176.xword 0x0000006000408020
72177.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
72178
72179.xword 0x6a35
72180.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
72181
72182.xword 0x78285050
72183.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
72184
72185.xword 0x80604020
72186.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
72187
72188.xword 0x00287850
72189.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (24)
72190
72191.xword 0x00287850
72192.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (24)
72193
72194.xword 0x78285050
72195.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (24)
72196
72197.xword 0x64500000003c0000
72198.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
72199
72200.xword 0x7766000000554422
72201.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
72202
72203.xword 0x706200000054462a
72204.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
72205
72206.xword 0x784d005800423721
72207.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
72208
72209.xword 0x804d006000504020
72210.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
72211
72212.xword 0x0000006000408020
72213.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
72214
72215.xword 0
72216.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (24)
72217
72218.xword 0
72219.xword 0x00020085 | (6 << 18) !ma_ctl_Ld (25)
72220
72221.xword 0
72222.xword 0x0002017a | (6 << 18) !ma_ctl_St (25)
72223
72224.xword 0x80604020
72225.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (25)
72226
72227.xword 0x356a
72228.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (25)
72229
72230.xword 0x0000006000408020
72231.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
72232
72233.xword 0x6a35
72234.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
72235
72236.xword 0x78285050
72237.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
72238
72239.xword 0x80604020
72240.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
72241
72242.xword 0x00287850
72243.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (25)
72244
72245.xword 0x00287850
72246.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (25)
72247
72248.xword 0x78285050
72249.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (25)
72250
72251.xword 0x64500000003c0000
72252.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
72253
72254.xword 0x7766000000554422
72255.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
72256
72257.xword 0x706200000054462a
72258.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
72259
72260.xword 0x784d005800423721
72261.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
72262
72263.xword 0x804d006000504020
72264.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
72265
72266.xword 0x0000006000408020
72267.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
72268
72269.xword 0
72270.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (25)
72271
72272.xword 0
72273.xword 0x00020033 | (6 << 18) !ma_ctl_Ld (26)
72274
72275.xword 0
72276.xword 0x0002017e | (6 << 18) !ma_ctl_St (26)
72277
72278.xword 0x80604020
72279.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (26)
72280
72281.xword 0x356a
72282.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (26)
72283
72284.xword 0x0000006000408020
72285.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
72286
72287.xword 0x6a35
72288.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
72289
72290.xword 0x78285050
72291.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
72292
72293.xword 0x80604020
72294.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
72295
72296.xword 0x00287850
72297.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (26)
72298
72299.xword 0x00287850
72300.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (26)
72301
72302.xword 0x78285050
72303.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (26)
72304
72305.xword 0x64500000003c0000
72306.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
72307
72308.xword 0x7766000000554422
72309.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
72310
72311.xword 0x706200000054462a
72312.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
72313
72314.xword 0x784d005800423721
72315.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
72316
72317.xword 0x804d006000504020
72318.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
72319
72320.xword 0x0000006000408020
72321.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
72322
72323.xword 0
72324.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (26)
72325
72326.xword 0
72327.xword 0x00020088 | (6 << 18) !ma_ctl_Ld (27)
72328
72329.xword 0
72330.xword 0x0002012f | (6 << 18) !ma_ctl_St (27)
72331
72332.xword 0x80604020
72333.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (27)
72334
72335.xword 0x356a
72336.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (27)
72337
72338.xword 0x0000006000408020
72339.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
72340
72341.xword 0x6a35
72342.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
72343
72344.xword 0x78285050
72345.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
72346
72347.xword 0x80604020
72348.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
72349
72350.xword 0x00287850
72351.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (27)
72352
72353.xword 0x00287850
72354.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (27)
72355
72356.xword 0x78285050
72357.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (27)
72358
72359.xword 0x64500000003c0000
72360.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
72361
72362.xword 0x7766000000554422
72363.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
72364
72365.xword 0x706200000054462a
72366.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
72367
72368.xword 0x784d005800423721
72369.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
72370
72371.xword 0x804d006000504020
72372.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
72373
72374.xword 0x0000006000408020
72375.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
72376
72377.xword 0
72378.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (27)
72379
72380.xword 0
72381.xword 0x00020022 | (6 << 18) !ma_ctl_Ld (28)
72382
72383.xword 0
72384.xword 0x00020190 | (6 << 18) !ma_ctl_St (28)
72385
72386.xword 0x80604020
72387.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (28)
72388
72389.xword 0x356a
72390.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (28)
72391
72392.xword 0x0000006000408020
72393.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
72394
72395.xword 0x6a35
72396.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
72397
72398.xword 0x78285050
72399.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
72400
72401.xword 0x80604020
72402.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
72403
72404.xword 0x00287850
72405.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (28)
72406
72407.xword 0x00287850
72408.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (28)
72409
72410.xword 0x78285050
72411.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (28)
72412
72413.xword 0x64500000003c0000
72414.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
72415
72416.xword 0x7766000000554422
72417.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
72418
72419.xword 0x706200000054462a
72420.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
72421
72422.xword 0x784d005800423721
72423.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
72424
72425.xword 0x804d006000504020
72426.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
72427
72428.xword 0x0000006000408020
72429.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
72430
72431.xword 0
72432.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (28)
72433
72434.xword 0
72435.xword 0x0002009d | (6 << 18) !ma_ctl_Ld (29)
72436
72437.xword 0
72438.xword 0x00020122 | (6 << 18) !ma_ctl_St (29)
72439
72440.xword 0x80604020
72441.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (29)
72442
72443.xword 0x356a
72444.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (29)
72445
72446.xword 0x0000006000408020
72447.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
72448
72449.xword 0x6a35
72450.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
72451
72452.xword 0x78285050
72453.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
72454
72455.xword 0x80604020
72456.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
72457
72458.xword 0x00287850
72459.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (29)
72460
72461.xword 0x00287850
72462.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (29)
72463
72464.xword 0x78285050
72465.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (29)
72466
72467.xword 0x64500000003c0000
72468.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
72469
72470.xword 0x7766000000554422
72471.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
72472
72473.xword 0x706200000054462a
72474.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
72475
72476.xword 0x784d005800423721
72477.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
72478
72479.xword 0x804d006000504020
72480.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
72481
72482.xword 0x0000006000408020
72483.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
72484
72485.xword 0
72486.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (29)
72487
72488.xword 0
72489.xword 0x00020077 | (6 << 18) !ma_ctl_Ld (30)
72490
72491.xword 0
72492.xword 0x00020174 | (6 << 18) !ma_ctl_St (30)
72493
72494.xword 0x80604020
72495.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (30)
72496
72497.xword 0x356a
72498.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (30)
72499
72500.xword 0x0000006000408020
72501.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
72502
72503.xword 0x6a35
72504.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
72505
72506.xword 0x78285050
72507.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
72508
72509.xword 0x80604020
72510.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
72511
72512.xword 0x00287850
72513.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (30)
72514
72515.xword 0x00287850
72516.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (30)
72517
72518.xword 0x78285050
72519.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (30)
72520
72521.xword 0x64500000003c0000
72522.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
72523
72524.xword 0x7766000000554422
72525.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
72526
72527.xword 0x706200000054462a
72528.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
72529
72530.xword 0x784d005800423721
72531.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
72532
72533.xword 0x804d006000504020
72534.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
72535
72536.xword 0x0000006000408020
72537.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
72538
72539.xword 0
72540.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (30)
72541
72542.xword 0
72543.xword 0x0002009a | (6 << 18) !ma_ctl_Ld (31)
72544
72545.xword 0
72546.xword 0x00020168 | (6 << 18) !ma_ctl_St (31)
72547
72548.xword 0x80604020
72549.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (31)
72550
72551.xword 0x356a
72552.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (31)
72553
72554.xword 0x0000006000408020
72555.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
72556
72557.xword 0x6a35
72558.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
72559
72560.xword 0x78285050
72561.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
72562
72563.xword 0x80604020
72564.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
72565
72566.xword 0x00287850
72567.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (31)
72568
72569.xword 0x00287850
72570.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (31)
72571
72572.xword 0x78285050
72573.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (31)
72574
72575.xword 0x64500000003c0000
72576.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
72577
72578.xword 0x7766000000554422
72579.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
72580
72581.xword 0x706200000054462a
72582.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
72583
72584.xword 0x784d005800423721
72585.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
72586
72587.xword 0x804d006000504020
72588.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
72589
72590.xword 0x0000006000408020
72591.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
72592
72593.xword 0
72594.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (31)
72595
72596.xword 0
72597.xword 0x00020042 | (6 << 18) !ma_ctl_Ld (32)
72598
72599.xword 0
72600.xword 0x0002012f | (6 << 18) !ma_ctl_St (32)
72601
72602.xword 0x80604020
72603.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (32)
72604
72605.xword 0x356a
72606.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (32)
72607
72608.xword 0x0000006000408020
72609.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
72610
72611.xword 0x6a35
72612.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
72613
72614.xword 0x78285050
72615.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
72616
72617.xword 0x80604020
72618.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
72619
72620.xword 0x00287850
72621.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (32)
72622
72623.xword 0x00287850
72624.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (32)
72625
72626.xword 0x78285050
72627.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (32)
72628
72629.xword 0x64500000003c0000
72630.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
72631
72632.xword 0x7766000000554422
72633.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
72634
72635.xword 0x706200000054462a
72636.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
72637
72638.xword 0x784d005800423721
72639.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
72640
72641.xword 0x804d006000504020
72642.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
72643
72644.xword 0x0000006000408020
72645.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
72646
72647.xword 0
72648.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (32)
72649
72650.xword 0
72651.xword 0x00020022 | (6 << 18) !ma_ctl_Ld (33)
72652
72653.xword 0
72654.xword 0x0002017e | (6 << 18) !ma_ctl_St (33)
72655
72656.xword 0x80604020
72657.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (33)
72658
72659.xword 0x356a
72660.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (33)
72661
72662.xword 0x0000006000408020
72663.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
72664
72665.xword 0x6a35
72666.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
72667
72668.xword 0x78285050
72669.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
72670
72671.xword 0x80604020
72672.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
72673
72674.xword 0x00287850
72675.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (33)
72676
72677.xword 0x00287850
72678.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (33)
72679
72680.xword 0x78285050
72681.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (33)
72682
72683.xword 0x64500000003c0000
72684.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
72685
72686.xword 0x7766000000554422
72687.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
72688
72689.xword 0x706200000054462a
72690.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
72691
72692.xword 0x784d005800423721
72693.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
72694
72695.xword 0x804d006000504020
72696.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
72697
72698.xword 0x0000006000408020
72699.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
72700
72701.xword 0
72702.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (33)
72703
72704.xword 0
72705.xword 0x00020032 | (6 << 18) !ma_ctl_Ld (34)
72706
72707.xword 0
72708.xword 0x00020147 | (6 << 18) !ma_ctl_St (34)
72709
72710.xword 0x80604020
72711.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (34)
72712
72713.xword 0x356a
72714.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (34)
72715
72716.xword 0x0000006000408020
72717.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
72718
72719.xword 0x6a35
72720.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
72721
72722.xword 0x78285050
72723.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
72724
72725.xword 0x80604020
72726.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
72727
72728.xword 0x00287850
72729.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (34)
72730
72731.xword 0x00287850
72732.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (34)
72733
72734.xword 0x78285050
72735.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (34)
72736
72737.xword 0x64500000003c0000
72738.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
72739
72740.xword 0x7766000000554422
72741.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
72742
72743.xword 0x706200000054462a
72744.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
72745
72746.xword 0x784d005800423721
72747.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
72748
72749.xword 0x804d006000504020
72750.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
72751
72752.xword 0x0000006000408020
72753.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
72754
72755.xword 0
72756.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (34)
72757
72758.xword 0
72759.xword 0x00020088 | (6 << 18) !ma_ctl_Ld (35)
72760
72761.xword 0
72762.xword 0x0002013a | (6 << 18) !ma_ctl_St (35)
72763
72764.xword 0x80604020
72765.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (35)
72766
72767.xword 0x356a
72768.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (35)
72769
72770.xword 0x0000006000408020
72771.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
72772
72773.xword 0x6a35
72774.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
72775
72776.xword 0x78285050
72777.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
72778
72779.xword 0x80604020
72780.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
72781
72782.xword 0x00287850
72783.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (35)
72784
72785.xword 0x00287850
72786.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (35)
72787
72788.xword 0x78285050
72789.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (35)
72790
72791.xword 0x64500000003c0000
72792.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
72793
72794.xword 0x7766000000554422
72795.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
72796
72797.xword 0x706200000054462a
72798.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
72799
72800.xword 0x784d005800423721
72801.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
72802
72803.xword 0x804d006000504020
72804.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
72805
72806.xword 0x0000006000408020
72807.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
72808
72809.xword 0
72810.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (35)
72811
72812.xword 0
72813.xword 0x0002007b | (6 << 18) !ma_ctl_Ld (36)
72814
72815.xword 0
72816.xword 0x0002014c | (6 << 18) !ma_ctl_St (36)
72817
72818.xword 0x80604020
72819.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (36)
72820
72821.xword 0x356a
72822.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (36)
72823
72824.xword 0x0000006000408020
72825.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
72826
72827.xword 0x6a35
72828.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
72829
72830.xword 0x78285050
72831.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
72832
72833.xword 0x80604020
72834.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
72835
72836.xword 0x00287850
72837.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (36)
72838
72839.xword 0x00287850
72840.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (36)
72841
72842.xword 0x78285050
72843.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (36)
72844
72845.xword 0x64500000003c0000
72846.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
72847
72848.xword 0x7766000000554422
72849.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
72850
72851.xword 0x706200000054462a
72852.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
72853
72854.xword 0x784d005800423721
72855.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
72856
72857.xword 0x804d006000504020
72858.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
72859
72860.xword 0x0000006000408020
72861.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
72862
72863.xword 0
72864.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (36)
72865
72866.xword 0
72867.xword 0x00020066 | (6 << 18) !ma_ctl_Ld (37)
72868
72869.xword 0
72870.xword 0x0002016b | (6 << 18) !ma_ctl_St (37)
72871
72872.xword 0x80604020
72873.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (37)
72874
72875.xword 0x356a
72876.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (37)
72877
72878.xword 0x0000006000408020
72879.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
72880
72881.xword 0x6a35
72882.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
72883
72884.xword 0x78285050
72885.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
72886
72887.xword 0x80604020
72888.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
72889
72890.xword 0x00287850
72891.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (37)
72892
72893.xword 0x00287850
72894.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (37)
72895
72896.xword 0x78285050
72897.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (37)
72898
72899.xword 0x64500000003c0000
72900.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
72901
72902.xword 0x7766000000554422
72903.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
72904
72905.xword 0x706200000054462a
72906.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
72907
72908.xword 0x784d005800423721
72909.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
72910
72911.xword 0x804d006000504020
72912.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
72913
72914.xword 0x0000006000408020
72915.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
72916
72917.xword 0
72918.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (37)
72919
72920.xword 0
72921.xword 0x0002007b | (6 << 18) !ma_ctl_Ld (38)
72922
72923.xword 0
72924.xword 0x0002016a | (6 << 18) !ma_ctl_St (38)
72925
72926.xword 0x80604020
72927.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (38)
72928
72929.xword 0x356a
72930.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (38)
72931
72932.xword 0x0000006000408020
72933.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
72934
72935.xword 0x6a35
72936.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
72937
72938.xword 0x78285050
72939.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
72940
72941.xword 0x80604020
72942.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
72943
72944.xword 0x00287850
72945.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (38)
72946
72947.xword 0x00287850
72948.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (38)
72949
72950.xword 0x78285050
72951.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (38)
72952
72953.xword 0x64500000003c0000
72954.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
72955
72956.xword 0x7766000000554422
72957.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
72958
72959.xword 0x706200000054462a
72960.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
72961
72962.xword 0x784d005800423721
72963.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
72964
72965.xword 0x804d006000504020
72966.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
72967
72968.xword 0x0000006000408020
72969.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
72970
72971.xword 0
72972.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (38)
72973
72974.xword 0
72975.xword 0x00020070 | (6 << 18) !ma_ctl_Ld (39)
72976
72977.xword 0
72978.xword 0x00020128 | (6 << 18) !ma_ctl_St (39)
72979
72980.xword 0x80604020
72981.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (39)
72982
72983.xword 0x356a
72984.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (39)
72985
72986.xword 0x0000006000408020
72987.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
72988
72989.xword 0x6a35
72990.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
72991
72992.xword 0x78285050
72993.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
72994
72995.xword 0x80604020
72996.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
72997
72998.xword 0x00287850
72999.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (39)
73000
73001.xword 0x00287850
73002.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (39)
73003
73004.xword 0x78285050
73005.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (39)
73006
73007.xword 0x64500000003c0000
73008.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
73009
73010.xword 0x7766000000554422
73011.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
73012
73013.xword 0x706200000054462a
73014.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
73015
73016.xword 0x784d005800423721
73017.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
73018
73019.xword 0x804d006000504020
73020.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
73021
73022.xword 0x0000006000408020
73023.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
73024
73025.xword 0
73026.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (39)
73027
73028.xword 0
73029.xword 0x00020073 | (6 << 18) !ma_ctl_Ld (40)
73030
73031.xword 0
73032.xword 0x0002015f | (6 << 18) !ma_ctl_St (40)
73033
73034.xword 0x80604020
73035.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (40)
73036
73037.xword 0x356a
73038.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (40)
73039
73040.xword 0x0000006000408020
73041.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
73042
73043.xword 0x6a35
73044.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
73045
73046.xword 0x78285050
73047.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
73048
73049.xword 0x80604020
73050.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
73051
73052.xword 0x00287850
73053.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (40)
73054
73055.xword 0x00287850
73056.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (40)
73057
73058.xword 0x78285050
73059.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (40)
73060
73061.xword 0x64500000003c0000
73062.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
73063
73064.xword 0x7766000000554422
73065.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
73066
73067.xword 0x706200000054462a
73068.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
73069
73070.xword 0x784d005800423721
73071.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
73072
73073.xword 0x804d006000504020
73074.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
73075
73076.xword 0x0000006000408020
73077.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
73078
73079.xword 0
73080.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (40)
73081
73082.xword 0
73083.xword 0x00020055 | (6 << 18) !ma_ctl_Ld (41)
73084
73085.xword 0
73086.xword 0x00020142 | (6 << 18) !ma_ctl_St (41)
73087
73088.xword 0x80604020
73089.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (41)
73090
73091.xword 0x356a
73092.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (41)
73093
73094.xword 0x0000006000408020
73095.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
73096
73097.xword 0x6a35
73098.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
73099
73100.xword 0x78285050
73101.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
73102
73103.xword 0x80604020
73104.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
73105
73106.xword 0x00287850
73107.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (41)
73108
73109.xword 0x00287850
73110.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (41)
73111
73112.xword 0x78285050
73113.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (41)
73114
73115.xword 0x64500000003c0000
73116.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
73117
73118.xword 0x7766000000554422
73119.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
73120
73121.xword 0x706200000054462a
73122.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
73123
73124.xword 0x784d005800423721
73125.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
73126
73127.xword 0x804d006000504020
73128.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
73129
73130.xword 0x0000006000408020
73131.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
73132
73133.xword 0
73134.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (41)
73135
73136.xword 0
73137.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (42)
73138
73139.xword 0
73140.xword 0x00020176 | (6 << 18) !ma_ctl_St (42)
73141
73142.xword 0x80604020
73143.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (42)
73144
73145.xword 0x356a
73146.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (42)
73147
73148.xword 0x0000006000408020
73149.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
73150
73151.xword 0x6a35
73152.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
73153
73154.xword 0x78285050
73155.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
73156
73157.xword 0x80604020
73158.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
73159
73160.xword 0x00287850
73161.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (42)
73162
73163.xword 0x00287850
73164.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (42)
73165
73166.xword 0x78285050
73167.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (42)
73168
73169.xword 0x64500000003c0000
73170.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
73171
73172.xword 0x7766000000554422
73173.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
73174
73175.xword 0x706200000054462a
73176.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
73177
73178.xword 0x784d005800423721
73179.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
73180
73181.xword 0x804d006000504020
73182.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
73183
73184.xword 0x0000006000408020
73185.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
73186
73187.xword 0
73188.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (42)
73189
73190.xword 0
73191.xword 0x00020085 | (6 << 18) !ma_ctl_Ld (43)
73192
73193.xword 0
73194.xword 0x00020145 | (6 << 18) !ma_ctl_St (43)
73195
73196.xword 0x80604020
73197.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (43)
73198
73199.xword 0x356a
73200.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (43)
73201
73202.xword 0x0000006000408020
73203.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
73204
73205.xword 0x6a35
73206.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
73207
73208.xword 0x78285050
73209.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
73210
73211.xword 0x80604020
73212.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
73213
73214.xword 0x00287850
73215.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (43)
73216
73217.xword 0x00287850
73218.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (43)
73219
73220.xword 0x78285050
73221.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (43)
73222
73223.xword 0x64500000003c0000
73224.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
73225
73226.xword 0x7766000000554422
73227.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
73228
73229.xword 0x706200000054462a
73230.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
73231
73232.xword 0x784d005800423721
73233.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
73234
73235.xword 0x804d006000504020
73236.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
73237
73238.xword 0x0000006000408020
73239.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
73240
73241.xword 0
73242.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (43)
73243
73244.xword 0
73245.xword 0x00020037 | (6 << 18) !ma_ctl_Ld (44)
73246
73247.xword 0
73248.xword 0x00020157 | (6 << 18) !ma_ctl_St (44)
73249
73250.xword 0x80604020
73251.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (44)
73252
73253.xword 0x356a
73254.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (44)
73255
73256.xword 0x0000006000408020
73257.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
73258
73259.xword 0x6a35
73260.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
73261
73262.xword 0x78285050
73263.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
73264
73265.xword 0x80604020
73266.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
73267
73268.xword 0x00287850
73269.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (44)
73270
73271.xword 0x00287850
73272.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (44)
73273
73274.xword 0x78285050
73275.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (44)
73276
73277.xword 0x64500000003c0000
73278.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
73279
73280.xword 0x7766000000554422
73281.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
73282
73283.xword 0x706200000054462a
73284.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
73285
73286.xword 0x784d005800423721
73287.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
73288
73289.xword 0x804d006000504020
73290.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
73291
73292.xword 0x0000006000408020
73293.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
73294
73295.xword 0
73296.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (44)
73297
73298.xword 0
73299.xword 0x0002009c | (6 << 18) !ma_ctl_Ld (45)
73300
73301.xword 0
73302.xword 0x00020180 | (6 << 18) !ma_ctl_St (45)
73303
73304.xword 0x80604020
73305.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (45)
73306
73307.xword 0x356a
73308.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (45)
73309
73310.xword 0x0000006000408020
73311.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
73312
73313.xword 0x6a35
73314.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
73315
73316.xword 0x78285050
73317.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
73318
73319.xword 0x80604020
73320.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
73321
73322.xword 0x00287850
73323.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (45)
73324
73325.xword 0x00287850
73326.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (45)
73327
73328.xword 0x78285050
73329.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (45)
73330
73331.xword 0x64500000003c0000
73332.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
73333
73334.xword 0x7766000000554422
73335.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
73336
73337.xword 0x706200000054462a
73338.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
73339
73340.xword 0x784d005800423721
73341.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
73342
73343.xword 0x804d006000504020
73344.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
73345
73346.xword 0x0000006000408020
73347.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
73348
73349.xword 0
73350.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (45)
73351
73352.xword 0
73353.xword 0x0002004f | (6 << 18) !ma_ctl_Ld (46)
73354
73355.xword 0
73356.xword 0x00020181 | (6 << 18) !ma_ctl_St (46)
73357
73358.xword 0x80604020
73359.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (46)
73360
73361.xword 0x356a
73362.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (46)
73363
73364.xword 0x0000006000408020
73365.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
73366
73367.xword 0x6a35
73368.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
73369
73370.xword 0x78285050
73371.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
73372
73373.xword 0x80604020
73374.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
73375
73376.xword 0x00287850
73377.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (46)
73378
73379.xword 0x00287850
73380.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (46)
73381
73382.xword 0x78285050
73383.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (46)
73384
73385.xword 0x64500000003c0000
73386.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
73387
73388.xword 0x7766000000554422
73389.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
73390
73391.xword 0x706200000054462a
73392.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
73393
73394.xword 0x784d005800423721
73395.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
73396
73397.xword 0x804d006000504020
73398.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
73399
73400.xword 0x0000006000408020
73401.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
73402
73403.xword 0
73404.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (46)
73405
73406.xword 0
73407.xword 0x00020027 | (6 << 18) !ma_ctl_Ld (47)
73408
73409.xword 0
73410.xword 0x00020122 | (6 << 18) !ma_ctl_St (47)
73411
73412.xword 0x80604020
73413.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (47)
73414
73415.xword 0x356a
73416.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (47)
73417
73418.xword 0x0000006000408020
73419.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
73420
73421.xword 0x6a35
73422.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
73423
73424.xword 0x78285050
73425.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
73426
73427.xword 0x80604020
73428.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
73429
73430.xword 0x00287850
73431.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (47)
73432
73433.xword 0x00287850
73434.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (47)
73435
73436.xword 0x78285050
73437.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (47)
73438
73439.xword 0x64500000003c0000
73440.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
73441
73442.xword 0x7766000000554422
73443.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
73444
73445.xword 0x706200000054462a
73446.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
73447
73448.xword 0x784d005800423721
73449.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
73450
73451.xword 0x804d006000504020
73452.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
73453
73454.xword 0x0000006000408020
73455.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
73456
73457.xword 0
73458.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (47)
73459
73460.xword 0
73461.xword 0x00020048 | (6 << 18) !ma_ctl_Ld (48)
73462
73463.xword 0
73464.xword 0x00020179 | (6 << 18) !ma_ctl_St (48)
73465
73466.xword 0x80604020
73467.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (48)
73468
73469.xword 0x356a
73470.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (48)
73471
73472.xword 0x0000006000408020
73473.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
73474
73475.xword 0x6a35
73476.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
73477
73478.xword 0x78285050
73479.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
73480
73481.xword 0x80604020
73482.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
73483
73484.xword 0x00287850
73485.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (48)
73486
73487.xword 0x00287850
73488.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (48)
73489
73490.xword 0x78285050
73491.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (48)
73492
73493.xword 0x64500000003c0000
73494.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
73495
73496.xword 0x7766000000554422
73497.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
73498
73499.xword 0x706200000054462a
73500.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
73501
73502.xword 0x784d005800423721
73503.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
73504
73505.xword 0x804d006000504020
73506.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
73507
73508.xword 0x0000006000408020
73509.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
73510
73511.xword 0
73512.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (48)
73513
73514.xword 0
73515.xword 0x00020092 | (6 << 18) !ma_ctl_Ld (49)
73516
73517.xword 0
73518.xword 0x0002014b | (6 << 18) !ma_ctl_St (49)
73519
73520.xword 0x80604020
73521.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (49)
73522
73523.xword 0x356a
73524.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (49)
73525
73526.xword 0x0000006000408020
73527.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
73528
73529.xword 0x6a35
73530.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
73531
73532.xword 0x78285050
73533.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
73534
73535.xword 0x80604020
73536.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
73537
73538.xword 0x00287850
73539.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (49)
73540
73541.xword 0x00287850
73542.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (49)
73543
73544.xword 0x78285050
73545.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (49)
73546
73547.xword 0x64500000003c0000
73548.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
73549
73550.xword 0x7766000000554422
73551.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
73552
73553.xword 0x706200000054462a
73554.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
73555
73556.xword 0x784d005800423721
73557.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
73558
73559.xword 0x804d006000504020
73560.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
73561
73562.xword 0x0000006000408020
73563.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
73564
73565.xword 0
73566.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (49)
73567
73568.xword 0
73569.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (50)
73570
73571.xword 0
73572.xword 0x00020149 | (6 << 18) !ma_ctl_St (50)
73573
73574.xword 0x80604020
73575.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (50)
73576
73577.xword 0x356a
73578.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (50)
73579
73580.xword 0x0000006000408020
73581.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
73582
73583.xword 0x6a35
73584.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
73585
73586.xword 0x78285050
73587.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
73588
73589.xword 0x80604020
73590.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
73591
73592.xword 0x00287850
73593.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (50)
73594
73595.xword 0x00287850
73596.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (50)
73597
73598.xword 0x78285050
73599.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (50)
73600
73601.xword 0x64500000003c0000
73602.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
73603
73604.xword 0x7766000000554422
73605.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
73606
73607.xword 0x706200000054462a
73608.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
73609
73610.xword 0x784d005800423721
73611.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
73612
73613.xword 0x804d006000504020
73614.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
73615
73616.xword 0x0000006000408020
73617.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
73618
73619.xword 0
73620.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (50)
73621
73622.xword 0
73623.xword 0x0002009a | (6 << 18) !ma_ctl_Ld (51)
73624
73625.xword 0
73626.xword 0x00020182 | (6 << 18) !ma_ctl_St (51)
73627
73628.xword 0x80604020
73629.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (51)
73630
73631.xword 0x356a
73632.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (51)
73633
73634.xword 0x0000006000408020
73635.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
73636
73637.xword 0x6a35
73638.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
73639
73640.xword 0x78285050
73641.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
73642
73643.xword 0x80604020
73644.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
73645
73646.xword 0x00287850
73647.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (51)
73648
73649.xword 0x00287850
73650.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (51)
73651
73652.xword 0x78285050
73653.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (51)
73654
73655.xword 0x64500000003c0000
73656.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
73657
73658.xword 0x7766000000554422
73659.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
73660
73661.xword 0x706200000054462a
73662.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
73663
73664.xword 0x784d005800423721
73665.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
73666
73667.xword 0x804d006000504020
73668.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
73669
73670.xword 0x0000006000408020
73671.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
73672
73673.xword 0
73674.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (51)
73675
73676.xword 0
73677.xword 0x00020065 | (6 << 18) !ma_ctl_Ld (52)
73678
73679.xword 0
73680.xword 0x0002014e | (6 << 18) !ma_ctl_St (52)
73681
73682.xword 0x80604020
73683.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (52)
73684
73685.xword 0x356a
73686.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (52)
73687
73688.xword 0x0000006000408020
73689.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
73690
73691.xword 0x6a35
73692.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
73693
73694.xword 0x78285050
73695.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
73696
73697.xword 0x80604020
73698.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
73699
73700.xword 0x00287850
73701.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (52)
73702
73703.xword 0x00287850
73704.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (52)
73705
73706.xword 0x78285050
73707.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (52)
73708
73709.xword 0x64500000003c0000
73710.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
73711
73712.xword 0x7766000000554422
73713.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
73714
73715.xword 0x706200000054462a
73716.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
73717
73718.xword 0x784d005800423721
73719.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
73720
73721.xword 0x804d006000504020
73722.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
73723
73724.xword 0x0000006000408020
73725.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
73726
73727.xword 0
73728.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (52)
73729
73730.xword 0
73731.xword 0x00020081 | (6 << 18) !ma_ctl_Ld (53)
73732
73733.xword 0
73734.xword 0x00020131 | (6 << 18) !ma_ctl_St (53)
73735
73736.xword 0x80604020
73737.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (53)
73738
73739.xword 0x356a
73740.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (53)
73741
73742.xword 0x0000006000408020
73743.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
73744
73745.xword 0x6a35
73746.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
73747
73748.xword 0x78285050
73749.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
73750
73751.xword 0x80604020
73752.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
73753
73754.xword 0x00287850
73755.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (53)
73756
73757.xword 0x00287850
73758.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (53)
73759
73760.xword 0x78285050
73761.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (53)
73762
73763.xword 0x64500000003c0000
73764.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
73765
73766.xword 0x7766000000554422
73767.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
73768
73769.xword 0x706200000054462a
73770.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
73771
73772.xword 0x784d005800423721
73773.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
73774
73775.xword 0x804d006000504020
73776.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
73777
73778.xword 0x0000006000408020
73779.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
73780
73781.xword 0
73782.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (53)
73783
73784.xword 0
73785.xword 0x00020065 | (6 << 18) !ma_ctl_Ld (54)
73786
73787.xword 0
73788.xword 0x00020161 | (6 << 18) !ma_ctl_St (54)
73789
73790.xword 0x80604020
73791.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (54)
73792
73793.xword 0x356a
73794.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (54)
73795
73796.xword 0x0000006000408020
73797.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
73798
73799.xword 0x6a35
73800.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
73801
73802.xword 0x78285050
73803.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
73804
73805.xword 0x80604020
73806.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
73807
73808.xword 0x00287850
73809.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (54)
73810
73811.xword 0x00287850
73812.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (54)
73813
73814.xword 0x78285050
73815.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (54)
73816
73817.xword 0x64500000003c0000
73818.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
73819
73820.xword 0x7766000000554422
73821.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
73822
73823.xword 0x706200000054462a
73824.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
73825
73826.xword 0x784d005800423721
73827.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
73828
73829.xword 0x804d006000504020
73830.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
73831
73832.xword 0x0000006000408020
73833.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
73834
73835.xword 0
73836.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (54)
73837
73838.xword 0
73839.xword 0x00020064 | (6 << 18) !ma_ctl_Ld (55)
73840
73841.xword 0
73842.xword 0x00020182 | (6 << 18) !ma_ctl_St (55)
73843
73844.xword 0x80604020
73845.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (55)
73846
73847.xword 0x356a
73848.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (55)
73849
73850.xword 0x0000006000408020
73851.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
73852
73853.xword 0x6a35
73854.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
73855
73856.xword 0x78285050
73857.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
73858
73859.xword 0x80604020
73860.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
73861
73862.xword 0x00287850
73863.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (55)
73864
73865.xword 0x00287850
73866.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (55)
73867
73868.xword 0x78285050
73869.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (55)
73870
73871.xword 0x64500000003c0000
73872.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
73873
73874.xword 0x7766000000554422
73875.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
73876
73877.xword 0x706200000054462a
73878.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
73879
73880.xword 0x784d005800423721
73881.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
73882
73883.xword 0x804d006000504020
73884.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
73885
73886.xword 0x0000006000408020
73887.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
73888
73889.xword 0
73890.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (55)
73891
73892.xword 0
73893.xword 0x0002002e | (6 << 18) !ma_ctl_Ld (56)
73894
73895.xword 0
73896.xword 0x00020129 | (6 << 18) !ma_ctl_St (56)
73897
73898.xword 0x80604020
73899.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (56)
73900
73901.xword 0x356a
73902.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (56)
73903
73904.xword 0x0000006000408020
73905.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
73906
73907.xword 0x6a35
73908.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
73909
73910.xword 0x78285050
73911.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
73912
73913.xword 0x80604020
73914.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
73915
73916.xword 0x00287850
73917.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (56)
73918
73919.xword 0x00287850
73920.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (56)
73921
73922.xword 0x78285050
73923.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (56)
73924
73925.xword 0x64500000003c0000
73926.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
73927
73928.xword 0x7766000000554422
73929.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
73930
73931.xword 0x706200000054462a
73932.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
73933
73934.xword 0x784d005800423721
73935.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
73936
73937.xword 0x804d006000504020
73938.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
73939
73940.xword 0x0000006000408020
73941.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
73942
73943.xword 0
73944.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (56)
73945
73946.xword 0
73947.xword 0x00020044 | (6 << 18) !ma_ctl_Ld (57)
73948
73949.xword 0
73950.xword 0x00020122 | (6 << 18) !ma_ctl_St (57)
73951
73952.xword 0x80604020
73953.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (57)
73954
73955.xword 0x356a
73956.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (57)
73957
73958.xword 0x0000006000408020
73959.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
73960
73961.xword 0x6a35
73962.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
73963
73964.xword 0x78285050
73965.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
73966
73967.xword 0x80604020
73968.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
73969
73970.xword 0x00287850
73971.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (57)
73972
73973.xword 0x00287850
73974.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (57)
73975
73976.xword 0x78285050
73977.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (57)
73978
73979.xword 0x64500000003c0000
73980.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
73981
73982.xword 0x7766000000554422
73983.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
73984
73985.xword 0x706200000054462a
73986.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
73987
73988.xword 0x784d005800423721
73989.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
73990
73991.xword 0x804d006000504020
73992.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
73993
73994.xword 0x0000006000408020
73995.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
73996
73997.xword 0
73998.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (57)
73999
74000.xword 0
74001.xword 0x00020061 | (6 << 18) !ma_ctl_Ld (58)
74002
74003.xword 0
74004.xword 0x00020120 | (6 << 18) !ma_ctl_St (58)
74005
74006.xword 0x80604020
74007.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (58)
74008
74009.xword 0x356a
74010.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (58)
74011
74012.xword 0x0000006000408020
74013.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
74014
74015.xword 0x6a35
74016.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
74017
74018.xword 0x78285050
74019.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
74020
74021.xword 0x80604020
74022.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
74023
74024.xword 0x00287850
74025.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (58)
74026
74027.xword 0x00287850
74028.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (58)
74029
74030.xword 0x78285050
74031.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (58)
74032
74033.xword 0x64500000003c0000
74034.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
74035
74036.xword 0x7766000000554422
74037.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
74038
74039.xword 0x706200000054462a
74040.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
74041
74042.xword 0x784d005800423721
74043.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
74044
74045.xword 0x804d006000504020
74046.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
74047
74048.xword 0x0000006000408020
74049.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
74050
74051.xword 0
74052.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (58)
74053
74054
74055.align 8
74056.global _t2_ma_results
74057_t2_ma_results:
74058.xword 0xDEADBEEFDEADBEEF
74059.xword 0xDEADBEEFDEADBEEF
74060.xword 0xDEADBEEFDEADBEEF
74061.xword 0xDEADBEEFDEADBEEF
74062.xword 0xDEADBEEFDEADBEEF
74063.xword 0xDEADBEEFDEADBEEF
74064.xword 0xDEADBEEFDEADBEEF
74065.xword 0xDEADBEEFDEADBEEF
74066.xword 0xDEADBEEFDEADBEEF
74067.xword 0xDEADBEEFDEADBEEF
74068.xword 0xDEADBEEFDEADBEEF
74069.xword 0xDEADBEEFDEADBEEF
74070.xword 0xDEADBEEFDEADBEEF
74071.xword 0xDEADBEEFDEADBEEF
74072.xword 0xDEADBEEFDEADBEEF
74073.xword 0xDEADBEEFDEADBEEF
74074.xword 0xDEADBEEFDEADBEEF
74075.xword 0xDEADBEEFDEADBEEF
74076.xword 0xDEADBEEFDEADBEEF
74077.xword 0xDEADBEEFDEADBEEF
74078.xword 0xDEADBEEFDEADBEEF
74079.xword 0xDEADBEEFDEADBEEF
74080.xword 0xDEADBEEFDEADBEEF
74081.xword 0xDEADBEEFDEADBEEF
74082.xword 0xDEADBEEFDEADBEEF
74083.xword 0xDEADBEEFDEADBEEF
74084.xword 0xDEADBEEFDEADBEEF
74085.xword 0xDEADBEEFDEADBEEF
74086.xword 0xDEADBEEFDEADBEEF
74087.xword 0xDEADBEEFDEADBEEF
74088.xword 0xDEADBEEFDEADBEEF
74089.xword 0xDEADBEEFDEADBEEF
74090.xword 0xDEADBEEFDEADBEEF
74091.xword 0xDEADBEEFDEADBEEF
74092.xword 0xDEADBEEFDEADBEEF
74093.xword 0xDEADBEEFDEADBEEF
74094.xword 0xDEADBEEFDEADBEEF
74095.xword 0xDEADBEEFDEADBEEF
74096.xword 0xDEADBEEFDEADBEEF
74097.xword 0xDEADBEEFDEADBEEF
74098.xword 0xDEADBEEFDEADBEEF
74099.xword 0xDEADBEEFDEADBEEF
74100.xword 0xDEADBEEFDEADBEEF
74101.xword 0xDEADBEEFDEADBEEF
74102.xword 0xDEADBEEFDEADBEEF
74103.xword 0xDEADBEEFDEADBEEF
74104.xword 0xDEADBEEFDEADBEEF
74105.xword 0xDEADBEEFDEADBEEF
74106.xword 0xDEADBEEFDEADBEEF
74107.xword 0xDEADBEEFDEADBEEF
74108.xword 0xDEADBEEFDEADBEEF
74109.xword 0xDEADBEEFDEADBEEF
74110.xword 0xDEADBEEFDEADBEEF
74111.xword 0xDEADBEEFDEADBEEF
74112.xword 0xDEADBEEFDEADBEEF
74113.xword 0xDEADBEEFDEADBEEF
74114.xword 0xDEADBEEFDEADBEEF
74115.xword 0xDEADBEEFDEADBEEF
74116.xword 0xDEADBEEFDEADBEEF
74117.xword 0xDEADBEEFDEADBEEF
74118.xword 0xDEADBEEFDEADBEEF
74119.xword 0xDEADBEEFDEADBEEF
74120.xword 0xDEADBEEFDEADBEEF
74121.xword 0xDEADBEEFDEADBEEF
74122.xword 0xDEADBEEFDEADBEEF
74123.xword 0xDEADBEEFDEADBEEF
74124.xword 0xDEADBEEFDEADBEEF
74125.xword 0xDEADBEEFDEADBEEF
74126.xword 0xDEADBEEFDEADBEEF
74127.xword 0xDEADBEEFDEADBEEF
74128.xword 0xDEADBEEFDEADBEEF
74129.xword 0xDEADBEEFDEADBEEF
74130.xword 0xDEADBEEFDEADBEEF
74131.xword 0xDEADBEEFDEADBEEF
74132.xword 0xDEADBEEFDEADBEEF
74133.xword 0xDEADBEEFDEADBEEF
74134.xword 0xDEADBEEFDEADBEEF
74135.xword 0xDEADBEEFDEADBEEF
74136.xword 0xDEADBEEFDEADBEEF
74137.xword 0xDEADBEEFDEADBEEF
74138.xword 0xDEADBEEFDEADBEEF
74139.xword 0xDEADBEEFDEADBEEF
74140.xword 0xDEADBEEFDEADBEEF
74141.xword 0xDEADBEEFDEADBEEF
74142.xword 0xDEADBEEFDEADBEEF
74143.xword 0xDEADBEEFDEADBEEF
74144.xword 0xDEADBEEFDEADBEEF
74145.xword 0xDEADBEEFDEADBEEF
74146.xword 0xDEADBEEFDEADBEEF
74147.xword 0xDEADBEEFDEADBEEF
74148.xword 0xDEADBEEFDEADBEEF
74149.xword 0xDEADBEEFDEADBEEF
74150.xword 0xDEADBEEFDEADBEEF
74151.xword 0xDEADBEEFDEADBEEF
74152.xword 0xDEADBEEFDEADBEEF
74153.xword 0xDEADBEEFDEADBEEF
74154.xword 0xDEADBEEFDEADBEEF
74155.xword 0xDEADBEEFDEADBEEF
74156.xword 0xDEADBEEFDEADBEEF
74157.xword 0xDEADBEEFDEADBEEF
74158.xword 0xDEADBEEFDEADBEEF
74159.xword 0xDEADBEEFDEADBEEF
74160.xword 0xDEADBEEFDEADBEEF
74161.xword 0xDEADBEEFDEADBEEF
74162.xword 0xDEADBEEFDEADBEEF
74163.xword 0xDEADBEEFDEADBEEF
74164.xword 0xDEADBEEFDEADBEEF
74165.xword 0xDEADBEEFDEADBEEF
74166.xword 0xDEADBEEFDEADBEEF
74167.xword 0xDEADBEEFDEADBEEF
74168.xword 0xDEADBEEFDEADBEEF
74169.xword 0xDEADBEEFDEADBEEF
74170.xword 0xDEADBEEFDEADBEEF
74171.xword 0xDEADBEEFDEADBEEF
74172.xword 0xDEADBEEFDEADBEEF
74173.xword 0xDEADBEEFDEADBEEF
74174.xword 0xDEADBEEFDEADBEEF
74175.xword 0xDEADBEEFDEADBEEF
74176.xword 0xDEADBEEFDEADBEEF
74177.xword 0xDEADBEEFDEADBEEF
74178.xword 0xDEADBEEFDEADBEEF
74179.xword 0xDEADBEEFDEADBEEF
74180.xword 0xDEADBEEFDEADBEEF
74181.xword 0xDEADBEEFDEADBEEF
74182.xword 0xDEADBEEFDEADBEEF
74183.xword 0xDEADBEEFDEADBEEF
74184.xword 0xDEADBEEFDEADBEEF
74185.xword 0xDEADBEEFDEADBEEF
74186.xword 0xDEADBEEFDEADBEEF
74187.xword 0xDEADBEEFDEADBEEF
74188.xword 0xDEADBEEFDEADBEEF
74189.xword 0xDEADBEEFDEADBEEF
74190.xword 0xDEADBEEFDEADBEEF
74191.xword 0xDEADBEEFDEADBEEF
74192.xword 0xDEADBEEFDEADBEEF
74193.xword 0xDEADBEEFDEADBEEF
74194.xword 0xDEADBEEFDEADBEEF
74195.xword 0xDEADBEEFDEADBEEF
74196.xword 0xDEADBEEFDEADBEEF
74197.xword 0xDEADBEEFDEADBEEF
74198.xword 0xDEADBEEFDEADBEEF
74199.xword 0xDEADBEEFDEADBEEF
74200.xword 0xDEADBEEFDEADBEEF
74201.xword 0xDEADBEEFDEADBEEF
74202.xword 0xDEADBEEFDEADBEEF
74203.xword 0xDEADBEEFDEADBEEF
74204.xword 0xDEADBEEFDEADBEEF
74205.xword 0xDEADBEEFDEADBEEF
74206.xword 0xDEADBEEFDEADBEEF
74207.xword 0xDEADBEEFDEADBEEF
74208.xword 0xDEADBEEFDEADBEEF
74209.xword 0xDEADBEEFDEADBEEF
74210.xword 0xDEADBEEFDEADBEEF
74211.xword 0xDEADBEEFDEADBEEF
74212.xword 0xDEADBEEFDEADBEEF
74213.xword 0xDEADBEEFDEADBEEF
74214.xword 0xDEADBEEFDEADBEEF
74215.xword 0xDEADBEEFDEADBEEF
74216.xword 0xDEADBEEFDEADBEEF
74217.xword 0xDEADBEEFDEADBEEF
74218.xword 0xDEADBEEFDEADBEEF
74219.xword 0xDEADBEEFDEADBEEF
74220.xword 0xDEADBEEFDEADBEEF
74221.xword 0xDEADBEEFDEADBEEF
74222SECTION ._t2_T_MAX_DATA1 DATA_VA=269484032
74223attr_data {
74224 Name = ._t2_T_MAX_DATA1
74225 hypervisor
74226}
74227
74228.data
74229_t2_user_data_start:
74230_t2_scratch_area:
74231
74232.align 8
74233.global _t2_ma_operands1
74234_t2_ma_operands1:
74235.xword 0x35976159ba1d35e7
74236.xword 0x95cc3a943ad45240
74237.xword 0x69746676376c5150
74238.xword 0x8ff258f0972bbaf4
74239.xword 0x41d22a9c34d42ee2
74240.xword 0x3f958d6471f99247
74241.xword 0xfe181307a865f915
74242.xword 0xf7be6b4526a8f0e9
74243.xword 0x1d705722caa20f5f
74244.xword 0xae42e2cfeeb4bee8
74245.xword 0xd48560f25e3e661f
74246.xword 0x214aed84438542c3
74247.xword 0x47c4e09ab40177f5
74248.xword 0x591b56032d264812
74249.xword 0x4008acb0554644fb
74250.xword 0x4cc33dc140121c19
74251.xword 0x4ec2fb0505c71cf9
74252.xword 0xd1814a4970b3bb6b
74253.xword 0xccf25a9549d80ec1
74254.xword 0x95091b379e05f236
74255.xword 0x17f80a849901b07e
74256.xword 0xa5f4e2e9c21d9a19
74257.xword 0x4379fa51ab3e8f1a
74258.xword 0x052628f9812231a4
74259.xword 0x9e5362425755356a
74260.xword 0x4f908a691ab31d0e
74261.xword 0x0c5090151fd4ddc9
74262.xword 0x99b99597e611d88e
74263.xword 0x906c233309a72d0b
74264.xword 0x9ad4eda3ec0a93a6
74265.xword 0x4e404dd20d828ccb
74266.xword 0xf5b388939fa5ebf6
74267.xword 0x2da3c7d25a3761ae
74268.xword 0x9fef4a9536422e7e
74269.xword 0x39c4ddf5a5bc47bc
74270.xword 0x770871b42a36783c
74271.xword 0x0979151061058765
74272.xword 0x0450186b7473c336
74273.xword 0xd2995874cd82e7a8
74274.xword 0xfbf17f019e2568ac
74275.xword 0x4444b344c1e1eb71
74276.xword 0xe80d7eb37b1ab437
74277.xword 0x8299ee4452349919
74278.xword 0x66232cacf757ba30
74279.xword 0x5323d22dd2a9beb0
74280.xword 0x6fb0ddbaea6c7171
74281.xword 0x1c780edabb7e3f49
74282.xword 0x8dafe219af84ba02
74283.xword 0xdfba984bdeaaa519
74284.xword 0xc8fec58942694746
74285.xword 0x31ef7c559ab5698b
74286.xword 0x45a438698d652102
74287.xword 0x085eefb7635a2dc6
74288.xword 0x595ca76d058fe345
74289.xword 0xea78531fa6fbcdd1
74290.xword 0x24582db5300860b8
74291.xword 0xedfc08bb3423d7a9
74292.xword 0x282ae0027eb37b1b
74293.xword 0xe63d08d75cf04924
74294.xword 0x10ffc1f4dce20e0f
74295.xword 0x6ff12ac2978ae02d
74296.xword 0x5b9cbc33692d3da9
74297.xword 0x455302c231355702
74298.xword 0x8b70b9592545814e
74299.xword 0x5cadf72cec3d8362
74300.xword 0xc45a101e77c75511
74301.xword 0xd5599e50bc167dcd
74302.xword 0x7bfcd1712d749103
74303.xword 0xd75be76c9a85ea94
74304.xword 0x83ef6d5ae6bbad45
74305.xword 0x646e99baf64ff901
74306.xword 0x8550827ee6be86ce
74307.xword 0x6f4909a5a02da252
74308.xword 0x142991b22e960a12
74309.xword 0x8e8af95127f0abd4
74310.xword 0x758fb9dc52ead202
74311.xword 0x25f3a2cbfc5c81a1
74312.xword 0xeafbf3f53474fcd4
74313.xword 0x95458fd65f61ee03
74314.xword 0xb2a827934bd8128b
74315.xword 0x7f6da377d04c1faf
74316.xword 0x61295b8b71ed4701
74317.xword 0x6c27f7520d4e9d26
74318.xword 0x3f0f8278346da148
74319.xword 0x5859a3a9aec414bf
74320.xword 0xcb44403f782a69ba
74321.xword 0x517324146783fe57
74322.xword 0x11e639f841a75cd3
74323.xword 0xc22094b997459a18
74324.xword 0x84eee2e04cf198f1
74325.xword 0x4f86d2f1f22159b6
74326.xword 0xcbd23616a43dd4ec
74327.xword 0xc711ee08650a0d93
74328.xword 0x595ebf7b62fff4e9
74329.xword 0x8591ad629c485bc1
74330.xword 0x926884640eeaa859
74331.xword 0x0c795655119a08c9
74332.xword 0x63848ec4bb5c66ee
74333.xword 0xadb3801d599bbef1
74334.xword 0x28bea7698694630b
74335.xword 0xd8c9ae9dedf4d040
74336.xword 0xdd23101bc28cd39d
74337.xword 0x6a3948ac39baa3e2
74338.xword 0x4442987669f96003
74339.xword 0xfbd34d937b759f5b
74340.xword 0x7e3181a2a72b1d92
74341.xword 0x17004e524074c253
74342.xword 0xf818e94e336ed52c
74343.xword 0xb806a26f6df8bcc4
74344.xword 0x0bfd0124b13e830e
74345.xword 0x9c05aa0ef245fd9d
74346.xword 0x4b3ff8dcfb6f2231
74347.xword 0xd3c9f3477d8ceb02
74348.xword 0x3614d545e75ecf4a
74349.xword 0x1d3ba9d37f1230bb
74350.xword 0xdec91178c49ec19e
74351.xword 0x79065895303bb963
74352.xword 0xc8613d8215716abf
74353.xword 0x8aa4bf426b6bee10
74354.xword 0xbe35ed49b336679a
74355.xword 0x48e59625481bef21
74356.xword 0xdaafff79f5eefce0
74357.xword 0xbb20242126a9af54
74358.xword 0xfa0c2494a726b77b
74359.xword 0x8d55df89deadee0b
74360.xword 0x1e3ff913595b8242
74361.xword 0xf97555f07edde73b
74362.xword 0x81968a3f15ab87fc
74363.xword 0x2207ccd2db7234af
74364.xword 0xc8deaa8939710b4c
74365.xword 0xe5a3cbfabc8571d7
74366.xword 0xd24d6f194167ea23
74367.xword 0x6d865250fa64512f
74368.xword 0x25196524da8aeb65
74369.xword 0xe1058e99c9d9240d
74370.xword 0x259414b52a67b999
74371.xword 0x32d82f4a6d62fdf5
74372.xword 0x3ea0b422af362b1c
74373.xword 0x235ed1d79b93866c
74374.xword 0x3366b7afa40b4d10
74375.xword 0x3a48fa8f2e09bd92
74376.xword 0xf7cd48f9ccd4dc3b
74377.xword 0x2b1c6f011e492044
74378.xword 0xbe2e124e9ca6c259
74379.xword 0xf0eb7f510e31b354
74380.xword 0xe991ee48bad5c048
74381.xword 0x70ea190760479740
74382.xword 0x8aa5d75d616af29f
74383.xword 0xe942c5f95b0d60b2
74384.xword 0x76296beb1f5c7e4a
74385.xword 0x21a419bd374c384d
74386.xword 0x073b2f5f76f515b6
74387.xword 0xd517f3ba115e971a
74388.xword 0x352cd951932ecdf2
74389.xword 0xecf0c4d539448932
74390.xword 0x72a0259ea72ff4bb
74391.xword 0x8485a80ec9a056df
74392.xword 0x004dbb80914e12aa
74393.xword 0xe9aa154f9264f5d0
74394.xword 0x3253a2048c74df9f
74395.xword 0x21424304f5e6ce57
74396.xword 0x8d10da1bc427fec7
74397.xword 0x146bf6b5c936f401
74398.xword 0xe369ebd387e63762
74399
74400.align 8
74401.global _t2_ma_operations1
74402_t2_ma_operations1:
74403.xword 0
74404.xword 0x00020080 | (6 << 18) !ma_ctl_Ld (0)
74405
74406.xword 0
74407.xword 0x00020170 | (6 << 18) !ma_ctl_St (0)
74408
74409.xword 0x80604020
74410.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (0)
74411
74412.xword 0x356a
74413.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (0)
74414
74415.xword 0x0000006000408020
74416.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
74417
74418.xword 0x6a35
74419.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
74420
74421.xword 0x78285050
74422.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
74423
74424.xword 0x80604020
74425.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
74426
74427.xword 0x00287850
74428.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (0)
74429
74430.xword 0x00287850
74431.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (0)
74432
74433.xword 0x78285050
74434.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (0)
74435
74436.xword 0x64500000003c0000
74437.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
74438
74439.xword 0x7766000000554422
74440.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
74441
74442.xword 0x706200000054462a
74443.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
74444
74445.xword 0x784d005800423721
74446.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
74447
74448.xword 0x804d006000504020
74449.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
74450
74451.xword 0x0000006000408020
74452.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
74453
74454.xword 0
74455.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (0)
74456
74457.xword 0
74458.xword 0x00020081 | (6 << 18) !ma_ctl_Ld (1)
74459
74460.xword 0
74461.xword 0x00020141 | (6 << 18) !ma_ctl_St (1)
74462
74463.xword 0x80604020
74464.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (1)
74465
74466.xword 0x356a
74467.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (1)
74468
74469.xword 0x0000006000408020
74470.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
74471
74472.xword 0x6a35
74473.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
74474
74475.xword 0x78285050
74476.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
74477
74478.xword 0x80604020
74479.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
74480
74481.xword 0x00287850
74482.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
74483
74484.xword 0x00287850
74485.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (1)
74486
74487.xword 0x78285050
74488.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (1)
74489
74490.xword 0x64500000003c0000
74491.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
74492
74493.xword 0x7766000000554422
74494.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
74495
74496.xword 0x706200000054462a
74497.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
74498
74499.xword 0x784d005800423721
74500.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
74501
74502.xword 0x804d006000504020
74503.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
74504
74505.xword 0x0000006000408020
74506.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
74507
74508.xword 0
74509.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (1)
74510
74511.xword 0
74512.xword 0x00020046 | (6 << 18) !ma_ctl_Ld (2)
74513
74514.xword 0
74515.xword 0x00020175 | (6 << 18) !ma_ctl_St (2)
74516
74517.xword 0x80604020
74518.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (2)
74519
74520.xword 0x356a
74521.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (2)
74522
74523.xword 0x0000006000408020
74524.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
74525
74526.xword 0x6a35
74527.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
74528
74529.xword 0x78285050
74530.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
74531
74532.xword 0x80604020
74533.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
74534
74535.xword 0x00287850
74536.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (2)
74537
74538.xword 0x00287850
74539.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (2)
74540
74541.xword 0x78285050
74542.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (2)
74543
74544.xword 0x64500000003c0000
74545.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
74546
74547.xword 0x7766000000554422
74548.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
74549
74550.xword 0x706200000054462a
74551.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
74552
74553.xword 0x784d005800423721
74554.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
74555
74556.xword 0x804d006000504020
74557.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
74558
74559.xword 0x0000006000408020
74560.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
74561
74562.xword 0
74563.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (2)
74564
74565.xword 0
74566.xword 0x00020035 | (6 << 18) !ma_ctl_Ld (3)
74567
74568.xword 0
74569.xword 0x00020123 | (6 << 18) !ma_ctl_St (3)
74570
74571.xword 0x80604020
74572.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (3)
74573
74574.xword 0x356a
74575.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (3)
74576
74577.xword 0x0000006000408020
74578.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
74579
74580.xword 0x6a35
74581.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
74582
74583.xword 0x78285050
74584.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
74585
74586.xword 0x80604020
74587.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
74588
74589.xword 0x00287850
74590.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (3)
74591
74592.xword 0x00287850
74593.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (3)
74594
74595.xword 0x78285050
74596.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (3)
74597
74598.xword 0x64500000003c0000
74599.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
74600
74601.xword 0x7766000000554422
74602.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
74603
74604.xword 0x706200000054462a
74605.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
74606
74607.xword 0x784d005800423721
74608.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
74609
74610.xword 0x804d006000504020
74611.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
74612
74613.xword 0x0000006000408020
74614.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
74615
74616.xword 0
74617.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (3)
74618
74619.xword 0
74620.xword 0x00020038 | (6 << 18) !ma_ctl_Ld (4)
74621
74622.xword 0
74623.xword 0x00020173 | (6 << 18) !ma_ctl_St (4)
74624
74625.xword 0x80604020
74626.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (4)
74627
74628.xword 0x356a
74629.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (4)
74630
74631.xword 0x0000006000408020
74632.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
74633
74634.xword 0x6a35
74635.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
74636
74637.xword 0x78285050
74638.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
74639
74640.xword 0x80604020
74641.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
74642
74643.xword 0x00287850
74644.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (4)
74645
74646.xword 0x00287850
74647.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (4)
74648
74649.xword 0x78285050
74650.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (4)
74651
74652.xword 0x64500000003c0000
74653.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
74654
74655.xword 0x7766000000554422
74656.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
74657
74658.xword 0x706200000054462a
74659.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
74660
74661.xword 0x784d005800423721
74662.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
74663
74664.xword 0x804d006000504020
74665.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
74666
74667.xword 0x0000006000408020
74668.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
74669
74670.xword 0
74671.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (4)
74672
74673.xword 0
74674.xword 0x00020031 | (6 << 18) !ma_ctl_Ld (5)
74675
74676.xword 0
74677.xword 0x00020172 | (6 << 18) !ma_ctl_St (5)
74678
74679.xword 0x80604020
74680.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (5)
74681
74682.xword 0x356a
74683.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (5)
74684
74685.xword 0x0000006000408020
74686.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
74687
74688.xword 0x6a35
74689.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
74690
74691.xword 0x78285050
74692.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
74693
74694.xword 0x80604020
74695.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
74696
74697.xword 0x00287850
74698.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (5)
74699
74700.xword 0x00287850
74701.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (5)
74702
74703.xword 0x78285050
74704.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (5)
74705
74706.xword 0x64500000003c0000
74707.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
74708
74709.xword 0x7766000000554422
74710.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
74711
74712.xword 0x706200000054462a
74713.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
74714
74715.xword 0x784d005800423721
74716.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
74717
74718.xword 0x804d006000504020
74719.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
74720
74721.xword 0x0000006000408020
74722.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
74723
74724.xword 0
74725.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (5)
74726
74727.xword 0
74728.xword 0x0002007b | (6 << 18) !ma_ctl_Ld (6)
74729
74730.xword 0
74731.xword 0x0002017f | (6 << 18) !ma_ctl_St (6)
74732
74733.xword 0x80604020
74734.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (6)
74735
74736.xword 0x356a
74737.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (6)
74738
74739.xword 0x0000006000408020
74740.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
74741
74742.xword 0x6a35
74743.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
74744
74745.xword 0x78285050
74746.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
74747
74748.xword 0x80604020
74749.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
74750
74751.xword 0x00287850
74752.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (6)
74753
74754.xword 0x00287850
74755.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (6)
74756
74757.xword 0x78285050
74758.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (6)
74759
74760.xword 0x64500000003c0000
74761.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
74762
74763.xword 0x7766000000554422
74764.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
74765
74766.xword 0x706200000054462a
74767.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
74768
74769.xword 0x784d005800423721
74770.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
74771
74772.xword 0x804d006000504020
74773.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
74774
74775.xword 0x0000006000408020
74776.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
74777
74778.xword 0
74779.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (6)
74780
74781.xword 0
74782.xword 0x0002007b | (6 << 18) !ma_ctl_Ld (7)
74783
74784.xword 0
74785.xword 0x00020126 | (6 << 18) !ma_ctl_St (7)
74786
74787.xword 0x80604020
74788.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (7)
74789
74790.xword 0x356a
74791.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (7)
74792
74793.xword 0x0000006000408020
74794.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
74795
74796.xword 0x6a35
74797.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
74798
74799.xword 0x78285050
74800.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
74801
74802.xword 0x80604020
74803.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
74804
74805.xword 0x00287850
74806.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (7)
74807
74808.xword 0x00287850
74809.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (7)
74810
74811.xword 0x78285050
74812.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (7)
74813
74814.xword 0x64500000003c0000
74815.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
74816
74817.xword 0x7766000000554422
74818.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
74819
74820.xword 0x706200000054462a
74821.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
74822
74823.xword 0x784d005800423721
74824.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
74825
74826.xword 0x804d006000504020
74827.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
74828
74829.xword 0x0000006000408020
74830.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
74831
74832.xword 0
74833.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (7)
74834
74835.xword 0
74836.xword 0x00020075 | (6 << 18) !ma_ctl_Ld (8)
74837
74838.xword 0
74839.xword 0x0002018c | (6 << 18) !ma_ctl_St (8)
74840
74841.xword 0x80604020
74842.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (8)
74843
74844.xword 0x356a
74845.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (8)
74846
74847.xword 0x0000006000408020
74848.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
74849
74850.xword 0x6a35
74851.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
74852
74853.xword 0x78285050
74854.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
74855
74856.xword 0x80604020
74857.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
74858
74859.xword 0x00287850
74860.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (8)
74861
74862.xword 0x00287850
74863.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
74864
74865.xword 0x78285050
74866.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (8)
74867
74868.xword 0x64500000003c0000
74869.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
74870
74871.xword 0x7766000000554422
74872.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
74873
74874.xword 0x706200000054462a
74875.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
74876
74877.xword 0x784d005800423721
74878.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
74879
74880.xword 0x804d006000504020
74881.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
74882
74883.xword 0x0000006000408020
74884.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
74885
74886.xword 0
74887.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (8)
74888
74889.xword 0
74890.xword 0x00020060 | (6 << 18) !ma_ctl_Ld (9)
74891
74892.xword 0
74893.xword 0x00020150 | (6 << 18) !ma_ctl_St (9)
74894
74895.xword 0x80604020
74896.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (9)
74897
74898.xword 0x356a
74899.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (9)
74900
74901.xword 0x0000006000408020
74902.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
74903
74904.xword 0x6a35
74905.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
74906
74907.xword 0x78285050
74908.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
74909
74910.xword 0x80604020
74911.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
74912
74913.xword 0x00287850
74914.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (9)
74915
74916.xword 0x00287850
74917.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (9)
74918
74919.xword 0x78285050
74920.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (9)
74921
74922.xword 0x64500000003c0000
74923.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
74924
74925.xword 0x7766000000554422
74926.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
74927
74928.xword 0x706200000054462a
74929.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
74930
74931.xword 0x784d005800423721
74932.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
74933
74934.xword 0x804d006000504020
74935.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
74936
74937.xword 0x0000006000408020
74938.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
74939
74940.xword 0
74941.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (9)
74942
74943.xword 0
74944.xword 0x0002002e | (6 << 18) !ma_ctl_Ld (10)
74945
74946.xword 0
74947.xword 0x00020133 | (6 << 18) !ma_ctl_St (10)
74948
74949.xword 0x80604020
74950.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (10)
74951
74952.xword 0x356a
74953.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (10)
74954
74955.xword 0x0000006000408020
74956.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
74957
74958.xword 0x6a35
74959.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
74960
74961.xword 0x78285050
74962.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
74963
74964.xword 0x80604020
74965.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
74966
74967.xword 0x00287850
74968.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (10)
74969
74970.xword 0x00287850
74971.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (10)
74972
74973.xword 0x78285050
74974.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
74975
74976.xword 0x64500000003c0000
74977.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
74978
74979.xword 0x7766000000554422
74980.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
74981
74982.xword 0x706200000054462a
74983.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
74984
74985.xword 0x784d005800423721
74986.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
74987
74988.xword 0x804d006000504020
74989.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
74990
74991.xword 0x0000006000408020
74992.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
74993
74994.xword 0
74995.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (10)
74996
74997.xword 0
74998.xword 0x00020044 | (6 << 18) !ma_ctl_Ld (11)
74999
75000.xword 0
75001.xword 0x0002015a | (6 << 18) !ma_ctl_St (11)
75002
75003.xword 0x80604020
75004.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (11)
75005
75006.xword 0x356a
75007.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (11)
75008
75009.xword 0x0000006000408020
75010.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
75011
75012.xword 0x6a35
75013.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
75014
75015.xword 0x78285050
75016.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
75017
75018.xword 0x80604020
75019.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
75020
75021.xword 0x00287850
75022.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (11)
75023
75024.xword 0x00287850
75025.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (11)
75026
75027.xword 0x78285050
75028.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (11)
75029
75030.xword 0x64500000003c0000
75031.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
75032
75033.xword 0x7766000000554422
75034.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
75035
75036.xword 0x706200000054462a
75037.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
75038
75039.xword 0x784d005800423721
75040.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
75041
75042.xword 0x804d006000504020
75043.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
75044
75045.xword 0x0000006000408020
75046.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
75047
75048.xword 0
75049.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (11)
75050
75051.xword 0
75052.xword 0x00020080 | (6 << 18) !ma_ctl_Ld (12)
75053
75054.xword 0
75055.xword 0x00020130 | (6 << 18) !ma_ctl_St (12)
75056
75057.xword 0x80604020
75058.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (12)
75059
75060.xword 0x356a
75061.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (12)
75062
75063.xword 0x0000006000408020
75064.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
75065
75066.xword 0x6a35
75067.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
75068
75069.xword 0x78285050
75070.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
75071
75072.xword 0x80604020
75073.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
75074
75075.xword 0x00287850
75076.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (12)
75077
75078.xword 0x00287850
75079.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (12)
75080
75081.xword 0x78285050
75082.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (12)
75083
75084.xword 0x64500000003c0000
75085.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
75086
75087.xword 0x7766000000554422
75088.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
75089
75090.xword 0x706200000054462a
75091.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
75092
75093.xword 0x784d005800423721
75094.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
75095
75096.xword 0x804d006000504020
75097.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
75098
75099.xword 0x0000006000408020
75100.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
75101
75102.xword 0
75103.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (12)
75104
75105.xword 0
75106.xword 0x00020055 | (6 << 18) !ma_ctl_Ld (13)
75107
75108.xword 0
75109.xword 0x00020182 | (6 << 18) !ma_ctl_St (13)
75110
75111.xword 0x80604020
75112.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (13)
75113
75114.xword 0x356a
75115.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (13)
75116
75117.xword 0x0000006000408020
75118.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
75119
75120.xword 0x6a35
75121.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
75122
75123.xword 0x78285050
75124.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
75125
75126.xword 0x80604020
75127.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
75128
75129.xword 0x00287850
75130.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (13)
75131
75132.xword 0x00287850
75133.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (13)
75134
75135.xword 0x78285050
75136.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (13)
75137
75138.xword 0x64500000003c0000
75139.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
75140
75141.xword 0x7766000000554422
75142.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
75143
75144.xword 0x706200000054462a
75145.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
75146
75147.xword 0x784d005800423721
75148.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
75149
75150.xword 0x804d006000504020
75151.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
75152
75153.xword 0x0000006000408020
75154.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
75155
75156.xword 0
75157.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (13)
75158
75159.xword 0
75160.xword 0x0002003c | (6 << 18) !ma_ctl_Ld (14)
75161
75162.xword 0
75163.xword 0x0002014f | (6 << 18) !ma_ctl_St (14)
75164
75165.xword 0x80604020
75166.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (14)
75167
75168.xword 0x356a
75169.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (14)
75170
75171.xword 0x0000006000408020
75172.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
75173
75174.xword 0x6a35
75175.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
75176
75177.xword 0x78285050
75178.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
75179
75180.xword 0x80604020
75181.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
75182
75183.xword 0x00287850
75184.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (14)
75185
75186.xword 0x00287850
75187.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (14)
75188
75189.xword 0x78285050
75190.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (14)
75191
75192.xword 0x64500000003c0000
75193.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
75194
75195.xword 0x7766000000554422
75196.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
75197
75198.xword 0x706200000054462a
75199.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
75200
75201.xword 0x784d005800423721
75202.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
75203
75204.xword 0x804d006000504020
75205.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
75206
75207.xword 0x0000006000408020
75208.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
75209
75210.xword 0
75211.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (14)
75212
75213.xword 0
75214.xword 0x00020041 | (6 << 18) !ma_ctl_Ld (15)
75215
75216.xword 0
75217.xword 0x00020145 | (6 << 18) !ma_ctl_St (15)
75218
75219.xword 0x80604020
75220.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (15)
75221
75222.xword 0x356a
75223.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (15)
75224
75225.xword 0x0000006000408020
75226.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
75227
75228.xword 0x6a35
75229.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
75230
75231.xword 0x78285050
75232.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
75233
75234.xword 0x80604020
75235.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
75236
75237.xword 0x00287850
75238.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (15)
75239
75240.xword 0x00287850
75241.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (15)
75242
75243.xword 0x78285050
75244.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (15)
75245
75246.xword 0x64500000003c0000
75247.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
75248
75249.xword 0x7766000000554422
75250.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
75251
75252.xword 0x706200000054462a
75253.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
75254
75255.xword 0x784d005800423721
75256.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
75257
75258.xword 0x804d006000504020
75259.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
75260
75261.xword 0x0000006000408020
75262.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
75263
75264.xword 0
75265.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (15)
75266
75267.xword 0
75268.xword 0x0002004f | (6 << 18) !ma_ctl_Ld (16)
75269
75270.xword 0
75271.xword 0x0002013c | (6 << 18) !ma_ctl_St (16)
75272
75273.xword 0x80604020
75274.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (16)
75275
75276.xword 0x356a
75277.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (16)
75278
75279.xword 0x0000006000408020
75280.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
75281
75282.xword 0x6a35
75283.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
75284
75285.xword 0x78285050
75286.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
75287
75288.xword 0x80604020
75289.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
75290
75291.xword 0x00287850
75292.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (16)
75293
75294.xword 0x00287850
75295.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (16)
75296
75297.xword 0x78285050
75298.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (16)
75299
75300.xword 0x64500000003c0000
75301.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
75302
75303.xword 0x7766000000554422
75304.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
75305
75306.xword 0x706200000054462a
75307.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
75308
75309.xword 0x784d005800423721
75310.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
75311
75312.xword 0x804d006000504020
75313.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
75314
75315.xword 0x0000006000408020
75316.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
75317
75318.xword 0
75319.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (16)
75320
75321.xword 0
75322.xword 0x00020050 | (6 << 18) !ma_ctl_Ld (17)
75323
75324.xword 0
75325.xword 0x00020132 | (6 << 18) !ma_ctl_St (17)
75326
75327.xword 0x80604020
75328.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (17)
75329
75330.xword 0x356a
75331.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (17)
75332
75333.xword 0x0000006000408020
75334.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
75335
75336.xword 0x6a35
75337.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
75338
75339.xword 0x78285050
75340.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
75341
75342.xword 0x80604020
75343.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
75344
75345.xword 0x00287850
75346.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (17)
75347
75348.xword 0x00287850
75349.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (17)
75350
75351.xword 0x78285050
75352.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (17)
75353
75354.xword 0x64500000003c0000
75355.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
75356
75357.xword 0x7766000000554422
75358.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
75359
75360.xword 0x706200000054462a
75361.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
75362
75363.xword 0x784d005800423721
75364.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
75365
75366.xword 0x804d006000504020
75367.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
75368
75369.xword 0x0000006000408020
75370.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
75371
75372.xword 0
75373.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (17)
75374
75375.xword 0
75376.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (18)
75377
75378.xword 0
75379.xword 0x00020185 | (6 << 18) !ma_ctl_St (18)
75380
75381.xword 0x80604020
75382.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (18)
75383
75384.xword 0x356a
75385.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (18)
75386
75387.xword 0x0000006000408020
75388.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
75389
75390.xword 0x6a35
75391.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
75392
75393.xword 0x78285050
75394.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
75395
75396.xword 0x80604020
75397.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
75398
75399.xword 0x00287850
75400.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (18)
75401
75402.xword 0x00287850
75403.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (18)
75404
75405.xword 0x78285050
75406.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (18)
75407
75408.xword 0x64500000003c0000
75409.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
75410
75411.xword 0x7766000000554422
75412.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
75413
75414.xword 0x706200000054462a
75415.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
75416
75417.xword 0x784d005800423721
75418.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
75419
75420.xword 0x804d006000504020
75421.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
75422
75423.xword 0x0000006000408020
75424.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
75425
75426.xword 0
75427.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (18)
75428
75429.xword 0
75430.xword 0x0002004d | (6 << 18) !ma_ctl_Ld (19)
75431
75432.xword 0
75433.xword 0x00020145 | (6 << 18) !ma_ctl_St (19)
75434
75435.xword 0x80604020
75436.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (19)
75437
75438.xword 0x356a
75439.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (19)
75440
75441.xword 0x0000006000408020
75442.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
75443
75444.xword 0x6a35
75445.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
75446
75447.xword 0x78285050
75448.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
75449
75450.xword 0x80604020
75451.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
75452
75453.xword 0x00287850
75454.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (19)
75455
75456.xword 0x00287850
75457.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (19)
75458
75459.xword 0x78285050
75460.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (19)
75461
75462.xword 0x64500000003c0000
75463.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
75464
75465.xword 0x7766000000554422
75466.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
75467
75468.xword 0x706200000054462a
75469.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
75470
75471.xword 0x784d005800423721
75472.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
75473
75474.xword 0x804d006000504020
75475.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
75476
75477.xword 0x0000006000408020
75478.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
75479
75480.xword 0
75481.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (19)
75482
75483.xword 0
75484.xword 0x00020085 | (6 << 18) !ma_ctl_Ld (20)
75485
75486.xword 0
75487.xword 0x00020188 | (6 << 18) !ma_ctl_St (20)
75488
75489.xword 0x80604020
75490.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (20)
75491
75492.xword 0x356a
75493.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (20)
75494
75495.xword 0x0000006000408020
75496.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
75497
75498.xword 0x6a35
75499.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
75500
75501.xword 0x78285050
75502.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
75503
75504.xword 0x80604020
75505.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
75506
75507.xword 0x00287850
75508.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (20)
75509
75510.xword 0x00287850
75511.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (20)
75512
75513.xword 0x78285050
75514.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (20)
75515
75516.xword 0x64500000003c0000
75517.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
75518
75519.xword 0x7766000000554422
75520.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
75521
75522.xword 0x706200000054462a
75523.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
75524
75525.xword 0x784d005800423721
75526.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
75527
75528.xword 0x804d006000504020
75529.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
75530
75531.xword 0x0000006000408020
75532.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
75533
75534.xword 0
75535.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (20)
75536
75537.xword 0
75538.xword 0x00020023 | (6 << 18) !ma_ctl_Ld (21)
75539
75540.xword 0
75541.xword 0x00020120 | (6 << 18) !ma_ctl_St (21)
75542
75543.xword 0x80604020
75544.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (21)
75545
75546.xword 0x356a
75547.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (21)
75548
75549.xword 0x0000006000408020
75550.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
75551
75552.xword 0x6a35
75553.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
75554
75555.xword 0x78285050
75556.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
75557
75558.xword 0x80604020
75559.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
75560
75561.xword 0x00287850
75562.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (21)
75563
75564.xword 0x00287850
75565.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (21)
75566
75567.xword 0x78285050
75568.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (21)
75569
75570.xword 0x64500000003c0000
75571.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
75572
75573.xword 0x7766000000554422
75574.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
75575
75576.xword 0x706200000054462a
75577.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
75578
75579.xword 0x784d005800423721
75580.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
75581
75582.xword 0x804d006000504020
75583.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
75584
75585.xword 0x0000006000408020
75586.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
75587
75588.xword 0
75589.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (21)
75590
75591.xword 0
75592.xword 0x00020057 | (6 << 18) !ma_ctl_Ld (22)
75593
75594.xword 0
75595.xword 0x00020169 | (6 << 18) !ma_ctl_St (22)
75596
75597.xword 0x80604020
75598.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (22)
75599
75600.xword 0x356a
75601.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (22)
75602
75603.xword 0x0000006000408020
75604.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
75605
75606.xword 0x6a35
75607.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
75608
75609.xword 0x78285050
75610.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
75611
75612.xword 0x80604020
75613.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
75614
75615.xword 0x00287850
75616.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (22)
75617
75618.xword 0x00287850
75619.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (22)
75620
75621.xword 0x78285050
75622.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (22)
75623
75624.xword 0x64500000003c0000
75625.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
75626
75627.xword 0x7766000000554422
75628.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
75629
75630.xword 0x706200000054462a
75631.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
75632
75633.xword 0x784d005800423721
75634.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
75635
75636.xword 0x804d006000504020
75637.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
75638
75639.xword 0x0000006000408020
75640.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
75641
75642.xword 0
75643.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (22)
75644
75645.xword 0
75646.xword 0x0002002e | (6 << 18) !ma_ctl_Ld (23)
75647
75648.xword 0
75649.xword 0x00020178 | (6 << 18) !ma_ctl_St (23)
75650
75651.xword 0x80604020
75652.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (23)
75653
75654.xword 0x356a
75655.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (23)
75656
75657.xword 0x0000006000408020
75658.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
75659
75660.xword 0x6a35
75661.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
75662
75663.xword 0x78285050
75664.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
75665
75666.xword 0x80604020
75667.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
75668
75669.xword 0x00287850
75670.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (23)
75671
75672.xword 0x00287850
75673.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (23)
75674
75675.xword 0x78285050
75676.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (23)
75677
75678.xword 0x64500000003c0000
75679.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
75680
75681.xword 0x7766000000554422
75682.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
75683
75684.xword 0x706200000054462a
75685.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
75686
75687.xword 0x784d005800423721
75688.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
75689
75690.xword 0x804d006000504020
75691.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
75692
75693.xword 0x0000006000408020
75694.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
75695
75696.xword 0
75697.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (23)
75698
75699.xword 0
75700.xword 0x00020078 | (6 << 18) !ma_ctl_Ld (24)
75701
75702.xword 0
75703.xword 0x00020133 | (6 << 18) !ma_ctl_St (24)
75704
75705.xword 0x80604020
75706.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (24)
75707
75708.xword 0x356a
75709.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (24)
75710
75711.xword 0x0000006000408020
75712.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
75713
75714.xword 0x6a35
75715.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
75716
75717.xword 0x78285050
75718.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
75719
75720.xword 0x80604020
75721.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
75722
75723.xword 0x00287850
75724.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (24)
75725
75726.xword 0x00287850
75727.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (24)
75728
75729.xword 0x78285050
75730.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (24)
75731
75732.xword 0x64500000003c0000
75733.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
75734
75735.xword 0x7766000000554422
75736.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
75737
75738.xword 0x706200000054462a
75739.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
75740
75741.xword 0x784d005800423721
75742.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
75743
75744.xword 0x804d006000504020
75745.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
75746
75747.xword 0x0000006000408020
75748.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
75749
75750.xword 0
75751.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (24)
75752
75753.xword 0
75754.xword 0x00020044 | (6 << 18) !ma_ctl_Ld (25)
75755
75756.xword 0
75757.xword 0x00020149 | (6 << 18) !ma_ctl_St (25)
75758
75759.xword 0x80604020
75760.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (25)
75761
75762.xword 0x356a
75763.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (25)
75764
75765.xword 0x0000006000408020
75766.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
75767
75768.xword 0x6a35
75769.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
75770
75771.xword 0x78285050
75772.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
75773
75774.xword 0x80604020
75775.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
75776
75777.xword 0x00287850
75778.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (25)
75779
75780.xword 0x00287850
75781.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (25)
75782
75783.xword 0x78285050
75784.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (25)
75785
75786.xword 0x64500000003c0000
75787.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
75788
75789.xword 0x7766000000554422
75790.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
75791
75792.xword 0x706200000054462a
75793.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
75794
75795.xword 0x784d005800423721
75796.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
75797
75798.xword 0x804d006000504020
75799.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
75800
75801.xword 0x0000006000408020
75802.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
75803
75804.xword 0
75805.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (25)
75806
75807.xword 0
75808.xword 0x00020033 | (6 << 18) !ma_ctl_Ld (26)
75809
75810.xword 0
75811.xword 0x0002017a | (6 << 18) !ma_ctl_St (26)
75812
75813.xword 0x80604020
75814.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (26)
75815
75816.xword 0x356a
75817.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (26)
75818
75819.xword 0x0000006000408020
75820.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
75821
75822.xword 0x6a35
75823.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
75824
75825.xword 0x78285050
75826.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
75827
75828.xword 0x80604020
75829.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
75830
75831.xword 0x00287850
75832.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (26)
75833
75834.xword 0x00287850
75835.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (26)
75836
75837.xword 0x78285050
75838.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (26)
75839
75840.xword 0x64500000003c0000
75841.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
75842
75843.xword 0x7766000000554422
75844.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
75845
75846.xword 0x706200000054462a
75847.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
75848
75849.xword 0x784d005800423721
75850.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
75851
75852.xword 0x804d006000504020
75853.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
75854
75855.xword 0x0000006000408020
75856.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
75857
75858.xword 0
75859.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (26)
75860
75861.xword 0
75862.xword 0x0002004c | (6 << 18) !ma_ctl_Ld (27)
75863
75864.xword 0
75865.xword 0x00020121 | (6 << 18) !ma_ctl_St (27)
75866
75867.xword 0x80604020
75868.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (27)
75869
75870.xword 0x356a
75871.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (27)
75872
75873.xword 0x0000006000408020
75874.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
75875
75876.xword 0x6a35
75877.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
75878
75879.xword 0x78285050
75880.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
75881
75882.xword 0x80604020
75883.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
75884
75885.xword 0x00287850
75886.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (27)
75887
75888.xword 0x00287850
75889.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (27)
75890
75891.xword 0x78285050
75892.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (27)
75893
75894.xword 0x64500000003c0000
75895.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
75896
75897.xword 0x7766000000554422
75898.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
75899
75900.xword 0x706200000054462a
75901.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
75902
75903.xword 0x784d005800423721
75904.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
75905
75906.xword 0x804d006000504020
75907.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
75908
75909.xword 0x0000006000408020
75910.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
75911
75912.xword 0
75913.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (27)
75914
75915.xword 0
75916.xword 0x00020062 | (6 << 18) !ma_ctl_Ld (28)
75917
75918.xword 0
75919.xword 0x0002018f | (6 << 18) !ma_ctl_St (28)
75920
75921.xword 0x80604020
75922.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (28)
75923
75924.xword 0x356a
75925.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (28)
75926
75927.xword 0x0000006000408020
75928.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
75929
75930.xword 0x6a35
75931.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
75932
75933.xword 0x78285050
75934.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
75935
75936.xword 0x80604020
75937.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
75938
75939.xword 0x00287850
75940.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (28)
75941
75942.xword 0x00287850
75943.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (28)
75944
75945.xword 0x78285050
75946.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (28)
75947
75948.xword 0x64500000003c0000
75949.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
75950
75951.xword 0x7766000000554422
75952.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
75953
75954.xword 0x706200000054462a
75955.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
75956
75957.xword 0x784d005800423721
75958.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
75959
75960.xword 0x804d006000504020
75961.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
75962
75963.xword 0x0000006000408020
75964.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
75965
75966.xword 0
75967.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (28)
75968
75969.xword 0
75970.xword 0x0002009c | (6 << 18) !ma_ctl_Ld (29)
75971
75972.xword 0
75973.xword 0x0002015c | (6 << 18) !ma_ctl_St (29)
75974
75975.xword 0x80604020
75976.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (29)
75977
75978.xword 0x356a
75979.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (29)
75980
75981.xword 0x0000006000408020
75982.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
75983
75984.xword 0x6a35
75985.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
75986
75987.xword 0x78285050
75988.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
75989
75990.xword 0x80604020
75991.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
75992
75993.xword 0x00287850
75994.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (29)
75995
75996.xword 0x00287850
75997.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (29)
75998
75999.xword 0x78285050
76000.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (29)
76001
76002.xword 0x64500000003c0000
76003.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
76004
76005.xword 0x7766000000554422
76006.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
76007
76008.xword 0x706200000054462a
76009.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
76010
76011.xword 0x784d005800423721
76012.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
76013
76014.xword 0x804d006000504020
76015.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
76016
76017.xword 0x0000006000408020
76018.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
76019
76020.xword 0
76021.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (29)
76022
76023.xword 0
76024.xword 0x0002003d | (6 << 18) !ma_ctl_Ld (30)
76025
76026.xword 0
76027.xword 0x00020168 | (6 << 18) !ma_ctl_St (30)
76028
76029.xword 0x80604020
76030.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (30)
76031
76032.xword 0x356a
76033.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (30)
76034
76035.xword 0x0000006000408020
76036.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
76037
76038.xword 0x6a35
76039.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
76040
76041.xword 0x78285050
76042.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
76043
76044.xword 0x80604020
76045.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
76046
76047.xword 0x00287850
76048.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (30)
76049
76050.xword 0x00287850
76051.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (30)
76052
76053.xword 0x78285050
76054.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (30)
76055
76056.xword 0x64500000003c0000
76057.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
76058
76059.xword 0x7766000000554422
76060.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
76061
76062.xword 0x706200000054462a
76063.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
76064
76065.xword 0x784d005800423721
76066.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
76067
76068.xword 0x804d006000504020
76069.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
76070
76071.xword 0x0000006000408020
76072.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
76073
76074.xword 0
76075.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (30)
76076
76077.xword 0
76078.xword 0x00020077 | (6 << 18) !ma_ctl_Ld (31)
76079
76080.xword 0
76081.xword 0x00020159 | (6 << 18) !ma_ctl_St (31)
76082
76083.xword 0x80604020
76084.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (31)
76085
76086.xword 0x356a
76087.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (31)
76088
76089.xword 0x0000006000408020
76090.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
76091
76092.xword 0x6a35
76093.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
76094
76095.xword 0x78285050
76096.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
76097
76098.xword 0x80604020
76099.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
76100
76101.xword 0x00287850
76102.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (31)
76103
76104.xword 0x00287850
76105.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (31)
76106
76107.xword 0x78285050
76108.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (31)
76109
76110.xword 0x64500000003c0000
76111.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
76112
76113.xword 0x7766000000554422
76114.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
76115
76116.xword 0x706200000054462a
76117.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
76118
76119.xword 0x784d005800423721
76120.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
76121
76122.xword 0x804d006000504020
76123.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
76124
76125.xword 0x0000006000408020
76126.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
76127
76128.xword 0
76129.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (31)
76130
76131.xword 0
76132.xword 0x00020047 | (6 << 18) !ma_ctl_Ld (32)
76133
76134.xword 0
76135.xword 0x00020141 | (6 << 18) !ma_ctl_St (32)
76136
76137.xword 0x80604020
76138.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (32)
76139
76140.xword 0x356a
76141.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (32)
76142
76143.xword 0x0000006000408020
76144.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
76145
76146.xword 0x6a35
76147.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
76148
76149.xword 0x78285050
76150.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
76151
76152.xword 0x80604020
76153.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
76154
76155.xword 0x00287850
76156.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (32)
76157
76158.xword 0x00287850
76159.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (32)
76160
76161.xword 0x78285050
76162.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (32)
76163
76164.xword 0x64500000003c0000
76165.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
76166
76167.xword 0x7766000000554422
76168.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
76169
76170.xword 0x706200000054462a
76171.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
76172
76173.xword 0x784d005800423721
76174.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
76175
76176.xword 0x804d006000504020
76177.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
76178
76179.xword 0x0000006000408020
76180.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
76181
76182.xword 0
76183.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (32)
76184
76185.xword 0
76186.xword 0x00020039 | (6 << 18) !ma_ctl_Ld (33)
76187
76188.xword 0
76189.xword 0x0002015e | (6 << 18) !ma_ctl_St (33)
76190
76191.xword 0x80604020
76192.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (33)
76193
76194.xword 0x356a
76195.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (33)
76196
76197.xword 0x0000006000408020
76198.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
76199
76200.xword 0x6a35
76201.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
76202
76203.xword 0x78285050
76204.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
76205
76206.xword 0x80604020
76207.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
76208
76209.xword 0x00287850
76210.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (33)
76211
76212.xword 0x00287850
76213.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (33)
76214
76215.xword 0x78285050
76216.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (33)
76217
76218.xword 0x64500000003c0000
76219.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
76220
76221.xword 0x7766000000554422
76222.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
76223
76224.xword 0x706200000054462a
76225.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
76226
76227.xword 0x784d005800423721
76228.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
76229
76230.xword 0x804d006000504020
76231.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
76232
76233.xword 0x0000006000408020
76234.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
76235
76236.xword 0
76237.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (33)
76238
76239.xword 0
76240.xword 0x0002008a | (6 << 18) !ma_ctl_Ld (34)
76241
76242.xword 0
76243.xword 0x00020136 | (6 << 18) !ma_ctl_St (34)
76244
76245.xword 0x80604020
76246.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (34)
76247
76248.xword 0x356a
76249.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (34)
76250
76251.xword 0x0000006000408020
76252.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
76253
76254.xword 0x6a35
76255.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
76256
76257.xword 0x78285050
76258.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
76259
76260.xword 0x80604020
76261.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
76262
76263.xword 0x00287850
76264.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (34)
76265
76266.xword 0x00287850
76267.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (34)
76268
76269.xword 0x78285050
76270.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (34)
76271
76272.xword 0x64500000003c0000
76273.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
76274
76275.xword 0x7766000000554422
76276.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
76277
76278.xword 0x706200000054462a
76279.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
76280
76281.xword 0x784d005800423721
76282.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
76283
76284.xword 0x804d006000504020
76285.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
76286
76287.xword 0x0000006000408020
76288.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
76289
76290.xword 0
76291.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (34)
76292
76293.xword 0
76294.xword 0x00020041 | (6 << 18) !ma_ctl_Ld (35)
76295
76296.xword 0
76297.xword 0x0002013a | (6 << 18) !ma_ctl_St (35)
76298
76299.xword 0x80604020
76300.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (35)
76301
76302.xword 0x356a
76303.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (35)
76304
76305.xword 0x0000006000408020
76306.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
76307
76308.xword 0x6a35
76309.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
76310
76311.xword 0x78285050
76312.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
76313
76314.xword 0x80604020
76315.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
76316
76317.xword 0x00287850
76318.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (35)
76319
76320.xword 0x00287850
76321.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (35)
76322
76323.xword 0x78285050
76324.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (35)
76325
76326.xword 0x64500000003c0000
76327.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
76328
76329.xword 0x7766000000554422
76330.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
76331
76332.xword 0x706200000054462a
76333.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
76334
76335.xword 0x784d005800423721
76336.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
76337
76338.xword 0x804d006000504020
76339.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
76340
76341.xword 0x0000006000408020
76342.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
76343
76344.xword 0
76345.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (35)
76346
76347.xword 0
76348.xword 0x00020058 | (6 << 18) !ma_ctl_Ld (36)
76349
76350.xword 0
76351.xword 0x00020162 | (6 << 18) !ma_ctl_St (36)
76352
76353.xword 0x80604020
76354.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (36)
76355
76356.xword 0x356a
76357.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (36)
76358
76359.xword 0x0000006000408020
76360.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
76361
76362.xword 0x6a35
76363.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
76364
76365.xword 0x78285050
76366.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
76367
76368.xword 0x80604020
76369.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
76370
76371.xword 0x00287850
76372.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (36)
76373
76374.xword 0x00287850
76375.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (36)
76376
76377.xword 0x78285050
76378.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (36)
76379
76380.xword 0x64500000003c0000
76381.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
76382
76383.xword 0x7766000000554422
76384.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
76385
76386.xword 0x706200000054462a
76387.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
76388
76389.xword 0x784d005800423721
76390.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
76391
76392.xword 0x804d006000504020
76393.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
76394
76395.xword 0x0000006000408020
76396.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
76397
76398.xword 0
76399.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (36)
76400
76401.xword 0
76402.xword 0x00020067 | (6 << 18) !ma_ctl_Ld (37)
76403
76404.xword 0
76405.xword 0x00020137 | (6 << 18) !ma_ctl_St (37)
76406
76407.xword 0x80604020
76408.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (37)
76409
76410.xword 0x356a
76411.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (37)
76412
76413.xword 0x0000006000408020
76414.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
76415
76416.xword 0x6a35
76417.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
76418
76419.xword 0x78285050
76420.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
76421
76422.xword 0x80604020
76423.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
76424
76425.xword 0x00287850
76426.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (37)
76427
76428.xword 0x00287850
76429.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (37)
76430
76431.xword 0x78285050
76432.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (37)
76433
76434.xword 0x64500000003c0000
76435.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
76436
76437.xword 0x7766000000554422
76438.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
76439
76440.xword 0x706200000054462a
76441.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
76442
76443.xword 0x784d005800423721
76444.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
76445
76446.xword 0x804d006000504020
76447.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
76448
76449.xword 0x0000006000408020
76450.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
76451
76452.xword 0
76453.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (37)
76454
76455.xword 0
76456.xword 0x0002008c | (6 << 18) !ma_ctl_Ld (38)
76457
76458.xword 0
76459.xword 0x00020154 | (6 << 18) !ma_ctl_St (38)
76460
76461.xword 0x80604020
76462.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (38)
76463
76464.xword 0x356a
76465.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (38)
76466
76467.xword 0x0000006000408020
76468.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
76469
76470.xword 0x6a35
76471.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
76472
76473.xword 0x78285050
76474.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
76475
76476.xword 0x80604020
76477.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
76478
76479.xword 0x00287850
76480.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (38)
76481
76482.xword 0x00287850
76483.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (38)
76484
76485.xword 0x78285050
76486.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (38)
76487
76488.xword 0x64500000003c0000
76489.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
76490
76491.xword 0x7766000000554422
76492.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
76493
76494.xword 0x706200000054462a
76495.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
76496
76497.xword 0x784d005800423721
76498.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
76499
76500.xword 0x804d006000504020
76501.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
76502
76503.xword 0x0000006000408020
76504.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
76505
76506.xword 0
76507.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (38)
76508
76509.xword 0
76510.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (39)
76511
76512.xword 0
76513.xword 0x00020134 | (6 << 18) !ma_ctl_St (39)
76514
76515.xword 0x80604020
76516.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (39)
76517
76518.xword 0x356a
76519.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (39)
76520
76521.xword 0x0000006000408020
76522.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
76523
76524.xword 0x6a35
76525.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
76526
76527.xword 0x78285050
76528.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
76529
76530.xword 0x80604020
76531.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
76532
76533.xword 0x00287850
76534.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (39)
76535
76536.xword 0x00287850
76537.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (39)
76538
76539.xword 0x78285050
76540.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
76541
76542.xword 0x64500000003c0000
76543.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
76544
76545.xword 0x7766000000554422
76546.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
76547
76548.xword 0x706200000054462a
76549.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
76550
76551.xword 0x784d005800423721
76552.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
76553
76554.xword 0x804d006000504020
76555.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
76556
76557.xword 0x0000006000408020
76558.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
76559
76560.xword 0
76561.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (39)
76562
76563.xword 0
76564.xword 0x0002005c | (6 << 18) !ma_ctl_Ld (40)
76565
76566.xword 0
76567.xword 0x0002015e | (6 << 18) !ma_ctl_St (40)
76568
76569.xword 0x80604020
76570.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (40)
76571
76572.xword 0x356a
76573.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (40)
76574
76575.xword 0x0000006000408020
76576.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
76577
76578.xword 0x6a35
76579.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
76580
76581.xword 0x78285050
76582.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
76583
76584.xword 0x80604020
76585.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
76586
76587.xword 0x00287850
76588.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (40)
76589
76590.xword 0x00287850
76591.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (40)
76592
76593.xword 0x78285050
76594.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (40)
76595
76596.xword 0x64500000003c0000
76597.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
76598
76599.xword 0x7766000000554422
76600.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
76601
76602.xword 0x706200000054462a
76603.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
76604
76605.xword 0x784d005800423721
76606.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
76607
76608.xword 0x804d006000504020
76609.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
76610
76611.xword 0x0000006000408020
76612.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
76613
76614.xword 0
76615.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (40)
76616
76617.xword 0
76618.xword 0x00020045 | (6 << 18) !ma_ctl_Ld (41)
76619
76620.xword 0
76621.xword 0x00020134 | (6 << 18) !ma_ctl_St (41)
76622
76623.xword 0x80604020
76624.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (41)
76625
76626.xword 0x356a
76627.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (41)
76628
76629.xword 0x0000006000408020
76630.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
76631
76632.xword 0x6a35
76633.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
76634
76635.xword 0x78285050
76636.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
76637
76638.xword 0x80604020
76639.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
76640
76641.xword 0x00287850
76642.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (41)
76643
76644.xword 0x00287850
76645.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (41)
76646
76647.xword 0x78285050
76648.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (41)
76649
76650.xword 0x64500000003c0000
76651.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
76652
76653.xword 0x7766000000554422
76654.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
76655
76656.xword 0x706200000054462a
76657.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
76658
76659.xword 0x784d005800423721
76660.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
76661
76662.xword 0x804d006000504020
76663.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
76664
76665.xword 0x0000006000408020
76666.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
76667
76668.xword 0
76669.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (41)
76670
76671.xword 0
76672.xword 0x00020083 | (6 << 18) !ma_ctl_Ld (42)
76673
76674.xword 0
76675.xword 0x00020174 | (6 << 18) !ma_ctl_St (42)
76676
76677.xword 0x80604020
76678.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (42)
76679
76680.xword 0x356a
76681.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (42)
76682
76683.xword 0x0000006000408020
76684.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
76685
76686.xword 0x6a35
76687.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
76688
76689.xword 0x78285050
76690.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
76691
76692.xword 0x80604020
76693.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
76694
76695.xword 0x00287850
76696.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (42)
76697
76698.xword 0x00287850
76699.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (42)
76700
76701.xword 0x78285050
76702.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (42)
76703
76704.xword 0x64500000003c0000
76705.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
76706
76707.xword 0x7766000000554422
76708.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
76709
76710.xword 0x706200000054462a
76711.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
76712
76713.xword 0x784d005800423721
76714.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
76715
76716.xword 0x804d006000504020
76717.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
76718
76719.xword 0x0000006000408020
76720.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
76721
76722.xword 0
76723.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (42)
76724
76725.xword 0
76726.xword 0x00020054 | (6 << 18) !ma_ctl_Ld (43)
76727
76728.xword 0
76729.xword 0x00020165 | (6 << 18) !ma_ctl_St (43)
76730
76731.xword 0x80604020
76732.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (43)
76733
76734.xword 0x356a
76735.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (43)
76736
76737.xword 0x0000006000408020
76738.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
76739
76740.xword 0x6a35
76741.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
76742
76743.xword 0x78285050
76744.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
76745
76746.xword 0x80604020
76747.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
76748
76749.xword 0x00287850
76750.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (43)
76751
76752.xword 0x00287850
76753.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (43)
76754
76755.xword 0x78285050
76756.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (43)
76757
76758.xword 0x64500000003c0000
76759.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
76760
76761.xword 0x7766000000554422
76762.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
76763
76764.xword 0x706200000054462a
76765.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
76766
76767.xword 0x784d005800423721
76768.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
76769
76770.xword 0x804d006000504020
76771.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
76772
76773.xword 0x0000006000408020
76774.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
76775
76776.xword 0
76777.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (43)
76778
76779.xword 0
76780.xword 0x00020099 | (6 << 18) !ma_ctl_Ld (44)
76781
76782.xword 0
76783.xword 0x0002015f | (6 << 18) !ma_ctl_St (44)
76784
76785.xword 0x80604020
76786.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (44)
76787
76788.xword 0x356a
76789.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (44)
76790
76791.xword 0x0000006000408020
76792.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
76793
76794.xword 0x6a35
76795.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
76796
76797.xword 0x78285050
76798.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
76799
76800.xword 0x80604020
76801.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
76802
76803.xword 0x00287850
76804.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (44)
76805
76806.xword 0x00287850
76807.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (44)
76808
76809.xword 0x78285050
76810.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (44)
76811
76812.xword 0x64500000003c0000
76813.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
76814
76815.xword 0x7766000000554422
76816.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
76817
76818.xword 0x706200000054462a
76819.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
76820
76821.xword 0x784d005800423721
76822.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
76823
76824.xword 0x804d006000504020
76825.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
76826
76827.xword 0x0000006000408020
76828.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
76829
76830.xword 0
76831.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (44)
76832
76833.xword 0
76834.xword 0x00020034 | (6 << 18) !ma_ctl_Ld (45)
76835
76836.xword 0
76837.xword 0x00020126 | (6 << 18) !ma_ctl_St (45)
76838
76839.xword 0x80604020
76840.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (45)
76841
76842.xword 0x356a
76843.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (45)
76844
76845.xword 0x0000006000408020
76846.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
76847
76848.xword 0x6a35
76849.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
76850
76851.xword 0x78285050
76852.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
76853
76854.xword 0x80604020
76855.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
76856
76857.xword 0x00287850
76858.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (45)
76859
76860.xword 0x00287850
76861.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (45)
76862
76863.xword 0x78285050
76864.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (45)
76865
76866.xword 0x64500000003c0000
76867.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
76868
76869.xword 0x7766000000554422
76870.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
76871
76872.xword 0x706200000054462a
76873.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
76874
76875.xword 0x784d005800423721
76876.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
76877
76878.xword 0x804d006000504020
76879.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
76880
76881.xword 0x0000006000408020
76882.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
76883
76884.xword 0
76885.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (45)
76886
76887.xword 0
76888.xword 0x00020030 | (6 << 18) !ma_ctl_Ld (46)
76889
76890.xword 0
76891.xword 0x00020153 | (6 << 18) !ma_ctl_St (46)
76892
76893.xword 0x80604020
76894.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (46)
76895
76896.xword 0x356a
76897.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (46)
76898
76899.xword 0x0000006000408020
76900.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
76901
76902.xword 0x6a35
76903.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
76904
76905.xword 0x78285050
76906.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
76907
76908.xword 0x80604020
76909.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
76910
76911.xword 0x00287850
76912.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (46)
76913
76914.xword 0x00287850
76915.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (46)
76916
76917.xword 0x78285050
76918.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (46)
76919
76920.xword 0x64500000003c0000
76921.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
76922
76923.xword 0x7766000000554422
76924.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
76925
76926.xword 0x706200000054462a
76927.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
76928
76929.xword 0x784d005800423721
76930.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
76931
76932.xword 0x804d006000504020
76933.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
76934
76935.xword 0x0000006000408020
76936.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
76937
76938.xword 0
76939.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (46)
76940
76941.xword 0
76942.xword 0x00020033 | (6 << 18) !ma_ctl_Ld (47)
76943
76944.xword 0
76945.xword 0x00020180 | (6 << 18) !ma_ctl_St (47)
76946
76947.xword 0x80604020
76948.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (47)
76949
76950.xword 0x356a
76951.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (47)
76952
76953.xword 0x0000006000408020
76954.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
76955
76956.xword 0x6a35
76957.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
76958
76959.xword 0x78285050
76960.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
76961
76962.xword 0x80604020
76963.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
76964
76965.xword 0x00287850
76966.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (47)
76967
76968.xword 0x00287850
76969.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (47)
76970
76971.xword 0x78285050
76972.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (47)
76973
76974.xword 0x64500000003c0000
76975.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
76976
76977.xword 0x7766000000554422
76978.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
76979
76980.xword 0x706200000054462a
76981.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
76982
76983.xword 0x784d005800423721
76984.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
76985
76986.xword 0x804d006000504020
76987.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
76988
76989.xword 0x0000006000408020
76990.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
76991
76992.xword 0
76993.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (47)
76994
76995.xword 0
76996.xword 0x0002008c | (6 << 18) !ma_ctl_Ld (48)
76997
76998.xword 0
76999.xword 0x0002012f | (6 << 18) !ma_ctl_St (48)
77000
77001.xword 0x80604020
77002.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (48)
77003
77004.xword 0x356a
77005.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (48)
77006
77007.xword 0x0000006000408020
77008.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
77009
77010.xword 0x6a35
77011.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
77012
77013.xword 0x78285050
77014.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
77015
77016.xword 0x80604020
77017.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
77018
77019.xword 0x00287850
77020.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (48)
77021
77022.xword 0x00287850
77023.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (48)
77024
77025.xword 0x78285050
77026.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (48)
77027
77028.xword 0x64500000003c0000
77029.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
77030
77031.xword 0x7766000000554422
77032.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
77033
77034.xword 0x706200000054462a
77035.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
77036
77037.xword 0x784d005800423721
77038.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
77039
77040.xword 0x804d006000504020
77041.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
77042
77043.xword 0x0000006000408020
77044.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
77045
77046.xword 0
77047.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (48)
77048
77049.xword 0
77050.xword 0x00020058 | (6 << 18) !ma_ctl_Ld (49)
77051
77052.xword 0
77053.xword 0x00020153 | (6 << 18) !ma_ctl_St (49)
77054
77055.xword 0x80604020
77056.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (49)
77057
77058.xword 0x356a
77059.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (49)
77060
77061.xword 0x0000006000408020
77062.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
77063
77064.xword 0x6a35
77065.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
77066
77067.xword 0x78285050
77068.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
77069
77070.xword 0x80604020
77071.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
77072
77073.xword 0x00287850
77074.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (49)
77075
77076.xword 0x00287850
77077.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (49)
77078
77079.xword 0x78285050
77080.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (49)
77081
77082.xword 0x64500000003c0000
77083.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
77084
77085.xword 0x7766000000554422
77086.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
77087
77088.xword 0x706200000054462a
77089.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
77090
77091.xword 0x784d005800423721
77092.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
77093
77094.xword 0x804d006000504020
77095.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
77096
77097.xword 0x0000006000408020
77098.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
77099
77100.xword 0
77101.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (49)
77102
77103.xword 0
77104.xword 0x00020066 | (6 << 18) !ma_ctl_Ld (50)
77105
77106.xword 0
77107.xword 0x00020185 | (6 << 18) !ma_ctl_St (50)
77108
77109.xword 0x80604020
77110.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (50)
77111
77112.xword 0x356a
77113.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (50)
77114
77115.xword 0x0000006000408020
77116.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
77117
77118.xword 0x6a35
77119.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
77120
77121.xword 0x78285050
77122.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
77123
77124.xword 0x80604020
77125.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
77126
77127.xword 0x00287850
77128.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (50)
77129
77130.xword 0x00287850
77131.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (50)
77132
77133.xword 0x78285050
77134.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (50)
77135
77136.xword 0x64500000003c0000
77137.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
77138
77139.xword 0x7766000000554422
77140.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
77141
77142.xword 0x706200000054462a
77143.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
77144
77145.xword 0x784d005800423721
77146.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
77147
77148.xword 0x804d006000504020
77149.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
77150
77151.xword 0x0000006000408020
77152.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
77153
77154.xword 0
77155.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (50)
77156
77157.xword 0
77158.xword 0x0002005b | (6 << 18) !ma_ctl_Ld (51)
77159
77160.xword 0
77161.xword 0x0002015f | (6 << 18) !ma_ctl_St (51)
77162
77163.xword 0x80604020
77164.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (51)
77165
77166.xword 0x356a
77167.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (51)
77168
77169.xword 0x0000006000408020
77170.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
77171
77172.xword 0x6a35
77173.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
77174
77175.xword 0x78285050
77176.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
77177
77178.xword 0x80604020
77179.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
77180
77181.xword 0x00287850
77182.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (51)
77183
77184.xword 0x00287850
77185.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (51)
77186
77187.xword 0x78285050
77188.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (51)
77189
77190.xword 0x64500000003c0000
77191.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
77192
77193.xword 0x7766000000554422
77194.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
77195
77196.xword 0x706200000054462a
77197.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
77198
77199.xword 0x784d005800423721
77200.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
77201
77202.xword 0x804d006000504020
77203.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
77204
77205.xword 0x0000006000408020
77206.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
77207
77208.xword 0
77209.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (51)
77210
77211.xword 0
77212.xword 0x00020078 | (6 << 18) !ma_ctl_Ld (52)
77213
77214.xword 0
77215.xword 0x00020141 | (6 << 18) !ma_ctl_St (52)
77216
77217.xword 0x80604020
77218.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (52)
77219
77220.xword 0x356a
77221.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (52)
77222
77223.xword 0x0000006000408020
77224.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
77225
77226.xword 0x6a35
77227.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
77228
77229.xword 0x78285050
77230.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
77231
77232.xword 0x80604020
77233.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
77234
77235.xword 0x00287850
77236.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (52)
77237
77238.xword 0x00287850
77239.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (52)
77240
77241.xword 0x78285050
77242.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (52)
77243
77244.xword 0x64500000003c0000
77245.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
77246
77247.xword 0x7766000000554422
77248.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
77249
77250.xword 0x706200000054462a
77251.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
77252
77253.xword 0x784d005800423721
77254.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
77255
77256.xword 0x804d006000504020
77257.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
77258
77259.xword 0x0000006000408020
77260.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
77261
77262.xword 0
77263.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (52)
77264
77265.xword 0
77266.xword 0x0002006b | (6 << 18) !ma_ctl_Ld (53)
77267
77268.xword 0
77269.xword 0x00020159 | (6 << 18) !ma_ctl_St (53)
77270
77271.xword 0x80604020
77272.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (53)
77273
77274.xword 0x356a
77275.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (53)
77276
77277.xword 0x0000006000408020
77278.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
77279
77280.xword 0x6a35
77281.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
77282
77283.xword 0x78285050
77284.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
77285
77286.xword 0x80604020
77287.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
77288
77289.xword 0x00287850
77290.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (53)
77291
77292.xword 0x00287850
77293.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (53)
77294
77295.xword 0x78285050
77296.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (53)
77297
77298.xword 0x64500000003c0000
77299.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
77300
77301.xword 0x7766000000554422
77302.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
77303
77304.xword 0x706200000054462a
77305.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
77306
77307.xword 0x784d005800423721
77308.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
77309
77310.xword 0x804d006000504020
77311.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
77312
77313.xword 0x0000006000408020
77314.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
77315
77316.xword 0
77317.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (53)
77318
77319.xword 0
77320.xword 0x00020043 | (6 << 18) !ma_ctl_Ld (54)
77321
77322.xword 0
77323.xword 0x00020188 | (6 << 18) !ma_ctl_St (54)
77324
77325.xword 0x80604020
77326.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (54)
77327
77328.xword 0x356a
77329.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (54)
77330
77331.xword 0x0000006000408020
77332.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
77333
77334.xword 0x6a35
77335.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
77336
77337.xword 0x78285050
77338.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
77339
77340.xword 0x80604020
77341.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
77342
77343.xword 0x00287850
77344.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (54)
77345
77346.xword 0x00287850
77347.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (54)
77348
77349.xword 0x78285050
77350.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (54)
77351
77352.xword 0x64500000003c0000
77353.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
77354
77355.xword 0x7766000000554422
77356.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
77357
77358.xword 0x706200000054462a
77359.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
77360
77361.xword 0x784d005800423721
77362.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
77363
77364.xword 0x804d006000504020
77365.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
77366
77367.xword 0x0000006000408020
77368.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
77369
77370.xword 0
77371.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (54)
77372
77373.xword 0
77374.xword 0x0002005a | (6 << 18) !ma_ctl_Ld (55)
77375
77376.xword 0
77377.xword 0x0002012f | (6 << 18) !ma_ctl_St (55)
77378
77379.xword 0x80604020
77380.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (55)
77381
77382.xword 0x356a
77383.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (55)
77384
77385.xword 0x0000006000408020
77386.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
77387
77388.xword 0x6a35
77389.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
77390
77391.xword 0x78285050
77392.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
77393
77394.xword 0x80604020
77395.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
77396
77397.xword 0x00287850
77398.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (55)
77399
77400.xword 0x00287850
77401.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (55)
77402
77403.xword 0x78285050
77404.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (55)
77405
77406.xword 0x64500000003c0000
77407.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
77408
77409.xword 0x7766000000554422
77410.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
77411
77412.xword 0x706200000054462a
77413.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
77414
77415.xword 0x784d005800423721
77416.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
77417
77418.xword 0x804d006000504020
77419.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
77420
77421.xword 0x0000006000408020
77422.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
77423
77424.xword 0
77425.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (55)
77426
77427.xword 0
77428.xword 0x0002006f | (6 << 18) !ma_ctl_Ld (56)
77429
77430.xword 0
77431.xword 0x0002014c | (6 << 18) !ma_ctl_St (56)
77432
77433.xword 0x80604020
77434.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (56)
77435
77436.xword 0x356a
77437.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (56)
77438
77439.xword 0x0000006000408020
77440.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
77441
77442.xword 0x6a35
77443.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
77444
77445.xword 0x78285050
77446.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
77447
77448.xword 0x80604020
77449.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
77450
77451.xword 0x00287850
77452.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (56)
77453
77454.xword 0x00287850
77455.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (56)
77456
77457.xword 0x78285050
77458.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (56)
77459
77460.xword 0x64500000003c0000
77461.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
77462
77463.xword 0x7766000000554422
77464.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
77465
77466.xword 0x706200000054462a
77467.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
77468
77469.xword 0x784d005800423721
77470.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
77471
77472.xword 0x804d006000504020
77473.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
77474
77475.xword 0x0000006000408020
77476.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
77477
77478.xword 0
77479.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (56)
77480
77481.xword 0
77482.xword 0x00020077 | (6 << 18) !ma_ctl_Ld (57)
77483
77484.xword 0
77485.xword 0x0002011f | (6 << 18) !ma_ctl_St (57)
77486
77487.xword 0x80604020
77488.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (57)
77489
77490.xword 0x356a
77491.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (57)
77492
77493.xword 0x0000006000408020
77494.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
77495
77496.xword 0x6a35
77497.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
77498
77499.xword 0x78285050
77500.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
77501
77502.xword 0x80604020
77503.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
77504
77505.xword 0x00287850
77506.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (57)
77507
77508.xword 0x00287850
77509.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (57)
77510
77511.xword 0x78285050
77512.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (57)
77513
77514.xword 0x64500000003c0000
77515.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
77516
77517.xword 0x7766000000554422
77518.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
77519
77520.xword 0x706200000054462a
77521.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
77522
77523.xword 0x784d005800423721
77524.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
77525
77526.xword 0x804d006000504020
77527.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
77528
77529.xword 0x0000006000408020
77530.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
77531
77532.xword 0
77533.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (57)
77534
77535.xword 0
77536.xword 0x00020088 | (6 << 18) !ma_ctl_Ld (58)
77537
77538.xword 0
77539.xword 0x0002012c | (6 << 18) !ma_ctl_St (58)
77540
77541.xword 0x80604020
77542.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (58)
77543
77544.xword 0x356a
77545.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (58)
77546
77547.xword 0x0000006000408020
77548.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
77549
77550.xword 0x6a35
77551.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
77552
77553.xword 0x78285050
77554.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
77555
77556.xword 0x80604020
77557.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
77558
77559.xword 0x00287850
77560.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (58)
77561
77562.xword 0x00287850
77563.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (58)
77564
77565.xword 0x78285050
77566.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (58)
77567
77568.xword 0x64500000003c0000
77569.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
77570
77571.xword 0x7766000000554422
77572.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
77573
77574.xword 0x706200000054462a
77575.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
77576
77577.xword 0x784d005800423721
77578.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
77579
77580.xword 0x804d006000504020
77581.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
77582
77583.xword 0x0000006000408020
77584.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
77585
77586.xword 0
77587.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (58)
77588
77589
77590.align 8
77591.global _t2_ma_results1
77592_t2_ma_results1:
77593.xword 0xDEADBEEFDEADBEEF
77594.xword 0xDEADBEEFDEADBEEF
77595.xword 0xDEADBEEFDEADBEEF
77596.xword 0xDEADBEEFDEADBEEF
77597.xword 0xDEADBEEFDEADBEEF
77598.xword 0xDEADBEEFDEADBEEF
77599.xword 0xDEADBEEFDEADBEEF
77600.xword 0xDEADBEEFDEADBEEF
77601.xword 0xDEADBEEFDEADBEEF
77602.xword 0xDEADBEEFDEADBEEF
77603.xword 0xDEADBEEFDEADBEEF
77604.xword 0xDEADBEEFDEADBEEF
77605.xword 0xDEADBEEFDEADBEEF
77606.xword 0xDEADBEEFDEADBEEF
77607.xword 0xDEADBEEFDEADBEEF
77608.xword 0xDEADBEEFDEADBEEF
77609.xword 0xDEADBEEFDEADBEEF
77610.xword 0xDEADBEEFDEADBEEF
77611.xword 0xDEADBEEFDEADBEEF
77612.xword 0xDEADBEEFDEADBEEF
77613.xword 0xDEADBEEFDEADBEEF
77614.xword 0xDEADBEEFDEADBEEF
77615.xword 0xDEADBEEFDEADBEEF
77616.xword 0xDEADBEEFDEADBEEF
77617.xword 0xDEADBEEFDEADBEEF
77618.xword 0xDEADBEEFDEADBEEF
77619.xword 0xDEADBEEFDEADBEEF
77620.xword 0xDEADBEEFDEADBEEF
77621.xword 0xDEADBEEFDEADBEEF
77622.xword 0xDEADBEEFDEADBEEF
77623.xword 0xDEADBEEFDEADBEEF
77624.xword 0xDEADBEEFDEADBEEF
77625.xword 0xDEADBEEFDEADBEEF
77626.xword 0xDEADBEEFDEADBEEF
77627.xword 0xDEADBEEFDEADBEEF
77628.xword 0xDEADBEEFDEADBEEF
77629.xword 0xDEADBEEFDEADBEEF
77630.xword 0xDEADBEEFDEADBEEF
77631.xword 0xDEADBEEFDEADBEEF
77632.xword 0xDEADBEEFDEADBEEF
77633.xword 0xDEADBEEFDEADBEEF
77634.xword 0xDEADBEEFDEADBEEF
77635.xword 0xDEADBEEFDEADBEEF
77636.xword 0xDEADBEEFDEADBEEF
77637.xword 0xDEADBEEFDEADBEEF
77638.xword 0xDEADBEEFDEADBEEF
77639.xword 0xDEADBEEFDEADBEEF
77640.xword 0xDEADBEEFDEADBEEF
77641.xword 0xDEADBEEFDEADBEEF
77642.xword 0xDEADBEEFDEADBEEF
77643.xword 0xDEADBEEFDEADBEEF
77644.xword 0xDEADBEEFDEADBEEF
77645.xword 0xDEADBEEFDEADBEEF
77646.xword 0xDEADBEEFDEADBEEF
77647.xword 0xDEADBEEFDEADBEEF
77648.xword 0xDEADBEEFDEADBEEF
77649.xword 0xDEADBEEFDEADBEEF
77650.xword 0xDEADBEEFDEADBEEF
77651.xword 0xDEADBEEFDEADBEEF
77652.xword 0xDEADBEEFDEADBEEF
77653.xword 0xDEADBEEFDEADBEEF
77654.xword 0xDEADBEEFDEADBEEF
77655.xword 0xDEADBEEFDEADBEEF
77656.xword 0xDEADBEEFDEADBEEF
77657.xword 0xDEADBEEFDEADBEEF
77658.xword 0xDEADBEEFDEADBEEF
77659.xword 0xDEADBEEFDEADBEEF
77660.xword 0xDEADBEEFDEADBEEF
77661.xword 0xDEADBEEFDEADBEEF
77662.xword 0xDEADBEEFDEADBEEF
77663.xword 0xDEADBEEFDEADBEEF
77664.xword 0xDEADBEEFDEADBEEF
77665.xword 0xDEADBEEFDEADBEEF
77666.xword 0xDEADBEEFDEADBEEF
77667.xword 0xDEADBEEFDEADBEEF
77668.xword 0xDEADBEEFDEADBEEF
77669.xword 0xDEADBEEFDEADBEEF
77670.xword 0xDEADBEEFDEADBEEF
77671.xword 0xDEADBEEFDEADBEEF
77672.xword 0xDEADBEEFDEADBEEF
77673.xword 0xDEADBEEFDEADBEEF
77674.xword 0xDEADBEEFDEADBEEF
77675.xword 0xDEADBEEFDEADBEEF
77676.xword 0xDEADBEEFDEADBEEF
77677.xword 0xDEADBEEFDEADBEEF
77678.xword 0xDEADBEEFDEADBEEF
77679.xword 0xDEADBEEFDEADBEEF
77680.xword 0xDEADBEEFDEADBEEF
77681.xword 0xDEADBEEFDEADBEEF
77682.xword 0xDEADBEEFDEADBEEF
77683.xword 0xDEADBEEFDEADBEEF
77684.xword 0xDEADBEEFDEADBEEF
77685.xword 0xDEADBEEFDEADBEEF
77686.xword 0xDEADBEEFDEADBEEF
77687.xword 0xDEADBEEFDEADBEEF
77688.xword 0xDEADBEEFDEADBEEF
77689.xword 0xDEADBEEFDEADBEEF
77690.xword 0xDEADBEEFDEADBEEF
77691.xword 0xDEADBEEFDEADBEEF
77692.xword 0xDEADBEEFDEADBEEF
77693.xword 0xDEADBEEFDEADBEEF
77694.xword 0xDEADBEEFDEADBEEF
77695.xword 0xDEADBEEFDEADBEEF
77696.xword 0xDEADBEEFDEADBEEF
77697.xword 0xDEADBEEFDEADBEEF
77698.xword 0xDEADBEEFDEADBEEF
77699.xword 0xDEADBEEFDEADBEEF
77700.xword 0xDEADBEEFDEADBEEF
77701.xword 0xDEADBEEFDEADBEEF
77702.xword 0xDEADBEEFDEADBEEF
77703.xword 0xDEADBEEFDEADBEEF
77704.xword 0xDEADBEEFDEADBEEF
77705.xword 0xDEADBEEFDEADBEEF
77706.xword 0xDEADBEEFDEADBEEF
77707.xword 0xDEADBEEFDEADBEEF
77708.xword 0xDEADBEEFDEADBEEF
77709.xword 0xDEADBEEFDEADBEEF
77710.xword 0xDEADBEEFDEADBEEF
77711.xword 0xDEADBEEFDEADBEEF
77712.xword 0xDEADBEEFDEADBEEF
77713.xword 0xDEADBEEFDEADBEEF
77714.xword 0xDEADBEEFDEADBEEF
77715.xword 0xDEADBEEFDEADBEEF
77716.xword 0xDEADBEEFDEADBEEF
77717.xword 0xDEADBEEFDEADBEEF
77718.xword 0xDEADBEEFDEADBEEF
77719.xword 0xDEADBEEFDEADBEEF
77720.xword 0xDEADBEEFDEADBEEF
77721.xword 0xDEADBEEFDEADBEEF
77722.xword 0xDEADBEEFDEADBEEF
77723.xword 0xDEADBEEFDEADBEEF
77724.xword 0xDEADBEEFDEADBEEF
77725.xword 0xDEADBEEFDEADBEEF
77726.xword 0xDEADBEEFDEADBEEF
77727.xword 0xDEADBEEFDEADBEEF
77728.xword 0xDEADBEEFDEADBEEF
77729.xword 0xDEADBEEFDEADBEEF
77730.xword 0xDEADBEEFDEADBEEF
77731.xword 0xDEADBEEFDEADBEEF
77732.xword 0xDEADBEEFDEADBEEF
77733.xword 0xDEADBEEFDEADBEEF
77734.xword 0xDEADBEEFDEADBEEF
77735.xword 0xDEADBEEFDEADBEEF
77736.xword 0xDEADBEEFDEADBEEF
77737.xword 0xDEADBEEFDEADBEEF
77738.xword 0xDEADBEEFDEADBEEF
77739.xword 0xDEADBEEFDEADBEEF
77740.xword 0xDEADBEEFDEADBEEF
77741.xword 0xDEADBEEFDEADBEEF
77742.xword 0xDEADBEEFDEADBEEF
77743.xword 0xDEADBEEFDEADBEEF
77744.xword 0xDEADBEEFDEADBEEF
77745.xword 0xDEADBEEFDEADBEEF
77746.xword 0xDEADBEEFDEADBEEF
77747.xword 0xDEADBEEFDEADBEEF
77748.xword 0xDEADBEEFDEADBEEF
77749.xword 0xDEADBEEFDEADBEEF
77750.xword 0xDEADBEEFDEADBEEF
77751.xword 0xDEADBEEFDEADBEEF
77752.xword 0xDEADBEEFDEADBEEF
77753.xword 0xDEADBEEFDEADBEEF
77754.xword 0xDEADBEEFDEADBEEF
77755.xword 0xDEADBEEFDEADBEEF
77756.xword 0xDEADBEEFDEADBEEF
77757SECTION ._t2_T_MAX_DATA2 DATA_VA=270532608
77758attr_data {
77759 Name = ._t2_T_MAX_DATA2
77760 hypervisor
77761}
77762
77763.data
77764_t2_user_data_start:
77765_t2_scratch_area:
77766
77767.align 8
77768.global _t2_ma_operands2
77769_t2_ma_operands2:
77770.xword 0x6fb4bf5ba4e7e118
77771.xword 0xf293397a49b68020
77772.xword 0x4351391f6ca93ed6
77773.xword 0x4ab9defc36b5658f
77774.xword 0x17a5739226db58e9
77775.xword 0x0a4ac0d03623157c
77776.xword 0x61c74460cffe580c
77777.xword 0x1be1fce627f9ec2a
77778.xword 0xd171b71a664071e4
77779.xword 0xe0641e2a9f93ba0a
77780.xword 0x95a2d391683b1c54
77781.xword 0x51b5bf6a81181ee0
77782.xword 0xf788b7936738e362
77783.xword 0xcd42eb061e547f76
77784.xword 0xf9f6004c6dd152f4
77785.xword 0x93bb59bc4a57de15
77786.xword 0xd892932e13a335a6
77787.xword 0xbc5b737a658fc8aa
77788.xword 0x1893d6adc70af2a3
77789.xword 0xb644e1f476d1b6a7
77790.xword 0x923c6f1ca436bfee
77791.xword 0xe76a028ffdb0f112
77792.xword 0x5381eddc5d3ad083
77793.xword 0x2123dc12b0079d17
77794.xword 0xad24cd103237973b
77795.xword 0xc241799b69a94ac5
77796.xword 0x43c58ce7c359ee93
77797.xword 0xef326544635d08c5
77798.xword 0x5cd23e931a34dd63
77799.xword 0x5e256b7996f6c618
77800.xword 0x61aaebfd3a93486b
77801.xword 0x1ee54f203c0a2b29
77802.xword 0x3c1c275e4e98cf63
77803.xword 0xf5a7e7810fe274b9
77804.xword 0x6cf92d4482fd165d
77805.xword 0x91fbc8792a0fe187
77806.xword 0x0cc50ea761bf8d30
77807.xword 0x5cecea36c1abe218
77808.xword 0xe085542b2b76bf3a
77809.xword 0x1620e6cf80601764
77810.xword 0x11c46f21777944ed
77811.xword 0x0bf4da29a9f71bbe
77812.xword 0xcc5d6c6bb35906af
77813.xword 0x0402145610fcf0b1
77814.xword 0x34802c2db3ba7ed0
77815.xword 0x123b6f8ddbcb4619
77816.xword 0xa179ee598af23ba9
77817.xword 0x0a8acff35a361e99
77818.xword 0x94b768f17762eadf
77819.xword 0xda1db22f22b11a34
77820.xword 0x151b13addd2b1a89
77821.xword 0xae7807ca0c8d3730
77822.xword 0xbb27ea0791416f4b
77823.xword 0x60384bcb46d8ecfa
77824.xword 0xd237d9ed16b63edd
77825.xword 0x430319669f74c3bf
77826.xword 0xe4542ea1972940dd
77827.xword 0x7ccb5477173f07dd
77828.xword 0x2aa28352b5763794
77829.xword 0xcc5ad39d0d16ce47
77830.xword 0xdc7fbfc0a113b57e
77831.xword 0x185afe5c81cfdc86
77832.xword 0xf11133558764f72e
77833.xword 0x9d087901c4e2cef8
77834.xword 0xfe5328469f53f07b
77835.xword 0x91947a67a4b70cbc
77836.xword 0x8629167827f89d4a
77837.xword 0x2c6b63251bb88286
77838.xword 0xd76bc736e376360e
77839.xword 0xd0f19010a7bdb516
77840.xword 0x1459cfbdc351b688
77841.xword 0x8247bd8b8aa7cc73
77842.xword 0x2666ad3fc40e3949
77843.xword 0xb4853f20b6824de6
77844.xword 0x2b8a8684b4ab24ef
77845.xword 0x79c8b9918291984c
77846.xword 0x4f3e0d7eaf2d1e7c
77847.xword 0x6c376247e92e669b
77848.xword 0xd2c0b9601d20df6e
77849.xword 0x0390d489f843a9f9
77850.xword 0xc7010d22a74f34d9
77851.xword 0x08c6ca9a2ebadc51
77852.xword 0xe292bea853cca88e
77853.xword 0x517b098cc4c49f70
77854.xword 0xd4cd22284d9d721d
77855.xword 0x0520071b8b448535
77856.xword 0xd6db8b39cc12478a
77857.xword 0x3911e6adaeabfaf3
77858.xword 0xe184354cf3daea0c
77859.xword 0x5a6024b15e2af5f1
77860.xword 0x371d810ab41cd27d
77861.xword 0xd35fa8415c096458
77862.xword 0x519e3fdb892d4bc3
77863.xword 0x01847277a1d6afcc
77864.xword 0xffcb49906224690a
77865.xword 0x3e543644c4e103df
77866.xword 0x86b34c6b0eff3291
77867.xword 0xffadf3a1e91da0bf
77868.xword 0x6085b225d4b69231
77869.xword 0x86784a866ba4f292
77870.xword 0x51dd2344e6c5082f
77871.xword 0x28bbfcf59131b53a
77872.xword 0x92792d473fd30a5f
77873.xword 0x88951a23e884aca3
77874.xword 0x9d2010975fa5f683
77875.xword 0xc0de58e0effc5718
77876.xword 0xacb2885c63762b75
77877.xword 0xcc9cddb4b3b8cd0c
77878.xword 0x0d31e9113febc926
77879.xword 0xbbc261f9a5554823
77880.xword 0xd0295604041a05e4
77881.xword 0x543bba20f0c1e1f6
77882.xword 0x8827c59660da90ec
77883.xword 0xe53b33f7749fc16c
77884.xword 0xb3a3b5c558790889
77885.xword 0x751e1430aeab3478
77886.xword 0x951dc4fdc8fb26da
77887.xword 0x86b10ef3ed2ee427
77888.xword 0x78fbd2a5e36c708c
77889.xword 0x710e2ebeab76471b
77890.xword 0x551ee88422ba2f96
77891.xword 0x5d36f3b89f3ab559
77892.xword 0xba8bf75ec49934a0
77893.xword 0x7fff21afbd7ecf0f
77894.xword 0xd7e3cf23688ec8ca
77895.xword 0x334a36cbd5efef3b
77896.xword 0x5e7e15448d53c40e
77897.xword 0x631fdbb8daabc012
77898.xword 0x0c9c22b3e769165d
77899.xword 0x772f70d67b05d9f3
77900.xword 0xae77aec97c402816
77901.xword 0xb8d14eb30d0d919f
77902.xword 0x9d70906fa6deb3b1
77903.xword 0x961522f67a87d173
77904.xword 0x492a684b54090e3e
77905.xword 0x43fa00019b877616
77906.xword 0x09dc4e758727a730
77907.xword 0x6c4dab2ca86a9723
77908.xword 0xd57ebe1d537eebb4
77909.xword 0x28691ada22cd0e0b
77910.xword 0x0e9996a46fc5d132
77911.xword 0x6326fd54e02f07ab
77912.xword 0xb09f203e0e3a5e4a
77913.xword 0x75288deb7ed14ae4
77914.xword 0x469fc5086fb4c358
77915.xword 0x9a717fcc9950a55b
77916.xword 0x109fa24e2addff8c
77917.xword 0xbfec65a8b24d5bcb
77918.xword 0xbf7b3620080fe493
77919.xword 0xdd00f88bd2f44c5f
77920.xword 0x96aeb3c520d2e504
77921.xword 0x8433439a05acd5f0
77922.xword 0x2c42a4fb991500a7
77923.xword 0xd871b72b4fe7d308
77924.xword 0x28f7d5c0a08e530f
77925.xword 0x7ceb0f17f2f74c53
77926.xword 0xcf314ed91d37e8c4
77927.xword 0x18bccfd11e8e221f
77928.xword 0xae1100d78f5025c4
77929.xword 0xe0319f0f4e0b8a85
77930.xword 0xfa7e8479e0b08745
77931.xword 0x9782aec630b2264e
77932.xword 0xe649189ec11b6317
77933.xword 0xcf490dd119584a5c
77934
77935.align 8
77936.global _t2_ma_operations2
77937_t2_ma_operations2:
77938.xword 0
77939.xword 0x0002008a | (6 << 18) !ma_ctl_Ld (0)
77940
77941.xword 0
77942.xword 0x00020164 | (6 << 18) !ma_ctl_St (0)
77943
77944.xword 0x80604020
77945.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (0)
77946
77947.xword 0x356a
77948.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (0)
77949
77950.xword 0x0000006000408020
77951.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
77952
77953.xword 0x6a35
77954.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
77955
77956.xword 0x78285050
77957.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
77958
77959.xword 0x80604020
77960.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
77961
77962.xword 0x00287850
77963.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (0)
77964
77965.xword 0x00287850
77966.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (0)
77967
77968.xword 0x78285050
77969.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (0)
77970
77971.xword 0x64500000003c0000
77972.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
77973
77974.xword 0x7766000000554422
77975.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
77976
77977.xword 0x706200000054462a
77978.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
77979
77980.xword 0x784d005800423721
77981.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
77982
77983.xword 0x804d006000504020
77984.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
77985
77986.xword 0x0000006000408020
77987.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
77988
77989.xword 0
77990.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (0)
77991
77992.xword 0
77993.xword 0x00020042 | (6 << 18) !ma_ctl_Ld (1)
77994
77995.xword 0
77996.xword 0x0002016c | (6 << 18) !ma_ctl_St (1)
77997
77998.xword 0x80604020
77999.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (1)
78000
78001.xword 0x356a
78002.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (1)
78003
78004.xword 0x0000006000408020
78005.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
78006
78007.xword 0x6a35
78008.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
78009
78010.xword 0x78285050
78011.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
78012
78013.xword 0x80604020
78014.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
78015
78016.xword 0x00287850
78017.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
78018
78019.xword 0x00287850
78020.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
78021
78022.xword 0x78285050
78023.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (1)
78024
78025.xword 0x64500000003c0000
78026.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
78027
78028.xword 0x7766000000554422
78029.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
78030
78031.xword 0x706200000054462a
78032.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
78033
78034.xword 0x784d005800423721
78035.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
78036
78037.xword 0x804d006000504020
78038.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
78039
78040.xword 0x0000006000408020
78041.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
78042
78043.xword 0
78044.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (1)
78045
78046.xword 0
78047.xword 0x00020060 | (6 << 18) !ma_ctl_Ld (2)
78048
78049.xword 0
78050.xword 0x00020172 | (6 << 18) !ma_ctl_St (2)
78051
78052.xword 0x80604020
78053.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (2)
78054
78055.xword 0x356a
78056.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (2)
78057
78058.xword 0x0000006000408020
78059.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
78060
78061.xword 0x6a35
78062.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
78063
78064.xword 0x78285050
78065.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
78066
78067.xword 0x80604020
78068.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
78069
78070.xword 0x00287850
78071.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (2)
78072
78073.xword 0x00287850
78074.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (2)
78075
78076.xword 0x78285050
78077.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (2)
78078
78079.xword 0x64500000003c0000
78080.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
78081
78082.xword 0x7766000000554422
78083.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
78084
78085.xword 0x706200000054462a
78086.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
78087
78088.xword 0x784d005800423721
78089.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
78090
78091.xword 0x804d006000504020
78092.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
78093
78094.xword 0x0000006000408020
78095.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
78096
78097.xword 0
78098.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (2)
78099
78100.xword 0
78101.xword 0x0002006d | (6 << 18) !ma_ctl_Ld (3)
78102
78103.xword 0
78104.xword 0x00020164 | (6 << 18) !ma_ctl_St (3)
78105
78106.xword 0x80604020
78107.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (3)
78108
78109.xword 0x356a
78110.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (3)
78111
78112.xword 0x0000006000408020
78113.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
78114
78115.xword 0x6a35
78116.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
78117
78118.xword 0x78285050
78119.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
78120
78121.xword 0x80604020
78122.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
78123
78124.xword 0x00287850
78125.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (3)
78126
78127.xword 0x00287850
78128.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (3)
78129
78130.xword 0x78285050
78131.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (3)
78132
78133.xword 0x64500000003c0000
78134.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
78135
78136.xword 0x7766000000554422
78137.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
78138
78139.xword 0x706200000054462a
78140.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
78141
78142.xword 0x784d005800423721
78143.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
78144
78145.xword 0x804d006000504020
78146.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
78147
78148.xword 0x0000006000408020
78149.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
78150
78151.xword 0
78152.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (3)
78153
78154.xword 0
78155.xword 0x0002003e | (6 << 18) !ma_ctl_Ld (4)
78156
78157.xword 0
78158.xword 0x0002014c | (6 << 18) !ma_ctl_St (4)
78159
78160.xword 0x80604020
78161.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (4)
78162
78163.xword 0x356a
78164.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (4)
78165
78166.xword 0x0000006000408020
78167.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
78168
78169.xword 0x6a35
78170.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
78171
78172.xword 0x78285050
78173.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
78174
78175.xword 0x80604020
78176.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
78177
78178.xword 0x00287850
78179.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (4)
78180
78181.xword 0x00287850
78182.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (4)
78183
78184.xword 0x78285050
78185.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (4)
78186
78187.xword 0x64500000003c0000
78188.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
78189
78190.xword 0x7766000000554422
78191.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
78192
78193.xword 0x706200000054462a
78194.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
78195
78196.xword 0x784d005800423721
78197.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
78198
78199.xword 0x804d006000504020
78200.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
78201
78202.xword 0x0000006000408020
78203.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
78204
78205.xword 0
78206.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (4)
78207
78208.xword 0
78209.xword 0x00020026 | (6 << 18) !ma_ctl_Ld (5)
78210
78211.xword 0
78212.xword 0x00020169 | (6 << 18) !ma_ctl_St (5)
78213
78214.xword 0x80604020
78215.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (5)
78216
78217.xword 0x356a
78218.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (5)
78219
78220.xword 0x0000006000408020
78221.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
78222
78223.xword 0x6a35
78224.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
78225
78226.xword 0x78285050
78227.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
78228
78229.xword 0x80604020
78230.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
78231
78232.xword 0x00287850
78233.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (5)
78234
78235.xword 0x00287850
78236.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (5)
78237
78238.xword 0x78285050
78239.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
78240
78241.xword 0x64500000003c0000
78242.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
78243
78244.xword 0x7766000000554422
78245.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
78246
78247.xword 0x706200000054462a
78248.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
78249
78250.xword 0x784d005800423721
78251.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
78252
78253.xword 0x804d006000504020
78254.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
78255
78256.xword 0x0000006000408020
78257.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
78258
78259.xword 0
78260.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (5)
78261
78262.xword 0
78263.xword 0x0002008e | (6 << 18) !ma_ctl_Ld (6)
78264
78265.xword 0
78266.xword 0x00020175 | (6 << 18) !ma_ctl_St (6)
78267
78268.xword 0x80604020
78269.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (6)
78270
78271.xword 0x356a
78272.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (6)
78273
78274.xword 0x0000006000408020
78275.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
78276
78277.xword 0x6a35
78278.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
78279
78280.xword 0x78285050
78281.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
78282
78283.xword 0x80604020
78284.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
78285
78286.xword 0x00287850
78287.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (6)
78288
78289.xword 0x00287850
78290.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (6)
78291
78292.xword 0x78285050
78293.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (6)
78294
78295.xword 0x64500000003c0000
78296.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
78297
78298.xword 0x7766000000554422
78299.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
78300
78301.xword 0x706200000054462a
78302.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
78303
78304.xword 0x784d005800423721
78305.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
78306
78307.xword 0x804d006000504020
78308.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
78309
78310.xword 0x0000006000408020
78311.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
78312
78313.xword 0
78314.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (6)
78315
78316.xword 0
78317.xword 0x00020066 | (6 << 18) !ma_ctl_Ld (7)
78318
78319.xword 0
78320.xword 0x00020171 | (6 << 18) !ma_ctl_St (7)
78321
78322.xword 0x80604020
78323.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (7)
78324
78325.xword 0x356a
78326.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (7)
78327
78328.xword 0x0000006000408020
78329.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
78330
78331.xword 0x6a35
78332.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
78333
78334.xword 0x78285050
78335.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
78336
78337.xword 0x80604020
78338.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
78339
78340.xword 0x00287850
78341.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (7)
78342
78343.xword 0x00287850
78344.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (7)
78345
78346.xword 0x78285050
78347.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (7)
78348
78349.xword 0x64500000003c0000
78350.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
78351
78352.xword 0x7766000000554422
78353.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
78354
78355.xword 0x706200000054462a
78356.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
78357
78358.xword 0x784d005800423721
78359.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
78360
78361.xword 0x804d006000504020
78362.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
78363
78364.xword 0x0000006000408020
78365.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
78366
78367.xword 0
78368.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (7)
78369
78370.xword 0
78371.xword 0x00020051 | (6 << 18) !ma_ctl_Ld (8)
78372
78373.xword 0
78374.xword 0x00020152 | (6 << 18) !ma_ctl_St (8)
78375
78376.xword 0x80604020
78377.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (8)
78378
78379.xword 0x356a
78380.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (8)
78381
78382.xword 0x0000006000408020
78383.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
78384
78385.xword 0x6a35
78386.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
78387
78388.xword 0x78285050
78389.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
78390
78391.xword 0x80604020
78392.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
78393
78394.xword 0x00287850
78395.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (8)
78396
78397.xword 0x00287850
78398.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
78399
78400.xword 0x78285050
78401.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (8)
78402
78403.xword 0x64500000003c0000
78404.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
78405
78406.xword 0x7766000000554422
78407.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
78408
78409.xword 0x706200000054462a
78410.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
78411
78412.xword 0x784d005800423721
78413.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
78414
78415.xword 0x804d006000504020
78416.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
78417
78418.xword 0x0000006000408020
78419.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
78420
78421.xword 0
78422.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (8)
78423
78424.xword 0
78425.xword 0x0002007f | (6 << 18) !ma_ctl_Ld (9)
78426
78427.xword 0
78428.xword 0x00020137 | (6 << 18) !ma_ctl_St (9)
78429
78430.xword 0x80604020
78431.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (9)
78432
78433.xword 0x356a
78434.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
78435
78436.xword 0x0000006000408020
78437.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
78438
78439.xword 0x6a35
78440.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
78441
78442.xword 0x78285050
78443.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
78444
78445.xword 0x80604020
78446.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
78447
78448.xword 0x00287850
78449.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (9)
78450
78451.xword 0x00287850
78452.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (9)
78453
78454.xword 0x78285050
78455.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (9)
78456
78457.xword 0x64500000003c0000
78458.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
78459
78460.xword 0x7766000000554422
78461.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
78462
78463.xword 0x706200000054462a
78464.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
78465
78466.xword 0x784d005800423721
78467.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
78468
78469.xword 0x804d006000504020
78470.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
78471
78472.xword 0x0000006000408020
78473.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
78474
78475.xword 0
78476.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (9)
78477
78478.xword 0
78479.xword 0x0002001f | (6 << 18) !ma_ctl_Ld (10)
78480
78481.xword 0
78482.xword 0x00020125 | (6 << 18) !ma_ctl_St (10)
78483
78484.xword 0x80604020
78485.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (10)
78486
78487.xword 0x356a
78488.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (10)
78489
78490.xword 0x0000006000408020
78491.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
78492
78493.xword 0x6a35
78494.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
78495
78496.xword 0x78285050
78497.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
78498
78499.xword 0x80604020
78500.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
78501
78502.xword 0x00287850
78503.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (10)
78504
78505.xword 0x00287850
78506.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (10)
78507
78508.xword 0x78285050
78509.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
78510
78511.xword 0x64500000003c0000
78512.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
78513
78514.xword 0x7766000000554422
78515.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
78516
78517.xword 0x706200000054462a
78518.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
78519
78520.xword 0x784d005800423721
78521.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
78522
78523.xword 0x804d006000504020
78524.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
78525
78526.xword 0x0000006000408020
78527.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
78528
78529.xword 0
78530.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (10)
78531
78532.xword 0
78533.xword 0x0002008b | (6 << 18) !ma_ctl_Ld (11)
78534
78535.xword 0
78536.xword 0x00020161 | (6 << 18) !ma_ctl_St (11)
78537
78538.xword 0x80604020
78539.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (11)
78540
78541.xword 0x356a
78542.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (11)
78543
78544.xword 0x0000006000408020
78545.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
78546
78547.xword 0x6a35
78548.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
78549
78550.xword 0x78285050
78551.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
78552
78553.xword 0x80604020
78554.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
78555
78556.xword 0x00287850
78557.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (11)
78558
78559.xword 0x00287850
78560.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (11)
78561
78562.xword 0x78285050
78563.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (11)
78564
78565.xword 0x64500000003c0000
78566.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
78567
78568.xword 0x7766000000554422
78569.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
78570
78571.xword 0x706200000054462a
78572.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
78573
78574.xword 0x784d005800423721
78575.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
78576
78577.xword 0x804d006000504020
78578.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
78579
78580.xword 0x0000006000408020
78581.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
78582
78583.xword 0
78584.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (11)
78585
78586.xword 0
78587.xword 0x00020061 | (6 << 18) !ma_ctl_Ld (12)
78588
78589.xword 0
78590.xword 0x00020126 | (6 << 18) !ma_ctl_St (12)
78591
78592.xword 0x80604020
78593.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (12)
78594
78595.xword 0x356a
78596.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (12)
78597
78598.xword 0x0000006000408020
78599.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
78600
78601.xword 0x6a35
78602.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
78603
78604.xword 0x78285050
78605.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
78606
78607.xword 0x80604020
78608.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
78609
78610.xword 0x00287850
78611.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (12)
78612
78613.xword 0x00287850
78614.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (12)
78615
78616.xword 0x78285050
78617.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (12)
78618
78619.xword 0x64500000003c0000
78620.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
78621
78622.xword 0x7766000000554422
78623.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
78624
78625.xword 0x706200000054462a
78626.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
78627
78628.xword 0x784d005800423721
78629.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
78630
78631.xword 0x804d006000504020
78632.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
78633
78634.xword 0x0000006000408020
78635.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
78636
78637.xword 0
78638.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (12)
78639
78640.xword 0
78641.xword 0x00020025 | (6 << 18) !ma_ctl_Ld (13)
78642
78643.xword 0
78644.xword 0x00020133 | (6 << 18) !ma_ctl_St (13)
78645
78646.xword 0x80604020
78647.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (13)
78648
78649.xword 0x356a
78650.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (13)
78651
78652.xword 0x0000006000408020
78653.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
78654
78655.xword 0x6a35
78656.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
78657
78658.xword 0x78285050
78659.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
78660
78661.xword 0x80604020
78662.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
78663
78664.xword 0x00287850
78665.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (13)
78666
78667.xword 0x00287850
78668.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (13)
78669
78670.xword 0x78285050
78671.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (13)
78672
78673.xword 0x64500000003c0000
78674.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
78675
78676.xword 0x7766000000554422
78677.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
78678
78679.xword 0x706200000054462a
78680.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
78681
78682.xword 0x784d005800423721
78683.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
78684
78685.xword 0x804d006000504020
78686.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
78687
78688.xword 0x0000006000408020
78689.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
78690
78691.xword 0
78692.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (13)
78693
78694.xword 0
78695.xword 0x00020026 | (6 << 18) !ma_ctl_Ld (14)
78696
78697.xword 0
78698.xword 0x0002013f | (6 << 18) !ma_ctl_St (14)
78699
78700.xword 0x80604020
78701.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (14)
78702
78703.xword 0x356a
78704.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (14)
78705
78706.xword 0x0000006000408020
78707.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
78708
78709.xword 0x6a35
78710.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
78711
78712.xword 0x78285050
78713.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
78714
78715.xword 0x80604020
78716.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
78717
78718.xword 0x00287850
78719.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (14)
78720
78721.xword 0x00287850
78722.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (14)
78723
78724.xword 0x78285050
78725.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (14)
78726
78727.xword 0x64500000003c0000
78728.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
78729
78730.xword 0x7766000000554422
78731.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
78732
78733.xword 0x706200000054462a
78734.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
78735
78736.xword 0x784d005800423721
78737.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
78738
78739.xword 0x804d006000504020
78740.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
78741
78742.xword 0x0000006000408020
78743.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
78744
78745.xword 0
78746.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (14)
78747
78748.xword 0
78749.xword 0x0002004d | (6 << 18) !ma_ctl_Ld (15)
78750
78751.xword 0
78752.xword 0x00020135 | (6 << 18) !ma_ctl_St (15)
78753
78754.xword 0x80604020
78755.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (15)
78756
78757.xword 0x356a
78758.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (15)
78759
78760.xword 0x0000006000408020
78761.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
78762
78763.xword 0x6a35
78764.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
78765
78766.xword 0x78285050
78767.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
78768
78769.xword 0x80604020
78770.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
78771
78772.xword 0x00287850
78773.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (15)
78774
78775.xword 0x00287850
78776.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (15)
78777
78778.xword 0x78285050
78779.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (15)
78780
78781.xword 0x64500000003c0000
78782.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
78783
78784.xword 0x7766000000554422
78785.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
78786
78787.xword 0x706200000054462a
78788.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
78789
78790.xword 0x784d005800423721
78791.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
78792
78793.xword 0x804d006000504020
78794.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
78795
78796.xword 0x0000006000408020
78797.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
78798
78799.xword 0
78800.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (15)
78801
78802.xword 0
78803.xword 0x00020078 | (6 << 18) !ma_ctl_Ld (16)
78804
78805.xword 0
78806.xword 0x0002018d | (6 << 18) !ma_ctl_St (16)
78807
78808.xword 0x80604020
78809.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (16)
78810
78811.xword 0x356a
78812.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (16)
78813
78814.xword 0x0000006000408020
78815.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
78816
78817.xword 0x6a35
78818.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
78819
78820.xword 0x78285050
78821.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
78822
78823.xword 0x80604020
78824.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
78825
78826.xword 0x00287850
78827.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (16)
78828
78829.xword 0x00287850
78830.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (16)
78831
78832.xword 0x78285050
78833.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (16)
78834
78835.xword 0x64500000003c0000
78836.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
78837
78838.xword 0x7766000000554422
78839.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
78840
78841.xword 0x706200000054462a
78842.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
78843
78844.xword 0x784d005800423721
78845.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
78846
78847.xword 0x804d006000504020
78848.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
78849
78850.xword 0x0000006000408020
78851.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
78852
78853.xword 0
78854.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (16)
78855
78856.xword 0
78857.xword 0x00020032 | (6 << 18) !ma_ctl_Ld (17)
78858
78859.xword 0
78860.xword 0x00020125 | (6 << 18) !ma_ctl_St (17)
78861
78862.xword 0x80604020
78863.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (17)
78864
78865.xword 0x356a
78866.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (17)
78867
78868.xword 0x0000006000408020
78869.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
78870
78871.xword 0x6a35
78872.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
78873
78874.xword 0x78285050
78875.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
78876
78877.xword 0x80604020
78878.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
78879
78880.xword 0x00287850
78881.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (17)
78882
78883.xword 0x00287850
78884.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (17)
78885
78886.xword 0x78285050
78887.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (17)
78888
78889.xword 0x64500000003c0000
78890.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
78891
78892.xword 0x7766000000554422
78893.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
78894
78895.xword 0x706200000054462a
78896.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
78897
78898.xword 0x784d005800423721
78899.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
78900
78901.xword 0x804d006000504020
78902.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
78903
78904.xword 0x0000006000408020
78905.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
78906
78907.xword 0
78908.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (17)
78909
78910.xword 0
78911.xword 0x00020064 | (6 << 18) !ma_ctl_Ld (18)
78912
78913.xword 0
78914.xword 0x00020132 | (6 << 18) !ma_ctl_St (18)
78915
78916.xword 0x80604020
78917.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (18)
78918
78919.xword 0x356a
78920.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (18)
78921
78922.xword 0x0000006000408020
78923.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
78924
78925.xword 0x6a35
78926.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
78927
78928.xword 0x78285050
78929.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
78930
78931.xword 0x80604020
78932.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
78933
78934.xword 0x00287850
78935.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (18)
78936
78937.xword 0x00287850
78938.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (18)
78939
78940.xword 0x78285050
78941.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (18)
78942
78943.xword 0x64500000003c0000
78944.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
78945
78946.xword 0x7766000000554422
78947.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
78948
78949.xword 0x706200000054462a
78950.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
78951
78952.xword 0x784d005800423721
78953.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
78954
78955.xword 0x804d006000504020
78956.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
78957
78958.xword 0x0000006000408020
78959.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
78960
78961.xword 0
78962.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (18)
78963
78964.xword 0
78965.xword 0x00020061 | (6 << 18) !ma_ctl_Ld (19)
78966
78967.xword 0
78968.xword 0x00020161 | (6 << 18) !ma_ctl_St (19)
78969
78970.xword 0x80604020
78971.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (19)
78972
78973.xword 0x356a
78974.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (19)
78975
78976.xword 0x0000006000408020
78977.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
78978
78979.xword 0x6a35
78980.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
78981
78982.xword 0x78285050
78983.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
78984
78985.xword 0x80604020
78986.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
78987
78988.xword 0x00287850
78989.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (19)
78990
78991.xword 0x00287850
78992.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (19)
78993
78994.xword 0x78285050
78995.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
78996
78997.xword 0x64500000003c0000
78998.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
78999
79000.xword 0x7766000000554422
79001.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
79002
79003.xword 0x706200000054462a
79004.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
79005
79006.xword 0x784d005800423721
79007.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
79008
79009.xword 0x804d006000504020
79010.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
79011
79012.xword 0x0000006000408020
79013.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
79014
79015.xword 0
79016.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (19)
79017
79018.xword 0
79019.xword 0x00020096 | (6 << 18) !ma_ctl_Ld (20)
79020
79021.xword 0
79022.xword 0x00020181 | (6 << 18) !ma_ctl_St (20)
79023
79024.xword 0x80604020
79025.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (20)
79026
79027.xword 0x356a
79028.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (20)
79029
79030.xword 0x0000006000408020
79031.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
79032
79033.xword 0x6a35
79034.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
79035
79036.xword 0x78285050
79037.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
79038
79039.xword 0x80604020
79040.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
79041
79042.xword 0x00287850
79043.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (20)
79044
79045.xword 0x00287850
79046.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (20)
79047
79048.xword 0x78285050
79049.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (20)
79050
79051.xword 0x64500000003c0000
79052.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
79053
79054.xword 0x7766000000554422
79055.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
79056
79057.xword 0x706200000054462a
79058.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
79059
79060.xword 0x784d005800423721
79061.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
79062
79063.xword 0x804d006000504020
79064.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
79065
79066.xword 0x0000006000408020
79067.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
79068
79069.xword 0
79070.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (20)
79071
79072.xword 0
79073.xword 0x0002005f | (6 << 18) !ma_ctl_Ld (21)
79074
79075.xword 0
79076.xword 0x00020177 | (6 << 18) !ma_ctl_St (21)
79077
79078.xword 0x80604020
79079.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (21)
79080
79081.xword 0x356a
79082.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (21)
79083
79084.xword 0x0000006000408020
79085.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
79086
79087.xword 0x6a35
79088.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
79089
79090.xword 0x78285050
79091.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
79092
79093.xword 0x80604020
79094.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
79095
79096.xword 0x00287850
79097.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (21)
79098
79099.xword 0x00287850
79100.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (21)
79101
79102.xword 0x78285050
79103.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (21)
79104
79105.xword 0x64500000003c0000
79106.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
79107
79108.xword 0x7766000000554422
79109.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
79110
79111.xword 0x706200000054462a
79112.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
79113
79114.xword 0x784d005800423721
79115.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
79116
79117.xword 0x804d006000504020
79118.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
79119
79120.xword 0x0000006000408020
79121.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
79122
79123.xword 0
79124.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (21)
79125
79126.xword 0
79127.xword 0x0002006b | (6 << 18) !ma_ctl_Ld (22)
79128
79129.xword 0
79130.xword 0x00020177 | (6 << 18) !ma_ctl_St (22)
79131
79132.xword 0x80604020
79133.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (22)
79134
79135.xword 0x356a
79136.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (22)
79137
79138.xword 0x0000006000408020
79139.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
79140
79141.xword 0x6a35
79142.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
79143
79144.xword 0x78285050
79145.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
79146
79147.xword 0x80604020
79148.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
79149
79150.xword 0x00287850
79151.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (22)
79152
79153.xword 0x00287850
79154.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (22)
79155
79156.xword 0x78285050
79157.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (22)
79158
79159.xword 0x64500000003c0000
79160.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
79161
79162.xword 0x7766000000554422
79163.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
79164
79165.xword 0x706200000054462a
79166.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
79167
79168.xword 0x784d005800423721
79169.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
79170
79171.xword 0x804d006000504020
79172.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
79173
79174.xword 0x0000006000408020
79175.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
79176
79177.xword 0
79178.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (22)
79179
79180.xword 0
79181.xword 0x00020066 | (6 << 18) !ma_ctl_Ld (23)
79182
79183.xword 0
79184.xword 0x00020175 | (6 << 18) !ma_ctl_St (23)
79185
79186.xword 0x80604020
79187.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (23)
79188
79189.xword 0x356a
79190.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (23)
79191
79192.xword 0x0000006000408020
79193.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
79194
79195.xword 0x6a35
79196.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
79197
79198.xword 0x78285050
79199.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
79200
79201.xword 0x80604020
79202.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
79203
79204.xword 0x00287850
79205.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (23)
79206
79207.xword 0x00287850
79208.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (23)
79209
79210.xword 0x78285050
79211.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (23)
79212
79213.xword 0x64500000003c0000
79214.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
79215
79216.xword 0x7766000000554422
79217.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
79218
79219.xword 0x706200000054462a
79220.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
79221
79222.xword 0x784d005800423721
79223.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
79224
79225.xword 0x804d006000504020
79226.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
79227
79228.xword 0x0000006000408020
79229.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
79230
79231.xword 0
79232.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (23)
79233
79234.xword 0
79235.xword 0x0002009a | (6 << 18) !ma_ctl_Ld (24)
79236
79237.xword 0
79238.xword 0x00020125 | (6 << 18) !ma_ctl_St (24)
79239
79240.xword 0x80604020
79241.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (24)
79242
79243.xword 0x356a
79244.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (24)
79245
79246.xword 0x0000006000408020
79247.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
79248
79249.xword 0x6a35
79250.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
79251
79252.xword 0x78285050
79253.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
79254
79255.xword 0x80604020
79256.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
79257
79258.xword 0x00287850
79259.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (24)
79260
79261.xword 0x00287850
79262.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (24)
79263
79264.xword 0x78285050
79265.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (24)
79266
79267.xword 0x64500000003c0000
79268.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
79269
79270.xword 0x7766000000554422
79271.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
79272
79273.xword 0x706200000054462a
79274.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
79275
79276.xword 0x784d005800423721
79277.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
79278
79279.xword 0x804d006000504020
79280.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
79281
79282.xword 0x0000006000408020
79283.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
79284
79285.xword 0
79286.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (24)
79287
79288.xword 0
79289.xword 0x0002007e | (6 << 18) !ma_ctl_Ld (25)
79290
79291.xword 0
79292.xword 0x0002017c | (6 << 18) !ma_ctl_St (25)
79293
79294.xword 0x80604020
79295.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (25)
79296
79297.xword 0x356a
79298.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (25)
79299
79300.xword 0x0000006000408020
79301.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
79302
79303.xword 0x6a35
79304.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
79305
79306.xword 0x78285050
79307.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
79308
79309.xword 0x80604020
79310.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
79311
79312.xword 0x00287850
79313.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (25)
79314
79315.xword 0x00287850
79316.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (25)
79317
79318.xword 0x78285050
79319.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (25)
79320
79321.xword 0x64500000003c0000
79322.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
79323
79324.xword 0x7766000000554422
79325.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
79326
79327.xword 0x706200000054462a
79328.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
79329
79330.xword 0x784d005800423721
79331.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
79332
79333.xword 0x804d006000504020
79334.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
79335
79336.xword 0x0000006000408020
79337.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
79338
79339.xword 0
79340.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (25)
79341
79342.xword 0
79343.xword 0x0002004a | (6 << 18) !ma_ctl_Ld (26)
79344
79345.xword 0
79346.xword 0x00020131 | (6 << 18) !ma_ctl_St (26)
79347
79348.xword 0x80604020
79349.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (26)
79350
79351.xword 0x356a
79352.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (26)
79353
79354.xword 0x0000006000408020
79355.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
79356
79357.xword 0x6a35
79358.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
79359
79360.xword 0x78285050
79361.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
79362
79363.xword 0x80604020
79364.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
79365
79366.xword 0x00287850
79367.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (26)
79368
79369.xword 0x00287850
79370.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (26)
79371
79372.xword 0x78285050
79373.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (26)
79374
79375.xword 0x64500000003c0000
79376.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
79377
79378.xword 0x7766000000554422
79379.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
79380
79381.xword 0x706200000054462a
79382.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
79383
79384.xword 0x784d005800423721
79385.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
79386
79387.xword 0x804d006000504020
79388.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
79389
79390.xword 0x0000006000408020
79391.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
79392
79393.xword 0
79394.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (26)
79395
79396.xword 0
79397.xword 0x0002003b | (6 << 18) !ma_ctl_Ld (27)
79398
79399.xword 0
79400.xword 0x0002013d | (6 << 18) !ma_ctl_St (27)
79401
79402.xword 0x80604020
79403.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (27)
79404
79405.xword 0x356a
79406.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (27)
79407
79408.xword 0x0000006000408020
79409.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
79410
79411.xword 0x6a35
79412.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
79413
79414.xword 0x78285050
79415.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
79416
79417.xword 0x80604020
79418.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
79419
79420.xword 0x00287850
79421.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (27)
79422
79423.xword 0x00287850
79424.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (27)
79425
79426.xword 0x78285050
79427.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (27)
79428
79429.xword 0x64500000003c0000
79430.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
79431
79432.xword 0x7766000000554422
79433.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
79434
79435.xword 0x706200000054462a
79436.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
79437
79438.xword 0x784d005800423721
79439.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
79440
79441.xword 0x804d006000504020
79442.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
79443
79444.xword 0x0000006000408020
79445.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
79446
79447.xword 0
79448.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (27)
79449
79450.xword 0
79451.xword 0x00020088 | (6 << 18) !ma_ctl_Ld (28)
79452
79453.xword 0
79454.xword 0x00020127 | (6 << 18) !ma_ctl_St (28)
79455
79456.xword 0x80604020
79457.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (28)
79458
79459.xword 0x356a
79460.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (28)
79461
79462.xword 0x0000006000408020
79463.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
79464
79465.xword 0x6a35
79466.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
79467
79468.xword 0x78285050
79469.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
79470
79471.xword 0x80604020
79472.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
79473
79474.xword 0x00287850
79475.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (28)
79476
79477.xword 0x00287850
79478.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (28)
79479
79480.xword 0x78285050
79481.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (28)
79482
79483.xword 0x64500000003c0000
79484.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
79485
79486.xword 0x7766000000554422
79487.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
79488
79489.xword 0x706200000054462a
79490.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
79491
79492.xword 0x784d005800423721
79493.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
79494
79495.xword 0x804d006000504020
79496.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
79497
79498.xword 0x0000006000408020
79499.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
79500
79501.xword 0
79502.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (28)
79503
79504.xword 0
79505.xword 0x00020063 | (6 << 18) !ma_ctl_Ld (29)
79506
79507.xword 0
79508.xword 0x00020123 | (6 << 18) !ma_ctl_St (29)
79509
79510.xword 0x80604020
79511.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (29)
79512
79513.xword 0x356a
79514.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (29)
79515
79516.xword 0x0000006000408020
79517.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
79518
79519.xword 0x6a35
79520.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
79521
79522.xword 0x78285050
79523.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
79524
79525.xword 0x80604020
79526.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
79527
79528.xword 0x00287850
79529.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (29)
79530
79531.xword 0x00287850
79532.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (29)
79533
79534.xword 0x78285050
79535.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (29)
79536
79537.xword 0x64500000003c0000
79538.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
79539
79540.xword 0x7766000000554422
79541.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
79542
79543.xword 0x706200000054462a
79544.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
79545
79546.xword 0x784d005800423721
79547.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
79548
79549.xword 0x804d006000504020
79550.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
79551
79552.xword 0x0000006000408020
79553.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
79554
79555.xword 0
79556.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (29)
79557
79558.xword 0
79559.xword 0x00020031 | (6 << 18) !ma_ctl_Ld (30)
79560
79561.xword 0
79562.xword 0x00020162 | (6 << 18) !ma_ctl_St (30)
79563
79564.xword 0x80604020
79565.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (30)
79566
79567.xword 0x356a
79568.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (30)
79569
79570.xword 0x0000006000408020
79571.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
79572
79573.xword 0x6a35
79574.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
79575
79576.xword 0x78285050
79577.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
79578
79579.xword 0x80604020
79580.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
79581
79582.xword 0x00287850
79583.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (30)
79584
79585.xword 0x00287850
79586.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (30)
79587
79588.xword 0x78285050
79589.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (30)
79590
79591.xword 0x64500000003c0000
79592.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
79593
79594.xword 0x7766000000554422
79595.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
79596
79597.xword 0x706200000054462a
79598.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
79599
79600.xword 0x784d005800423721
79601.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
79602
79603.xword 0x804d006000504020
79604.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
79605
79606.xword 0x0000006000408020
79607.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
79608
79609.xword 0
79610.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (30)
79611
79612.xword 0
79613.xword 0x00020034 | (6 << 18) !ma_ctl_Ld (31)
79614
79615.xword 0
79616.xword 0x00020187 | (6 << 18) !ma_ctl_St (31)
79617
79618.xword 0x80604020
79619.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (31)
79620
79621.xword 0x356a
79622.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (31)
79623
79624.xword 0x0000006000408020
79625.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
79626
79627.xword 0x6a35
79628.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
79629
79630.xword 0x78285050
79631.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
79632
79633.xword 0x80604020
79634.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
79635
79636.xword 0x00287850
79637.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (31)
79638
79639.xword 0x00287850
79640.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (31)
79641
79642.xword 0x78285050
79643.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (31)
79644
79645.xword 0x64500000003c0000
79646.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
79647
79648.xword 0x7766000000554422
79649.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
79650
79651.xword 0x706200000054462a
79652.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
79653
79654.xword 0x784d005800423721
79655.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
79656
79657.xword 0x804d006000504020
79658.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
79659
79660.xword 0x0000006000408020
79661.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
79662
79663.xword 0
79664.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (31)
79665
79666.xword 0
79667.xword 0x00020081 | (6 << 18) !ma_ctl_Ld (32)
79668
79669.xword 0
79670.xword 0x0002017d | (6 << 18) !ma_ctl_St (32)
79671
79672.xword 0x80604020
79673.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (32)
79674
79675.xword 0x356a
79676.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (32)
79677
79678.xword 0x0000006000408020
79679.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
79680
79681.xword 0x6a35
79682.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
79683
79684.xword 0x78285050
79685.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
79686
79687.xword 0x80604020
79688.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
79689
79690.xword 0x00287850
79691.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (32)
79692
79693.xword 0x00287850
79694.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (32)
79695
79696.xword 0x78285050
79697.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (32)
79698
79699.xword 0x64500000003c0000
79700.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
79701
79702.xword 0x7766000000554422
79703.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
79704
79705.xword 0x706200000054462a
79706.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
79707
79708.xword 0x784d005800423721
79709.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
79710
79711.xword 0x804d006000504020
79712.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
79713
79714.xword 0x0000006000408020
79715.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
79716
79717.xword 0
79718.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (32)
79719
79720.xword 0
79721.xword 0x0002009f | (6 << 18) !ma_ctl_Ld (33)
79722
79723.xword 0
79724.xword 0x00020182 | (6 << 18) !ma_ctl_St (33)
79725
79726.xword 0x80604020
79727.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (33)
79728
79729.xword 0x356a
79730.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (33)
79731
79732.xword 0x0000006000408020
79733.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
79734
79735.xword 0x6a35
79736.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
79737
79738.xword 0x78285050
79739.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
79740
79741.xword 0x80604020
79742.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
79743
79744.xword 0x00287850
79745.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (33)
79746
79747.xword 0x00287850
79748.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (33)
79749
79750.xword 0x78285050
79751.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (33)
79752
79753.xword 0x64500000003c0000
79754.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
79755
79756.xword 0x7766000000554422
79757.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
79758
79759.xword 0x706200000054462a
79760.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
79761
79762.xword 0x784d005800423721
79763.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
79764
79765.xword 0x804d006000504020
79766.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
79767
79768.xword 0x0000006000408020
79769.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
79770
79771.xword 0
79772.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (33)
79773
79774.xword 0
79775.xword 0x00020080 | (6 << 18) !ma_ctl_Ld (34)
79776
79777.xword 0
79778.xword 0x0002012b | (6 << 18) !ma_ctl_St (34)
79779
79780.xword 0x80604020
79781.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (34)
79782
79783.xword 0x356a
79784.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (34)
79785
79786.xword 0x0000006000408020
79787.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
79788
79789.xword 0x6a35
79790.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
79791
79792.xword 0x78285050
79793.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
79794
79795.xword 0x80604020
79796.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
79797
79798.xword 0x00287850
79799.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (34)
79800
79801.xword 0x00287850
79802.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (34)
79803
79804.xword 0x78285050
79805.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (34)
79806
79807.xword 0x64500000003c0000
79808.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
79809
79810.xword 0x7766000000554422
79811.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
79812
79813.xword 0x706200000054462a
79814.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
79815
79816.xword 0x784d005800423721
79817.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
79818
79819.xword 0x804d006000504020
79820.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
79821
79822.xword 0x0000006000408020
79823.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
79824
79825.xword 0
79826.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (34)
79827
79828.xword 0
79829.xword 0x0002003f | (6 << 18) !ma_ctl_Ld (35)
79830
79831.xword 0
79832.xword 0x00020123 | (6 << 18) !ma_ctl_St (35)
79833
79834.xword 0x80604020
79835.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (35)
79836
79837.xword 0x356a
79838.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (35)
79839
79840.xword 0x0000006000408020
79841.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
79842
79843.xword 0x6a35
79844.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
79845
79846.xword 0x78285050
79847.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
79848
79849.xword 0x80604020
79850.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
79851
79852.xword 0x00287850
79853.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (35)
79854
79855.xword 0x00287850
79856.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (35)
79857
79858.xword 0x78285050
79859.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (35)
79860
79861.xword 0x64500000003c0000
79862.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
79863
79864.xword 0x7766000000554422
79865.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
79866
79867.xword 0x706200000054462a
79868.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
79869
79870.xword 0x784d005800423721
79871.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
79872
79873.xword 0x804d006000504020
79874.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
79875
79876.xword 0x0000006000408020
79877.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
79878
79879.xword 0
79880.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (35)
79881
79882.xword 0
79883.xword 0x0002004a | (6 << 18) !ma_ctl_Ld (36)
79884
79885.xword 0
79886.xword 0x00020163 | (6 << 18) !ma_ctl_St (36)
79887
79888.xword 0x80604020
79889.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (36)
79890
79891.xword 0x356a
79892.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (36)
79893
79894.xword 0x0000006000408020
79895.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
79896
79897.xword 0x6a35
79898.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
79899
79900.xword 0x78285050
79901.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
79902
79903.xword 0x80604020
79904.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
79905
79906.xword 0x00287850
79907.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (36)
79908
79909.xword 0x00287850
79910.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (36)
79911
79912.xword 0x78285050
79913.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (36)
79914
79915.xword 0x64500000003c0000
79916.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
79917
79918.xword 0x7766000000554422
79919.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
79920
79921.xword 0x706200000054462a
79922.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
79923
79924.xword 0x784d005800423721
79925.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
79926
79927.xword 0x804d006000504020
79928.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
79929
79930.xword 0x0000006000408020
79931.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
79932
79933.xword 0
79934.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (36)
79935
79936.xword 0
79937.xword 0x00020054 | (6 << 18) !ma_ctl_Ld (37)
79938
79939.xword 0
79940.xword 0x00020146 | (6 << 18) !ma_ctl_St (37)
79941
79942.xword 0x80604020
79943.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (37)
79944
79945.xword 0x356a
79946.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (37)
79947
79948.xword 0x0000006000408020
79949.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
79950
79951.xword 0x6a35
79952.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
79953
79954.xword 0x78285050
79955.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
79956
79957.xword 0x80604020
79958.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
79959
79960.xword 0x00287850
79961.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (37)
79962
79963.xword 0x00287850
79964.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (37)
79965
79966.xword 0x78285050
79967.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (37)
79968
79969.xword 0x64500000003c0000
79970.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
79971
79972.xword 0x7766000000554422
79973.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
79974
79975.xword 0x706200000054462a
79976.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
79977
79978.xword 0x784d005800423721
79979.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
79980
79981.xword 0x804d006000504020
79982.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
79983
79984.xword 0x0000006000408020
79985.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
79986
79987.xword 0
79988.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (37)
79989
79990.xword 0
79991.xword 0x00020087 | (6 << 18) !ma_ctl_Ld (38)
79992
79993.xword 0
79994.xword 0x00020125 | (6 << 18) !ma_ctl_St (38)
79995
79996.xword 0x80604020
79997.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (38)
79998
79999.xword 0x356a
80000.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (38)
80001
80002.xword 0x0000006000408020
80003.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
80004
80005.xword 0x6a35
80006.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
80007
80008.xword 0x78285050
80009.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
80010
80011.xword 0x80604020
80012.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
80013
80014.xword 0x00287850
80015.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (38)
80016
80017.xword 0x00287850
80018.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (38)
80019
80020.xword 0x78285050
80021.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (38)
80022
80023.xword 0x64500000003c0000
80024.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
80025
80026.xword 0x7766000000554422
80027.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
80028
80029.xword 0x706200000054462a
80030.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
80031
80032.xword 0x784d005800423721
80033.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
80034
80035.xword 0x804d006000504020
80036.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
80037
80038.xword 0x0000006000408020
80039.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
80040
80041.xword 0
80042.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (38)
80043
80044.xword 0
80045.xword 0x00020099 | (6 << 18) !ma_ctl_Ld (39)
80046
80047.xword 0
80048.xword 0x00020160 | (6 << 18) !ma_ctl_St (39)
80049
80050.xword 0x80604020
80051.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (39)
80052
80053.xword 0x356a
80054.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (39)
80055
80056.xword 0x0000006000408020
80057.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
80058
80059.xword 0x6a35
80060.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
80061
80062.xword 0x78285050
80063.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
80064
80065.xword 0x80604020
80066.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
80067
80068.xword 0x00287850
80069.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (39)
80070
80071.xword 0x00287850
80072.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (39)
80073
80074.xword 0x78285050
80075.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
80076
80077.xword 0x64500000003c0000
80078.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
80079
80080.xword 0x7766000000554422
80081.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
80082
80083.xword 0x706200000054462a
80084.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
80085
80086.xword 0x784d005800423721
80087.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
80088
80089.xword 0x804d006000504020
80090.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
80091
80092.xword 0x0000006000408020
80093.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
80094
80095.xword 0
80096.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (39)
80097
80098.xword 0
80099.xword 0x0002001e | (6 << 18) !ma_ctl_Ld (40)
80100
80101.xword 0
80102.xword 0x0002018b | (6 << 18) !ma_ctl_St (40)
80103
80104.xword 0x80604020
80105.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (40)
80106
80107.xword 0x356a
80108.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (40)
80109
80110.xword 0x0000006000408020
80111.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
80112
80113.xword 0x6a35
80114.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
80115
80116.xword 0x78285050
80117.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
80118
80119.xword 0x80604020
80120.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
80121
80122.xword 0x00287850
80123.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (40)
80124
80125.xword 0x00287850
80126.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (40)
80127
80128.xword 0x78285050
80129.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (40)
80130
80131.xword 0x64500000003c0000
80132.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
80133
80134.xword 0x7766000000554422
80135.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
80136
80137.xword 0x706200000054462a
80138.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
80139
80140.xword 0x784d005800423721
80141.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
80142
80143.xword 0x804d006000504020
80144.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
80145
80146.xword 0x0000006000408020
80147.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
80148
80149.xword 0
80150.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (40)
80151
80152.xword 0
80153.xword 0x00020036 | (6 << 18) !ma_ctl_Ld (41)
80154
80155.xword 0
80156.xword 0x0002013b | (6 << 18) !ma_ctl_St (41)
80157
80158.xword 0x80604020
80159.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (41)
80160
80161.xword 0x356a
80162.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (41)
80163
80164.xword 0x0000006000408020
80165.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
80166
80167.xword 0x6a35
80168.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
80169
80170.xword 0x78285050
80171.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
80172
80173.xword 0x80604020
80174.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
80175
80176.xword 0x00287850
80177.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (41)
80178
80179.xword 0x00287850
80180.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (41)
80181
80182.xword 0x78285050
80183.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (41)
80184
80185.xword 0x64500000003c0000
80186.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
80187
80188.xword 0x7766000000554422
80189.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
80190
80191.xword 0x706200000054462a
80192.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
80193
80194.xword 0x784d005800423721
80195.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
80196
80197.xword 0x804d006000504020
80198.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
80199
80200.xword 0x0000006000408020
80201.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
80202
80203.xword 0
80204.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (41)
80205
80206.xword 0
80207.xword 0x00020035 | (6 << 18) !ma_ctl_Ld (42)
80208
80209.xword 0
80210.xword 0x0002017b | (6 << 18) !ma_ctl_St (42)
80211
80212.xword 0x80604020
80213.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (42)
80214
80215.xword 0x356a
80216.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (42)
80217
80218.xword 0x0000006000408020
80219.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
80220
80221.xword 0x6a35
80222.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
80223
80224.xword 0x78285050
80225.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
80226
80227.xword 0x80604020
80228.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
80229
80230.xword 0x00287850
80231.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (42)
80232
80233.xword 0x00287850
80234.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (42)
80235
80236.xword 0x78285050
80237.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (42)
80238
80239.xword 0x64500000003c0000
80240.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
80241
80242.xword 0x7766000000554422
80243.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
80244
80245.xword 0x706200000054462a
80246.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
80247
80248.xword 0x784d005800423721
80249.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
80250
80251.xword 0x804d006000504020
80252.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
80253
80254.xword 0x0000006000408020
80255.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
80256
80257.xword 0
80258.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (42)
80259
80260.xword 0
80261.xword 0x0002004b | (6 << 18) !ma_ctl_Ld (43)
80262
80263.xword 0
80264.xword 0x00020169 | (6 << 18) !ma_ctl_St (43)
80265
80266.xword 0x80604020
80267.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (43)
80268
80269.xword 0x356a
80270.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (43)
80271
80272.xword 0x0000006000408020
80273.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
80274
80275.xword 0x6a35
80276.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
80277
80278.xword 0x78285050
80279.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
80280
80281.xword 0x80604020
80282.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
80283
80284.xword 0x00287850
80285.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (43)
80286
80287.xword 0x00287850
80288.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (43)
80289
80290.xword 0x78285050
80291.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (43)
80292
80293.xword 0x64500000003c0000
80294.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
80295
80296.xword 0x7766000000554422
80297.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
80298
80299.xword 0x706200000054462a
80300.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
80301
80302.xword 0x784d005800423721
80303.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
80304
80305.xword 0x804d006000504020
80306.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
80307
80308.xword 0x0000006000408020
80309.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
80310
80311.xword 0
80312.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (43)
80313
80314.xword 0
80315.xword 0x0002007d | (6 << 18) !ma_ctl_Ld (44)
80316
80317.xword 0
80318.xword 0x0002012c | (6 << 18) !ma_ctl_St (44)
80319
80320.xword 0x80604020
80321.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (44)
80322
80323.xword 0x356a
80324.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (44)
80325
80326.xword 0x0000006000408020
80327.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
80328
80329.xword 0x6a35
80330.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
80331
80332.xword 0x78285050
80333.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
80334
80335.xword 0x80604020
80336.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
80337
80338.xword 0x00287850
80339.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (44)
80340
80341.xword 0x00287850
80342.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (44)
80343
80344.xword 0x78285050
80345.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (44)
80346
80347.xword 0x64500000003c0000
80348.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
80349
80350.xword 0x7766000000554422
80351.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
80352
80353.xword 0x706200000054462a
80354.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
80355
80356.xword 0x784d005800423721
80357.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
80358
80359.xword 0x804d006000504020
80360.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
80361
80362.xword 0x0000006000408020
80363.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
80364
80365.xword 0
80366.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (44)
80367
80368.xword 0
80369.xword 0x00020028 | (6 << 18) !ma_ctl_Ld (45)
80370
80371.xword 0
80372.xword 0x00020141 | (6 << 18) !ma_ctl_St (45)
80373
80374.xword 0x80604020
80375.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (45)
80376
80377.xword 0x356a
80378.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (45)
80379
80380.xword 0x0000006000408020
80381.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
80382
80383.xword 0x6a35
80384.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
80385
80386.xword 0x78285050
80387.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
80388
80389.xword 0x80604020
80390.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
80391
80392.xword 0x00287850
80393.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (45)
80394
80395.xword 0x00287850
80396.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (45)
80397
80398.xword 0x78285050
80399.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (45)
80400
80401.xword 0x64500000003c0000
80402.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
80403
80404.xword 0x7766000000554422
80405.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
80406
80407.xword 0x706200000054462a
80408.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
80409
80410.xword 0x784d005800423721
80411.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
80412
80413.xword 0x804d006000504020
80414.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
80415
80416.xword 0x0000006000408020
80417.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
80418
80419.xword 0
80420.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (45)
80421
80422.xword 0
80423.xword 0x0002003a | (6 << 18) !ma_ctl_Ld (46)
80424
80425.xword 0
80426.xword 0x00020122 | (6 << 18) !ma_ctl_St (46)
80427
80428.xword 0x80604020
80429.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (46)
80430
80431.xword 0x356a
80432.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (46)
80433
80434.xword 0x0000006000408020
80435.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
80436
80437.xword 0x6a35
80438.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
80439
80440.xword 0x78285050
80441.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
80442
80443.xword 0x80604020
80444.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
80445
80446.xword 0x00287850
80447.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (46)
80448
80449.xword 0x00287850
80450.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (46)
80451
80452.xword 0x78285050
80453.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (46)
80454
80455.xword 0x64500000003c0000
80456.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
80457
80458.xword 0x7766000000554422
80459.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
80460
80461.xword 0x706200000054462a
80462.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
80463
80464.xword 0x784d005800423721
80465.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
80466
80467.xword 0x804d006000504020
80468.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
80469
80470.xword 0x0000006000408020
80471.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
80472
80473.xword 0
80474.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (46)
80475
80476.xword 0
80477.xword 0x00020077 | (6 << 18) !ma_ctl_Ld (47)
80478
80479.xword 0
80480.xword 0x0002016d | (6 << 18) !ma_ctl_St (47)
80481
80482.xword 0x80604020
80483.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (47)
80484
80485.xword 0x356a
80486.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (47)
80487
80488.xword 0x0000006000408020
80489.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
80490
80491.xword 0x6a35
80492.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
80493
80494.xword 0x78285050
80495.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
80496
80497.xword 0x80604020
80498.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
80499
80500.xword 0x00287850
80501.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (47)
80502
80503.xword 0x00287850
80504.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (47)
80505
80506.xword 0x78285050
80507.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (47)
80508
80509.xword 0x64500000003c0000
80510.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
80511
80512.xword 0x7766000000554422
80513.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
80514
80515.xword 0x706200000054462a
80516.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
80517
80518.xword 0x784d005800423721
80519.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
80520
80521.xword 0x804d006000504020
80522.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
80523
80524.xword 0x0000006000408020
80525.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
80526
80527.xword 0
80528.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (47)
80529
80530.xword 0
80531.xword 0x00020081 | (6 << 18) !ma_ctl_Ld (48)
80532
80533.xword 0
80534.xword 0x00020148 | (6 << 18) !ma_ctl_St (48)
80535
80536.xword 0x80604020
80537.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (48)
80538
80539.xword 0x356a
80540.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (48)
80541
80542.xword 0x0000006000408020
80543.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
80544
80545.xword 0x6a35
80546.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
80547
80548.xword 0x78285050
80549.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
80550
80551.xword 0x80604020
80552.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
80553
80554.xword 0x00287850
80555.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (48)
80556
80557.xword 0x00287850
80558.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (48)
80559
80560.xword 0x78285050
80561.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (48)
80562
80563.xword 0x64500000003c0000
80564.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
80565
80566.xword 0x7766000000554422
80567.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
80568
80569.xword 0x706200000054462a
80570.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
80571
80572.xword 0x784d005800423721
80573.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
80574
80575.xword 0x804d006000504020
80576.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
80577
80578.xword 0x0000006000408020
80579.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
80580
80581.xword 0
80582.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (48)
80583
80584.xword 0
80585.xword 0x0002008e | (6 << 18) !ma_ctl_Ld (49)
80586
80587.xword 0
80588.xword 0x0002016e | (6 << 18) !ma_ctl_St (49)
80589
80590.xword 0x80604020
80591.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (49)
80592
80593.xword 0x356a
80594.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (49)
80595
80596.xword 0x0000006000408020
80597.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
80598
80599.xword 0x6a35
80600.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
80601
80602.xword 0x78285050
80603.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
80604
80605.xword 0x80604020
80606.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
80607
80608.xword 0x00287850
80609.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (49)
80610
80611.xword 0x00287850
80612.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (49)
80613
80614.xword 0x78285050
80615.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (49)
80616
80617.xword 0x64500000003c0000
80618.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
80619
80620.xword 0x7766000000554422
80621.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
80622
80623.xword 0x706200000054462a
80624.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
80625
80626.xword 0x784d005800423721
80627.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
80628
80629.xword 0x804d006000504020
80630.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
80631
80632.xword 0x0000006000408020
80633.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
80634
80635.xword 0
80636.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (49)
80637
80638.xword 0
80639.xword 0x0002003f | (6 << 18) !ma_ctl_Ld (50)
80640
80641.xword 0
80642.xword 0x00020144 | (6 << 18) !ma_ctl_St (50)
80643
80644.xword 0x80604020
80645.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (50)
80646
80647.xword 0x356a
80648.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (50)
80649
80650.xword 0x0000006000408020
80651.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
80652
80653.xword 0x6a35
80654.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
80655
80656.xword 0x78285050
80657.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
80658
80659.xword 0x80604020
80660.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
80661
80662.xword 0x00287850
80663.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (50)
80664
80665.xword 0x00287850
80666.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (50)
80667
80668.xword 0x78285050
80669.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (50)
80670
80671.xword 0x64500000003c0000
80672.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
80673
80674.xword 0x7766000000554422
80675.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
80676
80677.xword 0x706200000054462a
80678.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
80679
80680.xword 0x784d005800423721
80681.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
80682
80683.xword 0x804d006000504020
80684.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
80685
80686.xword 0x0000006000408020
80687.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
80688
80689.xword 0
80690.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (50)
80691
80692.xword 0
80693.xword 0x00020090 | (6 << 18) !ma_ctl_Ld (51)
80694
80695.xword 0
80696.xword 0x00020149 | (6 << 18) !ma_ctl_St (51)
80697
80698.xword 0x80604020
80699.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (51)
80700
80701.xword 0x356a
80702.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (51)
80703
80704.xword 0x0000006000408020
80705.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
80706
80707.xword 0x6a35
80708.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
80709
80710.xword 0x78285050
80711.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
80712
80713.xword 0x80604020
80714.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
80715
80716.xword 0x00287850
80717.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (51)
80718
80719.xword 0x00287850
80720.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (51)
80721
80722.xword 0x78285050
80723.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (51)
80724
80725.xword 0x64500000003c0000
80726.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
80727
80728.xword 0x7766000000554422
80729.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
80730
80731.xword 0x706200000054462a
80732.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
80733
80734.xword 0x784d005800423721
80735.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
80736
80737.xword 0x804d006000504020
80738.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
80739
80740.xword 0x0000006000408020
80741.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
80742
80743.xword 0
80744.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (51)
80745
80746.xword 0
80747.xword 0x0002002d | (6 << 18) !ma_ctl_Ld (52)
80748
80749.xword 0
80750.xword 0x0002016d | (6 << 18) !ma_ctl_St (52)
80751
80752.xword 0x80604020
80753.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (52)
80754
80755.xword 0x356a
80756.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (52)
80757
80758.xword 0x0000006000408020
80759.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
80760
80761.xword 0x6a35
80762.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
80763
80764.xword 0x78285050
80765.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
80766
80767.xword 0x80604020
80768.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
80769
80770.xword 0x00287850
80771.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (52)
80772
80773.xword 0x00287850
80774.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (52)
80775
80776.xword 0x78285050
80777.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (52)
80778
80779.xword 0x64500000003c0000
80780.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
80781
80782.xword 0x7766000000554422
80783.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
80784
80785.xword 0x706200000054462a
80786.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
80787
80788.xword 0x784d005800423721
80789.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
80790
80791.xword 0x804d006000504020
80792.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
80793
80794.xword 0x0000006000408020
80795.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
80796
80797.xword 0
80798.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (52)
80799
80800.xword 0
80801.xword 0x00020026 | (6 << 18) !ma_ctl_Ld (53)
80802
80803.xword 0
80804.xword 0x00020137 | (6 << 18) !ma_ctl_St (53)
80805
80806.xword 0x80604020
80807.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (53)
80808
80809.xword 0x356a
80810.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (53)
80811
80812.xword 0x0000006000408020
80813.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
80814
80815.xword 0x6a35
80816.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
80817
80818.xword 0x78285050
80819.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
80820
80821.xword 0x80604020
80822.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
80823
80824.xword 0x00287850
80825.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (53)
80826
80827.xword 0x00287850
80828.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (53)
80829
80830.xword 0x78285050
80831.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (53)
80832
80833.xword 0x64500000003c0000
80834.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
80835
80836.xword 0x7766000000554422
80837.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
80838
80839.xword 0x706200000054462a
80840.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
80841
80842.xword 0x784d005800423721
80843.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
80844
80845.xword 0x804d006000504020
80846.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
80847
80848.xword 0x0000006000408020
80849.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
80850
80851.xword 0
80852.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (53)
80853
80854.xword 0
80855.xword 0x0002004c | (6 << 18) !ma_ctl_Ld (54)
80856
80857.xword 0
80858.xword 0x00020168 | (6 << 18) !ma_ctl_St (54)
80859
80860.xword 0x80604020
80861.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (54)
80862
80863.xword 0x356a
80864.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (54)
80865
80866.xword 0x0000006000408020
80867.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
80868
80869.xword 0x6a35
80870.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
80871
80872.xword 0x78285050
80873.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
80874
80875.xword 0x80604020
80876.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
80877
80878.xword 0x00287850
80879.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (54)
80880
80881.xword 0x00287850
80882.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (54)
80883
80884.xword 0x78285050
80885.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (54)
80886
80887.xword 0x64500000003c0000
80888.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
80889
80890.xword 0x7766000000554422
80891.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
80892
80893.xword 0x706200000054462a
80894.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
80895
80896.xword 0x784d005800423721
80897.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
80898
80899.xword 0x804d006000504020
80900.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
80901
80902.xword 0x0000006000408020
80903.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
80904
80905.xword 0
80906.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (54)
80907
80908.xword 0
80909.xword 0x0002008d | (6 << 18) !ma_ctl_Ld (55)
80910
80911.xword 0
80912.xword 0x0002014e | (6 << 18) !ma_ctl_St (55)
80913
80914.xword 0x80604020
80915.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (55)
80916
80917.xword 0x356a
80918.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (55)
80919
80920.xword 0x0000006000408020
80921.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
80922
80923.xword 0x6a35
80924.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
80925
80926.xword 0x78285050
80927.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
80928
80929.xword 0x80604020
80930.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
80931
80932.xword 0x00287850
80933.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (55)
80934
80935.xword 0x00287850
80936.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (55)
80937
80938.xword 0x78285050
80939.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (55)
80940
80941.xword 0x64500000003c0000
80942.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
80943
80944.xword 0x7766000000554422
80945.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
80946
80947.xword 0x706200000054462a
80948.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
80949
80950.xword 0x784d005800423721
80951.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
80952
80953.xword 0x804d006000504020
80954.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
80955
80956.xword 0x0000006000408020
80957.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
80958
80959.xword 0
80960.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (55)
80961
80962.xword 0
80963.xword 0x0002009c | (6 << 18) !ma_ctl_Ld (56)
80964
80965.xword 0
80966.xword 0x0002014c | (6 << 18) !ma_ctl_St (56)
80967
80968.xword 0x80604020
80969.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (56)
80970
80971.xword 0x356a
80972.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (56)
80973
80974.xword 0x0000006000408020
80975.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
80976
80977.xword 0x6a35
80978.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
80979
80980.xword 0x78285050
80981.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
80982
80983.xword 0x80604020
80984.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
80985
80986.xword 0x00287850
80987.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (56)
80988
80989.xword 0x00287850
80990.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (56)
80991
80992.xword 0x78285050
80993.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (56)
80994
80995.xword 0x64500000003c0000
80996.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
80997
80998.xword 0x7766000000554422
80999.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
81000
81001.xword 0x706200000054462a
81002.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
81003
81004.xword 0x784d005800423721
81005.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
81006
81007.xword 0x804d006000504020
81008.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
81009
81010.xword 0x0000006000408020
81011.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
81012
81013.xword 0
81014.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (56)
81015
81016.xword 0
81017.xword 0x00020068 | (6 << 18) !ma_ctl_Ld (57)
81018
81019.xword 0
81020.xword 0x00020122 | (6 << 18) !ma_ctl_St (57)
81021
81022.xword 0x80604020
81023.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (57)
81024
81025.xword 0x356a
81026.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (57)
81027
81028.xword 0x0000006000408020
81029.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
81030
81031.xword 0x6a35
81032.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
81033
81034.xword 0x78285050
81035.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
81036
81037.xword 0x80604020
81038.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
81039
81040.xword 0x00287850
81041.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (57)
81042
81043.xword 0x00287850
81044.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (57)
81045
81046.xword 0x78285050
81047.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (57)
81048
81049.xword 0x64500000003c0000
81050.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
81051
81052.xword 0x7766000000554422
81053.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
81054
81055.xword 0x706200000054462a
81056.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
81057
81058.xword 0x784d005800423721
81059.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
81060
81061.xword 0x804d006000504020
81062.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
81063
81064.xword 0x0000006000408020
81065.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
81066
81067.xword 0
81068.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (57)
81069
81070.xword 0
81071.xword 0x00020022 | (6 << 18) !ma_ctl_Ld (58)
81072
81073.xword 0
81074.xword 0x0002015b | (6 << 18) !ma_ctl_St (58)
81075
81076.xword 0x80604020
81077.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (58)
81078
81079.xword 0x356a
81080.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (58)
81081
81082.xword 0x0000006000408020
81083.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
81084
81085.xword 0x6a35
81086.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
81087
81088.xword 0x78285050
81089.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
81090
81091.xword 0x80604020
81092.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
81093
81094.xword 0x00287850
81095.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (58)
81096
81097.xword 0x00287850
81098.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (58)
81099
81100.xword 0x78285050
81101.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (58)
81102
81103.xword 0x64500000003c0000
81104.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
81105
81106.xword 0x7766000000554422
81107.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
81108
81109.xword 0x706200000054462a
81110.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
81111
81112.xword 0x784d005800423721
81113.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
81114
81115.xword 0x804d006000504020
81116.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
81117
81118.xword 0x0000006000408020
81119.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
81120
81121.xword 0
81122.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (58)
81123
81124
81125.align 8
81126.global _t2_ma_results2
81127_t2_ma_results2:
81128.xword 0xDEADBEEFDEADBEEF
81129.xword 0xDEADBEEFDEADBEEF
81130.xword 0xDEADBEEFDEADBEEF
81131.xword 0xDEADBEEFDEADBEEF
81132.xword 0xDEADBEEFDEADBEEF
81133.xword 0xDEADBEEFDEADBEEF
81134.xword 0xDEADBEEFDEADBEEF
81135.xword 0xDEADBEEFDEADBEEF
81136.xword 0xDEADBEEFDEADBEEF
81137.xword 0xDEADBEEFDEADBEEF
81138.xword 0xDEADBEEFDEADBEEF
81139.xword 0xDEADBEEFDEADBEEF
81140.xword 0xDEADBEEFDEADBEEF
81141.xword 0xDEADBEEFDEADBEEF
81142.xword 0xDEADBEEFDEADBEEF
81143.xword 0xDEADBEEFDEADBEEF
81144.xword 0xDEADBEEFDEADBEEF
81145.xword 0xDEADBEEFDEADBEEF
81146.xword 0xDEADBEEFDEADBEEF
81147.xword 0xDEADBEEFDEADBEEF
81148.xword 0xDEADBEEFDEADBEEF
81149.xword 0xDEADBEEFDEADBEEF
81150.xword 0xDEADBEEFDEADBEEF
81151.xword 0xDEADBEEFDEADBEEF
81152.xword 0xDEADBEEFDEADBEEF
81153.xword 0xDEADBEEFDEADBEEF
81154.xword 0xDEADBEEFDEADBEEF
81155.xword 0xDEADBEEFDEADBEEF
81156.xword 0xDEADBEEFDEADBEEF
81157.xword 0xDEADBEEFDEADBEEF
81158.xword 0xDEADBEEFDEADBEEF
81159.xword 0xDEADBEEFDEADBEEF
81160.xword 0xDEADBEEFDEADBEEF
81161.xword 0xDEADBEEFDEADBEEF
81162.xword 0xDEADBEEFDEADBEEF
81163.xword 0xDEADBEEFDEADBEEF
81164.xword 0xDEADBEEFDEADBEEF
81165.xword 0xDEADBEEFDEADBEEF
81166.xword 0xDEADBEEFDEADBEEF
81167.xword 0xDEADBEEFDEADBEEF
81168.xword 0xDEADBEEFDEADBEEF
81169.xword 0xDEADBEEFDEADBEEF
81170.xword 0xDEADBEEFDEADBEEF
81171.xword 0xDEADBEEFDEADBEEF
81172.xword 0xDEADBEEFDEADBEEF
81173.xword 0xDEADBEEFDEADBEEF
81174.xword 0xDEADBEEFDEADBEEF
81175.xword 0xDEADBEEFDEADBEEF
81176.xword 0xDEADBEEFDEADBEEF
81177.xword 0xDEADBEEFDEADBEEF
81178.xword 0xDEADBEEFDEADBEEF
81179.xword 0xDEADBEEFDEADBEEF
81180.xword 0xDEADBEEFDEADBEEF
81181.xword 0xDEADBEEFDEADBEEF
81182.xword 0xDEADBEEFDEADBEEF
81183.xword 0xDEADBEEFDEADBEEF
81184.xword 0xDEADBEEFDEADBEEF
81185.xword 0xDEADBEEFDEADBEEF
81186.xword 0xDEADBEEFDEADBEEF
81187.xword 0xDEADBEEFDEADBEEF
81188.xword 0xDEADBEEFDEADBEEF
81189.xword 0xDEADBEEFDEADBEEF
81190.xword 0xDEADBEEFDEADBEEF
81191.xword 0xDEADBEEFDEADBEEF
81192.xword 0xDEADBEEFDEADBEEF
81193.xword 0xDEADBEEFDEADBEEF
81194.xword 0xDEADBEEFDEADBEEF
81195.xword 0xDEADBEEFDEADBEEF
81196.xword 0xDEADBEEFDEADBEEF
81197.xword 0xDEADBEEFDEADBEEF
81198.xword 0xDEADBEEFDEADBEEF
81199.xword 0xDEADBEEFDEADBEEF
81200.xword 0xDEADBEEFDEADBEEF
81201.xword 0xDEADBEEFDEADBEEF
81202.xword 0xDEADBEEFDEADBEEF
81203.xword 0xDEADBEEFDEADBEEF
81204.xword 0xDEADBEEFDEADBEEF
81205.xword 0xDEADBEEFDEADBEEF
81206.xword 0xDEADBEEFDEADBEEF
81207.xword 0xDEADBEEFDEADBEEF
81208.xword 0xDEADBEEFDEADBEEF
81209.xword 0xDEADBEEFDEADBEEF
81210.xword 0xDEADBEEFDEADBEEF
81211.xword 0xDEADBEEFDEADBEEF
81212.xword 0xDEADBEEFDEADBEEF
81213.xword 0xDEADBEEFDEADBEEF
81214.xword 0xDEADBEEFDEADBEEF
81215.xword 0xDEADBEEFDEADBEEF
81216.xword 0xDEADBEEFDEADBEEF
81217.xword 0xDEADBEEFDEADBEEF
81218.xword 0xDEADBEEFDEADBEEF
81219.xword 0xDEADBEEFDEADBEEF
81220.xword 0xDEADBEEFDEADBEEF
81221.xword 0xDEADBEEFDEADBEEF
81222.xword 0xDEADBEEFDEADBEEF
81223.xword 0xDEADBEEFDEADBEEF
81224.xword 0xDEADBEEFDEADBEEF
81225.xword 0xDEADBEEFDEADBEEF
81226.xword 0xDEADBEEFDEADBEEF
81227.xword 0xDEADBEEFDEADBEEF
81228.xword 0xDEADBEEFDEADBEEF
81229.xword 0xDEADBEEFDEADBEEF
81230.xword 0xDEADBEEFDEADBEEF
81231.xword 0xDEADBEEFDEADBEEF
81232.xword 0xDEADBEEFDEADBEEF
81233.xword 0xDEADBEEFDEADBEEF
81234.xword 0xDEADBEEFDEADBEEF
81235.xword 0xDEADBEEFDEADBEEF
81236.xword 0xDEADBEEFDEADBEEF
81237.xword 0xDEADBEEFDEADBEEF
81238.xword 0xDEADBEEFDEADBEEF
81239.xword 0xDEADBEEFDEADBEEF
81240.xword 0xDEADBEEFDEADBEEF
81241.xword 0xDEADBEEFDEADBEEF
81242.xword 0xDEADBEEFDEADBEEF
81243.xword 0xDEADBEEFDEADBEEF
81244.xword 0xDEADBEEFDEADBEEF
81245.xword 0xDEADBEEFDEADBEEF
81246.xword 0xDEADBEEFDEADBEEF
81247.xword 0xDEADBEEFDEADBEEF
81248.xword 0xDEADBEEFDEADBEEF
81249.xword 0xDEADBEEFDEADBEEF
81250.xword 0xDEADBEEFDEADBEEF
81251.xword 0xDEADBEEFDEADBEEF
81252.xword 0xDEADBEEFDEADBEEF
81253.xword 0xDEADBEEFDEADBEEF
81254.xword 0xDEADBEEFDEADBEEF
81255.xword 0xDEADBEEFDEADBEEF
81256.xword 0xDEADBEEFDEADBEEF
81257.xword 0xDEADBEEFDEADBEEF
81258.xword 0xDEADBEEFDEADBEEF
81259.xword 0xDEADBEEFDEADBEEF
81260.xword 0xDEADBEEFDEADBEEF
81261.xword 0xDEADBEEFDEADBEEF
81262.xword 0xDEADBEEFDEADBEEF
81263.xword 0xDEADBEEFDEADBEEF
81264.xword 0xDEADBEEFDEADBEEF
81265.xword 0xDEADBEEFDEADBEEF
81266.xword 0xDEADBEEFDEADBEEF
81267.xword 0xDEADBEEFDEADBEEF
81268.xword 0xDEADBEEFDEADBEEF
81269.xword 0xDEADBEEFDEADBEEF
81270.xword 0xDEADBEEFDEADBEEF
81271.xword 0xDEADBEEFDEADBEEF
81272.xword 0xDEADBEEFDEADBEEF
81273.xword 0xDEADBEEFDEADBEEF
81274.xword 0xDEADBEEFDEADBEEF
81275.xword 0xDEADBEEFDEADBEEF
81276.xword 0xDEADBEEFDEADBEEF
81277.xword 0xDEADBEEFDEADBEEF
81278.xword 0xDEADBEEFDEADBEEF
81279.xword 0xDEADBEEFDEADBEEF
81280.xword 0xDEADBEEFDEADBEEF
81281.xword 0xDEADBEEFDEADBEEF
81282.xword 0xDEADBEEFDEADBEEF
81283.xword 0xDEADBEEFDEADBEEF
81284.xword 0xDEADBEEFDEADBEEF
81285.xword 0xDEADBEEFDEADBEEF
81286.xword 0xDEADBEEFDEADBEEF
81287.xword 0xDEADBEEFDEADBEEF
81288.xword 0xDEADBEEFDEADBEEF
81289.xword 0xDEADBEEFDEADBEEF
81290.xword 0xDEADBEEFDEADBEEF
81291.xword 0xDEADBEEFDEADBEEF
81292SECTION ._t2_T_MAX_DATA3 DATA_VA=271581184
81293attr_data {
81294 Name = ._t2_T_MAX_DATA3
81295 hypervisor
81296}
81297
81298.data
81299_t2_user_data_start:
81300_t2_scratch_area:
81301
81302.align 8
81303.global _t2_ma_operands3
81304_t2_ma_operands3:
81305.xword 0x460f0698ec95ec89
81306.xword 0xf364d1e285a628bf
81307.xword 0xd7bdd6e1b121999a
81308.xword 0x43bac6a2ad5f64b2
81309.xword 0xfb9854f8b665a231
81310.xword 0x09a8bd91fb0f5e2e
81311.xword 0xa296e95aa1faf13b
81312.xword 0xd481b2468257d1a9
81313.xword 0xc6fe9b2d2d73a512
81314.xword 0x65c28dbd30ada2fe
81315.xword 0xfbf3790ea4301186
81316.xword 0x731d4061a5412629
81317.xword 0xfd0169a6e0730f96
81318.xword 0xcaf6f9b1e0ea2b46
81319.xword 0xfbd713652cec418f
81320.xword 0xa0ee246db9574048
81321.xword 0xcbe8629ae7bc3077
81322.xword 0x41ff02505a453066
81323.xword 0xa65f8834d9450748
81324.xword 0x11100858f155a69f
81325.xword 0xc807b2fcf05209e1
81326.xword 0x9d23992e30529bdb
81327.xword 0x6c55c47eba10ea7c
81328.xword 0xcb1676114b7d75dc
81329.xword 0xeb3a5cecb24d9bb1
81330.xword 0x20bc5bddc60e5f08
81331.xword 0xa7d8282ca68afc76
81332.xword 0x29e1013e8e5c82ac
81333.xword 0xbc93ca39245a1d12
81334.xword 0xd296e47750f751b4
81335.xword 0xe948f2a98d9b8a2e
81336.xword 0x634c6d6e0fa77e0c
81337.xword 0x6da171c633bbd24b
81338.xword 0x74b63fc9f6567b5d
81339.xword 0xa4c0b97f46d74cff
81340.xword 0x281a80e9c961f5b0
81341.xword 0xf19b881a6323712d
81342.xword 0xb9577d3ad96b9886
81343.xword 0xc1e41161122ab1bf
81344.xword 0xe110eff31d56770c
81345.xword 0xfef858eac27876c5
81346.xword 0x36190dc52d0717f7
81347.xword 0x053f0f9be20c27ae
81348.xword 0x403ec02d849efa0b
81349.xword 0xfccfc9cda55c5d3d
81350.xword 0x55ac1b6b6696de55
81351.xword 0xaa2fb242f9293111
81352.xword 0xe6e94256e5983666
81353.xword 0xa7b2863380968094
81354.xword 0xc1443b6430dd2f67
81355.xword 0xb42fee09830486b6
81356.xword 0x137d1f458b14ced4
81357.xword 0x876b2a766bf8e4a5
81358.xword 0x91fa35e1d8335a26
81359.xword 0x51394523948f2b60
81360.xword 0xbaf99d0549119f95
81361.xword 0x61403369471da812
81362.xword 0x48585a7a16d8f190
81363.xword 0xc902a7c21d3bb874
81364.xword 0x28a0f603c97b8bc5
81365.xword 0x5da51baae9cca561
81366.xword 0xde12cdb7103fc479
81367.xword 0xd8dc0fb4812d9c49
81368.xword 0x80626fb5c10b061b
81369.xword 0x8b94793ad7ab36b3
81370.xword 0x23d7def5cc8f898a
81371.xword 0xf623cb530aa907be
81372.xword 0x68a95ec3d4298f53
81373.xword 0x9c3bfc6a3ed6d742
81374.xword 0x12f427fc6b435304
81375.xword 0x19752f4ec877bc3b
81376.xword 0xacd9bcd09de8c4a2
81377.xword 0x75525a884b151b47
81378.xword 0x9a16523f9bf76760
81379.xword 0x55d10930eec1beac
81380.xword 0x4c4d4ca88eff87e3
81381.xword 0xbff2b381e290e474
81382.xword 0xccc8fcf8002e3cea
81383.xword 0xdf217838e9e4852b
81384.xword 0xa6a14f7bc12d34d9
81385.xword 0x7cac2367123f442d
81386.xword 0x4e8a46b800298294
81387.xword 0x804c4a3bde781510
81388.xword 0x0ed0e2db9ef35262
81389.xword 0x3fc0eec416e5d788
81390.xword 0xa538dd0cfe23fda2
81391.xword 0x4815b2636d0c5661
81392.xword 0x51fc4b625796c652
81393.xword 0xb4b64e1ee835ac33
81394.xword 0xfe6a815a7ea49d43
81395.xword 0x3fe6098390d5068a
81396.xword 0x466335b9b3c8b2fc
81397.xword 0x918c671344664846
81398.xword 0xbaf0741ff068cab0
81399.xword 0x1e91bc6d3002b90d
81400.xword 0x76e064165aa9ae4d
81401.xword 0xc55c5dc991779b80
81402.xword 0x38e7d3d84ff084d9
81403.xword 0x4d26f48165ee43e4
81404.xword 0x6cd3f76676814248
81405.xword 0x4f47fb2af4b605fc
81406.xword 0xa7e96e71087245d3
81407.xword 0x4bc73f7388aa124e
81408.xword 0xee9e1991d14a6836
81409.xword 0x11433391a0581741
81410.xword 0xfdc1b380e2b1c43d
81411.xword 0x01a20b3c569c751f
81412.xword 0x1f9b2393dd5b5351
81413.xword 0x10ebbb01489c604a
81414.xword 0x8198221faf181666
81415.xword 0x7a2824a5c2bb7feb
81416.xword 0x6f34e09e91e9d909
81417.xword 0x9c47fd41424c93ca
81418.xword 0x321743a6cfcbc3c9
81419.xword 0x4489741c21d478cd
81420.xword 0x5be10ec5c33e6d15
81421.xword 0xf6d86f0ea6dda313
81422.xword 0x8f7004974a4c08f5
81423.xword 0xc1d1a6d0f8fd41c1
81424.xword 0x7ec4102d985a71bb
81425.xword 0xafde029dda762ce2
81426.xword 0xda0f8883716a5002
81427.xword 0xaf83cbe2893782a0
81428.xword 0x52f3ed49f4545519
81429.xword 0x78b846f48d37a1c9
81430.xword 0xd7382e1f998eeb21
81431.xword 0x239c0f4d890def8a
81432.xword 0x808a237735f0e508
81433.xword 0x7219aafaa356fbb9
81434.xword 0x5d03049c680c35c2
81435.xword 0xfd0ff29a8dc01fe3
81436.xword 0x44f9e93b613fd18a
81437.xword 0xc8e22d2b53286432
81438.xword 0xc560a30633fd185c
81439.xword 0xb2046d87bb851c28
81440.xword 0x00b258f07add5f7b
81441.xword 0xc989f590771b313a
81442.xword 0x043f017448e7200d
81443.xword 0xc2f980a06db3c531
81444.xword 0x94558533d2703024
81445.xword 0x3812d72b7c3e4250
81446.xword 0xc826d39aea77bfe8
81447.xword 0xddc3c15c777cd9f4
81448.xword 0xfa0107c67cce2055
81449.xword 0x416e7da5cf2a45f9
81450.xword 0x6fb739afa5fd5fc9
81451.xword 0xce966f4c603367c7
81452.xword 0xeeaac32c8458087f
81453.xword 0x2b7094c19c5b4c6d
81454.xword 0x1b634bd4a5a14372
81455.xword 0xc8c01cd8fcd1b5be
81456.xword 0xb8d248db1f26c2ea
81457.xword 0x699992e0b54b20f8
81458.xword 0xcb51b2862431230c
81459.xword 0x2f297c16f4b6e7bd
81460.xword 0xb6bb63b9cbc628a6
81461.xword 0x7436f86fc247e1b1
81462.xword 0x8cdfdc20ab49e7df
81463.xword 0xf47ee2bb42c9d5e6
81464.xword 0x10aaef9087eb7fc1
81465.xword 0x9e874ed4a459f2c3
81466.xword 0x0ec284bfa7c6b4a2
81467.xword 0xae63a4c6c808eb0b
81468.xword 0x093f2b0309f5ef21
81469
81470.align 8
81471.global _t2_ma_operations3
81472_t2_ma_operations3:
81473.xword 0
81474.xword 0x00020023 | (6 << 18) !ma_ctl_Ld (0)
81475
81476.xword 0
81477.xword 0x0002017a | (6 << 18) !ma_ctl_St (0)
81478
81479.xword 0x80604020
81480.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (0)
81481
81482.xword 0x356a
81483.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (0)
81484
81485.xword 0x0000006000408020
81486.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
81487
81488.xword 0x6a35
81489.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
81490
81491.xword 0x78285050
81492.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
81493
81494.xword 0x80604020
81495.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
81496
81497.xword 0x00287850
81498.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (0)
81499
81500.xword 0x00287850
81501.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (0)
81502
81503.xword 0x78285050
81504.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (0)
81505
81506.xword 0x64500000003c0000
81507.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
81508
81509.xword 0x7766000000554422
81510.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
81511
81512.xword 0x706200000054462a
81513.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
81514
81515.xword 0x784d005800423721
81516.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
81517
81518.xword 0x804d006000504020
81519.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
81520
81521.xword 0x0000006000408020
81522.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
81523
81524.xword 0
81525.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (0)
81526
81527.xword 0
81528.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (1)
81529
81530.xword 0
81531.xword 0x00020185 | (6 << 18) !ma_ctl_St (1)
81532
81533.xword 0x80604020
81534.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (1)
81535
81536.xword 0x356a
81537.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (1)
81538
81539.xword 0x0000006000408020
81540.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
81541
81542.xword 0x6a35
81543.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
81544
81545.xword 0x78285050
81546.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
81547
81548.xword 0x80604020
81549.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
81550
81551.xword 0x00287850
81552.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
81553
81554.xword 0x00287850
81555.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (1)
81556
81557.xword 0x78285050
81558.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (1)
81559
81560.xword 0x64500000003c0000
81561.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
81562
81563.xword 0x7766000000554422
81564.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
81565
81566.xword 0x706200000054462a
81567.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
81568
81569.xword 0x784d005800423721
81570.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
81571
81572.xword 0x804d006000504020
81573.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
81574
81575.xword 0x0000006000408020
81576.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
81577
81578.xword 0
81579.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (1)
81580
81581.xword 0
81582.xword 0x00020085 | (6 << 18) !ma_ctl_Ld (2)
81583
81584.xword 0
81585.xword 0x00020171 | (6 << 18) !ma_ctl_St (2)
81586
81587.xword 0x80604020
81588.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (2)
81589
81590.xword 0x356a
81591.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (2)
81592
81593.xword 0x0000006000408020
81594.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
81595
81596.xword 0x6a35
81597.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
81598
81599.xword 0x78285050
81600.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
81601
81602.xword 0x80604020
81603.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
81604
81605.xword 0x00287850
81606.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (2)
81607
81608.xword 0x00287850
81609.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (2)
81610
81611.xword 0x78285050
81612.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (2)
81613
81614.xword 0x64500000003c0000
81615.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
81616
81617.xword 0x7766000000554422
81618.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
81619
81620.xword 0x706200000054462a
81621.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
81622
81623.xword 0x784d005800423721
81624.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
81625
81626.xword 0x804d006000504020
81627.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
81628
81629.xword 0x0000006000408020
81630.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
81631
81632.xword 0
81633.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (2)
81634
81635.xword 0
81636.xword 0x0002009f | (6 << 18) !ma_ctl_Ld (3)
81637
81638.xword 0
81639.xword 0x00020130 | (6 << 18) !ma_ctl_St (3)
81640
81641.xword 0x80604020
81642.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (3)
81643
81644.xword 0x356a
81645.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (3)
81646
81647.xword 0x0000006000408020
81648.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
81649
81650.xword 0x6a35
81651.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
81652
81653.xword 0x78285050
81654.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
81655
81656.xword 0x80604020
81657.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
81658
81659.xword 0x00287850
81660.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (3)
81661
81662.xword 0x00287850
81663.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (3)
81664
81665.xword 0x78285050
81666.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (3)
81667
81668.xword 0x64500000003c0000
81669.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
81670
81671.xword 0x7766000000554422
81672.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
81673
81674.xword 0x706200000054462a
81675.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
81676
81677.xword 0x784d005800423721
81678.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
81679
81680.xword 0x804d006000504020
81681.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
81682
81683.xword 0x0000006000408020
81684.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
81685
81686.xword 0
81687.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (3)
81688
81689.xword 0
81690.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (4)
81691
81692.xword 0
81693.xword 0x00020182 | (6 << 18) !ma_ctl_St (4)
81694
81695.xword 0x80604020
81696.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (4)
81697
81698.xword 0x356a
81699.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (4)
81700
81701.xword 0x0000006000408020
81702.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
81703
81704.xword 0x6a35
81705.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
81706
81707.xword 0x78285050
81708.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
81709
81710.xword 0x80604020
81711.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
81712
81713.xword 0x00287850
81714.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (4)
81715
81716.xword 0x00287850
81717.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (4)
81718
81719.xword 0x78285050
81720.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (4)
81721
81722.xword 0x64500000003c0000
81723.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
81724
81725.xword 0x7766000000554422
81726.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
81727
81728.xword 0x706200000054462a
81729.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
81730
81731.xword 0x784d005800423721
81732.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
81733
81734.xword 0x804d006000504020
81735.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
81736
81737.xword 0x0000006000408020
81738.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
81739
81740.xword 0
81741.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (4)
81742
81743.xword 0
81744.xword 0x0002008b | (6 << 18) !ma_ctl_Ld (5)
81745
81746.xword 0
81747.xword 0x0002013b | (6 << 18) !ma_ctl_St (5)
81748
81749.xword 0x80604020
81750.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (5)
81751
81752.xword 0x356a
81753.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (5)
81754
81755.xword 0x0000006000408020
81756.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
81757
81758.xword 0x6a35
81759.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
81760
81761.xword 0x78285050
81762.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
81763
81764.xword 0x80604020
81765.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
81766
81767.xword 0x00287850
81768.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (5)
81769
81770.xword 0x00287850
81771.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (5)
81772
81773.xword 0x78285050
81774.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
81775
81776.xword 0x64500000003c0000
81777.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
81778
81779.xword 0x7766000000554422
81780.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
81781
81782.xword 0x706200000054462a
81783.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
81784
81785.xword 0x784d005800423721
81786.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
81787
81788.xword 0x804d006000504020
81789.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
81790
81791.xword 0x0000006000408020
81792.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
81793
81794.xword 0
81795.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (5)
81796
81797.xword 0
81798.xword 0x00020083 | (6 << 18) !ma_ctl_Ld (6)
81799
81800.xword 0
81801.xword 0x0002015f | (6 << 18) !ma_ctl_St (6)
81802
81803.xword 0x80604020
81804.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (6)
81805
81806.xword 0x356a
81807.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (6)
81808
81809.xword 0x0000006000408020
81810.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
81811
81812.xword 0x6a35
81813.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
81814
81815.xword 0x78285050
81816.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
81817
81818.xword 0x80604020
81819.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
81820
81821.xword 0x00287850
81822.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (6)
81823
81824.xword 0x00287850
81825.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (6)
81826
81827.xword 0x78285050
81828.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (6)
81829
81830.xword 0x64500000003c0000
81831.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
81832
81833.xword 0x7766000000554422
81834.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
81835
81836.xword 0x706200000054462a
81837.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
81838
81839.xword 0x784d005800423721
81840.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
81841
81842.xword 0x804d006000504020
81843.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
81844
81845.xword 0x0000006000408020
81846.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
81847
81848.xword 0
81849.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (6)
81850
81851.xword 0
81852.xword 0x00020020 | (6 << 18) !ma_ctl_Ld (7)
81853
81854.xword 0
81855.xword 0x00020160 | (6 << 18) !ma_ctl_St (7)
81856
81857.xword 0x80604020
81858.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (7)
81859
81860.xword 0x356a
81861.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (7)
81862
81863.xword 0x0000006000408020
81864.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
81865
81866.xword 0x6a35
81867.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
81868
81869.xword 0x78285050
81870.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
81871
81872.xword 0x80604020
81873.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
81874
81875.xword 0x00287850
81876.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (7)
81877
81878.xword 0x00287850
81879.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (7)
81880
81881.xword 0x78285050
81882.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (7)
81883
81884.xword 0x64500000003c0000
81885.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
81886
81887.xword 0x7766000000554422
81888.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
81889
81890.xword 0x706200000054462a
81891.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
81892
81893.xword 0x784d005800423721
81894.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
81895
81896.xword 0x804d006000504020
81897.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
81898
81899.xword 0x0000006000408020
81900.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
81901
81902.xword 0
81903.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (7)
81904
81905.xword 0
81906.xword 0x00020075 | (6 << 18) !ma_ctl_Ld (8)
81907
81908.xword 0
81909.xword 0x00020185 | (6 << 18) !ma_ctl_St (8)
81910
81911.xword 0x80604020
81912.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (8)
81913
81914.xword 0x356a
81915.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (8)
81916
81917.xword 0x0000006000408020
81918.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
81919
81920.xword 0x6a35
81921.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
81922
81923.xword 0x78285050
81924.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
81925
81926.xword 0x80604020
81927.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
81928
81929.xword 0x00287850
81930.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (8)
81931
81932.xword 0x00287850
81933.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
81934
81935.xword 0x78285050
81936.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (8)
81937
81938.xword 0x64500000003c0000
81939.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
81940
81941.xword 0x7766000000554422
81942.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
81943
81944.xword 0x706200000054462a
81945.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
81946
81947.xword 0x784d005800423721
81948.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
81949
81950.xword 0x804d006000504020
81951.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
81952
81953.xword 0x0000006000408020
81954.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
81955
81956.xword 0
81957.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (8)
81958
81959.xword 0
81960.xword 0x00020022 | (6 << 18) !ma_ctl_Ld (9)
81961
81962.xword 0
81963.xword 0x00020123 | (6 << 18) !ma_ctl_St (9)
81964
81965.xword 0x80604020
81966.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (9)
81967
81968.xword 0x356a
81969.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
81970
81971.xword 0x0000006000408020
81972.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
81973
81974.xword 0x6a35
81975.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
81976
81977.xword 0x78285050
81978.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
81979
81980.xword 0x80604020
81981.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
81982
81983.xword 0x00287850
81984.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (9)
81985
81986.xword 0x00287850
81987.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (9)
81988
81989.xword 0x78285050
81990.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (9)
81991
81992.xword 0x64500000003c0000
81993.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
81994
81995.xword 0x7766000000554422
81996.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
81997
81998.xword 0x706200000054462a
81999.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
82000
82001.xword 0x784d005800423721
82002.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
82003
82004.xword 0x804d006000504020
82005.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
82006
82007.xword 0x0000006000408020
82008.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
82009
82010.xword 0
82011.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (9)
82012
82013.xword 0
82014.xword 0x0002005e | (6 << 18) !ma_ctl_Ld (10)
82015
82016.xword 0
82017.xword 0x00020135 | (6 << 18) !ma_ctl_St (10)
82018
82019.xword 0x80604020
82020.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (10)
82021
82022.xword 0x356a
82023.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (10)
82024
82025.xword 0x0000006000408020
82026.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
82027
82028.xword 0x6a35
82029.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
82030
82031.xword 0x78285050
82032.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
82033
82034.xword 0x80604020
82035.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
82036
82037.xword 0x00287850
82038.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (10)
82039
82040.xword 0x00287850
82041.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (10)
82042
82043.xword 0x78285050
82044.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
82045
82046.xword 0x64500000003c0000
82047.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
82048
82049.xword 0x7766000000554422
82050.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
82051
82052.xword 0x706200000054462a
82053.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
82054
82055.xword 0x784d005800423721
82056.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
82057
82058.xword 0x804d006000504020
82059.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
82060
82061.xword 0x0000006000408020
82062.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
82063
82064.xword 0
82065.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (10)
82066
82067.xword 0
82068.xword 0x00020099 | (6 << 18) !ma_ctl_Ld (11)
82069
82070.xword 0
82071.xword 0x00020164 | (6 << 18) !ma_ctl_St (11)
82072
82073.xword 0x80604020
82074.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (11)
82075
82076.xword 0x356a
82077.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (11)
82078
82079.xword 0x0000006000408020
82080.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
82081
82082.xword 0x6a35
82083.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
82084
82085.xword 0x78285050
82086.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
82087
82088.xword 0x80604020
82089.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
82090
82091.xword 0x00287850
82092.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (11)
82093
82094.xword 0x00287850
82095.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (11)
82096
82097.xword 0x78285050
82098.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (11)
82099
82100.xword 0x64500000003c0000
82101.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
82102
82103.xword 0x7766000000554422
82104.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
82105
82106.xword 0x706200000054462a
82107.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
82108
82109.xword 0x784d005800423721
82110.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
82111
82112.xword 0x804d006000504020
82113.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
82114
82115.xword 0x0000006000408020
82116.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
82117
82118.xword 0
82119.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (11)
82120
82121.xword 0
82122.xword 0x00020073 | (6 << 18) !ma_ctl_Ld (12)
82123
82124.xword 0
82125.xword 0x00020130 | (6 << 18) !ma_ctl_St (12)
82126
82127.xword 0x80604020
82128.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (12)
82129
82130.xword 0x356a
82131.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (12)
82132
82133.xword 0x0000006000408020
82134.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
82135
82136.xword 0x6a35
82137.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
82138
82139.xword 0x78285050
82140.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
82141
82142.xword 0x80604020
82143.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
82144
82145.xword 0x00287850
82146.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (12)
82147
82148.xword 0x00287850
82149.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (12)
82150
82151.xword 0x78285050
82152.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (12)
82153
82154.xword 0x64500000003c0000
82155.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
82156
82157.xword 0x7766000000554422
82158.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
82159
82160.xword 0x706200000054462a
82161.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
82162
82163.xword 0x784d005800423721
82164.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
82165
82166.xword 0x804d006000504020
82167.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
82168
82169.xword 0x0000006000408020
82170.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
82171
82172.xword 0
82173.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (12)
82174
82175.xword 0
82176.xword 0x00020062 | (6 << 18) !ma_ctl_Ld (13)
82177
82178.xword 0
82179.xword 0x0002015c | (6 << 18) !ma_ctl_St (13)
82180
82181.xword 0x80604020
82182.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (13)
82183
82184.xword 0x356a
82185.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (13)
82186
82187.xword 0x0000006000408020
82188.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
82189
82190.xword 0x6a35
82191.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
82192
82193.xword 0x78285050
82194.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
82195
82196.xword 0x80604020
82197.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
82198
82199.xword 0x00287850
82200.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (13)
82201
82202.xword 0x00287850
82203.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (13)
82204
82205.xword 0x78285050
82206.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (13)
82207
82208.xword 0x64500000003c0000
82209.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
82210
82211.xword 0x7766000000554422
82212.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
82213
82214.xword 0x706200000054462a
82215.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
82216
82217.xword 0x784d005800423721
82218.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
82219
82220.xword 0x804d006000504020
82221.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
82222
82223.xword 0x0000006000408020
82224.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
82225
82226.xword 0
82227.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (13)
82228
82229.xword 0
82230.xword 0x0002003d | (6 << 18) !ma_ctl_Ld (14)
82231
82232.xword 0
82233.xword 0x0002018e | (6 << 18) !ma_ctl_St (14)
82234
82235.xword 0x80604020
82236.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (14)
82237
82238.xword 0x356a
82239.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (14)
82240
82241.xword 0x0000006000408020
82242.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
82243
82244.xword 0x6a35
82245.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
82246
82247.xword 0x78285050
82248.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
82249
82250.xword 0x80604020
82251.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
82252
82253.xword 0x00287850
82254.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (14)
82255
82256.xword 0x00287850
82257.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (14)
82258
82259.xword 0x78285050
82260.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (14)
82261
82262.xword 0x64500000003c0000
82263.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
82264
82265.xword 0x7766000000554422
82266.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
82267
82268.xword 0x706200000054462a
82269.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
82270
82271.xword 0x784d005800423721
82272.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
82273
82274.xword 0x804d006000504020
82275.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
82276
82277.xword 0x0000006000408020
82278.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
82279
82280.xword 0
82281.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (14)
82282
82283.xword 0
82284.xword 0x00020053 | (6 << 18) !ma_ctl_Ld (15)
82285
82286.xword 0
82287.xword 0x00020188 | (6 << 18) !ma_ctl_St (15)
82288
82289.xword 0x80604020
82290.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (15)
82291
82292.xword 0x356a
82293.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (15)
82294
82295.xword 0x0000006000408020
82296.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
82297
82298.xword 0x6a35
82299.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
82300
82301.xword 0x78285050
82302.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
82303
82304.xword 0x80604020
82305.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
82306
82307.xword 0x00287850
82308.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (15)
82309
82310.xword 0x00287850
82311.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (15)
82312
82313.xword 0x78285050
82314.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (15)
82315
82316.xword 0x64500000003c0000
82317.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
82318
82319.xword 0x7766000000554422
82320.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
82321
82322.xword 0x706200000054462a
82323.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
82324
82325.xword 0x784d005800423721
82326.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
82327
82328.xword 0x804d006000504020
82329.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
82330
82331.xword 0x0000006000408020
82332.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
82333
82334.xword 0
82335.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (15)
82336
82337.xword 0
82338.xword 0x0002003e | (6 << 18) !ma_ctl_Ld (16)
82339
82340.xword 0
82341.xword 0x0002018b | (6 << 18) !ma_ctl_St (16)
82342
82343.xword 0x80604020
82344.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (16)
82345
82346.xword 0x356a
82347.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (16)
82348
82349.xword 0x0000006000408020
82350.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
82351
82352.xword 0x6a35
82353.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
82354
82355.xword 0x78285050
82356.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
82357
82358.xword 0x80604020
82359.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
82360
82361.xword 0x00287850
82362.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (16)
82363
82364.xword 0x00287850
82365.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (16)
82366
82367.xword 0x78285050
82368.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (16)
82369
82370.xword 0x64500000003c0000
82371.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
82372
82373.xword 0x7766000000554422
82374.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
82375
82376.xword 0x706200000054462a
82377.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
82378
82379.xword 0x784d005800423721
82380.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
82381
82382.xword 0x804d006000504020
82383.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
82384
82385.xword 0x0000006000408020
82386.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
82387
82388.xword 0
82389.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (16)
82390
82391.xword 0
82392.xword 0x00020047 | (6 << 18) !ma_ctl_Ld (17)
82393
82394.xword 0
82395.xword 0x0002018f | (6 << 18) !ma_ctl_St (17)
82396
82397.xword 0x80604020
82398.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (17)
82399
82400.xword 0x356a
82401.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (17)
82402
82403.xword 0x0000006000408020
82404.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
82405
82406.xword 0x6a35
82407.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
82408
82409.xword 0x78285050
82410.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
82411
82412.xword 0x80604020
82413.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
82414
82415.xword 0x00287850
82416.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (17)
82417
82418.xword 0x00287850
82419.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (17)
82420
82421.xword 0x78285050
82422.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (17)
82423
82424.xword 0x64500000003c0000
82425.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
82426
82427.xword 0x7766000000554422
82428.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
82429
82430.xword 0x706200000054462a
82431.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
82432
82433.xword 0x784d005800423721
82434.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
82435
82436.xword 0x804d006000504020
82437.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
82438
82439.xword 0x0000006000408020
82440.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
82441
82442.xword 0
82443.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (17)
82444
82445.xword 0
82446.xword 0x00020022 | (6 << 18) !ma_ctl_Ld (18)
82447
82448.xword 0
82449.xword 0x00020168 | (6 << 18) !ma_ctl_St (18)
82450
82451.xword 0x80604020
82452.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (18)
82453
82454.xword 0x356a
82455.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (18)
82456
82457.xword 0x0000006000408020
82458.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
82459
82460.xword 0x6a35
82461.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
82462
82463.xword 0x78285050
82464.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
82465
82466.xword 0x80604020
82467.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
82468
82469.xword 0x00287850
82470.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (18)
82471
82472.xword 0x00287850
82473.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (18)
82474
82475.xword 0x78285050
82476.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (18)
82477
82478.xword 0x64500000003c0000
82479.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
82480
82481.xword 0x7766000000554422
82482.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
82483
82484.xword 0x706200000054462a
82485.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
82486
82487.xword 0x784d005800423721
82488.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
82489
82490.xword 0x804d006000504020
82491.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
82492
82493.xword 0x0000006000408020
82494.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
82495
82496.xword 0
82497.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (18)
82498
82499.xword 0
82500.xword 0x0002002e | (6 << 18) !ma_ctl_Ld (19)
82501
82502.xword 0
82503.xword 0x0002017d | (6 << 18) !ma_ctl_St (19)
82504
82505.xword 0x80604020
82506.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (19)
82507
82508.xword 0x356a
82509.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (19)
82510
82511.xword 0x0000006000408020
82512.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
82513
82514.xword 0x6a35
82515.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
82516
82517.xword 0x78285050
82518.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
82519
82520.xword 0x80604020
82521.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
82522
82523.xword 0x00287850
82524.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (19)
82525
82526.xword 0x00287850
82527.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (19)
82528
82529.xword 0x78285050
82530.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
82531
82532.xword 0x64500000003c0000
82533.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
82534
82535.xword 0x7766000000554422
82536.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
82537
82538.xword 0x706200000054462a
82539.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
82540
82541.xword 0x784d005800423721
82542.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
82543
82544.xword 0x804d006000504020
82545.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
82546
82547.xword 0x0000006000408020
82548.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
82549
82550.xword 0
82551.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (19)
82552
82553.xword 0
82554.xword 0x0002003d | (6 << 18) !ma_ctl_Ld (20)
82555
82556.xword 0
82557.xword 0x00020174 | (6 << 18) !ma_ctl_St (20)
82558
82559.xword 0x80604020
82560.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (20)
82561
82562.xword 0x356a
82563.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (20)
82564
82565.xword 0x0000006000408020
82566.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
82567
82568.xword 0x6a35
82569.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
82570
82571.xword 0x78285050
82572.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
82573
82574.xword 0x80604020
82575.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
82576
82577.xword 0x00287850
82578.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (20)
82579
82580.xword 0x00287850
82581.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (20)
82582
82583.xword 0x78285050
82584.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (20)
82585
82586.xword 0x64500000003c0000
82587.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
82588
82589.xword 0x7766000000554422
82590.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
82591
82592.xword 0x706200000054462a
82593.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
82594
82595.xword 0x784d005800423721
82596.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
82597
82598.xword 0x804d006000504020
82599.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
82600
82601.xword 0x0000006000408020
82602.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
82603
82604.xword 0
82605.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (20)
82606
82607.xword 0
82608.xword 0x0002006f | (6 << 18) !ma_ctl_Ld (21)
82609
82610.xword 0
82611.xword 0x00020175 | (6 << 18) !ma_ctl_St (21)
82612
82613.xword 0x80604020
82614.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (21)
82615
82616.xword 0x356a
82617.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (21)
82618
82619.xword 0x0000006000408020
82620.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
82621
82622.xword 0x6a35
82623.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
82624
82625.xword 0x78285050
82626.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
82627
82628.xword 0x80604020
82629.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
82630
82631.xword 0x00287850
82632.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (21)
82633
82634.xword 0x00287850
82635.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (21)
82636
82637.xword 0x78285050
82638.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (21)
82639
82640.xword 0x64500000003c0000
82641.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
82642
82643.xword 0x7766000000554422
82644.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
82645
82646.xword 0x706200000054462a
82647.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
82648
82649.xword 0x784d005800423721
82650.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
82651
82652.xword 0x804d006000504020
82653.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
82654
82655.xword 0x0000006000408020
82656.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
82657
82658.xword 0
82659.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (21)
82660
82661.xword 0
82662.xword 0x00020073 | (6 << 18) !ma_ctl_Ld (22)
82663
82664.xword 0
82665.xword 0x00020133 | (6 << 18) !ma_ctl_St (22)
82666
82667.xword 0x80604020
82668.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (22)
82669
82670.xword 0x356a
82671.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (22)
82672
82673.xword 0x0000006000408020
82674.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
82675
82676.xword 0x6a35
82677.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
82678
82679.xword 0x78285050
82680.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
82681
82682.xword 0x80604020
82683.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
82684
82685.xword 0x00287850
82686.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (22)
82687
82688.xword 0x00287850
82689.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (22)
82690
82691.xword 0x78285050
82692.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (22)
82693
82694.xword 0x64500000003c0000
82695.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
82696
82697.xword 0x7766000000554422
82698.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
82699
82700.xword 0x706200000054462a
82701.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
82702
82703.xword 0x784d005800423721
82704.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
82705
82706.xword 0x804d006000504020
82707.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
82708
82709.xword 0x0000006000408020
82710.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
82711
82712.xword 0
82713.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (22)
82714
82715.xword 0
82716.xword 0x0002003d | (6 << 18) !ma_ctl_Ld (23)
82717
82718.xword 0
82719.xword 0x00020121 | (6 << 18) !ma_ctl_St (23)
82720
82721.xword 0x80604020
82722.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (23)
82723
82724.xword 0x356a
82725.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (23)
82726
82727.xword 0x0000006000408020
82728.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
82729
82730.xword 0x6a35
82731.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
82732
82733.xword 0x78285050
82734.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
82735
82736.xword 0x80604020
82737.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
82738
82739.xword 0x00287850
82740.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (23)
82741
82742.xword 0x00287850
82743.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (23)
82744
82745.xword 0x78285050
82746.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (23)
82747
82748.xword 0x64500000003c0000
82749.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
82750
82751.xword 0x7766000000554422
82752.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
82753
82754.xword 0x706200000054462a
82755.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
82756
82757.xword 0x784d005800423721
82758.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
82759
82760.xword 0x804d006000504020
82761.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
82762
82763.xword 0x0000006000408020
82764.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
82765
82766.xword 0
82767.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (23)
82768
82769.xword 0
82770.xword 0x00020077 | (6 << 18) !ma_ctl_Ld (24)
82771
82772.xword 0
82773.xword 0x0002013f | (6 << 18) !ma_ctl_St (24)
82774
82775.xword 0x80604020
82776.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (24)
82777
82778.xword 0x356a
82779.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (24)
82780
82781.xword 0x0000006000408020
82782.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
82783
82784.xword 0x6a35
82785.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
82786
82787.xword 0x78285050
82788.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
82789
82790.xword 0x80604020
82791.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
82792
82793.xword 0x00287850
82794.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (24)
82795
82796.xword 0x00287850
82797.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (24)
82798
82799.xword 0x78285050
82800.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (24)
82801
82802.xword 0x64500000003c0000
82803.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
82804
82805.xword 0x7766000000554422
82806.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
82807
82808.xword 0x706200000054462a
82809.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
82810
82811.xword 0x784d005800423721
82812.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
82813
82814.xword 0x804d006000504020
82815.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
82816
82817.xword 0x0000006000408020
82818.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
82819
82820.xword 0
82821.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (24)
82822
82823.xword 0
82824.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (25)
82825
82826.xword 0
82827.xword 0x0002014e | (6 << 18) !ma_ctl_St (25)
82828
82829.xword 0x80604020
82830.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (25)
82831
82832.xword 0x356a
82833.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (25)
82834
82835.xword 0x0000006000408020
82836.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
82837
82838.xword 0x6a35
82839.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
82840
82841.xword 0x78285050
82842.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
82843
82844.xword 0x80604020
82845.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
82846
82847.xword 0x00287850
82848.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (25)
82849
82850.xword 0x00287850
82851.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (25)
82852
82853.xword 0x78285050
82854.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (25)
82855
82856.xword 0x64500000003c0000
82857.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
82858
82859.xword 0x7766000000554422
82860.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
82861
82862.xword 0x706200000054462a
82863.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
82864
82865.xword 0x784d005800423721
82866.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
82867
82868.xword 0x804d006000504020
82869.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
82870
82871.xword 0x0000006000408020
82872.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
82873
82874.xword 0
82875.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (25)
82876
82877.xword 0
82878.xword 0x00020022 | (6 << 18) !ma_ctl_Ld (26)
82879
82880.xword 0
82881.xword 0x0002018d | (6 << 18) !ma_ctl_St (26)
82882
82883.xword 0x80604020
82884.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (26)
82885
82886.xword 0x356a
82887.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (26)
82888
82889.xword 0x0000006000408020
82890.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
82891
82892.xword 0x6a35
82893.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
82894
82895.xword 0x78285050
82896.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
82897
82898.xword 0x80604020
82899.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
82900
82901.xword 0x00287850
82902.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (26)
82903
82904.xword 0x00287850
82905.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (26)
82906
82907.xword 0x78285050
82908.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (26)
82909
82910.xword 0x64500000003c0000
82911.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
82912
82913.xword 0x7766000000554422
82914.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
82915
82916.xword 0x706200000054462a
82917.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
82918
82919.xword 0x784d005800423721
82920.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
82921
82922.xword 0x804d006000504020
82923.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
82924
82925.xword 0x0000006000408020
82926.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
82927
82928.xword 0
82929.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (26)
82930
82931.xword 0
82932.xword 0x0002001f | (6 << 18) !ma_ctl_Ld (27)
82933
82934.xword 0
82935.xword 0x00020174 | (6 << 18) !ma_ctl_St (27)
82936
82937.xword 0x80604020
82938.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (27)
82939
82940.xword 0x356a
82941.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (27)
82942
82943.xword 0x0000006000408020
82944.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
82945
82946.xword 0x6a35
82947.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
82948
82949.xword 0x78285050
82950.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
82951
82952.xword 0x80604020
82953.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
82954
82955.xword 0x00287850
82956.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (27)
82957
82958.xword 0x00287850
82959.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (27)
82960
82961.xword 0x78285050
82962.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (27)
82963
82964.xword 0x64500000003c0000
82965.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
82966
82967.xword 0x7766000000554422
82968.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
82969
82970.xword 0x706200000054462a
82971.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
82972
82973.xword 0x784d005800423721
82974.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
82975
82976.xword 0x804d006000504020
82977.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
82978
82979.xword 0x0000006000408020
82980.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
82981
82982.xword 0
82983.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (27)
82984
82985.xword 0
82986.xword 0x00020024 | (6 << 18) !ma_ctl_Ld (28)
82987
82988.xword 0
82989.xword 0x00020181 | (6 << 18) !ma_ctl_St (28)
82990
82991.xword 0x80604020
82992.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (28)
82993
82994.xword 0x356a
82995.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (28)
82996
82997.xword 0x0000006000408020
82998.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
82999
83000.xword 0x6a35
83001.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
83002
83003.xword 0x78285050
83004.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
83005
83006.xword 0x80604020
83007.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
83008
83009.xword 0x00287850
83010.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (28)
83011
83012.xword 0x00287850
83013.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (28)
83014
83015.xword 0x78285050
83016.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (28)
83017
83018.xword 0x64500000003c0000
83019.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
83020
83021.xword 0x7766000000554422
83022.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
83023
83024.xword 0x706200000054462a
83025.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
83026
83027.xword 0x784d005800423721
83028.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
83029
83030.xword 0x804d006000504020
83031.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
83032
83033.xword 0x0000006000408020
83034.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
83035
83036.xword 0
83037.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (28)
83038
83039.xword 0
83040.xword 0x0002003d | (6 << 18) !ma_ctl_Ld (29)
83041
83042.xword 0
83043.xword 0x00020138 | (6 << 18) !ma_ctl_St (29)
83044
83045.xword 0x80604020
83046.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (29)
83047
83048.xword 0x356a
83049.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (29)
83050
83051.xword 0x0000006000408020
83052.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
83053
83054.xword 0x6a35
83055.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
83056
83057.xword 0x78285050
83058.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
83059
83060.xword 0x80604020
83061.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
83062
83063.xword 0x00287850
83064.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (29)
83065
83066.xword 0x00287850
83067.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (29)
83068
83069.xword 0x78285050
83070.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (29)
83071
83072.xword 0x64500000003c0000
83073.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
83074
83075.xword 0x7766000000554422
83076.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
83077
83078.xword 0x706200000054462a
83079.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
83080
83081.xword 0x784d005800423721
83082.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
83083
83084.xword 0x804d006000504020
83085.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
83086
83087.xword 0x0000006000408020
83088.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
83089
83090.xword 0
83091.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (29)
83092
83093.xword 0
83094.xword 0x0002006e | (6 << 18) !ma_ctl_Ld (30)
83095
83096.xword 0
83097.xword 0x0002018b | (6 << 18) !ma_ctl_St (30)
83098
83099.xword 0x80604020
83100.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (30)
83101
83102.xword 0x356a
83103.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (30)
83104
83105.xword 0x0000006000408020
83106.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
83107
83108.xword 0x6a35
83109.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
83110
83111.xword 0x78285050
83112.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
83113
83114.xword 0x80604020
83115.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
83116
83117.xword 0x00287850
83118.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (30)
83119
83120.xword 0x00287850
83121.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (30)
83122
83123.xword 0x78285050
83124.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (30)
83125
83126.xword 0x64500000003c0000
83127.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
83128
83129.xword 0x7766000000554422
83130.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
83131
83132.xword 0x706200000054462a
83133.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
83134
83135.xword 0x784d005800423721
83136.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
83137
83138.xword 0x804d006000504020
83139.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
83140
83141.xword 0x0000006000408020
83142.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
83143
83144.xword 0
83145.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (30)
83146
83147.xword 0
83148.xword 0x0002005d | (6 << 18) !ma_ctl_Ld (31)
83149
83150.xword 0
83151.xword 0x0002016e | (6 << 18) !ma_ctl_St (31)
83152
83153.xword 0x80604020
83154.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (31)
83155
83156.xword 0x356a
83157.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (31)
83158
83159.xword 0x0000006000408020
83160.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
83161
83162.xword 0x6a35
83163.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
83164
83165.xword 0x78285050
83166.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
83167
83168.xword 0x80604020
83169.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
83170
83171.xword 0x00287850
83172.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (31)
83173
83174.xword 0x00287850
83175.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (31)
83176
83177.xword 0x78285050
83178.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (31)
83179
83180.xword 0x64500000003c0000
83181.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
83182
83183.xword 0x7766000000554422
83184.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
83185
83186.xword 0x706200000054462a
83187.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
83188
83189.xword 0x784d005800423721
83190.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
83191
83192.xword 0x804d006000504020
83193.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
83194
83195.xword 0x0000006000408020
83196.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
83197
83198.xword 0
83199.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (31)
83200
83201.xword 0
83202.xword 0x00020020 | (6 << 18) !ma_ctl_Ld (32)
83203
83204.xword 0
83205.xword 0x00020180 | (6 << 18) !ma_ctl_St (32)
83206
83207.xword 0x80604020
83208.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (32)
83209
83210.xword 0x356a
83211.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (32)
83212
83213.xword 0x0000006000408020
83214.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
83215
83216.xword 0x6a35
83217.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
83218
83219.xword 0x78285050
83220.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
83221
83222.xword 0x80604020
83223.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
83224
83225.xword 0x00287850
83226.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (32)
83227
83228.xword 0x00287850
83229.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (32)
83230
83231.xword 0x78285050
83232.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (32)
83233
83234.xword 0x64500000003c0000
83235.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
83236
83237.xword 0x7766000000554422
83238.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
83239
83240.xword 0x706200000054462a
83241.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
83242
83243.xword 0x784d005800423721
83244.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
83245
83246.xword 0x804d006000504020
83247.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
83248
83249.xword 0x0000006000408020
83250.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
83251
83252.xword 0
83253.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (32)
83254
83255.xword 0
83256.xword 0x0002005a | (6 << 18) !ma_ctl_Ld (33)
83257
83258.xword 0
83259.xword 0x00020147 | (6 << 18) !ma_ctl_St (33)
83260
83261.xword 0x80604020
83262.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (33)
83263
83264.xword 0x356a
83265.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (33)
83266
83267.xword 0x0000006000408020
83268.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
83269
83270.xword 0x6a35
83271.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
83272
83273.xword 0x78285050
83274.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
83275
83276.xword 0x80604020
83277.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
83278
83279.xword 0x00287850
83280.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (33)
83281
83282.xword 0x00287850
83283.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (33)
83284
83285.xword 0x78285050
83286.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (33)
83287
83288.xword 0x64500000003c0000
83289.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
83290
83291.xword 0x7766000000554422
83292.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
83293
83294.xword 0x706200000054462a
83295.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
83296
83297.xword 0x784d005800423721
83298.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
83299
83300.xword 0x804d006000504020
83301.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
83302
83303.xword 0x0000006000408020
83304.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
83305
83306.xword 0
83307.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (33)
83308
83309.xword 0
83310.xword 0x00020032 | (6 << 18) !ma_ctl_Ld (34)
83311
83312.xword 0
83313.xword 0x00020166 | (6 << 18) !ma_ctl_St (34)
83314
83315.xword 0x80604020
83316.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (34)
83317
83318.xword 0x356a
83319.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (34)
83320
83321.xword 0x0000006000408020
83322.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
83323
83324.xword 0x6a35
83325.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
83326
83327.xword 0x78285050
83328.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
83329
83330.xword 0x80604020
83331.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
83332
83333.xword 0x00287850
83334.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (34)
83335
83336.xword 0x00287850
83337.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (34)
83338
83339.xword 0x78285050
83340.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (34)
83341
83342.xword 0x64500000003c0000
83343.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
83344
83345.xword 0x7766000000554422
83346.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
83347
83348.xword 0x706200000054462a
83349.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
83350
83351.xword 0x784d005800423721
83352.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
83353
83354.xword 0x804d006000504020
83355.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
83356
83357.xword 0x0000006000408020
83358.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
83359
83360.xword 0
83361.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (34)
83362
83363.xword 0
83364.xword 0x00020097 | (6 << 18) !ma_ctl_Ld (35)
83365
83366.xword 0
83367.xword 0x00020121 | (6 << 18) !ma_ctl_St (35)
83368
83369.xword 0x80604020
83370.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (35)
83371
83372.xword 0x356a
83373.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (35)
83374
83375.xword 0x0000006000408020
83376.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
83377
83378.xword 0x6a35
83379.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
83380
83381.xword 0x78285050
83382.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
83383
83384.xword 0x80604020
83385.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
83386
83387.xword 0x00287850
83388.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (35)
83389
83390.xword 0x00287850
83391.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (35)
83392
83393.xword 0x78285050
83394.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (35)
83395
83396.xword 0x64500000003c0000
83397.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
83398
83399.xword 0x7766000000554422
83400.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
83401
83402.xword 0x706200000054462a
83403.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
83404
83405.xword 0x784d005800423721
83406.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
83407
83408.xword 0x804d006000504020
83409.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
83410
83411.xword 0x0000006000408020
83412.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
83413
83414.xword 0
83415.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (35)
83416
83417.xword 0
83418.xword 0x0002005a | (6 << 18) !ma_ctl_Ld (36)
83419
83420.xword 0
83421.xword 0x00020172 | (6 << 18) !ma_ctl_St (36)
83422
83423.xword 0x80604020
83424.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (36)
83425
83426.xword 0x356a
83427.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (36)
83428
83429.xword 0x0000006000408020
83430.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
83431
83432.xword 0x6a35
83433.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
83434
83435.xword 0x78285050
83436.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
83437
83438.xword 0x80604020
83439.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
83440
83441.xword 0x00287850
83442.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (36)
83443
83444.xword 0x00287850
83445.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (36)
83446
83447.xword 0x78285050
83448.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (36)
83449
83450.xword 0x64500000003c0000
83451.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
83452
83453.xword 0x7766000000554422
83454.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
83455
83456.xword 0x706200000054462a
83457.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
83458
83459.xword 0x784d005800423721
83460.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
83461
83462.xword 0x804d006000504020
83463.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
83464
83465.xword 0x0000006000408020
83466.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
83467
83468.xword 0
83469.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (36)
83470
83471.xword 0
83472.xword 0x00020020 | (6 << 18) !ma_ctl_Ld (37)
83473
83474.xword 0
83475.xword 0x0002014b | (6 << 18) !ma_ctl_St (37)
83476
83477.xword 0x80604020
83478.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (37)
83479
83480.xword 0x356a
83481.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (37)
83482
83483.xword 0x0000006000408020
83484.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
83485
83486.xword 0x6a35
83487.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
83488
83489.xword 0x78285050
83490.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
83491
83492.xword 0x80604020
83493.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
83494
83495.xword 0x00287850
83496.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (37)
83497
83498.xword 0x00287850
83499.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (37)
83500
83501.xword 0x78285050
83502.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (37)
83503
83504.xword 0x64500000003c0000
83505.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
83506
83507.xword 0x7766000000554422
83508.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
83509
83510.xword 0x706200000054462a
83511.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
83512
83513.xword 0x784d005800423721
83514.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
83515
83516.xword 0x804d006000504020
83517.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
83518
83519.xword 0x0000006000408020
83520.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
83521
83522.xword 0
83523.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (37)
83524
83525.xword 0
83526.xword 0x00020082 | (6 << 18) !ma_ctl_Ld (38)
83527
83528.xword 0
83529.xword 0x0002018d | (6 << 18) !ma_ctl_St (38)
83530
83531.xword 0x80604020
83532.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (38)
83533
83534.xword 0x356a
83535.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (38)
83536
83537.xword 0x0000006000408020
83538.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
83539
83540.xword 0x6a35
83541.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
83542
83543.xword 0x78285050
83544.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
83545
83546.xword 0x80604020
83547.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
83548
83549.xword 0x00287850
83550.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (38)
83551
83552.xword 0x00287850
83553.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (38)
83554
83555.xword 0x78285050
83556.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (38)
83557
83558.xword 0x64500000003c0000
83559.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
83560
83561.xword 0x7766000000554422
83562.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
83563
83564.xword 0x706200000054462a
83565.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
83566
83567.xword 0x784d005800423721
83568.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
83569
83570.xword 0x804d006000504020
83571.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
83572
83573.xword 0x0000006000408020
83574.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
83575
83576.xword 0
83577.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (38)
83578
83579.xword 0
83580.xword 0x00020055 | (6 << 18) !ma_ctl_Ld (39)
83581
83582.xword 0
83583.xword 0x0002015c | (6 << 18) !ma_ctl_St (39)
83584
83585.xword 0x80604020
83586.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (39)
83587
83588.xword 0x356a
83589.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (39)
83590
83591.xword 0x0000006000408020
83592.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
83593
83594.xword 0x6a35
83595.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
83596
83597.xword 0x78285050
83598.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
83599
83600.xword 0x80604020
83601.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
83602
83603.xword 0x00287850
83604.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (39)
83605
83606.xword 0x00287850
83607.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (39)
83608
83609.xword 0x78285050
83610.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
83611
83612.xword 0x64500000003c0000
83613.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
83614
83615.xword 0x7766000000554422
83616.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
83617
83618.xword 0x706200000054462a
83619.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
83620
83621.xword 0x784d005800423721
83622.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
83623
83624.xword 0x804d006000504020
83625.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
83626
83627.xword 0x0000006000408020
83628.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
83629
83630.xword 0
83631.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (39)
83632
83633.xword 0
83634.xword 0x00020041 | (6 << 18) !ma_ctl_Ld (40)
83635
83636.xword 0
83637.xword 0x00020172 | (6 << 18) !ma_ctl_St (40)
83638
83639.xword 0x80604020
83640.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (40)
83641
83642.xword 0x356a
83643.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (40)
83644
83645.xword 0x0000006000408020
83646.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
83647
83648.xword 0x6a35
83649.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
83650
83651.xword 0x78285050
83652.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
83653
83654.xword 0x80604020
83655.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
83656
83657.xword 0x00287850
83658.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (40)
83659
83660.xword 0x00287850
83661.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (40)
83662
83663.xword 0x78285050
83664.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (40)
83665
83666.xword 0x64500000003c0000
83667.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
83668
83669.xword 0x7766000000554422
83670.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
83671
83672.xword 0x706200000054462a
83673.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
83674
83675.xword 0x784d005800423721
83676.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
83677
83678.xword 0x804d006000504020
83679.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
83680
83681.xword 0x0000006000408020
83682.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
83683
83684.xword 0
83685.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (40)
83686
83687.xword 0
83688.xword 0x0002002d | (6 << 18) !ma_ctl_Ld (41)
83689
83690.xword 0
83691.xword 0x00020173 | (6 << 18) !ma_ctl_St (41)
83692
83693.xword 0x80604020
83694.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (41)
83695
83696.xword 0x356a
83697.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (41)
83698
83699.xword 0x0000006000408020
83700.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
83701
83702.xword 0x6a35
83703.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
83704
83705.xword 0x78285050
83706.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
83707
83708.xword 0x80604020
83709.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
83710
83711.xword 0x00287850
83712.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (41)
83713
83714.xword 0x00287850
83715.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (41)
83716
83717.xword 0x78285050
83718.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (41)
83719
83720.xword 0x64500000003c0000
83721.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
83722
83723.xword 0x7766000000554422
83724.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
83725
83726.xword 0x706200000054462a
83727.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
83728
83729.xword 0x784d005800423721
83730.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
83731
83732.xword 0x804d006000504020
83733.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
83734
83735.xword 0x0000006000408020
83736.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
83737
83738.xword 0
83739.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (41)
83740
83741.xword 0
83742.xword 0x00020062 | (6 << 18) !ma_ctl_Ld (42)
83743
83744.xword 0
83745.xword 0x0002017f | (6 << 18) !ma_ctl_St (42)
83746
83747.xword 0x80604020
83748.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (42)
83749
83750.xword 0x356a
83751.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (42)
83752
83753.xword 0x0000006000408020
83754.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
83755
83756.xword 0x6a35
83757.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
83758
83759.xword 0x78285050
83760.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
83761
83762.xword 0x80604020
83763.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
83764
83765.xword 0x00287850
83766.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (42)
83767
83768.xword 0x00287850
83769.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (42)
83770
83771.xword 0x78285050
83772.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (42)
83773
83774.xword 0x64500000003c0000
83775.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
83776
83777.xword 0x7766000000554422
83778.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
83779
83780.xword 0x706200000054462a
83781.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
83782
83783.xword 0x784d005800423721
83784.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
83785
83786.xword 0x804d006000504020
83787.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
83788
83789.xword 0x0000006000408020
83790.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
83791
83792.xword 0
83793.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (42)
83794
83795.xword 0
83796.xword 0x00020078 | (6 << 18) !ma_ctl_Ld (43)
83797
83798.xword 0
83799.xword 0x0002018a | (6 << 18) !ma_ctl_St (43)
83800
83801.xword 0x80604020
83802.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (43)
83803
83804.xword 0x356a
83805.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (43)
83806
83807.xword 0x0000006000408020
83808.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
83809
83810.xword 0x6a35
83811.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
83812
83813.xword 0x78285050
83814.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
83815
83816.xword 0x80604020
83817.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
83818
83819.xword 0x00287850
83820.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (43)
83821
83822.xword 0x00287850
83823.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (43)
83824
83825.xword 0x78285050
83826.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (43)
83827
83828.xword 0x64500000003c0000
83829.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
83830
83831.xword 0x7766000000554422
83832.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
83833
83834.xword 0x706200000054462a
83835.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
83836
83837.xword 0x784d005800423721
83838.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
83839
83840.xword 0x804d006000504020
83841.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
83842
83843.xword 0x0000006000408020
83844.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
83845
83846.xword 0
83847.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (43)
83848
83849.xword 0
83850.xword 0x00020038 | (6 << 18) !ma_ctl_Ld (44)
83851
83852.xword 0
83853.xword 0x00020126 | (6 << 18) !ma_ctl_St (44)
83854
83855.xword 0x80604020
83856.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (44)
83857
83858.xword 0x356a
83859.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (44)
83860
83861.xword 0x0000006000408020
83862.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
83863
83864.xword 0x6a35
83865.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
83866
83867.xword 0x78285050
83868.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
83869
83870.xword 0x80604020
83871.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
83872
83873.xword 0x00287850
83874.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (44)
83875
83876.xword 0x00287850
83877.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (44)
83878
83879.xword 0x78285050
83880.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (44)
83881
83882.xword 0x64500000003c0000
83883.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
83884
83885.xword 0x7766000000554422
83886.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
83887
83888.xword 0x706200000054462a
83889.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
83890
83891.xword 0x784d005800423721
83892.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
83893
83894.xword 0x804d006000504020
83895.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
83896
83897.xword 0x0000006000408020
83898.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
83899
83900.xword 0
83901.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (44)
83902
83903.xword 0
83904.xword 0x00020085 | (6 << 18) !ma_ctl_Ld (45)
83905
83906.xword 0
83907.xword 0x0002016b | (6 << 18) !ma_ctl_St (45)
83908
83909.xword 0x80604020
83910.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (45)
83911
83912.xword 0x356a
83913.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (45)
83914
83915.xword 0x0000006000408020
83916.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
83917
83918.xword 0x6a35
83919.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
83920
83921.xword 0x78285050
83922.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
83923
83924.xword 0x80604020
83925.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
83926
83927.xword 0x00287850
83928.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (45)
83929
83930.xword 0x00287850
83931.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (45)
83932
83933.xword 0x78285050
83934.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (45)
83935
83936.xword 0x64500000003c0000
83937.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
83938
83939.xword 0x7766000000554422
83940.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
83941
83942.xword 0x706200000054462a
83943.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
83944
83945.xword 0x784d005800423721
83946.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
83947
83948.xword 0x804d006000504020
83949.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
83950
83951.xword 0x0000006000408020
83952.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
83953
83954.xword 0
83955.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (45)
83956
83957.xword 0
83958.xword 0x0002006e | (6 << 18) !ma_ctl_Ld (46)
83959
83960.xword 0
83961.xword 0x00020135 | (6 << 18) !ma_ctl_St (46)
83962
83963.xword 0x80604020
83964.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (46)
83965
83966.xword 0x356a
83967.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (46)
83968
83969.xword 0x0000006000408020
83970.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
83971
83972.xword 0x6a35
83973.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
83974
83975.xword 0x78285050
83976.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
83977
83978.xword 0x80604020
83979.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
83980
83981.xword 0x00287850
83982.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (46)
83983
83984.xword 0x00287850
83985.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (46)
83986
83987.xword 0x78285050
83988.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (46)
83989
83990.xword 0x64500000003c0000
83991.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
83992
83993.xword 0x7766000000554422
83994.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
83995
83996.xword 0x706200000054462a
83997.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
83998
83999.xword 0x784d005800423721
84000.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
84001
84002.xword 0x804d006000504020
84003.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
84004
84005.xword 0x0000006000408020
84006.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
84007
84008.xword 0
84009.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (46)
84010
84011.xword 0
84012.xword 0x00020097 | (6 << 18) !ma_ctl_Ld (47)
84013
84014.xword 0
84015.xword 0x00020182 | (6 << 18) !ma_ctl_St (47)
84016
84017.xword 0x80604020
84018.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (47)
84019
84020.xword 0x356a
84021.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (47)
84022
84023.xword 0x0000006000408020
84024.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
84025
84026.xword 0x6a35
84027.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
84028
84029.xword 0x78285050
84030.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
84031
84032.xword 0x80604020
84033.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
84034
84035.xword 0x00287850
84036.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (47)
84037
84038.xword 0x00287850
84039.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (47)
84040
84041.xword 0x78285050
84042.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (47)
84043
84044.xword 0x64500000003c0000
84045.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
84046
84047.xword 0x7766000000554422
84048.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
84049
84050.xword 0x706200000054462a
84051.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
84052
84053.xword 0x784d005800423721
84054.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
84055
84056.xword 0x804d006000504020
84057.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
84058
84059.xword 0x0000006000408020
84060.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
84061
84062.xword 0
84063.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (47)
84064
84065.xword 0
84066.xword 0x0002005e | (6 << 18) !ma_ctl_Ld (48)
84067
84068.xword 0
84069.xword 0x00020176 | (6 << 18) !ma_ctl_St (48)
84070
84071.xword 0x80604020
84072.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (48)
84073
84074.xword 0x356a
84075.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (48)
84076
84077.xword 0x0000006000408020
84078.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
84079
84080.xword 0x6a35
84081.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
84082
84083.xword 0x78285050
84084.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
84085
84086.xword 0x80604020
84087.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
84088
84089.xword 0x00287850
84090.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (48)
84091
84092.xword 0x00287850
84093.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (48)
84094
84095.xword 0x78285050
84096.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (48)
84097
84098.xword 0x64500000003c0000
84099.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
84100
84101.xword 0x7766000000554422
84102.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
84103
84104.xword 0x706200000054462a
84105.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
84106
84107.xword 0x784d005800423721
84108.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
84109
84110.xword 0x804d006000504020
84111.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
84112
84113.xword 0x0000006000408020
84114.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
84115
84116.xword 0
84117.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (48)
84118
84119.xword 0
84120.xword 0x00020028 | (6 << 18) !ma_ctl_Ld (49)
84121
84122.xword 0
84123.xword 0x00020160 | (6 << 18) !ma_ctl_St (49)
84124
84125.xword 0x80604020
84126.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (49)
84127
84128.xword 0x356a
84129.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (49)
84130
84131.xword 0x0000006000408020
84132.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
84133
84134.xword 0x6a35
84135.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
84136
84137.xword 0x78285050
84138.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
84139
84140.xword 0x80604020
84141.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
84142
84143.xword 0x00287850
84144.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (49)
84145
84146.xword 0x00287850
84147.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (49)
84148
84149.xword 0x78285050
84150.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (49)
84151
84152.xword 0x64500000003c0000
84153.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
84154
84155.xword 0x7766000000554422
84156.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
84157
84158.xword 0x706200000054462a
84159.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
84160
84161.xword 0x784d005800423721
84162.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
84163
84164.xword 0x804d006000504020
84165.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
84166
84167.xword 0x0000006000408020
84168.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
84169
84170.xword 0
84171.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (49)
84172
84173.xword 0
84174.xword 0x0002008d | (6 << 18) !ma_ctl_Ld (50)
84175
84176.xword 0
84177.xword 0x00020124 | (6 << 18) !ma_ctl_St (50)
84178
84179.xword 0x80604020
84180.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (50)
84181
84182.xword 0x356a
84183.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (50)
84184
84185.xword 0x0000006000408020
84186.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
84187
84188.xword 0x6a35
84189.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
84190
84191.xword 0x78285050
84192.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
84193
84194.xword 0x80604020
84195.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
84196
84197.xword 0x00287850
84198.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (50)
84199
84200.xword 0x00287850
84201.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (50)
84202
84203.xword 0x78285050
84204.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (50)
84205
84206.xword 0x64500000003c0000
84207.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
84208
84209.xword 0x7766000000554422
84210.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
84211
84212.xword 0x706200000054462a
84213.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
84214
84215.xword 0x784d005800423721
84216.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
84217
84218.xword 0x804d006000504020
84219.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
84220
84221.xword 0x0000006000408020
84222.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
84223
84224.xword 0
84225.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (50)
84226
84227.xword 0
84228.xword 0x00020093 | (6 << 18) !ma_ctl_Ld (51)
84229
84230.xword 0
84231.xword 0x00020164 | (6 << 18) !ma_ctl_St (51)
84232
84233.xword 0x80604020
84234.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (51)
84235
84236.xword 0x356a
84237.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (51)
84238
84239.xword 0x0000006000408020
84240.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
84241
84242.xword 0x6a35
84243.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
84244
84245.xword 0x78285050
84246.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
84247
84248.xword 0x80604020
84249.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
84250
84251.xword 0x00287850
84252.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (51)
84253
84254.xword 0x00287850
84255.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (51)
84256
84257.xword 0x78285050
84258.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (51)
84259
84260.xword 0x64500000003c0000
84261.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
84262
84263.xword 0x7766000000554422
84264.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
84265
84266.xword 0x706200000054462a
84267.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
84268
84269.xword 0x784d005800423721
84270.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
84271
84272.xword 0x804d006000504020
84273.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
84274
84275.xword 0x0000006000408020
84276.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
84277
84278.xword 0
84279.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (51)
84280
84281.xword 0
84282.xword 0x0002007f | (6 << 18) !ma_ctl_Ld (52)
84283
84284.xword 0
84285.xword 0x00020146 | (6 << 18) !ma_ctl_St (52)
84286
84287.xword 0x80604020
84288.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (52)
84289
84290.xword 0x356a
84291.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (52)
84292
84293.xword 0x0000006000408020
84294.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
84295
84296.xword 0x6a35
84297.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
84298
84299.xword 0x78285050
84300.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
84301
84302.xword 0x80604020
84303.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
84304
84305.xword 0x00287850
84306.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (52)
84307
84308.xword 0x00287850
84309.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (52)
84310
84311.xword 0x78285050
84312.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (52)
84313
84314.xword 0x64500000003c0000
84315.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
84316
84317.xword 0x7766000000554422
84318.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
84319
84320.xword 0x706200000054462a
84321.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
84322
84323.xword 0x784d005800423721
84324.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
84325
84326.xword 0x804d006000504020
84327.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
84328
84329.xword 0x0000006000408020
84330.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
84331
84332.xword 0
84333.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (52)
84334
84335.xword 0
84336.xword 0x0002006a | (6 << 18) !ma_ctl_Ld (53)
84337
84338.xword 0
84339.xword 0x00020169 | (6 << 18) !ma_ctl_St (53)
84340
84341.xword 0x80604020
84342.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (53)
84343
84344.xword 0x356a
84345.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (53)
84346
84347.xword 0x0000006000408020
84348.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
84349
84350.xword 0x6a35
84351.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
84352
84353.xword 0x78285050
84354.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
84355
84356.xword 0x80604020
84357.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
84358
84359.xword 0x00287850
84360.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (53)
84361
84362.xword 0x00287850
84363.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (53)
84364
84365.xword 0x78285050
84366.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (53)
84367
84368.xword 0x64500000003c0000
84369.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
84370
84371.xword 0x7766000000554422
84372.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
84373
84374.xword 0x706200000054462a
84375.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
84376
84377.xword 0x784d005800423721
84378.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
84379
84380.xword 0x804d006000504020
84381.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
84382
84383.xword 0x0000006000408020
84384.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
84385
84386.xword 0
84387.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (53)
84388
84389.xword 0
84390.xword 0x00020050 | (6 << 18) !ma_ctl_Ld (54)
84391
84392.xword 0
84393.xword 0x00020140 | (6 << 18) !ma_ctl_St (54)
84394
84395.xword 0x80604020
84396.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (54)
84397
84398.xword 0x356a
84399.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (54)
84400
84401.xword 0x0000006000408020
84402.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
84403
84404.xword 0x6a35
84405.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
84406
84407.xword 0x78285050
84408.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
84409
84410.xword 0x80604020
84411.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
84412
84413.xword 0x00287850
84414.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (54)
84415
84416.xword 0x00287850
84417.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (54)
84418
84419.xword 0x78285050
84420.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (54)
84421
84422.xword 0x64500000003c0000
84423.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
84424
84425.xword 0x7766000000554422
84426.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
84427
84428.xword 0x706200000054462a
84429.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
84430
84431.xword 0x784d005800423721
84432.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
84433
84434.xword 0x804d006000504020
84435.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
84436
84437.xword 0x0000006000408020
84438.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
84439
84440.xword 0
84441.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (54)
84442
84443.xword 0
84444.xword 0x0002009d | (6 << 18) !ma_ctl_Ld (55)
84445
84446.xword 0
84447.xword 0x00020157 | (6 << 18) !ma_ctl_St (55)
84448
84449.xword 0x80604020
84450.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (55)
84451
84452.xword 0x356a
84453.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (55)
84454
84455.xword 0x0000006000408020
84456.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
84457
84458.xword 0x6a35
84459.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
84460
84461.xword 0x78285050
84462.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
84463
84464.xword 0x80604020
84465.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
84466
84467.xword 0x00287850
84468.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (55)
84469
84470.xword 0x00287850
84471.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (55)
84472
84473.xword 0x78285050
84474.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (55)
84475
84476.xword 0x64500000003c0000
84477.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
84478
84479.xword 0x7766000000554422
84480.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
84481
84482.xword 0x706200000054462a
84483.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
84484
84485.xword 0x784d005800423721
84486.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
84487
84488.xword 0x804d006000504020
84489.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
84490
84491.xword 0x0000006000408020
84492.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
84493
84494.xword 0
84495.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (55)
84496
84497.xword 0
84498.xword 0x00020039 | (6 << 18) !ma_ctl_Ld (56)
84499
84500.xword 0
84501.xword 0x00020181 | (6 << 18) !ma_ctl_St (56)
84502
84503.xword 0x80604020
84504.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (56)
84505
84506.xword 0x356a
84507.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (56)
84508
84509.xword 0x0000006000408020
84510.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
84511
84512.xword 0x6a35
84513.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
84514
84515.xword 0x78285050
84516.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
84517
84518.xword 0x80604020
84519.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
84520
84521.xword 0x00287850
84522.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (56)
84523
84524.xword 0x00287850
84525.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (56)
84526
84527.xword 0x78285050
84528.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (56)
84529
84530.xword 0x64500000003c0000
84531.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
84532
84533.xword 0x7766000000554422
84534.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
84535
84536.xword 0x706200000054462a
84537.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
84538
84539.xword 0x784d005800423721
84540.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
84541
84542.xword 0x804d006000504020
84543.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
84544
84545.xword 0x0000006000408020
84546.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
84547
84548.xword 0
84549.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (56)
84550
84551.xword 0
84552.xword 0x00020039 | (6 << 18) !ma_ctl_Ld (57)
84553
84554.xword 0
84555.xword 0x00020145 | (6 << 18) !ma_ctl_St (57)
84556
84557.xword 0x80604020
84558.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (57)
84559
84560.xword 0x356a
84561.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (57)
84562
84563.xword 0x0000006000408020
84564.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
84565
84566.xword 0x6a35
84567.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
84568
84569.xword 0x78285050
84570.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
84571
84572.xword 0x80604020
84573.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
84574
84575.xword 0x00287850
84576.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (57)
84577
84578.xword 0x00287850
84579.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (57)
84580
84581.xword 0x78285050
84582.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (57)
84583
84584.xword 0x64500000003c0000
84585.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
84586
84587.xword 0x7766000000554422
84588.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
84589
84590.xword 0x706200000054462a
84591.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
84592
84593.xword 0x784d005800423721
84594.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
84595
84596.xword 0x804d006000504020
84597.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
84598
84599.xword 0x0000006000408020
84600.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
84601
84602.xword 0
84603.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (57)
84604
84605.xword 0
84606.xword 0x00020031 | (6 << 18) !ma_ctl_Ld (58)
84607
84608.xword 0
84609.xword 0x00020166 | (6 << 18) !ma_ctl_St (58)
84610
84611.xword 0x80604020
84612.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (58)
84613
84614.xword 0x356a
84615.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (58)
84616
84617.xword 0x0000006000408020
84618.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
84619
84620.xword 0x6a35
84621.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
84622
84623.xword 0x78285050
84624.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
84625
84626.xword 0x80604020
84627.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
84628
84629.xword 0x00287850
84630.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (58)
84631
84632.xword 0x00287850
84633.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (58)
84634
84635.xword 0x78285050
84636.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (58)
84637
84638.xword 0x64500000003c0000
84639.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
84640
84641.xword 0x7766000000554422
84642.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
84643
84644.xword 0x706200000054462a
84645.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
84646
84647.xword 0x784d005800423721
84648.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
84649
84650.xword 0x804d006000504020
84651.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
84652
84653.xword 0x0000006000408020
84654.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
84655
84656.xword 0
84657.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (58)
84658
84659
84660.align 8
84661.global _t2_ma_results3
84662_t2_ma_results3:
84663.xword 0xDEADBEEFDEADBEEF
84664.xword 0xDEADBEEFDEADBEEF
84665.xword 0xDEADBEEFDEADBEEF
84666.xword 0xDEADBEEFDEADBEEF
84667.xword 0xDEADBEEFDEADBEEF
84668.xword 0xDEADBEEFDEADBEEF
84669.xword 0xDEADBEEFDEADBEEF
84670.xword 0xDEADBEEFDEADBEEF
84671.xword 0xDEADBEEFDEADBEEF
84672.xword 0xDEADBEEFDEADBEEF
84673.xword 0xDEADBEEFDEADBEEF
84674.xword 0xDEADBEEFDEADBEEF
84675.xword 0xDEADBEEFDEADBEEF
84676.xword 0xDEADBEEFDEADBEEF
84677.xword 0xDEADBEEFDEADBEEF
84678.xword 0xDEADBEEFDEADBEEF
84679.xword 0xDEADBEEFDEADBEEF
84680.xword 0xDEADBEEFDEADBEEF
84681.xword 0xDEADBEEFDEADBEEF
84682.xword 0xDEADBEEFDEADBEEF
84683.xword 0xDEADBEEFDEADBEEF
84684.xword 0xDEADBEEFDEADBEEF
84685.xword 0xDEADBEEFDEADBEEF
84686.xword 0xDEADBEEFDEADBEEF
84687.xword 0xDEADBEEFDEADBEEF
84688.xword 0xDEADBEEFDEADBEEF
84689.xword 0xDEADBEEFDEADBEEF
84690.xword 0xDEADBEEFDEADBEEF
84691.xword 0xDEADBEEFDEADBEEF
84692.xword 0xDEADBEEFDEADBEEF
84693.xword 0xDEADBEEFDEADBEEF
84694.xword 0xDEADBEEFDEADBEEF
84695.xword 0xDEADBEEFDEADBEEF
84696.xword 0xDEADBEEFDEADBEEF
84697.xword 0xDEADBEEFDEADBEEF
84698.xword 0xDEADBEEFDEADBEEF
84699.xword 0xDEADBEEFDEADBEEF
84700.xword 0xDEADBEEFDEADBEEF
84701.xword 0xDEADBEEFDEADBEEF
84702.xword 0xDEADBEEFDEADBEEF
84703.xword 0xDEADBEEFDEADBEEF
84704.xword 0xDEADBEEFDEADBEEF
84705.xword 0xDEADBEEFDEADBEEF
84706.xword 0xDEADBEEFDEADBEEF
84707.xword 0xDEADBEEFDEADBEEF
84708.xword 0xDEADBEEFDEADBEEF
84709.xword 0xDEADBEEFDEADBEEF
84710.xword 0xDEADBEEFDEADBEEF
84711.xword 0xDEADBEEFDEADBEEF
84712.xword 0xDEADBEEFDEADBEEF
84713.xword 0xDEADBEEFDEADBEEF
84714.xword 0xDEADBEEFDEADBEEF
84715.xword 0xDEADBEEFDEADBEEF
84716.xword 0xDEADBEEFDEADBEEF
84717.xword 0xDEADBEEFDEADBEEF
84718.xword 0xDEADBEEFDEADBEEF
84719.xword 0xDEADBEEFDEADBEEF
84720.xword 0xDEADBEEFDEADBEEF
84721.xword 0xDEADBEEFDEADBEEF
84722.xword 0xDEADBEEFDEADBEEF
84723.xword 0xDEADBEEFDEADBEEF
84724.xword 0xDEADBEEFDEADBEEF
84725.xword 0xDEADBEEFDEADBEEF
84726.xword 0xDEADBEEFDEADBEEF
84727.xword 0xDEADBEEFDEADBEEF
84728.xword 0xDEADBEEFDEADBEEF
84729.xword 0xDEADBEEFDEADBEEF
84730.xword 0xDEADBEEFDEADBEEF
84731.xword 0xDEADBEEFDEADBEEF
84732.xword 0xDEADBEEFDEADBEEF
84733.xword 0xDEADBEEFDEADBEEF
84734.xword 0xDEADBEEFDEADBEEF
84735.xword 0xDEADBEEFDEADBEEF
84736.xword 0xDEADBEEFDEADBEEF
84737.xword 0xDEADBEEFDEADBEEF
84738.xword 0xDEADBEEFDEADBEEF
84739.xword 0xDEADBEEFDEADBEEF
84740.xword 0xDEADBEEFDEADBEEF
84741.xword 0xDEADBEEFDEADBEEF
84742.xword 0xDEADBEEFDEADBEEF
84743.xword 0xDEADBEEFDEADBEEF
84744.xword 0xDEADBEEFDEADBEEF
84745.xword 0xDEADBEEFDEADBEEF
84746.xword 0xDEADBEEFDEADBEEF
84747.xword 0xDEADBEEFDEADBEEF
84748.xword 0xDEADBEEFDEADBEEF
84749.xword 0xDEADBEEFDEADBEEF
84750.xword 0xDEADBEEFDEADBEEF
84751.xword 0xDEADBEEFDEADBEEF
84752.xword 0xDEADBEEFDEADBEEF
84753.xword 0xDEADBEEFDEADBEEF
84754.xword 0xDEADBEEFDEADBEEF
84755.xword 0xDEADBEEFDEADBEEF
84756.xword 0xDEADBEEFDEADBEEF
84757.xword 0xDEADBEEFDEADBEEF
84758.xword 0xDEADBEEFDEADBEEF
84759.xword 0xDEADBEEFDEADBEEF
84760.xword 0xDEADBEEFDEADBEEF
84761.xword 0xDEADBEEFDEADBEEF
84762.xword 0xDEADBEEFDEADBEEF
84763.xword 0xDEADBEEFDEADBEEF
84764.xword 0xDEADBEEFDEADBEEF
84765.xword 0xDEADBEEFDEADBEEF
84766.xword 0xDEADBEEFDEADBEEF
84767.xword 0xDEADBEEFDEADBEEF
84768.xword 0xDEADBEEFDEADBEEF
84769.xword 0xDEADBEEFDEADBEEF
84770.xword 0xDEADBEEFDEADBEEF
84771.xword 0xDEADBEEFDEADBEEF
84772.xword 0xDEADBEEFDEADBEEF
84773.xword 0xDEADBEEFDEADBEEF
84774.xword 0xDEADBEEFDEADBEEF
84775.xword 0xDEADBEEFDEADBEEF
84776.xword 0xDEADBEEFDEADBEEF
84777.xword 0xDEADBEEFDEADBEEF
84778.xword 0xDEADBEEFDEADBEEF
84779.xword 0xDEADBEEFDEADBEEF
84780.xword 0xDEADBEEFDEADBEEF
84781.xword 0xDEADBEEFDEADBEEF
84782.xword 0xDEADBEEFDEADBEEF
84783.xword 0xDEADBEEFDEADBEEF
84784.xword 0xDEADBEEFDEADBEEF
84785.xword 0xDEADBEEFDEADBEEF
84786.xword 0xDEADBEEFDEADBEEF
84787.xword 0xDEADBEEFDEADBEEF
84788.xword 0xDEADBEEFDEADBEEF
84789.xword 0xDEADBEEFDEADBEEF
84790.xword 0xDEADBEEFDEADBEEF
84791.xword 0xDEADBEEFDEADBEEF
84792.xword 0xDEADBEEFDEADBEEF
84793.xword 0xDEADBEEFDEADBEEF
84794.xword 0xDEADBEEFDEADBEEF
84795.xword 0xDEADBEEFDEADBEEF
84796.xword 0xDEADBEEFDEADBEEF
84797.xword 0xDEADBEEFDEADBEEF
84798.xword 0xDEADBEEFDEADBEEF
84799.xword 0xDEADBEEFDEADBEEF
84800.xword 0xDEADBEEFDEADBEEF
84801.xword 0xDEADBEEFDEADBEEF
84802.xword 0xDEADBEEFDEADBEEF
84803.xword 0xDEADBEEFDEADBEEF
84804.xword 0xDEADBEEFDEADBEEF
84805.xword 0xDEADBEEFDEADBEEF
84806.xword 0xDEADBEEFDEADBEEF
84807.xword 0xDEADBEEFDEADBEEF
84808.xword 0xDEADBEEFDEADBEEF
84809.xword 0xDEADBEEFDEADBEEF
84810.xword 0xDEADBEEFDEADBEEF
84811.xword 0xDEADBEEFDEADBEEF
84812.xword 0xDEADBEEFDEADBEEF
84813.xword 0xDEADBEEFDEADBEEF
84814.xword 0xDEADBEEFDEADBEEF
84815.xword 0xDEADBEEFDEADBEEF
84816.xword 0xDEADBEEFDEADBEEF
84817.xword 0xDEADBEEFDEADBEEF
84818.xword 0xDEADBEEFDEADBEEF
84819.xword 0xDEADBEEFDEADBEEF
84820.xword 0xDEADBEEFDEADBEEF
84821.xword 0xDEADBEEFDEADBEEF
84822.xword 0xDEADBEEFDEADBEEF
84823.xword 0xDEADBEEFDEADBEEF
84824.xword 0xDEADBEEFDEADBEEF
84825.xword 0xDEADBEEFDEADBEEF
84826.xword 0xDEADBEEFDEADBEEF
84827SECTION ._t2_T_MAX_DATA4 DATA_VA=272629760
84828attr_data {
84829 Name = ._t2_T_MAX_DATA4
84830 hypervisor
84831}
84832
84833.data
84834_t2_user_data_start:
84835_t2_scratch_area:
84836
84837.align 8
84838.global _t2_ma_operands4
84839_t2_ma_operands4:
84840.xword 0xfff81f4ba2092757
84841.xword 0x30e1074b501aae2e
84842.xword 0xdd6a226b75759b54
84843.xword 0x0c3b71ca790b44cd
84844.xword 0xd3680ffc3a37fcd8
84845.xword 0xd32de4871a18b1fe
84846.xword 0x568a1887c0c17c9a
84847.xword 0x6998ce5b7ad26dbb
84848.xword 0xa2cd3feb74c1a96c
84849.xword 0x767e5d3b7b75b8df
84850.xword 0xe2f9d42fa25892de
84851.xword 0x890ccbaab44fd3b6
84852.xword 0x3e915d8c41dbeab0
84853.xword 0xaa6d283f844bdb7e
84854.xword 0x641e9294b09e9d5d
84855.xword 0xc2bfa71aa4399ec8
84856.xword 0x244b04ecf4d3cd2b
84857.xword 0x300574a6d9813d48
84858.xword 0x064526713e4667f3
84859.xword 0xec347fe4bdaffcbf
84860.xword 0x8c195f824c365016
84861.xword 0xb06beb0972a18621
84862.xword 0x627a1f89eb91382c
84863.xword 0xc3c254b100a557ae
84864.xword 0x124e1a56cccf9894
84865.xword 0x5b44b02b1a0aa105
84866.xword 0x0f7efa22fd39f06d
84867.xword 0x36b308f37f5be1c6
84868.xword 0xd4b05677d96cb9a7
84869.xword 0xe7d1a92ad2af66aa
84870.xword 0x65eb690c5209c9af
84871.xword 0x1456cbe1482e922e
84872.xword 0xc7b11b2876629139
84873.xword 0x34f83418ba4795c2
84874.xword 0x0d4ade016beeed99
84875.xword 0x42d6fdfe4127b88a
84876.xword 0xc399ed839e6c416f
84877.xword 0x29790b74529e4165
84878.xword 0xca74983b2515bcd8
84879.xword 0x3ba2461cbdd9f648
84880.xword 0x3dc1f88c7a186f15
84881.xword 0xb7dd632760cf5744
84882.xword 0x8f081f739cc8555c
84883.xword 0x8f66bfb799db03bf
84884.xword 0xde87965ff5ae8a98
84885.xword 0x79c817415486caee
84886.xword 0xfd79bbb3962b41d6
84887.xword 0x12d6ee3e428d12ae
84888.xword 0x7f940e62f776d172
84889.xword 0xc911bfa8a88bae02
84890.xword 0xcddf730d4aa4af0c
84891.xword 0xd2de3435cb9b61ec
84892.xword 0x540b14344bd36246
84893.xword 0xfea8d353631efb31
84894.xword 0x94a1f433a9391d05
84895.xword 0x8d332dbc33463f4c
84896.xword 0x772c9b7a80382578
84897.xword 0x45da7d4c22085420
84898.xword 0xc99b505d6d7d7baf
84899.xword 0x211aadd437164c81
84900.xword 0xb5710c77c7514ec4
84901.xword 0xe00a1a374609a529
84902.xword 0xa0da120b2b72bef5
84903.xword 0x4fab7d342b2e774e
84904.xword 0x720cee0b816ba097
84905.xword 0xbfc8c4fd2714f373
84906.xword 0x07a6556cd2f97ccc
84907.xword 0x66eb9388a5d36846
84908.xword 0x6f2e50d76cd62a6e
84909.xword 0xd6b017e43ed27779
84910.xword 0xa81615ffd9d28aac
84911.xword 0x598199c1852d042a
84912.xword 0x330de45769c0446f
84913.xword 0xd63675618ea8f78e
84914.xword 0x012a03cf43601957
84915.xword 0x88997c79b3a04939
84916.xword 0x0a2f44d89c11de23
84917.xword 0xef7bdec351eb3501
84918.xword 0xc5865500dc9ad475
84919.xword 0x025197c107c88c61
84920.xword 0xbe4bb89189f9dcb5
84921.xword 0x526132547f9c1246
84922.xword 0x0c2faf8be9d46b06
84923.xword 0x3465c8894d9fa2c9
84924.xword 0x2aa090254b0a9b82
84925.xword 0x007c6763973fe7e3
84926.xword 0x5ddd60a9dc6c9d03
84927.xword 0x25ee449296047d4a
84928.xword 0x7d385aa4e05f5c6d
84929.xword 0xb16a7ec18b9a328d
84930.xword 0x214cf257a0148a0c
84931.xword 0x0314581cbb16ef71
84932.xword 0x8a5e612e32b2e582
84933.xword 0x8d4536dfa605c54a
84934.xword 0x282509774befed68
84935.xword 0x07b0dbd28d13ccfa
84936.xword 0xde5fd4141f2d70ec
84937.xword 0x6558f7f97a472577
84938.xword 0xcd0dcb6740e469df
84939.xword 0x044704defb2e2bd4
84940.xword 0xd6a616435a16c373
84941.xword 0x493cf3a7cdf1104b
84942.xword 0xc33c60caec9e9192
84943.xword 0x14068259fa6a9e17
84944.xword 0x87121606906dac02
84945.xword 0x042bb8a80a4d43d3
84946.xword 0xa163005693b9c571
84947.xword 0x5718ad603c2fb2bc
84948.xword 0x3cc18c1fd16d5b2c
84949.xword 0xca068d2a9812405e
84950.xword 0x29dd87bc5ec07393
84951.xword 0xb7cbb301d9f76ea9
84952.xword 0xd726781c18b6c648
84953.xword 0x7576cf2006e857c7
84954.xword 0x6c304f920592e618
84955.xword 0x8bb7854a915c2d68
84956.xword 0x4f89dde3f1921440
84957.xword 0x635fb7992a17c28d
84958.xword 0xf22879e94f8e2a5e
84959.xword 0x7a98255eade0b372
84960.xword 0xea2c93b068ed45fe
84961.xword 0x88010146ccb58a65
84962.xword 0x8db8718c84146315
84963.xword 0xb34b5f947c3d5cf3
84964.xword 0x68c341a17392f676
84965.xword 0x269793fc98381be0
84966.xword 0x0143ca35566bf07a
84967.xword 0x6126cd167bba4c01
84968.xword 0xe322803c2869a781
84969.xword 0x7a4edf0f5df0ff89
84970.xword 0x7e93f61131e08daf
84971.xword 0xa45a742bebe6f396
84972.xword 0x141fdd2a7832c21a
84973.xword 0x8122740af4f20954
84974.xword 0x1d3e48d1862836a8
84975.xword 0xb37aeee8e8058a9e
84976.xword 0x6493965b7e29353e
84977.xword 0xd4c28988cc50a299
84978.xword 0x17d49c346fd38729
84979.xword 0x7fdc79c70b5d5e1f
84980.xword 0x09d32b6edd6b7dd6
84981.xword 0xc1e90da82c37bbfd
84982.xword 0x34ca85683602d356
84983.xword 0x2fc308898e2f8a5f
84984.xword 0x780f4399b200c430
84985.xword 0xc08471c3b18e9b3e
84986.xword 0xd9c1e6ddcd48e291
84987.xword 0xbcdfb2f2aad9a0f8
84988.xword 0x28efda28555d546e
84989.xword 0xaffbd6c9039fde64
84990.xword 0xd7135bc952bd0426
84991.xword 0xd3eef5811f4dedb5
84992.xword 0x45aca609d1bbc771
84993.xword 0x3215e1b291aa8785
84994.xword 0xe3db332dc2f616e8
84995.xword 0x5355d9ce9283451b
84996.xword 0x56603f70f16adf2f
84997.xword 0xa90c0afc1c40b0fa
84998.xword 0xd90dce6a218a68c3
84999.xword 0x268b0c4cf8456756
85000.xword 0x4e0eb8b724496274
85001.xword 0x2f744a0d8f14bcc2
85002.xword 0xd43e9b2118e0a4ef
85003.xword 0x71eb84fdbe6eb4aa
85004
85005.align 8
85006.global _t2_ma_operations4
85007_t2_ma_operations4:
85008.xword 0
85009.xword 0x00020075 | (6 << 18) !ma_ctl_Ld (0)
85010
85011.xword 0
85012.xword 0x0002017b | (6 << 18) !ma_ctl_St (0)
85013
85014.xword 0x80604020
85015.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (0)
85016
85017.xword 0x356a
85018.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (0)
85019
85020.xword 0x0000006000408020
85021.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
85022
85023.xword 0x6a35
85024.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
85025
85026.xword 0x78285050
85027.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
85028
85029.xword 0x80604020
85030.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
85031
85032.xword 0x00287850
85033.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (0)
85034
85035.xword 0x00287850
85036.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (0)
85037
85038.xword 0x78285050
85039.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (0)
85040
85041.xword 0x64500000003c0000
85042.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
85043
85044.xword 0x7766000000554422
85045.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
85046
85047.xword 0x706200000054462a
85048.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
85049
85050.xword 0x784d005800423721
85051.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
85052
85053.xword 0x804d006000504020
85054.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
85055
85056.xword 0x0000006000408020
85057.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
85058
85059.xword 0
85060.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (0)
85061
85062.xword 0
85063.xword 0x00020045 | (6 << 18) !ma_ctl_Ld (1)
85064
85065.xword 0
85066.xword 0x00020134 | (6 << 18) !ma_ctl_St (1)
85067
85068.xword 0x80604020
85069.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (1)
85070
85071.xword 0x356a
85072.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (1)
85073
85074.xword 0x0000006000408020
85075.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
85076
85077.xword 0x6a35
85078.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
85079
85080.xword 0x78285050
85081.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
85082
85083.xword 0x80604020
85084.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
85085
85086.xword 0x00287850
85087.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
85088
85089.xword 0x00287850
85090.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
85091
85092.xword 0x78285050
85093.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (1)
85094
85095.xword 0x64500000003c0000
85096.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
85097
85098.xword 0x7766000000554422
85099.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
85100
85101.xword 0x706200000054462a
85102.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
85103
85104.xword 0x784d005800423721
85105.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
85106
85107.xword 0x804d006000504020
85108.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
85109
85110.xword 0x0000006000408020
85111.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
85112
85113.xword 0
85114.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (1)
85115
85116.xword 0
85117.xword 0x00020064 | (6 << 18) !ma_ctl_Ld (2)
85118
85119.xword 0
85120.xword 0x00020128 | (6 << 18) !ma_ctl_St (2)
85121
85122.xword 0x80604020
85123.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (2)
85124
85125.xword 0x356a
85126.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (2)
85127
85128.xword 0x0000006000408020
85129.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
85130
85131.xword 0x6a35
85132.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
85133
85134.xword 0x78285050
85135.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
85136
85137.xword 0x80604020
85138.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
85139
85140.xword 0x00287850
85141.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (2)
85142
85143.xword 0x00287850
85144.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (2)
85145
85146.xword 0x78285050
85147.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (2)
85148
85149.xword 0x64500000003c0000
85150.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
85151
85152.xword 0x7766000000554422
85153.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
85154
85155.xword 0x706200000054462a
85156.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
85157
85158.xword 0x784d005800423721
85159.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
85160
85161.xword 0x804d006000504020
85162.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
85163
85164.xword 0x0000006000408020
85165.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
85166
85167.xword 0
85168.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (2)
85169
85170.xword 0
85171.xword 0x0002009c | (6 << 18) !ma_ctl_Ld (3)
85172
85173.xword 0
85174.xword 0x00020150 | (6 << 18) !ma_ctl_St (3)
85175
85176.xword 0x80604020
85177.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (3)
85178
85179.xword 0x356a
85180.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (3)
85181
85182.xword 0x0000006000408020
85183.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
85184
85185.xword 0x6a35
85186.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
85187
85188.xword 0x78285050
85189.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
85190
85191.xword 0x80604020
85192.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
85193
85194.xword 0x00287850
85195.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (3)
85196
85197.xword 0x00287850
85198.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (3)
85199
85200.xword 0x78285050
85201.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (3)
85202
85203.xword 0x64500000003c0000
85204.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
85205
85206.xword 0x7766000000554422
85207.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
85208
85209.xword 0x706200000054462a
85210.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
85211
85212.xword 0x784d005800423721
85213.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
85214
85215.xword 0x804d006000504020
85216.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
85217
85218.xword 0x0000006000408020
85219.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
85220
85221.xword 0
85222.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (3)
85223
85224.xword 0
85225.xword 0x00020096 | (6 << 18) !ma_ctl_Ld (4)
85226
85227.xword 0
85228.xword 0x0002015c | (6 << 18) !ma_ctl_St (4)
85229
85230.xword 0x80604020
85231.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (4)
85232
85233.xword 0x356a
85234.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (4)
85235
85236.xword 0x0000006000408020
85237.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
85238
85239.xword 0x6a35
85240.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
85241
85242.xword 0x78285050
85243.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
85244
85245.xword 0x80604020
85246.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
85247
85248.xword 0x00287850
85249.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (4)
85250
85251.xword 0x00287850
85252.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (4)
85253
85254.xword 0x78285050
85255.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (4)
85256
85257.xword 0x64500000003c0000
85258.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
85259
85260.xword 0x7766000000554422
85261.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
85262
85263.xword 0x706200000054462a
85264.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
85265
85266.xword 0x784d005800423721
85267.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
85268
85269.xword 0x804d006000504020
85270.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
85271
85272.xword 0x0000006000408020
85273.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
85274
85275.xword 0
85276.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (4)
85277
85278.xword 0
85279.xword 0x0002003c | (6 << 18) !ma_ctl_Ld (5)
85280
85281.xword 0
85282.xword 0x00020161 | (6 << 18) !ma_ctl_St (5)
85283
85284.xword 0x80604020
85285.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (5)
85286
85287.xword 0x356a
85288.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (5)
85289
85290.xword 0x0000006000408020
85291.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
85292
85293.xword 0x6a35
85294.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
85295
85296.xword 0x78285050
85297.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
85298
85299.xword 0x80604020
85300.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
85301
85302.xword 0x00287850
85303.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (5)
85304
85305.xword 0x00287850
85306.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (5)
85307
85308.xword 0x78285050
85309.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (5)
85310
85311.xword 0x64500000003c0000
85312.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
85313
85314.xword 0x7766000000554422
85315.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
85316
85317.xword 0x706200000054462a
85318.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
85319
85320.xword 0x784d005800423721
85321.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
85322
85323.xword 0x804d006000504020
85324.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
85325
85326.xword 0x0000006000408020
85327.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
85328
85329.xword 0
85330.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (5)
85331
85332.xword 0
85333.xword 0x0002002e | (6 << 18) !ma_ctl_Ld (6)
85334
85335.xword 0
85336.xword 0x00020126 | (6 << 18) !ma_ctl_St (6)
85337
85338.xword 0x80604020
85339.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (6)
85340
85341.xword 0x356a
85342.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (6)
85343
85344.xword 0x0000006000408020
85345.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
85346
85347.xword 0x6a35
85348.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
85349
85350.xword 0x78285050
85351.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
85352
85353.xword 0x80604020
85354.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
85355
85356.xword 0x00287850
85357.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (6)
85358
85359.xword 0x00287850
85360.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (6)
85361
85362.xword 0x78285050
85363.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (6)
85364
85365.xword 0x64500000003c0000
85366.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
85367
85368.xword 0x7766000000554422
85369.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
85370
85371.xword 0x706200000054462a
85372.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
85373
85374.xword 0x784d005800423721
85375.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
85376
85377.xword 0x804d006000504020
85378.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
85379
85380.xword 0x0000006000408020
85381.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
85382
85383.xword 0
85384.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (6)
85385
85386.xword 0
85387.xword 0x00020056 | (6 << 18) !ma_ctl_Ld (7)
85388
85389.xword 0
85390.xword 0x0002015f | (6 << 18) !ma_ctl_St (7)
85391
85392.xword 0x80604020
85393.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (7)
85394
85395.xword 0x356a
85396.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (7)
85397
85398.xword 0x0000006000408020
85399.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
85400
85401.xword 0x6a35
85402.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
85403
85404.xword 0x78285050
85405.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
85406
85407.xword 0x80604020
85408.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
85409
85410.xword 0x00287850
85411.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (7)
85412
85413.xword 0x00287850
85414.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (7)
85415
85416.xword 0x78285050
85417.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (7)
85418
85419.xword 0x64500000003c0000
85420.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
85421
85422.xword 0x7766000000554422
85423.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
85424
85425.xword 0x706200000054462a
85426.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
85427
85428.xword 0x784d005800423721
85429.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
85430
85431.xword 0x804d006000504020
85432.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
85433
85434.xword 0x0000006000408020
85435.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
85436
85437.xword 0
85438.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (7)
85439
85440.xword 0
85441.xword 0x0002005f | (6 << 18) !ma_ctl_Ld (8)
85442
85443.xword 0
85444.xword 0x00020157 | (6 << 18) !ma_ctl_St (8)
85445
85446.xword 0x80604020
85447.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (8)
85448
85449.xword 0x356a
85450.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (8)
85451
85452.xword 0x0000006000408020
85453.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
85454
85455.xword 0x6a35
85456.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
85457
85458.xword 0x78285050
85459.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
85460
85461.xword 0x80604020
85462.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
85463
85464.xword 0x00287850
85465.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (8)
85466
85467.xword 0x00287850
85468.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
85469
85470.xword 0x78285050
85471.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (8)
85472
85473.xword 0x64500000003c0000
85474.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
85475
85476.xword 0x7766000000554422
85477.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
85478
85479.xword 0x706200000054462a
85480.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
85481
85482.xword 0x784d005800423721
85483.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
85484
85485.xword 0x804d006000504020
85486.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
85487
85488.xword 0x0000006000408020
85489.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
85490
85491.xword 0
85492.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (8)
85493
85494.xword 0
85495.xword 0x0002006a | (6 << 18) !ma_ctl_Ld (9)
85496
85497.xword 0
85498.xword 0x00020131 | (6 << 18) !ma_ctl_St (9)
85499
85500.xword 0x80604020
85501.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (9)
85502
85503.xword 0x356a
85504.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
85505
85506.xword 0x0000006000408020
85507.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
85508
85509.xword 0x6a35
85510.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
85511
85512.xword 0x78285050
85513.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
85514
85515.xword 0x80604020
85516.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
85517
85518.xword 0x00287850
85519.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (9)
85520
85521.xword 0x00287850
85522.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (9)
85523
85524.xword 0x78285050
85525.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (9)
85526
85527.xword 0x64500000003c0000
85528.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
85529
85530.xword 0x7766000000554422
85531.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
85532
85533.xword 0x706200000054462a
85534.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
85535
85536.xword 0x784d005800423721
85537.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
85538
85539.xword 0x804d006000504020
85540.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
85541
85542.xword 0x0000006000408020
85543.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
85544
85545.xword 0
85546.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (9)
85547
85548.xword 0
85549.xword 0x00020090 | (6 << 18) !ma_ctl_Ld (10)
85550
85551.xword 0
85552.xword 0x00020131 | (6 << 18) !ma_ctl_St (10)
85553
85554.xword 0x80604020
85555.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (10)
85556
85557.xword 0x356a
85558.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (10)
85559
85560.xword 0x0000006000408020
85561.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
85562
85563.xword 0x6a35
85564.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
85565
85566.xword 0x78285050
85567.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
85568
85569.xword 0x80604020
85570.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
85571
85572.xword 0x00287850
85573.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (10)
85574
85575.xword 0x00287850
85576.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (10)
85577
85578.xword 0x78285050
85579.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (10)
85580
85581.xword 0x64500000003c0000
85582.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
85583
85584.xword 0x7766000000554422
85585.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
85586
85587.xword 0x706200000054462a
85588.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
85589
85590.xword 0x784d005800423721
85591.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
85592
85593.xword 0x804d006000504020
85594.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
85595
85596.xword 0x0000006000408020
85597.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
85598
85599.xword 0
85600.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (10)
85601
85602.xword 0
85603.xword 0x00020073 | (6 << 18) !ma_ctl_Ld (11)
85604
85605.xword 0
85606.xword 0x00020126 | (6 << 18) !ma_ctl_St (11)
85607
85608.xword 0x80604020
85609.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (11)
85610
85611.xword 0x356a
85612.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (11)
85613
85614.xword 0x0000006000408020
85615.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
85616
85617.xword 0x6a35
85618.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
85619
85620.xword 0x78285050
85621.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
85622
85623.xword 0x80604020
85624.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
85625
85626.xword 0x00287850
85627.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (11)
85628
85629.xword 0x00287850
85630.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (11)
85631
85632.xword 0x78285050
85633.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (11)
85634
85635.xword 0x64500000003c0000
85636.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
85637
85638.xword 0x7766000000554422
85639.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
85640
85641.xword 0x706200000054462a
85642.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
85643
85644.xword 0x784d005800423721
85645.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
85646
85647.xword 0x804d006000504020
85648.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
85649
85650.xword 0x0000006000408020
85651.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
85652
85653.xword 0
85654.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (11)
85655
85656.xword 0
85657.xword 0x00020067 | (6 << 18) !ma_ctl_Ld (12)
85658
85659.xword 0
85660.xword 0x0002014d | (6 << 18) !ma_ctl_St (12)
85661
85662.xword 0x80604020
85663.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (12)
85664
85665.xword 0x356a
85666.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (12)
85667
85668.xword 0x0000006000408020
85669.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
85670
85671.xword 0x6a35
85672.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
85673
85674.xword 0x78285050
85675.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
85676
85677.xword 0x80604020
85678.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
85679
85680.xword 0x00287850
85681.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (12)
85682
85683.xword 0x00287850
85684.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (12)
85685
85686.xword 0x78285050
85687.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (12)
85688
85689.xword 0x64500000003c0000
85690.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
85691
85692.xword 0x7766000000554422
85693.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
85694
85695.xword 0x706200000054462a
85696.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
85697
85698.xword 0x784d005800423721
85699.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
85700
85701.xword 0x804d006000504020
85702.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
85703
85704.xword 0x0000006000408020
85705.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
85706
85707.xword 0
85708.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (12)
85709
85710.xword 0
85711.xword 0x00020089 | (6 << 18) !ma_ctl_Ld (13)
85712
85713.xword 0
85714.xword 0x00020144 | (6 << 18) !ma_ctl_St (13)
85715
85716.xword 0x80604020
85717.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (13)
85718
85719.xword 0x356a
85720.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (13)
85721
85722.xword 0x0000006000408020
85723.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
85724
85725.xword 0x6a35
85726.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
85727
85728.xword 0x78285050
85729.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
85730
85731.xword 0x80604020
85732.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
85733
85734.xword 0x00287850
85735.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (13)
85736
85737.xword 0x00287850
85738.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (13)
85739
85740.xword 0x78285050
85741.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (13)
85742
85743.xword 0x64500000003c0000
85744.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
85745
85746.xword 0x7766000000554422
85747.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
85748
85749.xword 0x706200000054462a
85750.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
85751
85752.xword 0x784d005800423721
85753.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
85754
85755.xword 0x804d006000504020
85756.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
85757
85758.xword 0x0000006000408020
85759.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
85760
85761.xword 0
85762.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (13)
85763
85764.xword 0
85765.xword 0x0002009b | (6 << 18) !ma_ctl_Ld (14)
85766
85767.xword 0
85768.xword 0x00020186 | (6 << 18) !ma_ctl_St (14)
85769
85770.xword 0x80604020
85771.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (14)
85772
85773.xword 0x356a
85774.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (14)
85775
85776.xword 0x0000006000408020
85777.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
85778
85779.xword 0x6a35
85780.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
85781
85782.xword 0x78285050
85783.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
85784
85785.xword 0x80604020
85786.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
85787
85788.xword 0x00287850
85789.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (14)
85790
85791.xword 0x00287850
85792.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (14)
85793
85794.xword 0x78285050
85795.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (14)
85796
85797.xword 0x64500000003c0000
85798.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
85799
85800.xword 0x7766000000554422
85801.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
85802
85803.xword 0x706200000054462a
85804.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
85805
85806.xword 0x784d005800423721
85807.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
85808
85809.xword 0x804d006000504020
85810.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
85811
85812.xword 0x0000006000408020
85813.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
85814
85815.xword 0
85816.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (14)
85817
85818.xword 0
85819.xword 0x00020098 | (6 << 18) !ma_ctl_Ld (15)
85820
85821.xword 0
85822.xword 0x00020165 | (6 << 18) !ma_ctl_St (15)
85823
85824.xword 0x80604020
85825.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (15)
85826
85827.xword 0x356a
85828.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (15)
85829
85830.xword 0x0000006000408020
85831.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
85832
85833.xword 0x6a35
85834.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
85835
85836.xword 0x78285050
85837.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
85838
85839.xword 0x80604020
85840.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
85841
85842.xword 0x00287850
85843.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (15)
85844
85845.xword 0x00287850
85846.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (15)
85847
85848.xword 0x78285050
85849.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (15)
85850
85851.xword 0x64500000003c0000
85852.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
85853
85854.xword 0x7766000000554422
85855.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
85856
85857.xword 0x706200000054462a
85858.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
85859
85860.xword 0x784d005800423721
85861.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
85862
85863.xword 0x804d006000504020
85864.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
85865
85866.xword 0x0000006000408020
85867.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
85868
85869.xword 0
85870.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (15)
85871
85872.xword 0
85873.xword 0x0002003d | (6 << 18) !ma_ctl_Ld (16)
85874
85875.xword 0
85876.xword 0x00020178 | (6 << 18) !ma_ctl_St (16)
85877
85878.xword 0x80604020
85879.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (16)
85880
85881.xword 0x356a
85882.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (16)
85883
85884.xword 0x0000006000408020
85885.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
85886
85887.xword 0x6a35
85888.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
85889
85890.xword 0x78285050
85891.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
85892
85893.xword 0x80604020
85894.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
85895
85896.xword 0x00287850
85897.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (16)
85898
85899.xword 0x00287850
85900.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (16)
85901
85902.xword 0x78285050
85903.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (16)
85904
85905.xword 0x64500000003c0000
85906.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
85907
85908.xword 0x7766000000554422
85909.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
85910
85911.xword 0x706200000054462a
85912.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
85913
85914.xword 0x784d005800423721
85915.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
85916
85917.xword 0x804d006000504020
85918.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
85919
85920.xword 0x0000006000408020
85921.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
85922
85923.xword 0
85924.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (16)
85925
85926.xword 0
85927.xword 0x00020086 | (6 << 18) !ma_ctl_Ld (17)
85928
85929.xword 0
85930.xword 0x00020166 | (6 << 18) !ma_ctl_St (17)
85931
85932.xword 0x80604020
85933.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (17)
85934
85935.xword 0x356a
85936.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (17)
85937
85938.xword 0x0000006000408020
85939.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
85940
85941.xword 0x6a35
85942.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
85943
85944.xword 0x78285050
85945.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
85946
85947.xword 0x80604020
85948.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
85949
85950.xword 0x00287850
85951.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (17)
85952
85953.xword 0x00287850
85954.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (17)
85955
85956.xword 0x78285050
85957.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (17)
85958
85959.xword 0x64500000003c0000
85960.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
85961
85962.xword 0x7766000000554422
85963.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
85964
85965.xword 0x706200000054462a
85966.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
85967
85968.xword 0x784d005800423721
85969.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
85970
85971.xword 0x804d006000504020
85972.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
85973
85974.xword 0x0000006000408020
85975.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
85976
85977.xword 0
85978.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (17)
85979
85980.xword 0
85981.xword 0x00020099 | (6 << 18) !ma_ctl_Ld (18)
85982
85983.xword 0
85984.xword 0x00020133 | (6 << 18) !ma_ctl_St (18)
85985
85986.xword 0x80604020
85987.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (18)
85988
85989.xword 0x356a
85990.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (18)
85991
85992.xword 0x0000006000408020
85993.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
85994
85995.xword 0x6a35
85996.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
85997
85998.xword 0x78285050
85999.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
86000
86001.xword 0x80604020
86002.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
86003
86004.xword 0x00287850
86005.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (18)
86006
86007.xword 0x00287850
86008.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (18)
86009
86010.xword 0x78285050
86011.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (18)
86012
86013.xword 0x64500000003c0000
86014.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
86015
86016.xword 0x7766000000554422
86017.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
86018
86019.xword 0x706200000054462a
86020.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
86021
86022.xword 0x784d005800423721
86023.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
86024
86025.xword 0x804d006000504020
86026.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
86027
86028.xword 0x0000006000408020
86029.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
86030
86031.xword 0
86032.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (18)
86033
86034.xword 0
86035.xword 0x0002006d | (6 << 18) !ma_ctl_Ld (19)
86036
86037.xword 0
86038.xword 0x00020164 | (6 << 18) !ma_ctl_St (19)
86039
86040.xword 0x80604020
86041.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (19)
86042
86043.xword 0x356a
86044.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (19)
86045
86046.xword 0x0000006000408020
86047.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
86048
86049.xword 0x6a35
86050.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
86051
86052.xword 0x78285050
86053.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
86054
86055.xword 0x80604020
86056.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
86057
86058.xword 0x00287850
86059.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (19)
86060
86061.xword 0x00287850
86062.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (19)
86063
86064.xword 0x78285050
86065.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
86066
86067.xword 0x64500000003c0000
86068.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
86069
86070.xword 0x7766000000554422
86071.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
86072
86073.xword 0x706200000054462a
86074.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
86075
86076.xword 0x784d005800423721
86077.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
86078
86079.xword 0x804d006000504020
86080.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
86081
86082.xword 0x0000006000408020
86083.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
86084
86085.xword 0
86086.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (19)
86087
86088.xword 0
86089.xword 0x00020079 | (6 << 18) !ma_ctl_Ld (20)
86090
86091.xword 0
86092.xword 0x0002016f | (6 << 18) !ma_ctl_St (20)
86093
86094.xword 0x80604020
86095.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (20)
86096
86097.xword 0x356a
86098.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (20)
86099
86100.xword 0x0000006000408020
86101.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
86102
86103.xword 0x6a35
86104.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
86105
86106.xword 0x78285050
86107.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
86108
86109.xword 0x80604020
86110.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
86111
86112.xword 0x00287850
86113.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (20)
86114
86115.xword 0x00287850
86116.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (20)
86117
86118.xword 0x78285050
86119.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (20)
86120
86121.xword 0x64500000003c0000
86122.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
86123
86124.xword 0x7766000000554422
86125.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
86126
86127.xword 0x706200000054462a
86128.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
86129
86130.xword 0x784d005800423721
86131.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
86132
86133.xword 0x804d006000504020
86134.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
86135
86136.xword 0x0000006000408020
86137.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
86138
86139.xword 0
86140.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (20)
86141
86142.xword 0
86143.xword 0x00020086 | (6 << 18) !ma_ctl_Ld (21)
86144
86145.xword 0
86146.xword 0x0002015a | (6 << 18) !ma_ctl_St (21)
86147
86148.xword 0x80604020
86149.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (21)
86150
86151.xword 0x356a
86152.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (21)
86153
86154.xword 0x0000006000408020
86155.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
86156
86157.xword 0x6a35
86158.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
86159
86160.xword 0x78285050
86161.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
86162
86163.xword 0x80604020
86164.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
86165
86166.xword 0x00287850
86167.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (21)
86168
86169.xword 0x00287850
86170.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (21)
86171
86172.xword 0x78285050
86173.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (21)
86174
86175.xword 0x64500000003c0000
86176.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
86177
86178.xword 0x7766000000554422
86179.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
86180
86181.xword 0x706200000054462a
86182.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
86183
86184.xword 0x784d005800423721
86185.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
86186
86187.xword 0x804d006000504020
86188.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
86189
86190.xword 0x0000006000408020
86191.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
86192
86193.xword 0
86194.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (21)
86195
86196.xword 0
86197.xword 0x00020076 | (6 << 18) !ma_ctl_Ld (22)
86198
86199.xword 0
86200.xword 0x0002013b | (6 << 18) !ma_ctl_St (22)
86201
86202.xword 0x80604020
86203.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (22)
86204
86205.xword 0x356a
86206.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (22)
86207
86208.xword 0x0000006000408020
86209.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
86210
86211.xword 0x6a35
86212.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
86213
86214.xword 0x78285050
86215.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
86216
86217.xword 0x80604020
86218.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
86219
86220.xword 0x00287850
86221.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (22)
86222
86223.xword 0x00287850
86224.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (22)
86225
86226.xword 0x78285050
86227.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (22)
86228
86229.xword 0x64500000003c0000
86230.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
86231
86232.xword 0x7766000000554422
86233.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
86234
86235.xword 0x706200000054462a
86236.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
86237
86238.xword 0x784d005800423721
86239.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
86240
86241.xword 0x804d006000504020
86242.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
86243
86244.xword 0x0000006000408020
86245.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
86246
86247.xword 0
86248.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (22)
86249
86250.xword 0
86251.xword 0x0002003f | (6 << 18) !ma_ctl_Ld (23)
86252
86253.xword 0
86254.xword 0x0002016b | (6 << 18) !ma_ctl_St (23)
86255
86256.xword 0x80604020
86257.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (23)
86258
86259.xword 0x356a
86260.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (23)
86261
86262.xword 0x0000006000408020
86263.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
86264
86265.xword 0x6a35
86266.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
86267
86268.xword 0x78285050
86269.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
86270
86271.xword 0x80604020
86272.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
86273
86274.xword 0x00287850
86275.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (23)
86276
86277.xword 0x00287850
86278.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (23)
86279
86280.xword 0x78285050
86281.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (23)
86282
86283.xword 0x64500000003c0000
86284.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
86285
86286.xword 0x7766000000554422
86287.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
86288
86289.xword 0x706200000054462a
86290.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
86291
86292.xword 0x784d005800423721
86293.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
86294
86295.xword 0x804d006000504020
86296.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
86297
86298.xword 0x0000006000408020
86299.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
86300
86301.xword 0
86302.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (23)
86303
86304.xword 0
86305.xword 0x00020099 | (6 << 18) !ma_ctl_Ld (24)
86306
86307.xword 0
86308.xword 0x00020140 | (6 << 18) !ma_ctl_St (24)
86309
86310.xword 0x80604020
86311.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (24)
86312
86313.xword 0x356a
86314.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (24)
86315
86316.xword 0x0000006000408020
86317.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
86318
86319.xword 0x6a35
86320.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
86321
86322.xword 0x78285050
86323.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
86324
86325.xword 0x80604020
86326.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
86327
86328.xword 0x00287850
86329.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (24)
86330
86331.xword 0x00287850
86332.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (24)
86333
86334.xword 0x78285050
86335.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (24)
86336
86337.xword 0x64500000003c0000
86338.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
86339
86340.xword 0x7766000000554422
86341.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
86342
86343.xword 0x706200000054462a
86344.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
86345
86346.xword 0x784d005800423721
86347.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
86348
86349.xword 0x804d006000504020
86350.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
86351
86352.xword 0x0000006000408020
86353.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
86354
86355.xword 0
86356.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (24)
86357
86358.xword 0
86359.xword 0x0002008b | (6 << 18) !ma_ctl_Ld (25)
86360
86361.xword 0
86362.xword 0x0002018a | (6 << 18) !ma_ctl_St (25)
86363
86364.xword 0x80604020
86365.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (25)
86366
86367.xword 0x356a
86368.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (25)
86369
86370.xword 0x0000006000408020
86371.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
86372
86373.xword 0x6a35
86374.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
86375
86376.xword 0x78285050
86377.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
86378
86379.xword 0x80604020
86380.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
86381
86382.xword 0x00287850
86383.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (25)
86384
86385.xword 0x00287850
86386.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (25)
86387
86388.xword 0x78285050
86389.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (25)
86390
86391.xword 0x64500000003c0000
86392.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
86393
86394.xword 0x7766000000554422
86395.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
86396
86397.xword 0x706200000054462a
86398.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
86399
86400.xword 0x784d005800423721
86401.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
86402
86403.xword 0x804d006000504020
86404.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
86405
86406.xword 0x0000006000408020
86407.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
86408
86409.xword 0
86410.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (25)
86411
86412.xword 0
86413.xword 0x0002006e | (6 << 18) !ma_ctl_Ld (26)
86414
86415.xword 0
86416.xword 0x00020187 | (6 << 18) !ma_ctl_St (26)
86417
86418.xword 0x80604020
86419.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (26)
86420
86421.xword 0x356a
86422.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (26)
86423
86424.xword 0x0000006000408020
86425.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
86426
86427.xword 0x6a35
86428.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
86429
86430.xword 0x78285050
86431.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
86432
86433.xword 0x80604020
86434.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
86435
86436.xword 0x00287850
86437.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (26)
86438
86439.xword 0x00287850
86440.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (26)
86441
86442.xword 0x78285050
86443.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (26)
86444
86445.xword 0x64500000003c0000
86446.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
86447
86448.xword 0x7766000000554422
86449.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
86450
86451.xword 0x706200000054462a
86452.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
86453
86454.xword 0x784d005800423721
86455.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
86456
86457.xword 0x804d006000504020
86458.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
86459
86460.xword 0x0000006000408020
86461.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
86462
86463.xword 0
86464.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (26)
86465
86466.xword 0
86467.xword 0x00020024 | (6 << 18) !ma_ctl_Ld (27)
86468
86469.xword 0
86470.xword 0x00020134 | (6 << 18) !ma_ctl_St (27)
86471
86472.xword 0x80604020
86473.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (27)
86474
86475.xword 0x356a
86476.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (27)
86477
86478.xword 0x0000006000408020
86479.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
86480
86481.xword 0x6a35
86482.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
86483
86484.xword 0x78285050
86485.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
86486
86487.xword 0x80604020
86488.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
86489
86490.xword 0x00287850
86491.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (27)
86492
86493.xword 0x00287850
86494.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (27)
86495
86496.xword 0x78285050
86497.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (27)
86498
86499.xword 0x64500000003c0000
86500.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
86501
86502.xword 0x7766000000554422
86503.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
86504
86505.xword 0x706200000054462a
86506.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
86507
86508.xword 0x784d005800423721
86509.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
86510
86511.xword 0x804d006000504020
86512.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
86513
86514.xword 0x0000006000408020
86515.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
86516
86517.xword 0
86518.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (27)
86519
86520.xword 0
86521.xword 0x00020033 | (6 << 18) !ma_ctl_Ld (28)
86522
86523.xword 0
86524.xword 0x00020122 | (6 << 18) !ma_ctl_St (28)
86525
86526.xword 0x80604020
86527.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (28)
86528
86529.xword 0x356a
86530.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (28)
86531
86532.xword 0x0000006000408020
86533.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
86534
86535.xword 0x6a35
86536.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
86537
86538.xword 0x78285050
86539.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
86540
86541.xword 0x80604020
86542.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
86543
86544.xword 0x00287850
86545.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (28)
86546
86547.xword 0x00287850
86548.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (28)
86549
86550.xword 0x78285050
86551.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (28)
86552
86553.xword 0x64500000003c0000
86554.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
86555
86556.xword 0x7766000000554422
86557.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
86558
86559.xword 0x706200000054462a
86560.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
86561
86562.xword 0x784d005800423721
86563.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
86564
86565.xword 0x804d006000504020
86566.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
86567
86568.xword 0x0000006000408020
86569.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
86570
86571.xword 0
86572.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (28)
86573
86574.xword 0
86575.xword 0x0002003f | (6 << 18) !ma_ctl_Ld (29)
86576
86577.xword 0
86578.xword 0x00020171 | (6 << 18) !ma_ctl_St (29)
86579
86580.xword 0x80604020
86581.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (29)
86582
86583.xword 0x356a
86584.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (29)
86585
86586.xword 0x0000006000408020
86587.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
86588
86589.xword 0x6a35
86590.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
86591
86592.xword 0x78285050
86593.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
86594
86595.xword 0x80604020
86596.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
86597
86598.xword 0x00287850
86599.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (29)
86600
86601.xword 0x00287850
86602.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (29)
86603
86604.xword 0x78285050
86605.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (29)
86606
86607.xword 0x64500000003c0000
86608.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
86609
86610.xword 0x7766000000554422
86611.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
86612
86613.xword 0x706200000054462a
86614.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
86615
86616.xword 0x784d005800423721
86617.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
86618
86619.xword 0x804d006000504020
86620.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
86621
86622.xword 0x0000006000408020
86623.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
86624
86625.xword 0
86626.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (29)
86627
86628.xword 0
86629.xword 0x00020030 | (6 << 18) !ma_ctl_Ld (30)
86630
86631.xword 0
86632.xword 0x0002015a | (6 << 18) !ma_ctl_St (30)
86633
86634.xword 0x80604020
86635.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (30)
86636
86637.xword 0x356a
86638.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (30)
86639
86640.xword 0x0000006000408020
86641.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
86642
86643.xword 0x6a35
86644.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
86645
86646.xword 0x78285050
86647.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
86648
86649.xword 0x80604020
86650.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
86651
86652.xword 0x00287850
86653.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (30)
86654
86655.xword 0x00287850
86656.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (30)
86657
86658.xword 0x78285050
86659.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (30)
86660
86661.xword 0x64500000003c0000
86662.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
86663
86664.xword 0x7766000000554422
86665.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
86666
86667.xword 0x706200000054462a
86668.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
86669
86670.xword 0x784d005800423721
86671.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
86672
86673.xword 0x804d006000504020
86674.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
86675
86676.xword 0x0000006000408020
86677.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
86678
86679.xword 0
86680.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (30)
86681
86682.xword 0
86683.xword 0x00020033 | (6 << 18) !ma_ctl_Ld (31)
86684
86685.xword 0
86686.xword 0x00020130 | (6 << 18) !ma_ctl_St (31)
86687
86688.xword 0x80604020
86689.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (31)
86690
86691.xword 0x356a
86692.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (31)
86693
86694.xword 0x0000006000408020
86695.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
86696
86697.xword 0x6a35
86698.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
86699
86700.xword 0x78285050
86701.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
86702
86703.xword 0x80604020
86704.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
86705
86706.xword 0x00287850
86707.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (31)
86708
86709.xword 0x00287850
86710.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (31)
86711
86712.xword 0x78285050
86713.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (31)
86714
86715.xword 0x64500000003c0000
86716.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
86717
86718.xword 0x7766000000554422
86719.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
86720
86721.xword 0x706200000054462a
86722.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
86723
86724.xword 0x784d005800423721
86725.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
86726
86727.xword 0x804d006000504020
86728.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
86729
86730.xword 0x0000006000408020
86731.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
86732
86733.xword 0
86734.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (31)
86735
86736.xword 0
86737.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (32)
86738
86739.xword 0
86740.xword 0x00020137 | (6 << 18) !ma_ctl_St (32)
86741
86742.xword 0x80604020
86743.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (32)
86744
86745.xword 0x356a
86746.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (32)
86747
86748.xword 0x0000006000408020
86749.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
86750
86751.xword 0x6a35
86752.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
86753
86754.xword 0x78285050
86755.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
86756
86757.xword 0x80604020
86758.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
86759
86760.xword 0x00287850
86761.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (32)
86762
86763.xword 0x00287850
86764.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (32)
86765
86766.xword 0x78285050
86767.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (32)
86768
86769.xword 0x64500000003c0000
86770.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
86771
86772.xword 0x7766000000554422
86773.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
86774
86775.xword 0x706200000054462a
86776.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
86777
86778.xword 0x784d005800423721
86779.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
86780
86781.xword 0x804d006000504020
86782.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
86783
86784.xword 0x0000006000408020
86785.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
86786
86787.xword 0
86788.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (32)
86789
86790.xword 0
86791.xword 0x00020066 | (6 << 18) !ma_ctl_Ld (33)
86792
86793.xword 0
86794.xword 0x00020159 | (6 << 18) !ma_ctl_St (33)
86795
86796.xword 0x80604020
86797.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (33)
86798
86799.xword 0x356a
86800.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (33)
86801
86802.xword 0x0000006000408020
86803.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
86804
86805.xword 0x6a35
86806.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
86807
86808.xword 0x78285050
86809.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
86810
86811.xword 0x80604020
86812.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
86813
86814.xword 0x00287850
86815.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (33)
86816
86817.xword 0x00287850
86818.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (33)
86819
86820.xword 0x78285050
86821.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (33)
86822
86823.xword 0x64500000003c0000
86824.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
86825
86826.xword 0x7766000000554422
86827.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
86828
86829.xword 0x706200000054462a
86830.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
86831
86832.xword 0x784d005800423721
86833.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
86834
86835.xword 0x804d006000504020
86836.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
86837
86838.xword 0x0000006000408020
86839.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
86840
86841.xword 0
86842.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (33)
86843
86844.xword 0
86845.xword 0x00020023 | (6 << 18) !ma_ctl_Ld (34)
86846
86847.xword 0
86848.xword 0x00020165 | (6 << 18) !ma_ctl_St (34)
86849
86850.xword 0x80604020
86851.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (34)
86852
86853.xword 0x356a
86854.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (34)
86855
86856.xword 0x0000006000408020
86857.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
86858
86859.xword 0x6a35
86860.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
86861
86862.xword 0x78285050
86863.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
86864
86865.xword 0x80604020
86866.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
86867
86868.xword 0x00287850
86869.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (34)
86870
86871.xword 0x00287850
86872.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (34)
86873
86874.xword 0x78285050
86875.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (34)
86876
86877.xword 0x64500000003c0000
86878.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
86879
86880.xword 0x7766000000554422
86881.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
86882
86883.xword 0x706200000054462a
86884.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
86885
86886.xword 0x784d005800423721
86887.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
86888
86889.xword 0x804d006000504020
86890.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
86891
86892.xword 0x0000006000408020
86893.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
86894
86895.xword 0
86896.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (34)
86897
86898.xword 0
86899.xword 0x00020031 | (6 << 18) !ma_ctl_Ld (35)
86900
86901.xword 0
86902.xword 0x0002015b | (6 << 18) !ma_ctl_St (35)
86903
86904.xword 0x80604020
86905.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (35)
86906
86907.xword 0x356a
86908.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (35)
86909
86910.xword 0x0000006000408020
86911.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
86912
86913.xword 0x6a35
86914.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
86915
86916.xword 0x78285050
86917.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
86918
86919.xword 0x80604020
86920.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
86921
86922.xword 0x00287850
86923.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (35)
86924
86925.xword 0x00287850
86926.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (35)
86927
86928.xword 0x78285050
86929.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (35)
86930
86931.xword 0x64500000003c0000
86932.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
86933
86934.xword 0x7766000000554422
86935.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
86936
86937.xword 0x706200000054462a
86938.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
86939
86940.xword 0x784d005800423721
86941.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
86942
86943.xword 0x804d006000504020
86944.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
86945
86946.xword 0x0000006000408020
86947.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
86948
86949.xword 0
86950.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (35)
86951
86952.xword 0
86953.xword 0x00020060 | (6 << 18) !ma_ctl_Ld (36)
86954
86955.xword 0
86956.xword 0x00020166 | (6 << 18) !ma_ctl_St (36)
86957
86958.xword 0x80604020
86959.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (36)
86960
86961.xword 0x356a
86962.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (36)
86963
86964.xword 0x0000006000408020
86965.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
86966
86967.xword 0x6a35
86968.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
86969
86970.xword 0x78285050
86971.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
86972
86973.xword 0x80604020
86974.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
86975
86976.xword 0x00287850
86977.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (36)
86978
86979.xword 0x00287850
86980.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (36)
86981
86982.xword 0x78285050
86983.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (36)
86984
86985.xword 0x64500000003c0000
86986.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
86987
86988.xword 0x7766000000554422
86989.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
86990
86991.xword 0x706200000054462a
86992.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
86993
86994.xword 0x784d005800423721
86995.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
86996
86997.xword 0x804d006000504020
86998.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
86999
87000.xword 0x0000006000408020
87001.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
87002
87003.xword 0
87004.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (36)
87005
87006.xword 0
87007.xword 0x00020080 | (6 << 18) !ma_ctl_Ld (37)
87008
87009.xword 0
87010.xword 0x00020188 | (6 << 18) !ma_ctl_St (37)
87011
87012.xword 0x80604020
87013.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (37)
87014
87015.xword 0x356a
87016.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (37)
87017
87018.xword 0x0000006000408020
87019.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
87020
87021.xword 0x6a35
87022.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
87023
87024.xword 0x78285050
87025.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
87026
87027.xword 0x80604020
87028.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
87029
87030.xword 0x00287850
87031.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (37)
87032
87033.xword 0x00287850
87034.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (37)
87035
87036.xword 0x78285050
87037.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (37)
87038
87039.xword 0x64500000003c0000
87040.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
87041
87042.xword 0x7766000000554422
87043.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
87044
87045.xword 0x706200000054462a
87046.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
87047
87048.xword 0x784d005800423721
87049.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
87050
87051.xword 0x804d006000504020
87052.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
87053
87054.xword 0x0000006000408020
87055.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
87056
87057.xword 0
87058.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (37)
87059
87060.xword 0
87061.xword 0x0002009a | (6 << 18) !ma_ctl_Ld (38)
87062
87063.xword 0
87064.xword 0x0002012c | (6 << 18) !ma_ctl_St (38)
87065
87066.xword 0x80604020
87067.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (38)
87068
87069.xword 0x356a
87070.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (38)
87071
87072.xword 0x0000006000408020
87073.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
87074
87075.xword 0x6a35
87076.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
87077
87078.xword 0x78285050
87079.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
87080
87081.xword 0x80604020
87082.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
87083
87084.xword 0x00287850
87085.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (38)
87086
87087.xword 0x00287850
87088.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (38)
87089
87090.xword 0x78285050
87091.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (38)
87092
87093.xword 0x64500000003c0000
87094.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
87095
87096.xword 0x7766000000554422
87097.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
87098
87099.xword 0x706200000054462a
87100.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
87101
87102.xword 0x784d005800423721
87103.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
87104
87105.xword 0x804d006000504020
87106.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
87107
87108.xword 0x0000006000408020
87109.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
87110
87111.xword 0
87112.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (38)
87113
87114.xword 0
87115.xword 0x00020094 | (6 << 18) !ma_ctl_Ld (39)
87116
87117.xword 0
87118.xword 0x0002014b | (6 << 18) !ma_ctl_St (39)
87119
87120.xword 0x80604020
87121.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (39)
87122
87123.xword 0x356a
87124.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (39)
87125
87126.xword 0x0000006000408020
87127.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
87128
87129.xword 0x6a35
87130.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
87131
87132.xword 0x78285050
87133.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
87134
87135.xword 0x80604020
87136.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
87137
87138.xword 0x00287850
87139.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (39)
87140
87141.xword 0x00287850
87142.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (39)
87143
87144.xword 0x78285050
87145.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
87146
87147.xword 0x64500000003c0000
87148.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
87149
87150.xword 0x7766000000554422
87151.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
87152
87153.xword 0x706200000054462a
87154.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
87155
87156.xword 0x784d005800423721
87157.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
87158
87159.xword 0x804d006000504020
87160.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
87161
87162.xword 0x0000006000408020
87163.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
87164
87165.xword 0
87166.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (39)
87167
87168.xword 0
87169.xword 0x00020034 | (6 << 18) !ma_ctl_Ld (40)
87170
87171.xword 0
87172.xword 0x00020172 | (6 << 18) !ma_ctl_St (40)
87173
87174.xword 0x80604020
87175.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (40)
87176
87177.xword 0x356a
87178.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (40)
87179
87180.xword 0x0000006000408020
87181.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
87182
87183.xword 0x6a35
87184.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
87185
87186.xword 0x78285050
87187.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
87188
87189.xword 0x80604020
87190.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
87191
87192.xword 0x00287850
87193.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (40)
87194
87195.xword 0x00287850
87196.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (40)
87197
87198.xword 0x78285050
87199.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (40)
87200
87201.xword 0x64500000003c0000
87202.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
87203
87204.xword 0x7766000000554422
87205.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
87206
87207.xword 0x706200000054462a
87208.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
87209
87210.xword 0x784d005800423721
87211.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
87212
87213.xword 0x804d006000504020
87214.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
87215
87216.xword 0x0000006000408020
87217.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
87218
87219.xword 0
87220.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (40)
87221
87222.xword 0
87223.xword 0x0002009d | (6 << 18) !ma_ctl_Ld (41)
87224
87225.xword 0
87226.xword 0x0002012d | (6 << 18) !ma_ctl_St (41)
87227
87228.xword 0x80604020
87229.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (41)
87230
87231.xword 0x356a
87232.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (41)
87233
87234.xword 0x0000006000408020
87235.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
87236
87237.xword 0x6a35
87238.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
87239
87240.xword 0x78285050
87241.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
87242
87243.xword 0x80604020
87244.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
87245
87246.xword 0x00287850
87247.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (41)
87248
87249.xword 0x00287850
87250.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (41)
87251
87252.xword 0x78285050
87253.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (41)
87254
87255.xword 0x64500000003c0000
87256.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
87257
87258.xword 0x7766000000554422
87259.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
87260
87261.xword 0x706200000054462a
87262.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
87263
87264.xword 0x784d005800423721
87265.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
87266
87267.xword 0x804d006000504020
87268.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
87269
87270.xword 0x0000006000408020
87271.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
87272
87273.xword 0
87274.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (41)
87275
87276.xword 0
87277.xword 0x0002009a | (6 << 18) !ma_ctl_Ld (42)
87278
87279.xword 0
87280.xword 0x0002014a | (6 << 18) !ma_ctl_St (42)
87281
87282.xword 0x80604020
87283.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (42)
87284
87285.xword 0x356a
87286.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (42)
87287
87288.xword 0x0000006000408020
87289.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
87290
87291.xword 0x6a35
87292.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
87293
87294.xword 0x78285050
87295.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
87296
87297.xword 0x80604020
87298.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
87299
87300.xword 0x00287850
87301.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (42)
87302
87303.xword 0x00287850
87304.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (42)
87305
87306.xword 0x78285050
87307.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (42)
87308
87309.xword 0x64500000003c0000
87310.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
87311
87312.xword 0x7766000000554422
87313.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
87314
87315.xword 0x706200000054462a
87316.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
87317
87318.xword 0x784d005800423721
87319.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
87320
87321.xword 0x804d006000504020
87322.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
87323
87324.xword 0x0000006000408020
87325.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
87326
87327.xword 0
87328.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (42)
87329
87330.xword 0
87331.xword 0x00020046 | (6 << 18) !ma_ctl_Ld (43)
87332
87333.xword 0
87334.xword 0x00020154 | (6 << 18) !ma_ctl_St (43)
87335
87336.xword 0x80604020
87337.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (43)
87338
87339.xword 0x356a
87340.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (43)
87341
87342.xword 0x0000006000408020
87343.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
87344
87345.xword 0x6a35
87346.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
87347
87348.xword 0x78285050
87349.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
87350
87351.xword 0x80604020
87352.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
87353
87354.xword 0x00287850
87355.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (43)
87356
87357.xword 0x00287850
87358.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (43)
87359
87360.xword 0x78285050
87361.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (43)
87362
87363.xword 0x64500000003c0000
87364.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
87365
87366.xword 0x7766000000554422
87367.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
87368
87369.xword 0x706200000054462a
87370.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
87371
87372.xword 0x784d005800423721
87373.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
87374
87375.xword 0x804d006000504020
87376.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
87377
87378.xword 0x0000006000408020
87379.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
87380
87381.xword 0
87382.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (43)
87383
87384.xword 0
87385.xword 0x0002008e | (6 << 18) !ma_ctl_Ld (44)
87386
87387.xword 0
87388.xword 0x00020159 | (6 << 18) !ma_ctl_St (44)
87389
87390.xword 0x80604020
87391.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (44)
87392
87393.xword 0x356a
87394.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (44)
87395
87396.xword 0x0000006000408020
87397.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
87398
87399.xword 0x6a35
87400.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
87401
87402.xword 0x78285050
87403.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
87404
87405.xword 0x80604020
87406.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
87407
87408.xword 0x00287850
87409.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (44)
87410
87411.xword 0x00287850
87412.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (44)
87413
87414.xword 0x78285050
87415.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (44)
87416
87417.xword 0x64500000003c0000
87418.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
87419
87420.xword 0x7766000000554422
87421.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
87422
87423.xword 0x706200000054462a
87424.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
87425
87426.xword 0x784d005800423721
87427.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
87428
87429.xword 0x804d006000504020
87430.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
87431
87432.xword 0x0000006000408020
87433.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
87434
87435.xword 0
87436.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (44)
87437
87438.xword 0
87439.xword 0x0002002a | (6 << 18) !ma_ctl_Ld (45)
87440
87441.xword 0
87442.xword 0x0002012f | (6 << 18) !ma_ctl_St (45)
87443
87444.xword 0x80604020
87445.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (45)
87446
87447.xword 0x356a
87448.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (45)
87449
87450.xword 0x0000006000408020
87451.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
87452
87453.xword 0x6a35
87454.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
87455
87456.xword 0x78285050
87457.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
87458
87459.xword 0x80604020
87460.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
87461
87462.xword 0x00287850
87463.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (45)
87464
87465.xword 0x00287850
87466.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (45)
87467
87468.xword 0x78285050
87469.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (45)
87470
87471.xword 0x64500000003c0000
87472.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
87473
87474.xword 0x7766000000554422
87475.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
87476
87477.xword 0x706200000054462a
87478.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
87479
87480.xword 0x784d005800423721
87481.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
87482
87483.xword 0x804d006000504020
87484.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
87485
87486.xword 0x0000006000408020
87487.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
87488
87489.xword 0
87490.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (45)
87491
87492.xword 0
87493.xword 0x00020061 | (6 << 18) !ma_ctl_Ld (46)
87494
87495.xword 0
87496.xword 0x00020123 | (6 << 18) !ma_ctl_St (46)
87497
87498.xword 0x80604020
87499.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (46)
87500
87501.xword 0x356a
87502.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (46)
87503
87504.xword 0x0000006000408020
87505.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
87506
87507.xword 0x6a35
87508.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
87509
87510.xword 0x78285050
87511.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
87512
87513.xword 0x80604020
87514.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
87515
87516.xword 0x00287850
87517.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (46)
87518
87519.xword 0x00287850
87520.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (46)
87521
87522.xword 0x78285050
87523.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (46)
87524
87525.xword 0x64500000003c0000
87526.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
87527
87528.xword 0x7766000000554422
87529.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
87530
87531.xword 0x706200000054462a
87532.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
87533
87534.xword 0x784d005800423721
87535.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
87536
87537.xword 0x804d006000504020
87538.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
87539
87540.xword 0x0000006000408020
87541.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
87542
87543.xword 0
87544.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (46)
87545
87546.xword 0
87547.xword 0x0002002e | (6 << 18) !ma_ctl_Ld (47)
87548
87549.xword 0
87550.xword 0x00020134 | (6 << 18) !ma_ctl_St (47)
87551
87552.xword 0x80604020
87553.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (47)
87554
87555.xword 0x356a
87556.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (47)
87557
87558.xword 0x0000006000408020
87559.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
87560
87561.xword 0x6a35
87562.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
87563
87564.xword 0x78285050
87565.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
87566
87567.xword 0x80604020
87568.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
87569
87570.xword 0x00287850
87571.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (47)
87572
87573.xword 0x00287850
87574.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (47)
87575
87576.xword 0x78285050
87577.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (47)
87578
87579.xword 0x64500000003c0000
87580.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
87581
87582.xword 0x7766000000554422
87583.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
87584
87585.xword 0x706200000054462a
87586.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
87587
87588.xword 0x784d005800423721
87589.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
87590
87591.xword 0x804d006000504020
87592.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
87593
87594.xword 0x0000006000408020
87595.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
87596
87597.xword 0
87598.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (47)
87599
87600.xword 0
87601.xword 0x00020067 | (6 << 18) !ma_ctl_Ld (48)
87602
87603.xword 0
87604.xword 0x00020188 | (6 << 18) !ma_ctl_St (48)
87605
87606.xword 0x80604020
87607.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (48)
87608
87609.xword 0x356a
87610.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (48)
87611
87612.xword 0x0000006000408020
87613.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
87614
87615.xword 0x6a35
87616.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
87617
87618.xword 0x78285050
87619.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
87620
87621.xword 0x80604020
87622.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
87623
87624.xword 0x00287850
87625.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (48)
87626
87627.xword 0x00287850
87628.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (48)
87629
87630.xword 0x78285050
87631.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (48)
87632
87633.xword 0x64500000003c0000
87634.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
87635
87636.xword 0x7766000000554422
87637.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
87638
87639.xword 0x706200000054462a
87640.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
87641
87642.xword 0x784d005800423721
87643.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
87644
87645.xword 0x804d006000504020
87646.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
87647
87648.xword 0x0000006000408020
87649.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
87650
87651.xword 0
87652.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (48)
87653
87654.xword 0
87655.xword 0x00020062 | (6 << 18) !ma_ctl_Ld (49)
87656
87657.xword 0
87658.xword 0x00020163 | (6 << 18) !ma_ctl_St (49)
87659
87660.xword 0x80604020
87661.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (49)
87662
87663.xword 0x356a
87664.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (49)
87665
87666.xword 0x0000006000408020
87667.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
87668
87669.xword 0x6a35
87670.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
87671
87672.xword 0x78285050
87673.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
87674
87675.xword 0x80604020
87676.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
87677
87678.xword 0x00287850
87679.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (49)
87680
87681.xword 0x00287850
87682.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (49)
87683
87684.xword 0x78285050
87685.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (49)
87686
87687.xword 0x64500000003c0000
87688.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
87689
87690.xword 0x7766000000554422
87691.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
87692
87693.xword 0x706200000054462a
87694.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
87695
87696.xword 0x784d005800423721
87697.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
87698
87699.xword 0x804d006000504020
87700.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
87701
87702.xword 0x0000006000408020
87703.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
87704
87705.xword 0
87706.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (49)
87707
87708.xword 0
87709.xword 0x00020056 | (6 << 18) !ma_ctl_Ld (50)
87710
87711.xword 0
87712.xword 0x00020123 | (6 << 18) !ma_ctl_St (50)
87713
87714.xword 0x80604020
87715.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (50)
87716
87717.xword 0x356a
87718.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (50)
87719
87720.xword 0x0000006000408020
87721.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
87722
87723.xword 0x6a35
87724.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
87725
87726.xword 0x78285050
87727.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
87728
87729.xword 0x80604020
87730.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
87731
87732.xword 0x00287850
87733.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (50)
87734
87735.xword 0x00287850
87736.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (50)
87737
87738.xword 0x78285050
87739.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (50)
87740
87741.xword 0x64500000003c0000
87742.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
87743
87744.xword 0x7766000000554422
87745.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
87746
87747.xword 0x706200000054462a
87748.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
87749
87750.xword 0x784d005800423721
87751.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
87752
87753.xword 0x804d006000504020
87754.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
87755
87756.xword 0x0000006000408020
87757.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
87758
87759.xword 0
87760.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (50)
87761
87762.xword 0
87763.xword 0x00020021 | (6 << 18) !ma_ctl_Ld (51)
87764
87765.xword 0
87766.xword 0x0002017d | (6 << 18) !ma_ctl_St (51)
87767
87768.xword 0x80604020
87769.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (51)
87770
87771.xword 0x356a
87772.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (51)
87773
87774.xword 0x0000006000408020
87775.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
87776
87777.xword 0x6a35
87778.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
87779
87780.xword 0x78285050
87781.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
87782
87783.xword 0x80604020
87784.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
87785
87786.xword 0x00287850
87787.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (51)
87788
87789.xword 0x00287850
87790.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (51)
87791
87792.xword 0x78285050
87793.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (51)
87794
87795.xword 0x64500000003c0000
87796.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
87797
87798.xword 0x7766000000554422
87799.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
87800
87801.xword 0x706200000054462a
87802.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
87803
87804.xword 0x784d005800423721
87805.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
87806
87807.xword 0x804d006000504020
87808.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
87809
87810.xword 0x0000006000408020
87811.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
87812
87813.xword 0
87814.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (51)
87815
87816.xword 0
87817.xword 0x0002008a | (6 << 18) !ma_ctl_Ld (52)
87818
87819.xword 0
87820.xword 0x00020177 | (6 << 18) !ma_ctl_St (52)
87821
87822.xword 0x80604020
87823.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (52)
87824
87825.xword 0x356a
87826.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (52)
87827
87828.xword 0x0000006000408020
87829.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
87830
87831.xword 0x6a35
87832.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
87833
87834.xword 0x78285050
87835.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
87836
87837.xword 0x80604020
87838.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
87839
87840.xword 0x00287850
87841.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (52)
87842
87843.xword 0x00287850
87844.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (52)
87845
87846.xword 0x78285050
87847.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (52)
87848
87849.xword 0x64500000003c0000
87850.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
87851
87852.xword 0x7766000000554422
87853.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
87854
87855.xword 0x706200000054462a
87856.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
87857
87858.xword 0x784d005800423721
87859.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
87860
87861.xword 0x804d006000504020
87862.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
87863
87864.xword 0x0000006000408020
87865.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
87866
87867.xword 0
87868.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (52)
87869
87870.xword 0
87871.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (53)
87872
87873.xword 0
87874.xword 0x00020184 | (6 << 18) !ma_ctl_St (53)
87875
87876.xword 0x80604020
87877.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (53)
87878
87879.xword 0x356a
87880.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (53)
87881
87882.xword 0x0000006000408020
87883.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
87884
87885.xword 0x6a35
87886.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
87887
87888.xword 0x78285050
87889.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
87890
87891.xword 0x80604020
87892.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
87893
87894.xword 0x00287850
87895.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (53)
87896
87897.xword 0x00287850
87898.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (53)
87899
87900.xword 0x78285050
87901.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (53)
87902
87903.xword 0x64500000003c0000
87904.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
87905
87906.xword 0x7766000000554422
87907.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
87908
87909.xword 0x706200000054462a
87910.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
87911
87912.xword 0x784d005800423721
87913.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
87914
87915.xword 0x804d006000504020
87916.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
87917
87918.xword 0x0000006000408020
87919.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
87920
87921.xword 0
87922.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (53)
87923
87924.xword 0
87925.xword 0x00020080 | (6 << 18) !ma_ctl_Ld (54)
87926
87927.xword 0
87928.xword 0x00020178 | (6 << 18) !ma_ctl_St (54)
87929
87930.xword 0x80604020
87931.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (54)
87932
87933.xword 0x356a
87934.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (54)
87935
87936.xword 0x0000006000408020
87937.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
87938
87939.xword 0x6a35
87940.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
87941
87942.xword 0x78285050
87943.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
87944
87945.xword 0x80604020
87946.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
87947
87948.xword 0x00287850
87949.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (54)
87950
87951.xword 0x00287850
87952.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (54)
87953
87954.xword 0x78285050
87955.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (54)
87956
87957.xword 0x64500000003c0000
87958.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
87959
87960.xword 0x7766000000554422
87961.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
87962
87963.xword 0x706200000054462a
87964.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
87965
87966.xword 0x784d005800423721
87967.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
87968
87969.xword 0x804d006000504020
87970.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
87971
87972.xword 0x0000006000408020
87973.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
87974
87975.xword 0
87976.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (54)
87977
87978.xword 0
87979.xword 0x0002004d | (6 << 18) !ma_ctl_Ld (55)
87980
87981.xword 0
87982.xword 0x00020165 | (6 << 18) !ma_ctl_St (55)
87983
87984.xword 0x80604020
87985.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (55)
87986
87987.xword 0x356a
87988.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (55)
87989
87990.xword 0x0000006000408020
87991.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
87992
87993.xword 0x6a35
87994.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
87995
87996.xword 0x78285050
87997.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
87998
87999.xword 0x80604020
88000.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
88001
88002.xword 0x00287850
88003.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (55)
88004
88005.xword 0x00287850
88006.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (55)
88007
88008.xword 0x78285050
88009.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (55)
88010
88011.xword 0x64500000003c0000
88012.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
88013
88014.xword 0x7766000000554422
88015.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
88016
88017.xword 0x706200000054462a
88018.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
88019
88020.xword 0x784d005800423721
88021.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
88022
88023.xword 0x804d006000504020
88024.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
88025
88026.xword 0x0000006000408020
88027.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
88028
88029.xword 0
88030.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (55)
88031
88032.xword 0
88033.xword 0x00020068 | (6 << 18) !ma_ctl_Ld (56)
88034
88035.xword 0
88036.xword 0x00020126 | (6 << 18) !ma_ctl_St (56)
88037
88038.xword 0x80604020
88039.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (56)
88040
88041.xword 0x356a
88042.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (56)
88043
88044.xword 0x0000006000408020
88045.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
88046
88047.xword 0x6a35
88048.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
88049
88050.xword 0x78285050
88051.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
88052
88053.xword 0x80604020
88054.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
88055
88056.xword 0x00287850
88057.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (56)
88058
88059.xword 0x00287850
88060.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (56)
88061
88062.xword 0x78285050
88063.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (56)
88064
88065.xword 0x64500000003c0000
88066.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
88067
88068.xword 0x7766000000554422
88069.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
88070
88071.xword 0x706200000054462a
88072.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
88073
88074.xword 0x784d005800423721
88075.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
88076
88077.xword 0x804d006000504020
88078.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
88079
88080.xword 0x0000006000408020
88081.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
88082
88083.xword 0
88084.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (56)
88085
88086.xword 0
88087.xword 0x0002006e | (6 << 18) !ma_ctl_Ld (57)
88088
88089.xword 0
88090.xword 0x00020174 | (6 << 18) !ma_ctl_St (57)
88091
88092.xword 0x80604020
88093.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (57)
88094
88095.xword 0x356a
88096.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (57)
88097
88098.xword 0x0000006000408020
88099.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
88100
88101.xword 0x6a35
88102.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
88103
88104.xword 0x78285050
88105.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
88106
88107.xword 0x80604020
88108.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
88109
88110.xword 0x00287850
88111.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (57)
88112
88113.xword 0x00287850
88114.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (57)
88115
88116.xword 0x78285050
88117.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (57)
88118
88119.xword 0x64500000003c0000
88120.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
88121
88122.xword 0x7766000000554422
88123.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
88124
88125.xword 0x706200000054462a
88126.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
88127
88128.xword 0x784d005800423721
88129.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
88130
88131.xword 0x804d006000504020
88132.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
88133
88134.xword 0x0000006000408020
88135.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
88136
88137.xword 0
88138.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (57)
88139
88140.xword 0
88141.xword 0x00020051 | (6 << 18) !ma_ctl_Ld (58)
88142
88143.xword 0
88144.xword 0x00020160 | (6 << 18) !ma_ctl_St (58)
88145
88146.xword 0x80604020
88147.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (58)
88148
88149.xword 0x356a
88150.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (58)
88151
88152.xword 0x0000006000408020
88153.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
88154
88155.xword 0x6a35
88156.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
88157
88158.xword 0x78285050
88159.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
88160
88161.xword 0x80604020
88162.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
88163
88164.xword 0x00287850
88165.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (58)
88166
88167.xword 0x00287850
88168.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (58)
88169
88170.xword 0x78285050
88171.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (58)
88172
88173.xword 0x64500000003c0000
88174.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
88175
88176.xword 0x7766000000554422
88177.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
88178
88179.xword 0x706200000054462a
88180.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
88181
88182.xword 0x784d005800423721
88183.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
88184
88185.xword 0x804d006000504020
88186.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
88187
88188.xword 0x0000006000408020
88189.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
88190
88191.xword 0
88192.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (58)
88193
88194
88195.align 8
88196.global _t2_ma_results4
88197_t2_ma_results4:
88198.xword 0xDEADBEEFDEADBEEF
88199.xword 0xDEADBEEFDEADBEEF
88200.xword 0xDEADBEEFDEADBEEF
88201.xword 0xDEADBEEFDEADBEEF
88202.xword 0xDEADBEEFDEADBEEF
88203.xword 0xDEADBEEFDEADBEEF
88204.xword 0xDEADBEEFDEADBEEF
88205.xword 0xDEADBEEFDEADBEEF
88206.xword 0xDEADBEEFDEADBEEF
88207.xword 0xDEADBEEFDEADBEEF
88208.xword 0xDEADBEEFDEADBEEF
88209.xword 0xDEADBEEFDEADBEEF
88210.xword 0xDEADBEEFDEADBEEF
88211.xword 0xDEADBEEFDEADBEEF
88212.xword 0xDEADBEEFDEADBEEF
88213.xword 0xDEADBEEFDEADBEEF
88214.xword 0xDEADBEEFDEADBEEF
88215.xword 0xDEADBEEFDEADBEEF
88216.xword 0xDEADBEEFDEADBEEF
88217.xword 0xDEADBEEFDEADBEEF
88218.xword 0xDEADBEEFDEADBEEF
88219.xword 0xDEADBEEFDEADBEEF
88220.xword 0xDEADBEEFDEADBEEF
88221.xword 0xDEADBEEFDEADBEEF
88222.xword 0xDEADBEEFDEADBEEF
88223.xword 0xDEADBEEFDEADBEEF
88224.xword 0xDEADBEEFDEADBEEF
88225.xword 0xDEADBEEFDEADBEEF
88226.xword 0xDEADBEEFDEADBEEF
88227.xword 0xDEADBEEFDEADBEEF
88228.xword 0xDEADBEEFDEADBEEF
88229.xword 0xDEADBEEFDEADBEEF
88230.xword 0xDEADBEEFDEADBEEF
88231.xword 0xDEADBEEFDEADBEEF
88232.xword 0xDEADBEEFDEADBEEF
88233.xword 0xDEADBEEFDEADBEEF
88234.xword 0xDEADBEEFDEADBEEF
88235.xword 0xDEADBEEFDEADBEEF
88236.xword 0xDEADBEEFDEADBEEF
88237.xword 0xDEADBEEFDEADBEEF
88238.xword 0xDEADBEEFDEADBEEF
88239.xword 0xDEADBEEFDEADBEEF
88240.xword 0xDEADBEEFDEADBEEF
88241.xword 0xDEADBEEFDEADBEEF
88242.xword 0xDEADBEEFDEADBEEF
88243.xword 0xDEADBEEFDEADBEEF
88244.xword 0xDEADBEEFDEADBEEF
88245.xword 0xDEADBEEFDEADBEEF
88246.xword 0xDEADBEEFDEADBEEF
88247.xword 0xDEADBEEFDEADBEEF
88248.xword 0xDEADBEEFDEADBEEF
88249.xword 0xDEADBEEFDEADBEEF
88250.xword 0xDEADBEEFDEADBEEF
88251.xword 0xDEADBEEFDEADBEEF
88252.xword 0xDEADBEEFDEADBEEF
88253.xword 0xDEADBEEFDEADBEEF
88254.xword 0xDEADBEEFDEADBEEF
88255.xword 0xDEADBEEFDEADBEEF
88256.xword 0xDEADBEEFDEADBEEF
88257.xword 0xDEADBEEFDEADBEEF
88258.xword 0xDEADBEEFDEADBEEF
88259.xword 0xDEADBEEFDEADBEEF
88260.xword 0xDEADBEEFDEADBEEF
88261.xword 0xDEADBEEFDEADBEEF
88262.xword 0xDEADBEEFDEADBEEF
88263.xword 0xDEADBEEFDEADBEEF
88264.xword 0xDEADBEEFDEADBEEF
88265.xword 0xDEADBEEFDEADBEEF
88266.xword 0xDEADBEEFDEADBEEF
88267.xword 0xDEADBEEFDEADBEEF
88268.xword 0xDEADBEEFDEADBEEF
88269.xword 0xDEADBEEFDEADBEEF
88270.xword 0xDEADBEEFDEADBEEF
88271.xword 0xDEADBEEFDEADBEEF
88272.xword 0xDEADBEEFDEADBEEF
88273.xword 0xDEADBEEFDEADBEEF
88274.xword 0xDEADBEEFDEADBEEF
88275.xword 0xDEADBEEFDEADBEEF
88276.xword 0xDEADBEEFDEADBEEF
88277.xword 0xDEADBEEFDEADBEEF
88278.xword 0xDEADBEEFDEADBEEF
88279.xword 0xDEADBEEFDEADBEEF
88280.xword 0xDEADBEEFDEADBEEF
88281.xword 0xDEADBEEFDEADBEEF
88282.xword 0xDEADBEEFDEADBEEF
88283.xword 0xDEADBEEFDEADBEEF
88284.xword 0xDEADBEEFDEADBEEF
88285.xword 0xDEADBEEFDEADBEEF
88286.xword 0xDEADBEEFDEADBEEF
88287.xword 0xDEADBEEFDEADBEEF
88288.xword 0xDEADBEEFDEADBEEF
88289.xword 0xDEADBEEFDEADBEEF
88290.xword 0xDEADBEEFDEADBEEF
88291.xword 0xDEADBEEFDEADBEEF
88292.xword 0xDEADBEEFDEADBEEF
88293.xword 0xDEADBEEFDEADBEEF
88294.xword 0xDEADBEEFDEADBEEF
88295.xword 0xDEADBEEFDEADBEEF
88296.xword 0xDEADBEEFDEADBEEF
88297.xword 0xDEADBEEFDEADBEEF
88298.xword 0xDEADBEEFDEADBEEF
88299.xword 0xDEADBEEFDEADBEEF
88300.xword 0xDEADBEEFDEADBEEF
88301.xword 0xDEADBEEFDEADBEEF
88302.xword 0xDEADBEEFDEADBEEF
88303.xword 0xDEADBEEFDEADBEEF
88304.xword 0xDEADBEEFDEADBEEF
88305.xword 0xDEADBEEFDEADBEEF
88306.xword 0xDEADBEEFDEADBEEF
88307.xword 0xDEADBEEFDEADBEEF
88308.xword 0xDEADBEEFDEADBEEF
88309.xword 0xDEADBEEFDEADBEEF
88310.xword 0xDEADBEEFDEADBEEF
88311.xword 0xDEADBEEFDEADBEEF
88312.xword 0xDEADBEEFDEADBEEF
88313.xword 0xDEADBEEFDEADBEEF
88314.xword 0xDEADBEEFDEADBEEF
88315.xword 0xDEADBEEFDEADBEEF
88316.xword 0xDEADBEEFDEADBEEF
88317.xword 0xDEADBEEFDEADBEEF
88318.xword 0xDEADBEEFDEADBEEF
88319.xword 0xDEADBEEFDEADBEEF
88320.xword 0xDEADBEEFDEADBEEF
88321.xword 0xDEADBEEFDEADBEEF
88322.xword 0xDEADBEEFDEADBEEF
88323.xword 0xDEADBEEFDEADBEEF
88324.xword 0xDEADBEEFDEADBEEF
88325.xword 0xDEADBEEFDEADBEEF
88326.xword 0xDEADBEEFDEADBEEF
88327.xword 0xDEADBEEFDEADBEEF
88328.xword 0xDEADBEEFDEADBEEF
88329.xword 0xDEADBEEFDEADBEEF
88330.xword 0xDEADBEEFDEADBEEF
88331.xword 0xDEADBEEFDEADBEEF
88332.xword 0xDEADBEEFDEADBEEF
88333.xword 0xDEADBEEFDEADBEEF
88334.xword 0xDEADBEEFDEADBEEF
88335.xword 0xDEADBEEFDEADBEEF
88336.xword 0xDEADBEEFDEADBEEF
88337.xword 0xDEADBEEFDEADBEEF
88338.xword 0xDEADBEEFDEADBEEF
88339.xword 0xDEADBEEFDEADBEEF
88340.xword 0xDEADBEEFDEADBEEF
88341.xword 0xDEADBEEFDEADBEEF
88342.xword 0xDEADBEEFDEADBEEF
88343.xword 0xDEADBEEFDEADBEEF
88344.xword 0xDEADBEEFDEADBEEF
88345.xword 0xDEADBEEFDEADBEEF
88346.xword 0xDEADBEEFDEADBEEF
88347.xword 0xDEADBEEFDEADBEEF
88348.xword 0xDEADBEEFDEADBEEF
88349.xword 0xDEADBEEFDEADBEEF
88350.xword 0xDEADBEEFDEADBEEF
88351.xword 0xDEADBEEFDEADBEEF
88352.xword 0xDEADBEEFDEADBEEF
88353.xword 0xDEADBEEFDEADBEEF
88354.xword 0xDEADBEEFDEADBEEF
88355.xword 0xDEADBEEFDEADBEEF
88356.xword 0xDEADBEEFDEADBEEF
88357.xword 0xDEADBEEFDEADBEEF
88358.xword 0xDEADBEEFDEADBEEF
88359.xword 0xDEADBEEFDEADBEEF
88360.xword 0xDEADBEEFDEADBEEF
88361.xword 0xDEADBEEFDEADBEEF
88362SECTION ._t2_T_MAX_DATA5 DATA_VA=273678336
88363attr_data {
88364 Name = ._t2_T_MAX_DATA5
88365 hypervisor
88366}
88367
88368.data
88369_t2_user_data_start:
88370_t2_scratch_area:
88371
88372.align 8
88373.global _t2_ma_operands5
88374_t2_ma_operands5:
88375.xword 0xa4e182c239475ddf
88376.xword 0x0eef1213330c696c
88377.xword 0x8c25e50d691e284f
88378.xword 0xd428a869dc223db1
88379.xword 0x37bc375216361275
88380.xword 0x65a0dd0756d715b8
88381.xword 0x6b2935db280d0752
88382.xword 0x3767f69b31e1954c
88383.xword 0x1eed432044b97deb
88384.xword 0xf01a929154114f3e
88385.xword 0x386b9d7b63e23f23
88386.xword 0x59cac96792dc0df3
88387.xword 0x6bbaa6a7a6cc821a
88388.xword 0xd60a592182e0985b
88389.xword 0x7120f2b8ea1cfef7
88390.xword 0xca8e05c083441656
88391.xword 0xcbb025f4042b748d
88392.xword 0xf89ef6ac8fa90df1
88393.xword 0xacbfc7d9c40c7468
88394.xword 0xc98cf14357a01d51
88395.xword 0x83f6adbae83f9d1a
88396.xword 0x0c77f2b5777749be
88397.xword 0x98d3b24a2cfdff9f
88398.xword 0x5bca6420e0d35056
88399.xword 0x8e469b73e45f6138
88400.xword 0xd345526674e266a2
88401.xword 0x914e7088e9b0d814
88402.xword 0xd658fd6311e352bc
88403.xword 0x3f343835edcfd1e5
88404.xword 0x33f6f6f73f41d989
88405.xword 0x9a107c542d063bec
88406.xword 0xcaed5a785965d370
88407.xword 0x024a5e98706fecb9
88408.xword 0x38ed22419088a33b
88409.xword 0x5ca375855ba9ab39
88410.xword 0xc454396d1cb9e84e
88411.xword 0xb1975083422f43fa
88412.xword 0x911a22ca6bba1d85
88413.xword 0xbb41e9589b892a7b
88414.xword 0xe61ac26f7832fa2a
88415.xword 0x6062774bcaba5f79
88416.xword 0x228a7e364c874385
88417.xword 0xf380cd5c2333e794
88418.xword 0xb989d15d01e49a6f
88419.xword 0xe1018d884ca02173
88420.xword 0x04055f2db7f4ca1e
88421.xword 0x78da3733430cf7f2
88422.xword 0x170d339b5ff62edb
88423.xword 0xdaf5f1ed0c8086eb
88424.xword 0x7ea1d2254e4db58d
88425.xword 0x7aacec4e7b3b0798
88426.xword 0xd86c2da3bed4b6d9
88427.xword 0xddce91bebb67ee82
88428.xword 0xfc3518e0bdfeb1cd
88429.xword 0x7b56ae4267bf0680
88430.xword 0xc58de903d36ca25c
88431.xword 0xd51090d4383f01be
88432.xword 0xc05dda72770f2c65
88433.xword 0x739ba318ddfc8053
88434.xword 0x0783c7c2b8b6a92b
88435.xword 0xf2dde1e4284526bc
88436.xword 0x35c389e459dadf50
88437.xword 0x1947ddc7d71c01f1
88438.xword 0x292a4ec271768d4f
88439.xword 0x7b364f66951129f1
88440.xword 0x7198c45fb088fb13
88441.xword 0x06146b892d2c455e
88442.xword 0xeb47c6d759a69d98
88443.xword 0x88c1f9f905f3e5bc
88444.xword 0xdf90b237a4065632
88445.xword 0x9cb91227c694e0d5
88446.xword 0x8018a08831610832
88447.xword 0xb475caaa90cfef77
88448.xword 0x6dccbf75b18dae7c
88449.xword 0xfa9fe0275b792aff
88450.xword 0x2be6e890a01b7829
88451.xword 0x010e095826270053
88452.xword 0xe70ad0e71167b087
88453.xword 0x9a1cb8283743fbb1
88454.xword 0xb0192417ff24f9f6
88455.xword 0xc4c01c4db1513537
88456.xword 0xc171d85becf3adf1
88457.xword 0x9ab391dcd1a6b07e
88458.xword 0x38bd59f7be31470e
88459.xword 0x8a062e7f2399c8e7
88460.xword 0x11957bd7af2e1ed6
88461.xword 0x132f351e236e6321
88462.xword 0xe279f23804e4673f
88463.xword 0x06c5424345ad00aa
88464.xword 0x65fdaec83d0019e6
88465.xword 0x666670636367cf24
88466.xword 0xab444c057d49102d
88467.xword 0xde0d57f32f34b559
88468.xword 0x74df836f97f10a3f
88469.xword 0xfb609b8fc0b0b68e
88470.xword 0xb9682341a254f876
88471.xword 0x0b1eefc3714712d1
88472.xword 0x762178420a8b1e03
88473.xword 0x20845ce8a2f8cf4c
88474.xword 0x757f77db0142c4f5
88475.xword 0x55685af846ffe2e0
88476.xword 0x185affea0a1662d0
88477.xword 0x31d23b3d440ad742
88478.xword 0xd7c1b207d2a914b0
88479.xword 0x7fc2796ee10ad8a2
88480.xword 0x7375f7f370e645ed
88481.xword 0x62427d6715a2ebe2
88482.xword 0x9d93706a59522113
88483.xword 0x21846c3416cccc6d
88484.xword 0x64a603cb179e23eb
88485.xword 0x02ebb58b5b82d03f
88486.xword 0x94428f087fd2b3d0
88487.xword 0x5177d68b70de058e
88488.xword 0xc8ba12ce8021531b
88489.xword 0xf7f4f1e75d54ddf7
88490.xword 0x41b022237e007579
88491.xword 0x2a63d616216279dd
88492.xword 0x1a89e1f55d3f461a
88493.xword 0xf9b758b40cc28964
88494.xword 0x0813a9b2ebfc6781
88495.xword 0xb2ca895ae3f7c7a8
88496.xword 0x7d36cd15b32b04d3
88497.xword 0x204aad9729c45a84
88498.xword 0x98863fb85dd0ae87
88499.xword 0x23c3eb031121c0a7
88500.xword 0x2e804529ff706993
88501.xword 0x6cb4274720256ea9
88502.xword 0x812087301e4dd8e8
88503.xword 0xb0762f3fd5d17b03
88504.xword 0x831317b03314147f
88505.xword 0x58ef3ced140c17b9
88506.xword 0x59f32c00840655d4
88507.xword 0xa5e1339476b4a8d7
88508.xword 0x3c8a3d44332e4cab
88509.xword 0x0d6a07996a0d6b53
88510.xword 0x4f43d0f8949dbc94
88511.xword 0x8432fa95712813c6
88512.xword 0xc8bd9fa36ae5d796
88513.xword 0x1f46caf842711b1b
88514.xword 0x86f4e59bfda20792
88515.xword 0x08415c5ca7590726
88516.xword 0x5457525e84b41ad3
88517.xword 0x4c5788bcebf847be
88518.xword 0x1560d5b363d46a5b
88519.xword 0x1f622456dd1e1a14
88520.xword 0x4123f2316b1b5065
88521.xword 0x28f0788bcd4b35f4
88522.xword 0x3dfbaf802bc829ed
88523.xword 0x65dac3c5127146f8
88524.xword 0x0d5a264f7417f42a
88525.xword 0xc559ad17033cf36d
88526.xword 0x2ccc56dd9cd0ae6e
88527.xword 0x47c10aaaae647004
88528.xword 0x54cbcb2761d1d2d0
88529.xword 0x61b31db773308de1
88530.xword 0xc94db7c8df9e07e7
88531.xword 0x00ad334e83fbd2cc
88532.xword 0x8f61d5b304ccafb1
88533.xword 0xadbb552fb75265fb
88534.xword 0x53c8b47bedb79846
88535.xword 0x052c0576e3f759c3
88536.xword 0xc685c03a3861503a
88537.xword 0x2bf7332414f07bd6
88538.xword 0xad189d09a43f62d5
88539
88540.align 8
88541.global _t2_ma_operations5
88542_t2_ma_operations5:
88543.xword 0
88544.xword 0x0002003e | (6 << 18) !ma_ctl_Ld (0)
88545
88546.xword 0
88547.xword 0x00020122 | (6 << 18) !ma_ctl_St (0)
88548
88549.xword 0x80604020
88550.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (0)
88551
88552.xword 0x356a
88553.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (0)
88554
88555.xword 0x0000006000408020
88556.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
88557
88558.xword 0x6a35
88559.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
88560
88561.xword 0x78285050
88562.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
88563
88564.xword 0x80604020
88565.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
88566
88567.xword 0x00287850
88568.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (0)
88569
88570.xword 0x00287850
88571.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (0)
88572
88573.xword 0x78285050
88574.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (0)
88575
88576.xword 0x64500000003c0000
88577.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
88578
88579.xword 0x7766000000554422
88580.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
88581
88582.xword 0x706200000054462a
88583.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
88584
88585.xword 0x784d005800423721
88586.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
88587
88588.xword 0x804d006000504020
88589.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
88590
88591.xword 0x0000006000408020
88592.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
88593
88594.xword 0
88595.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (0)
88596
88597.xword 0
88598.xword 0x00020045 | (6 << 18) !ma_ctl_Ld (1)
88599
88600.xword 0
88601.xword 0x00020147 | (6 << 18) !ma_ctl_St (1)
88602
88603.xword 0x80604020
88604.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (1)
88605
88606.xword 0x356a
88607.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (1)
88608
88609.xword 0x0000006000408020
88610.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
88611
88612.xword 0x6a35
88613.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
88614
88615.xword 0x78285050
88616.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
88617
88618.xword 0x80604020
88619.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
88620
88621.xword 0x00287850
88622.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
88623
88624.xword 0x00287850
88625.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
88626
88627.xword 0x78285050
88628.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (1)
88629
88630.xword 0x64500000003c0000
88631.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
88632
88633.xword 0x7766000000554422
88634.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
88635
88636.xword 0x706200000054462a
88637.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
88638
88639.xword 0x784d005800423721
88640.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
88641
88642.xword 0x804d006000504020
88643.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
88644
88645.xword 0x0000006000408020
88646.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
88647
88648.xword 0
88649.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (1)
88650
88651.xword 0
88652.xword 0x0002005d | (6 << 18) !ma_ctl_Ld (2)
88653
88654.xword 0
88655.xword 0x0002017c | (6 << 18) !ma_ctl_St (2)
88656
88657.xword 0x80604020
88658.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (2)
88659
88660.xword 0x356a
88661.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (2)
88662
88663.xword 0x0000006000408020
88664.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
88665
88666.xword 0x6a35
88667.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
88668
88669.xword 0x78285050
88670.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
88671
88672.xword 0x80604020
88673.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
88674
88675.xword 0x00287850
88676.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (2)
88677
88678.xword 0x00287850
88679.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (2)
88680
88681.xword 0x78285050
88682.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (2)
88683
88684.xword 0x64500000003c0000
88685.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
88686
88687.xword 0x7766000000554422
88688.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
88689
88690.xword 0x706200000054462a
88691.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
88692
88693.xword 0x784d005800423721
88694.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
88695
88696.xword 0x804d006000504020
88697.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
88698
88699.xword 0x0000006000408020
88700.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
88701
88702.xword 0
88703.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (2)
88704
88705.xword 0
88706.xword 0x0002005f | (6 << 18) !ma_ctl_Ld (3)
88707
88708.xword 0
88709.xword 0x00020186 | (6 << 18) !ma_ctl_St (3)
88710
88711.xword 0x80604020
88712.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (3)
88713
88714.xword 0x356a
88715.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (3)
88716
88717.xword 0x0000006000408020
88718.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
88719
88720.xword 0x6a35
88721.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
88722
88723.xword 0x78285050
88724.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
88725
88726.xword 0x80604020
88727.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
88728
88729.xword 0x00287850
88730.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (3)
88731
88732.xword 0x00287850
88733.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (3)
88734
88735.xword 0x78285050
88736.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (3)
88737
88738.xword 0x64500000003c0000
88739.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
88740
88741.xword 0x7766000000554422
88742.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
88743
88744.xword 0x706200000054462a
88745.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
88746
88747.xword 0x784d005800423721
88748.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
88749
88750.xword 0x804d006000504020
88751.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
88752
88753.xword 0x0000006000408020
88754.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
88755
88756.xword 0
88757.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (3)
88758
88759.xword 0
88760.xword 0x0002006a | (6 << 18) !ma_ctl_Ld (4)
88761
88762.xword 0
88763.xword 0x0002018b | (6 << 18) !ma_ctl_St (4)
88764
88765.xword 0x80604020
88766.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (4)
88767
88768.xword 0x356a
88769.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (4)
88770
88771.xword 0x0000006000408020
88772.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
88773
88774.xword 0x6a35
88775.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
88776
88777.xword 0x78285050
88778.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
88779
88780.xword 0x80604020
88781.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
88782
88783.xword 0x00287850
88784.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (4)
88785
88786.xword 0x00287850
88787.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (4)
88788
88789.xword 0x78285050
88790.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (4)
88791
88792.xword 0x64500000003c0000
88793.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
88794
88795.xword 0x7766000000554422
88796.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
88797
88798.xword 0x706200000054462a
88799.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
88800
88801.xword 0x784d005800423721
88802.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
88803
88804.xword 0x804d006000504020
88805.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
88806
88807.xword 0x0000006000408020
88808.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
88809
88810.xword 0
88811.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (4)
88812
88813.xword 0
88814.xword 0x0002008d | (6 << 18) !ma_ctl_Ld (5)
88815
88816.xword 0
88817.xword 0x0002017e | (6 << 18) !ma_ctl_St (5)
88818
88819.xword 0x80604020
88820.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (5)
88821
88822.xword 0x356a
88823.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (5)
88824
88825.xword 0x0000006000408020
88826.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
88827
88828.xword 0x6a35
88829.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
88830
88831.xword 0x78285050
88832.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
88833
88834.xword 0x80604020
88835.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
88836
88837.xword 0x00287850
88838.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (5)
88839
88840.xword 0x00287850
88841.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (5)
88842
88843.xword 0x78285050
88844.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
88845
88846.xword 0x64500000003c0000
88847.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
88848
88849.xword 0x7766000000554422
88850.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
88851
88852.xword 0x706200000054462a
88853.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
88854
88855.xword 0x784d005800423721
88856.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
88857
88858.xword 0x804d006000504020
88859.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
88860
88861.xword 0x0000006000408020
88862.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
88863
88864.xword 0
88865.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (5)
88866
88867.xword 0
88868.xword 0x0002005c | (6 << 18) !ma_ctl_Ld (6)
88869
88870.xword 0
88871.xword 0x00020125 | (6 << 18) !ma_ctl_St (6)
88872
88873.xword 0x80604020
88874.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (6)
88875
88876.xword 0x356a
88877.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (6)
88878
88879.xword 0x0000006000408020
88880.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
88881
88882.xword 0x6a35
88883.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
88884
88885.xword 0x78285050
88886.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
88887
88888.xword 0x80604020
88889.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
88890
88891.xword 0x00287850
88892.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (6)
88893
88894.xword 0x00287850
88895.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (6)
88896
88897.xword 0x78285050
88898.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (6)
88899
88900.xword 0x64500000003c0000
88901.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
88902
88903.xword 0x7766000000554422
88904.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
88905
88906.xword 0x706200000054462a
88907.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
88908
88909.xword 0x784d005800423721
88910.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
88911
88912.xword 0x804d006000504020
88913.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
88914
88915.xword 0x0000006000408020
88916.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
88917
88918.xword 0
88919.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (6)
88920
88921.xword 0
88922.xword 0x00020065 | (6 << 18) !ma_ctl_Ld (7)
88923
88924.xword 0
88925.xword 0x0002012b | (6 << 18) !ma_ctl_St (7)
88926
88927.xword 0x80604020
88928.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (7)
88929
88930.xword 0x356a
88931.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (7)
88932
88933.xword 0x0000006000408020
88934.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
88935
88936.xword 0x6a35
88937.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
88938
88939.xword 0x78285050
88940.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
88941
88942.xword 0x80604020
88943.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
88944
88945.xword 0x00287850
88946.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (7)
88947
88948.xword 0x00287850
88949.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (7)
88950
88951.xword 0x78285050
88952.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (7)
88953
88954.xword 0x64500000003c0000
88955.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
88956
88957.xword 0x7766000000554422
88958.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
88959
88960.xword 0x706200000054462a
88961.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
88962
88963.xword 0x784d005800423721
88964.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
88965
88966.xword 0x804d006000504020
88967.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
88968
88969.xword 0x0000006000408020
88970.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
88971
88972.xword 0
88973.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (7)
88974
88975.xword 0
88976.xword 0x0002005a | (6 << 18) !ma_ctl_Ld (8)
88977
88978.xword 0
88979.xword 0x00020132 | (6 << 18) !ma_ctl_St (8)
88980
88981.xword 0x80604020
88982.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (8)
88983
88984.xword 0x356a
88985.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (8)
88986
88987.xword 0x0000006000408020
88988.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
88989
88990.xword 0x6a35
88991.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
88992
88993.xword 0x78285050
88994.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
88995
88996.xword 0x80604020
88997.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
88998
88999.xword 0x00287850
89000.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (8)
89001
89002.xword 0x00287850
89003.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
89004
89005.xword 0x78285050
89006.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (8)
89007
89008.xword 0x64500000003c0000
89009.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
89010
89011.xword 0x7766000000554422
89012.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
89013
89014.xword 0x706200000054462a
89015.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
89016
89017.xword 0x784d005800423721
89018.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
89019
89020.xword 0x804d006000504020
89021.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
89022
89023.xword 0x0000006000408020
89024.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
89025
89026.xword 0
89027.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (8)
89028
89029.xword 0
89030.xword 0x00020073 | (6 << 18) !ma_ctl_Ld (9)
89031
89032.xword 0
89033.xword 0x00020181 | (6 << 18) !ma_ctl_St (9)
89034
89035.xword 0x80604020
89036.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (9)
89037
89038.xword 0x356a
89039.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
89040
89041.xword 0x0000006000408020
89042.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
89043
89044.xword 0x6a35
89045.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
89046
89047.xword 0x78285050
89048.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
89049
89050.xword 0x80604020
89051.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
89052
89053.xword 0x00287850
89054.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (9)
89055
89056.xword 0x00287850
89057.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (9)
89058
89059.xword 0x78285050
89060.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (9)
89061
89062.xword 0x64500000003c0000
89063.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
89064
89065.xword 0x7766000000554422
89066.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
89067
89068.xword 0x706200000054462a
89069.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
89070
89071.xword 0x784d005800423721
89072.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
89073
89074.xword 0x804d006000504020
89075.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
89076
89077.xword 0x0000006000408020
89078.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
89079
89080.xword 0
89081.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (9)
89082
89083.xword 0
89084.xword 0x0002004b | (6 << 18) !ma_ctl_Ld (10)
89085
89086.xword 0
89087.xword 0x00020184 | (6 << 18) !ma_ctl_St (10)
89088
89089.xword 0x80604020
89090.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (10)
89091
89092.xword 0x356a
89093.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (10)
89094
89095.xword 0x0000006000408020
89096.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
89097
89098.xword 0x6a35
89099.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
89100
89101.xword 0x78285050
89102.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
89103
89104.xword 0x80604020
89105.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
89106
89107.xword 0x00287850
89108.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (10)
89109
89110.xword 0x00287850
89111.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (10)
89112
89113.xword 0x78285050
89114.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
89115
89116.xword 0x64500000003c0000
89117.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
89118
89119.xword 0x7766000000554422
89120.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
89121
89122.xword 0x706200000054462a
89123.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
89124
89125.xword 0x784d005800423721
89126.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
89127
89128.xword 0x804d006000504020
89129.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
89130
89131.xword 0x0000006000408020
89132.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
89133
89134.xword 0
89135.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (10)
89136
89137.xword 0
89138.xword 0x00020039 | (6 << 18) !ma_ctl_Ld (11)
89139
89140.xword 0
89141.xword 0x00020132 | (6 << 18) !ma_ctl_St (11)
89142
89143.xword 0x80604020
89144.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (11)
89145
89146.xword 0x356a
89147.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (11)
89148
89149.xword 0x0000006000408020
89150.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
89151
89152.xword 0x6a35
89153.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
89154
89155.xword 0x78285050
89156.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
89157
89158.xword 0x80604020
89159.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
89160
89161.xword 0x00287850
89162.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (11)
89163
89164.xword 0x00287850
89165.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (11)
89166
89167.xword 0x78285050
89168.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (11)
89169
89170.xword 0x64500000003c0000
89171.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
89172
89173.xword 0x7766000000554422
89174.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
89175
89176.xword 0x706200000054462a
89177.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
89178
89179.xword 0x784d005800423721
89180.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
89181
89182.xword 0x804d006000504020
89183.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
89184
89185.xword 0x0000006000408020
89186.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
89187
89188.xword 0
89189.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (11)
89190
89191.xword 0
89192.xword 0x00020088 | (6 << 18) !ma_ctl_Ld (12)
89193
89194.xword 0
89195.xword 0x0002016a | (6 << 18) !ma_ctl_St (12)
89196
89197.xword 0x80604020
89198.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (12)
89199
89200.xword 0x356a
89201.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (12)
89202
89203.xword 0x0000006000408020
89204.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
89205
89206.xword 0x6a35
89207.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
89208
89209.xword 0x78285050
89210.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
89211
89212.xword 0x80604020
89213.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
89214
89215.xword 0x00287850
89216.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (12)
89217
89218.xword 0x00287850
89219.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (12)
89220
89221.xword 0x78285050
89222.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (12)
89223
89224.xword 0x64500000003c0000
89225.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
89226
89227.xword 0x7766000000554422
89228.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
89229
89230.xword 0x706200000054462a
89231.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
89232
89233.xword 0x784d005800423721
89234.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
89235
89236.xword 0x804d006000504020
89237.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
89238
89239.xword 0x0000006000408020
89240.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
89241
89242.xword 0
89243.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (12)
89244
89245.xword 0
89246.xword 0x0002007d | (6 << 18) !ma_ctl_Ld (13)
89247
89248.xword 0
89249.xword 0x00020159 | (6 << 18) !ma_ctl_St (13)
89250
89251.xword 0x80604020
89252.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (13)
89253
89254.xword 0x356a
89255.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (13)
89256
89257.xword 0x0000006000408020
89258.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
89259
89260.xword 0x6a35
89261.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
89262
89263.xword 0x78285050
89264.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
89265
89266.xword 0x80604020
89267.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
89268
89269.xword 0x00287850
89270.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (13)
89271
89272.xword 0x00287850
89273.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (13)
89274
89275.xword 0x78285050
89276.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (13)
89277
89278.xword 0x64500000003c0000
89279.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
89280
89281.xword 0x7766000000554422
89282.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
89283
89284.xword 0x706200000054462a
89285.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
89286
89287.xword 0x784d005800423721
89288.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
89289
89290.xword 0x804d006000504020
89291.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
89292
89293.xword 0x0000006000408020
89294.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
89295
89296.xword 0
89297.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (13)
89298
89299.xword 0
89300.xword 0x00020067 | (6 << 18) !ma_ctl_Ld (14)
89301
89302.xword 0
89303.xword 0x00020140 | (6 << 18) !ma_ctl_St (14)
89304
89305.xword 0x80604020
89306.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (14)
89307
89308.xword 0x356a
89309.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (14)
89310
89311.xword 0x0000006000408020
89312.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
89313
89314.xword 0x6a35
89315.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
89316
89317.xword 0x78285050
89318.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
89319
89320.xword 0x80604020
89321.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
89322
89323.xword 0x00287850
89324.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (14)
89325
89326.xword 0x00287850
89327.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (14)
89328
89329.xword 0x78285050
89330.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (14)
89331
89332.xword 0x64500000003c0000
89333.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
89334
89335.xword 0x7766000000554422
89336.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
89337
89338.xword 0x706200000054462a
89339.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
89340
89341.xword 0x784d005800423721
89342.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
89343
89344.xword 0x804d006000504020
89345.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
89346
89347.xword 0x0000006000408020
89348.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
89349
89350.xword 0
89351.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (14)
89352
89353.xword 0
89354.xword 0x00020096 | (6 << 18) !ma_ctl_Ld (15)
89355
89356.xword 0
89357.xword 0x00020127 | (6 << 18) !ma_ctl_St (15)
89358
89359.xword 0x80604020
89360.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (15)
89361
89362.xword 0x356a
89363.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (15)
89364
89365.xword 0x0000006000408020
89366.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
89367
89368.xword 0x6a35
89369.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
89370
89371.xword 0x78285050
89372.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
89373
89374.xword 0x80604020
89375.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
89376
89377.xword 0x00287850
89378.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (15)
89379
89380.xword 0x00287850
89381.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (15)
89382
89383.xword 0x78285050
89384.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (15)
89385
89386.xword 0x64500000003c0000
89387.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
89388
89389.xword 0x7766000000554422
89390.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
89391
89392.xword 0x706200000054462a
89393.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
89394
89395.xword 0x784d005800423721
89396.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
89397
89398.xword 0x804d006000504020
89399.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
89400
89401.xword 0x0000006000408020
89402.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
89403
89404.xword 0
89405.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (15)
89406
89407.xword 0
89408.xword 0x00020090 | (6 << 18) !ma_ctl_Ld (16)
89409
89410.xword 0
89411.xword 0x00020150 | (6 << 18) !ma_ctl_St (16)
89412
89413.xword 0x80604020
89414.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (16)
89415
89416.xword 0x356a
89417.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (16)
89418
89419.xword 0x0000006000408020
89420.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
89421
89422.xword 0x6a35
89423.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
89424
89425.xword 0x78285050
89426.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
89427
89428.xword 0x80604020
89429.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
89430
89431.xword 0x00287850
89432.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (16)
89433
89434.xword 0x00287850
89435.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (16)
89436
89437.xword 0x78285050
89438.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (16)
89439
89440.xword 0x64500000003c0000
89441.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
89442
89443.xword 0x7766000000554422
89444.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
89445
89446.xword 0x706200000054462a
89447.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
89448
89449.xword 0x784d005800423721
89450.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
89451
89452.xword 0x804d006000504020
89453.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
89454
89455.xword 0x0000006000408020
89456.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
89457
89458.xword 0
89459.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (16)
89460
89461.xword 0
89462.xword 0x00020031 | (6 << 18) !ma_ctl_Ld (17)
89463
89464.xword 0
89465.xword 0x0002014c | (6 << 18) !ma_ctl_St (17)
89466
89467.xword 0x80604020
89468.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (17)
89469
89470.xword 0x356a
89471.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (17)
89472
89473.xword 0x0000006000408020
89474.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
89475
89476.xword 0x6a35
89477.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
89478
89479.xword 0x78285050
89480.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
89481
89482.xword 0x80604020
89483.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
89484
89485.xword 0x00287850
89486.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (17)
89487
89488.xword 0x00287850
89489.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (17)
89490
89491.xword 0x78285050
89492.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (17)
89493
89494.xword 0x64500000003c0000
89495.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
89496
89497.xword 0x7766000000554422
89498.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
89499
89500.xword 0x706200000054462a
89501.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
89502
89503.xword 0x784d005800423721
89504.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
89505
89506.xword 0x804d006000504020
89507.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
89508
89509.xword 0x0000006000408020
89510.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
89511
89512.xword 0
89513.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (17)
89514
89515.xword 0
89516.xword 0x00020040 | (6 << 18) !ma_ctl_Ld (18)
89517
89518.xword 0
89519.xword 0x00020144 | (6 << 18) !ma_ctl_St (18)
89520
89521.xword 0x80604020
89522.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (18)
89523
89524.xword 0x356a
89525.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (18)
89526
89527.xword 0x0000006000408020
89528.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
89529
89530.xword 0x6a35
89531.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
89532
89533.xword 0x78285050
89534.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
89535
89536.xword 0x80604020
89537.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
89538
89539.xword 0x00287850
89540.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (18)
89541
89542.xword 0x00287850
89543.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (18)
89544
89545.xword 0x78285050
89546.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (18)
89547
89548.xword 0x64500000003c0000
89549.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
89550
89551.xword 0x7766000000554422
89552.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
89553
89554.xword 0x706200000054462a
89555.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
89556
89557.xword 0x784d005800423721
89558.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
89559
89560.xword 0x804d006000504020
89561.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
89562
89563.xword 0x0000006000408020
89564.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
89565
89566.xword 0
89567.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (18)
89568
89569.xword 0
89570.xword 0x00020071 | (6 << 18) !ma_ctl_Ld (19)
89571
89572.xword 0
89573.xword 0x00020141 | (6 << 18) !ma_ctl_St (19)
89574
89575.xword 0x80604020
89576.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (19)
89577
89578.xword 0x356a
89579.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (19)
89580
89581.xword 0x0000006000408020
89582.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
89583
89584.xword 0x6a35
89585.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
89586
89587.xword 0x78285050
89588.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
89589
89590.xword 0x80604020
89591.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
89592
89593.xword 0x00287850
89594.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (19)
89595
89596.xword 0x00287850
89597.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (19)
89598
89599.xword 0x78285050
89600.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
89601
89602.xword 0x64500000003c0000
89603.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
89604
89605.xword 0x7766000000554422
89606.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
89607
89608.xword 0x706200000054462a
89609.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
89610
89611.xword 0x784d005800423721
89612.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
89613
89614.xword 0x804d006000504020
89615.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
89616
89617.xword 0x0000006000408020
89618.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
89619
89620.xword 0
89621.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (19)
89622
89623.xword 0
89624.xword 0x00020067 | (6 << 18) !ma_ctl_Ld (20)
89625
89626.xword 0
89627.xword 0x0002017c | (6 << 18) !ma_ctl_St (20)
89628
89629.xword 0x80604020
89630.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (20)
89631
89632.xword 0x356a
89633.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (20)
89634
89635.xword 0x0000006000408020
89636.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
89637
89638.xword 0x6a35
89639.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
89640
89641.xword 0x78285050
89642.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
89643
89644.xword 0x80604020
89645.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
89646
89647.xword 0x00287850
89648.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (20)
89649
89650.xword 0x00287850
89651.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (20)
89652
89653.xword 0x78285050
89654.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (20)
89655
89656.xword 0x64500000003c0000
89657.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
89658
89659.xword 0x7766000000554422
89660.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
89661
89662.xword 0x706200000054462a
89663.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
89664
89665.xword 0x784d005800423721
89666.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
89667
89668.xword 0x804d006000504020
89669.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
89670
89671.xword 0x0000006000408020
89672.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
89673
89674.xword 0
89675.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (20)
89676
89677.xword 0
89678.xword 0x00020026 | (6 << 18) !ma_ctl_Ld (21)
89679
89680.xword 0
89681.xword 0x0002014f | (6 << 18) !ma_ctl_St (21)
89682
89683.xword 0x80604020
89684.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (21)
89685
89686.xword 0x356a
89687.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (21)
89688
89689.xword 0x0000006000408020
89690.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
89691
89692.xword 0x6a35
89693.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
89694
89695.xword 0x78285050
89696.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
89697
89698.xword 0x80604020
89699.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
89700
89701.xword 0x00287850
89702.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (21)
89703
89704.xword 0x00287850
89705.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (21)
89706
89707.xword 0x78285050
89708.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (21)
89709
89710.xword 0x64500000003c0000
89711.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
89712
89713.xword 0x7766000000554422
89714.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
89715
89716.xword 0x706200000054462a
89717.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
89718
89719.xword 0x784d005800423721
89720.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
89721
89722.xword 0x804d006000504020
89723.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
89724
89725.xword 0x0000006000408020
89726.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
89727
89728.xword 0
89729.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (21)
89730
89731.xword 0
89732.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (22)
89733
89734.xword 0
89735.xword 0x00020122 | (6 << 18) !ma_ctl_St (22)
89736
89737.xword 0x80604020
89738.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (22)
89739
89740.xword 0x356a
89741.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (22)
89742
89743.xword 0x0000006000408020
89744.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
89745
89746.xword 0x6a35
89747.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
89748
89749.xword 0x78285050
89750.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
89751
89752.xword 0x80604020
89753.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
89754
89755.xword 0x00287850
89756.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (22)
89757
89758.xword 0x00287850
89759.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (22)
89760
89761.xword 0x78285050
89762.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (22)
89763
89764.xword 0x64500000003c0000
89765.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
89766
89767.xword 0x7766000000554422
89768.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
89769
89770.xword 0x706200000054462a
89771.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
89772
89773.xword 0x784d005800423721
89774.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
89775
89776.xword 0x804d006000504020
89777.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
89778
89779.xword 0x0000006000408020
89780.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
89781
89782.xword 0
89783.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (22)
89784
89785.xword 0
89786.xword 0x00020079 | (6 << 18) !ma_ctl_Ld (23)
89787
89788.xword 0
89789.xword 0x00020140 | (6 << 18) !ma_ctl_St (23)
89790
89791.xword 0x80604020
89792.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (23)
89793
89794.xword 0x356a
89795.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (23)
89796
89797.xword 0x0000006000408020
89798.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
89799
89800.xword 0x6a35
89801.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
89802
89803.xword 0x78285050
89804.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
89805
89806.xword 0x80604020
89807.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
89808
89809.xword 0x00287850
89810.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (23)
89811
89812.xword 0x00287850
89813.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (23)
89814
89815.xword 0x78285050
89816.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (23)
89817
89818.xword 0x64500000003c0000
89819.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
89820
89821.xword 0x7766000000554422
89822.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
89823
89824.xword 0x706200000054462a
89825.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
89826
89827.xword 0x784d005800423721
89828.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
89829
89830.xword 0x804d006000504020
89831.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
89832
89833.xword 0x0000006000408020
89834.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
89835
89836.xword 0
89837.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (23)
89838
89839.xword 0
89840.xword 0x00020025 | (6 << 18) !ma_ctl_Ld (24)
89841
89842.xword 0
89843.xword 0x00020122 | (6 << 18) !ma_ctl_St (24)
89844
89845.xword 0x80604020
89846.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (24)
89847
89848.xword 0x356a
89849.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (24)
89850
89851.xword 0x0000006000408020
89852.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
89853
89854.xword 0x6a35
89855.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
89856
89857.xword 0x78285050
89858.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
89859
89860.xword 0x80604020
89861.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
89862
89863.xword 0x00287850
89864.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (24)
89865
89866.xword 0x00287850
89867.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (24)
89868
89869.xword 0x78285050
89870.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (24)
89871
89872.xword 0x64500000003c0000
89873.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
89874
89875.xword 0x7766000000554422
89876.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
89877
89878.xword 0x706200000054462a
89879.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
89880
89881.xword 0x784d005800423721
89882.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
89883
89884.xword 0x804d006000504020
89885.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
89886
89887.xword 0x0000006000408020
89888.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
89889
89890.xword 0
89891.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (24)
89892
89893.xword 0
89894.xword 0x0002007a | (6 << 18) !ma_ctl_Ld (25)
89895
89896.xword 0
89897.xword 0x00020142 | (6 << 18) !ma_ctl_St (25)
89898
89899.xword 0x80604020
89900.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (25)
89901
89902.xword 0x356a
89903.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (25)
89904
89905.xword 0x0000006000408020
89906.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
89907
89908.xword 0x6a35
89909.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
89910
89911.xword 0x78285050
89912.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
89913
89914.xword 0x80604020
89915.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
89916
89917.xword 0x00287850
89918.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (25)
89919
89920.xword 0x00287850
89921.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (25)
89922
89923.xword 0x78285050
89924.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (25)
89925
89926.xword 0x64500000003c0000
89927.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
89928
89929.xword 0x7766000000554422
89930.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
89931
89932.xword 0x706200000054462a
89933.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
89934
89935.xword 0x784d005800423721
89936.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
89937
89938.xword 0x804d006000504020
89939.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
89940
89941.xword 0x0000006000408020
89942.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
89943
89944.xword 0
89945.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (25)
89946
89947.xword 0
89948.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (26)
89949
89950.xword 0
89951.xword 0x0002014f | (6 << 18) !ma_ctl_St (26)
89952
89953.xword 0x80604020
89954.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (26)
89955
89956.xword 0x356a
89957.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (26)
89958
89959.xword 0x0000006000408020
89960.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
89961
89962.xword 0x6a35
89963.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
89964
89965.xword 0x78285050
89966.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
89967
89968.xword 0x80604020
89969.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
89970
89971.xword 0x00287850
89972.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (26)
89973
89974.xword 0x00287850
89975.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (26)
89976
89977.xword 0x78285050
89978.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (26)
89979
89980.xword 0x64500000003c0000
89981.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
89982
89983.xword 0x7766000000554422
89984.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
89985
89986.xword 0x706200000054462a
89987.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
89988
89989.xword 0x784d005800423721
89990.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
89991
89992.xword 0x804d006000504020
89993.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
89994
89995.xword 0x0000006000408020
89996.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
89997
89998.xword 0
89999.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (26)
90000
90001.xword 0
90002.xword 0x0002006d | (6 << 18) !ma_ctl_Ld (27)
90003
90004.xword 0
90005.xword 0x00020135 | (6 << 18) !ma_ctl_St (27)
90006
90007.xword 0x80604020
90008.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (27)
90009
90010.xword 0x356a
90011.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (27)
90012
90013.xword 0x0000006000408020
90014.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
90015
90016.xword 0x6a35
90017.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
90018
90019.xword 0x78285050
90020.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
90021
90022.xword 0x80604020
90023.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
90024
90025.xword 0x00287850
90026.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (27)
90027
90028.xword 0x00287850
90029.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (27)
90030
90031.xword 0x78285050
90032.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (27)
90033
90034.xword 0x64500000003c0000
90035.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
90036
90037.xword 0x7766000000554422
90038.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
90039
90040.xword 0x706200000054462a
90041.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
90042
90043.xword 0x784d005800423721
90044.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
90045
90046.xword 0x804d006000504020
90047.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
90048
90049.xword 0x0000006000408020
90050.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
90051
90052.xword 0
90053.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (27)
90054
90055.xword 0
90056.xword 0x0002006d | (6 << 18) !ma_ctl_Ld (28)
90057
90058.xword 0
90059.xword 0x00020165 | (6 << 18) !ma_ctl_St (28)
90060
90061.xword 0x80604020
90062.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (28)
90063
90064.xword 0x356a
90065.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (28)
90066
90067.xword 0x0000006000408020
90068.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
90069
90070.xword 0x6a35
90071.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
90072
90073.xword 0x78285050
90074.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
90075
90076.xword 0x80604020
90077.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
90078
90079.xword 0x00287850
90080.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (28)
90081
90082.xword 0x00287850
90083.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (28)
90084
90085.xword 0x78285050
90086.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (28)
90087
90088.xword 0x64500000003c0000
90089.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
90090
90091.xword 0x7766000000554422
90092.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
90093
90094.xword 0x706200000054462a
90095.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
90096
90097.xword 0x784d005800423721
90098.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
90099
90100.xword 0x804d006000504020
90101.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
90102
90103.xword 0x0000006000408020
90104.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
90105
90106.xword 0
90107.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (28)
90108
90109.xword 0
90110.xword 0x0002007a | (6 << 18) !ma_ctl_Ld (29)
90111
90112.xword 0
90113.xword 0x00020140 | (6 << 18) !ma_ctl_St (29)
90114
90115.xword 0x80604020
90116.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (29)
90117
90118.xword 0x356a
90119.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (29)
90120
90121.xword 0x0000006000408020
90122.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
90123
90124.xword 0x6a35
90125.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
90126
90127.xword 0x78285050
90128.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
90129
90130.xword 0x80604020
90131.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
90132
90133.xword 0x00287850
90134.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (29)
90135
90136.xword 0x00287850
90137.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (29)
90138
90139.xword 0x78285050
90140.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (29)
90141
90142.xword 0x64500000003c0000
90143.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
90144
90145.xword 0x7766000000554422
90146.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
90147
90148.xword 0x706200000054462a
90149.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
90150
90151.xword 0x784d005800423721
90152.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
90153
90154.xword 0x804d006000504020
90155.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
90156
90157.xword 0x0000006000408020
90158.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
90159
90160.xword 0
90161.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (29)
90162
90163.xword 0
90164.xword 0x00020074 | (6 << 18) !ma_ctl_Ld (30)
90165
90166.xword 0
90167.xword 0x00020164 | (6 << 18) !ma_ctl_St (30)
90168
90169.xword 0x80604020
90170.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (30)
90171
90172.xword 0x356a
90173.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (30)
90174
90175.xword 0x0000006000408020
90176.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
90177
90178.xword 0x6a35
90179.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
90180
90181.xword 0x78285050
90182.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
90183
90184.xword 0x80604020
90185.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
90186
90187.xword 0x00287850
90188.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (30)
90189
90190.xword 0x00287850
90191.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (30)
90192
90193.xword 0x78285050
90194.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (30)
90195
90196.xword 0x64500000003c0000
90197.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
90198
90199.xword 0x7766000000554422
90200.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
90201
90202.xword 0x706200000054462a
90203.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
90204
90205.xword 0x784d005800423721
90206.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
90207
90208.xword 0x804d006000504020
90209.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
90210
90211.xword 0x0000006000408020
90212.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
90213
90214.xword 0
90215.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (30)
90216
90217.xword 0
90218.xword 0x00020024 | (6 << 18) !ma_ctl_Ld (31)
90219
90220.xword 0
90221.xword 0x00020127 | (6 << 18) !ma_ctl_St (31)
90222
90223.xword 0x80604020
90224.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (31)
90225
90226.xword 0x356a
90227.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (31)
90228
90229.xword 0x0000006000408020
90230.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
90231
90232.xword 0x6a35
90233.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
90234
90235.xword 0x78285050
90236.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
90237
90238.xword 0x80604020
90239.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
90240
90241.xword 0x00287850
90242.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (31)
90243
90244.xword 0x00287850
90245.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (31)
90246
90247.xword 0x78285050
90248.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (31)
90249
90250.xword 0x64500000003c0000
90251.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
90252
90253.xword 0x7766000000554422
90254.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
90255
90256.xword 0x706200000054462a
90257.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
90258
90259.xword 0x784d005800423721
90260.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
90261
90262.xword 0x804d006000504020
90263.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
90264
90265.xword 0x0000006000408020
90266.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
90267
90268.xword 0
90269.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (31)
90270
90271.xword 0
90272.xword 0x00020075 | (6 << 18) !ma_ctl_Ld (32)
90273
90274.xword 0
90275.xword 0x0002016b | (6 << 18) !ma_ctl_St (32)
90276
90277.xword 0x80604020
90278.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (32)
90279
90280.xword 0x356a
90281.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (32)
90282
90283.xword 0x0000006000408020
90284.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
90285
90286.xword 0x6a35
90287.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
90288
90289.xword 0x78285050
90290.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
90291
90292.xword 0x80604020
90293.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
90294
90295.xword 0x00287850
90296.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (32)
90297
90298.xword 0x00287850
90299.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (32)
90300
90301.xword 0x78285050
90302.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (32)
90303
90304.xword 0x64500000003c0000
90305.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
90306
90307.xword 0x7766000000554422
90308.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
90309
90310.xword 0x706200000054462a
90311.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
90312
90313.xword 0x784d005800423721
90314.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
90315
90316.xword 0x804d006000504020
90317.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
90318
90319.xword 0x0000006000408020
90320.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
90321
90322.xword 0
90323.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (32)
90324
90325.xword 0
90326.xword 0x00020076 | (6 << 18) !ma_ctl_Ld (33)
90327
90328.xword 0
90329.xword 0x00020142 | (6 << 18) !ma_ctl_St (33)
90330
90331.xword 0x80604020
90332.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (33)
90333
90334.xword 0x356a
90335.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (33)
90336
90337.xword 0x0000006000408020
90338.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
90339
90340.xword 0x6a35
90341.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
90342
90343.xword 0x78285050
90344.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
90345
90346.xword 0x80604020
90347.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
90348
90349.xword 0x00287850
90350.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (33)
90351
90352.xword 0x00287850
90353.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (33)
90354
90355.xword 0x78285050
90356.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (33)
90357
90358.xword 0x64500000003c0000
90359.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
90360
90361.xword 0x7766000000554422
90362.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
90363
90364.xword 0x706200000054462a
90365.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
90366
90367.xword 0x784d005800423721
90368.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
90369
90370.xword 0x804d006000504020
90371.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
90372
90373.xword 0x0000006000408020
90374.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
90375
90376.xword 0
90377.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (33)
90378
90379.xword 0
90380.xword 0x0002003c | (6 << 18) !ma_ctl_Ld (34)
90381
90382.xword 0
90383.xword 0x0002014a | (6 << 18) !ma_ctl_St (34)
90384
90385.xword 0x80604020
90386.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (34)
90387
90388.xword 0x356a
90389.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (34)
90390
90391.xword 0x0000006000408020
90392.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
90393
90394.xword 0x6a35
90395.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
90396
90397.xword 0x78285050
90398.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
90399
90400.xword 0x80604020
90401.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
90402
90403.xword 0x00287850
90404.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (34)
90405
90406.xword 0x00287850
90407.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (34)
90408
90409.xword 0x78285050
90410.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (34)
90411
90412.xword 0x64500000003c0000
90413.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
90414
90415.xword 0x7766000000554422
90416.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
90417
90418.xword 0x706200000054462a
90419.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
90420
90421.xword 0x784d005800423721
90422.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
90423
90424.xword 0x804d006000504020
90425.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
90426
90427.xword 0x0000006000408020
90428.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
90429
90430.xword 0
90431.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (34)
90432
90433.xword 0
90434.xword 0x0002009b | (6 << 18) !ma_ctl_Ld (35)
90435
90436.xword 0
90437.xword 0x00020187 | (6 << 18) !ma_ctl_St (35)
90438
90439.xword 0x80604020
90440.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (35)
90441
90442.xword 0x356a
90443.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (35)
90444
90445.xword 0x0000006000408020
90446.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
90447
90448.xword 0x6a35
90449.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
90450
90451.xword 0x78285050
90452.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
90453
90454.xword 0x80604020
90455.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
90456
90457.xword 0x00287850
90458.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (35)
90459
90460.xword 0x00287850
90461.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (35)
90462
90463.xword 0x78285050
90464.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (35)
90465
90466.xword 0x64500000003c0000
90467.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
90468
90469.xword 0x7766000000554422
90470.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
90471
90472.xword 0x706200000054462a
90473.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
90474
90475.xword 0x784d005800423721
90476.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
90477
90478.xword 0x804d006000504020
90479.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
90480
90481.xword 0x0000006000408020
90482.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
90483
90484.xword 0
90485.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (35)
90486
90487.xword 0
90488.xword 0x0002005d | (6 << 18) !ma_ctl_Ld (36)
90489
90490.xword 0
90491.xword 0x0002014f | (6 << 18) !ma_ctl_St (36)
90492
90493.xword 0x80604020
90494.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (36)
90495
90496.xword 0x356a
90497.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (36)
90498
90499.xword 0x0000006000408020
90500.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
90501
90502.xword 0x6a35
90503.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
90504
90505.xword 0x78285050
90506.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
90507
90508.xword 0x80604020
90509.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
90510
90511.xword 0x00287850
90512.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (36)
90513
90514.xword 0x00287850
90515.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (36)
90516
90517.xword 0x78285050
90518.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (36)
90519
90520.xword 0x64500000003c0000
90521.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
90522
90523.xword 0x7766000000554422
90524.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
90525
90526.xword 0x706200000054462a
90527.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
90528
90529.xword 0x784d005800423721
90530.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
90531
90532.xword 0x804d006000504020
90533.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
90534
90535.xword 0x0000006000408020
90536.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
90537
90538.xword 0
90539.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (36)
90540
90541.xword 0
90542.xword 0x00020084 | (6 << 18) !ma_ctl_Ld (37)
90543
90544.xword 0
90545.xword 0x00020139 | (6 << 18) !ma_ctl_St (37)
90546
90547.xword 0x80604020
90548.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (37)
90549
90550.xword 0x356a
90551.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (37)
90552
90553.xword 0x0000006000408020
90554.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
90555
90556.xword 0x6a35
90557.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
90558
90559.xword 0x78285050
90560.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
90561
90562.xword 0x80604020
90563.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
90564
90565.xword 0x00287850
90566.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (37)
90567
90568.xword 0x00287850
90569.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (37)
90570
90571.xword 0x78285050
90572.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (37)
90573
90574.xword 0x64500000003c0000
90575.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
90576
90577.xword 0x7766000000554422
90578.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
90579
90580.xword 0x706200000054462a
90581.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
90582
90583.xword 0x784d005800423721
90584.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
90585
90586.xword 0x804d006000504020
90587.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
90588
90589.xword 0x0000006000408020
90590.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
90591
90592.xword 0
90593.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (37)
90594
90595.xword 0
90596.xword 0x00020056 | (6 << 18) !ma_ctl_Ld (38)
90597
90598.xword 0
90599.xword 0x0002012e | (6 << 18) !ma_ctl_St (38)
90600
90601.xword 0x80604020
90602.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (38)
90603
90604.xword 0x356a
90605.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (38)
90606
90607.xword 0x0000006000408020
90608.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
90609
90610.xword 0x6a35
90611.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
90612
90613.xword 0x78285050
90614.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
90615
90616.xword 0x80604020
90617.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
90618
90619.xword 0x00287850
90620.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (38)
90621
90622.xword 0x00287850
90623.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (38)
90624
90625.xword 0x78285050
90626.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (38)
90627
90628.xword 0x64500000003c0000
90629.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
90630
90631.xword 0x7766000000554422
90632.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
90633
90634.xword 0x706200000054462a
90635.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
90636
90637.xword 0x784d005800423721
90638.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
90639
90640.xword 0x804d006000504020
90641.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
90642
90643.xword 0x0000006000408020
90644.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
90645
90646.xword 0
90647.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (38)
90648
90649.xword 0
90650.xword 0x00020089 | (6 << 18) !ma_ctl_Ld (39)
90651
90652.xword 0
90653.xword 0x00020145 | (6 << 18) !ma_ctl_St (39)
90654
90655.xword 0x80604020
90656.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (39)
90657
90658.xword 0x356a
90659.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (39)
90660
90661.xword 0x0000006000408020
90662.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
90663
90664.xword 0x6a35
90665.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
90666
90667.xword 0x78285050
90668.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
90669
90670.xword 0x80604020
90671.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
90672
90673.xword 0x00287850
90674.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (39)
90675
90676.xword 0x00287850
90677.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (39)
90678
90679.xword 0x78285050
90680.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
90681
90682.xword 0x64500000003c0000
90683.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
90684
90685.xword 0x7766000000554422
90686.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
90687
90688.xword 0x706200000054462a
90689.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
90690
90691.xword 0x784d005800423721
90692.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
90693
90694.xword 0x804d006000504020
90695.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
90696
90697.xword 0x0000006000408020
90698.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
90699
90700.xword 0
90701.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (39)
90702
90703.xword 0
90704.xword 0x00020090 | (6 << 18) !ma_ctl_Ld (40)
90705
90706.xword 0
90707.xword 0x00020144 | (6 << 18) !ma_ctl_St (40)
90708
90709.xword 0x80604020
90710.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (40)
90711
90712.xword 0x356a
90713.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (40)
90714
90715.xword 0x0000006000408020
90716.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
90717
90718.xword 0x6a35
90719.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
90720
90721.xword 0x78285050
90722.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
90723
90724.xword 0x80604020
90725.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
90726
90727.xword 0x00287850
90728.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (40)
90729
90730.xword 0x00287850
90731.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (40)
90732
90733.xword 0x78285050
90734.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (40)
90735
90736.xword 0x64500000003c0000
90737.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
90738
90739.xword 0x7766000000554422
90740.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
90741
90742.xword 0x706200000054462a
90743.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
90744
90745.xword 0x784d005800423721
90746.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
90747
90748.xword 0x804d006000504020
90749.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
90750
90751.xword 0x0000006000408020
90752.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
90753
90754.xword 0
90755.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (40)
90756
90757.xword 0
90758.xword 0x0002003a | (6 << 18) !ma_ctl_Ld (41)
90759
90760.xword 0
90761.xword 0x00020182 | (6 << 18) !ma_ctl_St (41)
90762
90763.xword 0x80604020
90764.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (41)
90765
90766.xword 0x356a
90767.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (41)
90768
90769.xword 0x0000006000408020
90770.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
90771
90772.xword 0x6a35
90773.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
90774
90775.xword 0x78285050
90776.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
90777
90778.xword 0x80604020
90779.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
90780
90781.xword 0x00287850
90782.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (41)
90783
90784.xword 0x00287850
90785.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (41)
90786
90787.xword 0x78285050
90788.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (41)
90789
90790.xword 0x64500000003c0000
90791.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
90792
90793.xword 0x7766000000554422
90794.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
90795
90796.xword 0x706200000054462a
90797.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
90798
90799.xword 0x784d005800423721
90800.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
90801
90802.xword 0x804d006000504020
90803.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
90804
90805.xword 0x0000006000408020
90806.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
90807
90808.xword 0
90809.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (41)
90810
90811.xword 0
90812.xword 0x0002002e | (6 << 18) !ma_ctl_Ld (42)
90813
90814.xword 0
90815.xword 0x0002012b | (6 << 18) !ma_ctl_St (42)
90816
90817.xword 0x80604020
90818.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (42)
90819
90820.xword 0x356a
90821.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (42)
90822
90823.xword 0x0000006000408020
90824.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
90825
90826.xword 0x6a35
90827.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
90828
90829.xword 0x78285050
90830.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
90831
90832.xword 0x80604020
90833.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
90834
90835.xword 0x00287850
90836.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (42)
90837
90838.xword 0x00287850
90839.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (42)
90840
90841.xword 0x78285050
90842.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (42)
90843
90844.xword 0x64500000003c0000
90845.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
90846
90847.xword 0x7766000000554422
90848.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
90849
90850.xword 0x706200000054462a
90851.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
90852
90853.xword 0x784d005800423721
90854.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
90855
90856.xword 0x804d006000504020
90857.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
90858
90859.xword 0x0000006000408020
90860.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
90861
90862.xword 0
90863.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (42)
90864
90865.xword 0
90866.xword 0x00020085 | (6 << 18) !ma_ctl_Ld (43)
90867
90868.xword 0
90869.xword 0x0002016d | (6 << 18) !ma_ctl_St (43)
90870
90871.xword 0x80604020
90872.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (43)
90873
90874.xword 0x356a
90875.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (43)
90876
90877.xword 0x0000006000408020
90878.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
90879
90880.xword 0x6a35
90881.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
90882
90883.xword 0x78285050
90884.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
90885
90886.xword 0x80604020
90887.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
90888
90889.xword 0x00287850
90890.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (43)
90891
90892.xword 0x00287850
90893.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (43)
90894
90895.xword 0x78285050
90896.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (43)
90897
90898.xword 0x64500000003c0000
90899.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
90900
90901.xword 0x7766000000554422
90902.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
90903
90904.xword 0x706200000054462a
90905.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
90906
90907.xword 0x784d005800423721
90908.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
90909
90910.xword 0x804d006000504020
90911.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
90912
90913.xword 0x0000006000408020
90914.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
90915
90916.xword 0
90917.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (43)
90918
90919.xword 0
90920.xword 0x00020065 | (6 << 18) !ma_ctl_Ld (44)
90921
90922.xword 0
90923.xword 0x00020138 | (6 << 18) !ma_ctl_St (44)
90924
90925.xword 0x80604020
90926.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (44)
90927
90928.xword 0x356a
90929.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (44)
90930
90931.xword 0x0000006000408020
90932.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
90933
90934.xword 0x6a35
90935.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
90936
90937.xword 0x78285050
90938.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
90939
90940.xword 0x80604020
90941.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
90942
90943.xword 0x00287850
90944.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (44)
90945
90946.xword 0x00287850
90947.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (44)
90948
90949.xword 0x78285050
90950.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (44)
90951
90952.xword 0x64500000003c0000
90953.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
90954
90955.xword 0x7766000000554422
90956.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
90957
90958.xword 0x706200000054462a
90959.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
90960
90961.xword 0x784d005800423721
90962.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
90963
90964.xword 0x804d006000504020
90965.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
90966
90967.xword 0x0000006000408020
90968.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
90969
90970.xword 0
90971.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (44)
90972
90973.xword 0
90974.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (45)
90975
90976.xword 0
90977.xword 0x0002017a | (6 << 18) !ma_ctl_St (45)
90978
90979.xword 0x80604020
90980.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (45)
90981
90982.xword 0x356a
90983.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (45)
90984
90985.xword 0x0000006000408020
90986.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
90987
90988.xword 0x6a35
90989.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
90990
90991.xword 0x78285050
90992.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
90993
90994.xword 0x80604020
90995.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
90996
90997.xword 0x00287850
90998.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (45)
90999
91000.xword 0x00287850
91001.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (45)
91002
91003.xword 0x78285050
91004.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (45)
91005
91006.xword 0x64500000003c0000
91007.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
91008
91009.xword 0x7766000000554422
91010.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
91011
91012.xword 0x706200000054462a
91013.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
91014
91015.xword 0x784d005800423721
91016.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
91017
91018.xword 0x804d006000504020
91019.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
91020
91021.xword 0x0000006000408020
91022.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
91023
91024.xword 0
91025.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (45)
91026
91027.xword 0
91028.xword 0x00020031 | (6 << 18) !ma_ctl_Ld (46)
91029
91030.xword 0
91031.xword 0x0002012b | (6 << 18) !ma_ctl_St (46)
91032
91033.xword 0x80604020
91034.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (46)
91035
91036.xword 0x356a
91037.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (46)
91038
91039.xword 0x0000006000408020
91040.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
91041
91042.xword 0x6a35
91043.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
91044
91045.xword 0x78285050
91046.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
91047
91048.xword 0x80604020
91049.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
91050
91051.xword 0x00287850
91052.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (46)
91053
91054.xword 0x00287850
91055.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (46)
91056
91057.xword 0x78285050
91058.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (46)
91059
91060.xword 0x64500000003c0000
91061.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
91062
91063.xword 0x7766000000554422
91064.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
91065
91066.xword 0x706200000054462a
91067.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
91068
91069.xword 0x784d005800423721
91070.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
91071
91072.xword 0x804d006000504020
91073.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
91074
91075.xword 0x0000006000408020
91076.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
91077
91078.xword 0
91079.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (46)
91080
91081.xword 0
91082.xword 0x00020037 | (6 << 18) !ma_ctl_Ld (47)
91083
91084.xword 0
91085.xword 0x00020170 | (6 << 18) !ma_ctl_St (47)
91086
91087.xword 0x80604020
91088.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (47)
91089
91090.xword 0x356a
91091.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (47)
91092
91093.xword 0x0000006000408020
91094.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
91095
91096.xword 0x6a35
91097.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
91098
91099.xword 0x78285050
91100.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
91101
91102.xword 0x80604020
91103.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
91104
91105.xword 0x00287850
91106.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (47)
91107
91108.xword 0x00287850
91109.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (47)
91110
91111.xword 0x78285050
91112.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (47)
91113
91114.xword 0x64500000003c0000
91115.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
91116
91117.xword 0x7766000000554422
91118.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
91119
91120.xword 0x706200000054462a
91121.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
91122
91123.xword 0x784d005800423721
91124.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
91125
91126.xword 0x804d006000504020
91127.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
91128
91129.xword 0x0000006000408020
91130.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
91131
91132.xword 0
91133.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (47)
91134
91135.xword 0
91136.xword 0x00020042 | (6 << 18) !ma_ctl_Ld (48)
91137
91138.xword 0
91139.xword 0x0002017a | (6 << 18) !ma_ctl_St (48)
91140
91141.xword 0x80604020
91142.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (48)
91143
91144.xword 0x356a
91145.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (48)
91146
91147.xword 0x0000006000408020
91148.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
91149
91150.xword 0x6a35
91151.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
91152
91153.xword 0x78285050
91154.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
91155
91156.xword 0x80604020
91157.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
91158
91159.xword 0x00287850
91160.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (48)
91161
91162.xword 0x00287850
91163.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (48)
91164
91165.xword 0x78285050
91166.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (48)
91167
91168.xword 0x64500000003c0000
91169.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
91170
91171.xword 0x7766000000554422
91172.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
91173
91174.xword 0x706200000054462a
91175.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
91176
91177.xword 0x784d005800423721
91178.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
91179
91180.xword 0x804d006000504020
91181.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
91182
91183.xword 0x0000006000408020
91184.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
91185
91186.xword 0
91187.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (48)
91188
91189.xword 0
91190.xword 0x0002007f | (6 << 18) !ma_ctl_Ld (49)
91191
91192.xword 0
91193.xword 0x00020154 | (6 << 18) !ma_ctl_St (49)
91194
91195.xword 0x80604020
91196.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (49)
91197
91198.xword 0x356a
91199.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (49)
91200
91201.xword 0x0000006000408020
91202.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
91203
91204.xword 0x6a35
91205.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
91206
91207.xword 0x78285050
91208.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
91209
91210.xword 0x80604020
91211.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
91212
91213.xword 0x00287850
91214.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (49)
91215
91216.xword 0x00287850
91217.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (49)
91218
91219.xword 0x78285050
91220.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (49)
91221
91222.xword 0x64500000003c0000
91223.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
91224
91225.xword 0x7766000000554422
91226.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
91227
91228.xword 0x706200000054462a
91229.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
91230
91231.xword 0x784d005800423721
91232.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
91233
91234.xword 0x804d006000504020
91235.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
91236
91237.xword 0x0000006000408020
91238.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
91239
91240.xword 0
91241.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (49)
91242
91243.xword 0
91244.xword 0x0002009e | (6 << 18) !ma_ctl_Ld (50)
91245
91246.xword 0
91247.xword 0x00020158 | (6 << 18) !ma_ctl_St (50)
91248
91249.xword 0x80604020
91250.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (50)
91251
91252.xword 0x356a
91253.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (50)
91254
91255.xword 0x0000006000408020
91256.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
91257
91258.xword 0x6a35
91259.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
91260
91261.xword 0x78285050
91262.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
91263
91264.xword 0x80604020
91265.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
91266
91267.xword 0x00287850
91268.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (50)
91269
91270.xword 0x00287850
91271.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (50)
91272
91273.xword 0x78285050
91274.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (50)
91275
91276.xword 0x64500000003c0000
91277.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
91278
91279.xword 0x7766000000554422
91280.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
91281
91282.xword 0x706200000054462a
91283.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
91284
91285.xword 0x784d005800423721
91286.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
91287
91288.xword 0x804d006000504020
91289.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
91290
91291.xword 0x0000006000408020
91292.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
91293
91294.xword 0
91295.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (50)
91296
91297.xword 0
91298.xword 0x00020074 | (6 << 18) !ma_ctl_Ld (51)
91299
91300.xword 0
91301.xword 0x00020175 | (6 << 18) !ma_ctl_St (51)
91302
91303.xword 0x80604020
91304.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (51)
91305
91306.xword 0x356a
91307.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (51)
91308
91309.xword 0x0000006000408020
91310.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
91311
91312.xword 0x6a35
91313.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
91314
91315.xword 0x78285050
91316.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
91317
91318.xword 0x80604020
91319.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
91320
91321.xword 0x00287850
91322.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (51)
91323
91324.xword 0x00287850
91325.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (51)
91326
91327.xword 0x78285050
91328.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (51)
91329
91330.xword 0x64500000003c0000
91331.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
91332
91333.xword 0x7766000000554422
91334.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
91335
91336.xword 0x706200000054462a
91337.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
91338
91339.xword 0x784d005800423721
91340.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
91341
91342.xword 0x804d006000504020
91343.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
91344
91345.xword 0x0000006000408020
91346.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
91347
91348.xword 0
91349.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (51)
91350
91351.xword 0
91352.xword 0x00020066 | (6 << 18) !ma_ctl_Ld (52)
91353
91354.xword 0
91355.xword 0x0002014a | (6 << 18) !ma_ctl_St (52)
91356
91357.xword 0x80604020
91358.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (52)
91359
91360.xword 0x356a
91361.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (52)
91362
91363.xword 0x0000006000408020
91364.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
91365
91366.xword 0x6a35
91367.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
91368
91369.xword 0x78285050
91370.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
91371
91372.xword 0x80604020
91373.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
91374
91375.xword 0x00287850
91376.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (52)
91377
91378.xword 0x00287850
91379.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (52)
91380
91381.xword 0x78285050
91382.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (52)
91383
91384.xword 0x64500000003c0000
91385.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
91386
91387.xword 0x7766000000554422
91388.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
91389
91390.xword 0x706200000054462a
91391.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
91392
91393.xword 0x784d005800423721
91394.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
91395
91396.xword 0x804d006000504020
91397.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
91398
91399.xword 0x0000006000408020
91400.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
91401
91402.xword 0
91403.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (52)
91404
91405.xword 0
91406.xword 0x00020043 | (6 << 18) !ma_ctl_Ld (53)
91407
91408.xword 0
91409.xword 0x00020127 | (6 << 18) !ma_ctl_St (53)
91410
91411.xword 0x80604020
91412.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (53)
91413
91414.xword 0x356a
91415.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (53)
91416
91417.xword 0x0000006000408020
91418.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
91419
91420.xword 0x6a35
91421.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
91422
91423.xword 0x78285050
91424.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
91425
91426.xword 0x80604020
91427.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
91428
91429.xword 0x00287850
91430.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (53)
91431
91432.xword 0x00287850
91433.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (53)
91434
91435.xword 0x78285050
91436.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (53)
91437
91438.xword 0x64500000003c0000
91439.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
91440
91441.xword 0x7766000000554422
91442.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
91443
91444.xword 0x706200000054462a
91445.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
91446
91447.xword 0x784d005800423721
91448.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
91449
91450.xword 0x804d006000504020
91451.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
91452
91453.xword 0x0000006000408020
91454.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
91455
91456.xword 0
91457.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (53)
91458
91459.xword 0
91460.xword 0x00020049 | (6 << 18) !ma_ctl_Ld (54)
91461
91462.xword 0
91463.xword 0x0002011f | (6 << 18) !ma_ctl_St (54)
91464
91465.xword 0x80604020
91466.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (54)
91467
91468.xword 0x356a
91469.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (54)
91470
91471.xword 0x0000006000408020
91472.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
91473
91474.xword 0x6a35
91475.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
91476
91477.xword 0x78285050
91478.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
91479
91480.xword 0x80604020
91481.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
91482
91483.xword 0x00287850
91484.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (54)
91485
91486.xword 0x00287850
91487.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (54)
91488
91489.xword 0x78285050
91490.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (54)
91491
91492.xword 0x64500000003c0000
91493.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
91494
91495.xword 0x7766000000554422
91496.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
91497
91498.xword 0x706200000054462a
91499.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
91500
91501.xword 0x784d005800423721
91502.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
91503
91504.xword 0x804d006000504020
91505.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
91506
91507.xword 0x0000006000408020
91508.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
91509
91510.xword 0
91511.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (54)
91512
91513.xword 0
91514.xword 0x0002004c | (6 << 18) !ma_ctl_Ld (55)
91515
91516.xword 0
91517.xword 0x0002017c | (6 << 18) !ma_ctl_St (55)
91518
91519.xword 0x80604020
91520.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (55)
91521
91522.xword 0x356a
91523.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (55)
91524
91525.xword 0x0000006000408020
91526.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
91527
91528.xword 0x6a35
91529.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
91530
91531.xword 0x78285050
91532.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
91533
91534.xword 0x80604020
91535.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
91536
91537.xword 0x00287850
91538.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (55)
91539
91540.xword 0x00287850
91541.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (55)
91542
91543.xword 0x78285050
91544.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (55)
91545
91546.xword 0x64500000003c0000
91547.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
91548
91549.xword 0x7766000000554422
91550.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
91551
91552.xword 0x706200000054462a
91553.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
91554
91555.xword 0x784d005800423721
91556.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
91557
91558.xword 0x804d006000504020
91559.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
91560
91561.xword 0x0000006000408020
91562.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
91563
91564.xword 0
91565.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (55)
91566
91567.xword 0
91568.xword 0x00020048 | (6 << 18) !ma_ctl_Ld (56)
91569
91570.xword 0
91571.xword 0x00020156 | (6 << 18) !ma_ctl_St (56)
91572
91573.xword 0x80604020
91574.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (56)
91575
91576.xword 0x356a
91577.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (56)
91578
91579.xword 0x0000006000408020
91580.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
91581
91582.xword 0x6a35
91583.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
91584
91585.xword 0x78285050
91586.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
91587
91588.xword 0x80604020
91589.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
91590
91591.xword 0x00287850
91592.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (56)
91593
91594.xword 0x00287850
91595.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (56)
91596
91597.xword 0x78285050
91598.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (56)
91599
91600.xword 0x64500000003c0000
91601.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
91602
91603.xword 0x7766000000554422
91604.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
91605
91606.xword 0x706200000054462a
91607.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
91608
91609.xword 0x784d005800423721
91610.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
91611
91612.xword 0x804d006000504020
91613.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
91614
91615.xword 0x0000006000408020
91616.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
91617
91618.xword 0
91619.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (56)
91620
91621.xword 0
91622.xword 0x00020081 | (6 << 18) !ma_ctl_Ld (57)
91623
91624.xword 0
91625.xword 0x0002015f | (6 << 18) !ma_ctl_St (57)
91626
91627.xword 0x80604020
91628.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (57)
91629
91630.xword 0x356a
91631.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (57)
91632
91633.xword 0x0000006000408020
91634.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
91635
91636.xword 0x6a35
91637.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
91638
91639.xword 0x78285050
91640.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
91641
91642.xword 0x80604020
91643.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
91644
91645.xword 0x00287850
91646.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (57)
91647
91648.xword 0x00287850
91649.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (57)
91650
91651.xword 0x78285050
91652.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (57)
91653
91654.xword 0x64500000003c0000
91655.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
91656
91657.xword 0x7766000000554422
91658.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
91659
91660.xword 0x706200000054462a
91661.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
91662
91663.xword 0x784d005800423721
91664.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
91665
91666.xword 0x804d006000504020
91667.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
91668
91669.xword 0x0000006000408020
91670.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
91671
91672.xword 0
91673.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (57)
91674
91675.xword 0
91676.xword 0x0002005b | (6 << 18) !ma_ctl_Ld (58)
91677
91678.xword 0
91679.xword 0x00020146 | (6 << 18) !ma_ctl_St (58)
91680
91681.xword 0x80604020
91682.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (58)
91683
91684.xword 0x356a
91685.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (58)
91686
91687.xword 0x0000006000408020
91688.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
91689
91690.xword 0x6a35
91691.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
91692
91693.xword 0x78285050
91694.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
91695
91696.xword 0x80604020
91697.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
91698
91699.xword 0x00287850
91700.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (58)
91701
91702.xword 0x00287850
91703.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (58)
91704
91705.xword 0x78285050
91706.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (58)
91707
91708.xword 0x64500000003c0000
91709.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
91710
91711.xword 0x7766000000554422
91712.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
91713
91714.xword 0x706200000054462a
91715.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
91716
91717.xword 0x784d005800423721
91718.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
91719
91720.xword 0x804d006000504020
91721.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
91722
91723.xword 0x0000006000408020
91724.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
91725
91726.xword 0
91727.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (58)
91728
91729
91730.align 8
91731.global _t2_ma_results5
91732_t2_ma_results5:
91733.xword 0xDEADBEEFDEADBEEF
91734.xword 0xDEADBEEFDEADBEEF
91735.xword 0xDEADBEEFDEADBEEF
91736.xword 0xDEADBEEFDEADBEEF
91737.xword 0xDEADBEEFDEADBEEF
91738.xword 0xDEADBEEFDEADBEEF
91739.xword 0xDEADBEEFDEADBEEF
91740.xword 0xDEADBEEFDEADBEEF
91741.xword 0xDEADBEEFDEADBEEF
91742.xword 0xDEADBEEFDEADBEEF
91743.xword 0xDEADBEEFDEADBEEF
91744.xword 0xDEADBEEFDEADBEEF
91745.xword 0xDEADBEEFDEADBEEF
91746.xword 0xDEADBEEFDEADBEEF
91747.xword 0xDEADBEEFDEADBEEF
91748.xword 0xDEADBEEFDEADBEEF
91749.xword 0xDEADBEEFDEADBEEF
91750.xword 0xDEADBEEFDEADBEEF
91751.xword 0xDEADBEEFDEADBEEF
91752.xword 0xDEADBEEFDEADBEEF
91753.xword 0xDEADBEEFDEADBEEF
91754.xword 0xDEADBEEFDEADBEEF
91755.xword 0xDEADBEEFDEADBEEF
91756.xword 0xDEADBEEFDEADBEEF
91757.xword 0xDEADBEEFDEADBEEF
91758.xword 0xDEADBEEFDEADBEEF
91759.xword 0xDEADBEEFDEADBEEF
91760.xword 0xDEADBEEFDEADBEEF
91761.xword 0xDEADBEEFDEADBEEF
91762.xword 0xDEADBEEFDEADBEEF
91763.xword 0xDEADBEEFDEADBEEF
91764.xword 0xDEADBEEFDEADBEEF
91765.xword 0xDEADBEEFDEADBEEF
91766.xword 0xDEADBEEFDEADBEEF
91767.xword 0xDEADBEEFDEADBEEF
91768.xword 0xDEADBEEFDEADBEEF
91769.xword 0xDEADBEEFDEADBEEF
91770.xword 0xDEADBEEFDEADBEEF
91771.xword 0xDEADBEEFDEADBEEF
91772.xword 0xDEADBEEFDEADBEEF
91773.xword 0xDEADBEEFDEADBEEF
91774.xword 0xDEADBEEFDEADBEEF
91775.xword 0xDEADBEEFDEADBEEF
91776.xword 0xDEADBEEFDEADBEEF
91777.xword 0xDEADBEEFDEADBEEF
91778.xword 0xDEADBEEFDEADBEEF
91779.xword 0xDEADBEEFDEADBEEF
91780.xword 0xDEADBEEFDEADBEEF
91781.xword 0xDEADBEEFDEADBEEF
91782.xword 0xDEADBEEFDEADBEEF
91783.xword 0xDEADBEEFDEADBEEF
91784.xword 0xDEADBEEFDEADBEEF
91785.xword 0xDEADBEEFDEADBEEF
91786.xword 0xDEADBEEFDEADBEEF
91787.xword 0xDEADBEEFDEADBEEF
91788.xword 0xDEADBEEFDEADBEEF
91789.xword 0xDEADBEEFDEADBEEF
91790.xword 0xDEADBEEFDEADBEEF
91791.xword 0xDEADBEEFDEADBEEF
91792.xword 0xDEADBEEFDEADBEEF
91793.xword 0xDEADBEEFDEADBEEF
91794.xword 0xDEADBEEFDEADBEEF
91795.xword 0xDEADBEEFDEADBEEF
91796.xword 0xDEADBEEFDEADBEEF
91797.xword 0xDEADBEEFDEADBEEF
91798.xword 0xDEADBEEFDEADBEEF
91799.xword 0xDEADBEEFDEADBEEF
91800.xword 0xDEADBEEFDEADBEEF
91801.xword 0xDEADBEEFDEADBEEF
91802.xword 0xDEADBEEFDEADBEEF
91803.xword 0xDEADBEEFDEADBEEF
91804.xword 0xDEADBEEFDEADBEEF
91805.xword 0xDEADBEEFDEADBEEF
91806.xword 0xDEADBEEFDEADBEEF
91807.xword 0xDEADBEEFDEADBEEF
91808.xword 0xDEADBEEFDEADBEEF
91809.xword 0xDEADBEEFDEADBEEF
91810.xword 0xDEADBEEFDEADBEEF
91811.xword 0xDEADBEEFDEADBEEF
91812.xword 0xDEADBEEFDEADBEEF
91813.xword 0xDEADBEEFDEADBEEF
91814.xword 0xDEADBEEFDEADBEEF
91815.xword 0xDEADBEEFDEADBEEF
91816.xword 0xDEADBEEFDEADBEEF
91817.xword 0xDEADBEEFDEADBEEF
91818.xword 0xDEADBEEFDEADBEEF
91819.xword 0xDEADBEEFDEADBEEF
91820.xword 0xDEADBEEFDEADBEEF
91821.xword 0xDEADBEEFDEADBEEF
91822.xword 0xDEADBEEFDEADBEEF
91823.xword 0xDEADBEEFDEADBEEF
91824.xword 0xDEADBEEFDEADBEEF
91825.xword 0xDEADBEEFDEADBEEF
91826.xword 0xDEADBEEFDEADBEEF
91827.xword 0xDEADBEEFDEADBEEF
91828.xword 0xDEADBEEFDEADBEEF
91829.xword 0xDEADBEEFDEADBEEF
91830.xword 0xDEADBEEFDEADBEEF
91831.xword 0xDEADBEEFDEADBEEF
91832.xword 0xDEADBEEFDEADBEEF
91833.xword 0xDEADBEEFDEADBEEF
91834.xword 0xDEADBEEFDEADBEEF
91835.xword 0xDEADBEEFDEADBEEF
91836.xword 0xDEADBEEFDEADBEEF
91837.xword 0xDEADBEEFDEADBEEF
91838.xword 0xDEADBEEFDEADBEEF
91839.xword 0xDEADBEEFDEADBEEF
91840.xword 0xDEADBEEFDEADBEEF
91841.xword 0xDEADBEEFDEADBEEF
91842.xword 0xDEADBEEFDEADBEEF
91843.xword 0xDEADBEEFDEADBEEF
91844.xword 0xDEADBEEFDEADBEEF
91845.xword 0xDEADBEEFDEADBEEF
91846.xword 0xDEADBEEFDEADBEEF
91847.xword 0xDEADBEEFDEADBEEF
91848.xword 0xDEADBEEFDEADBEEF
91849.xword 0xDEADBEEFDEADBEEF
91850.xword 0xDEADBEEFDEADBEEF
91851.xword 0xDEADBEEFDEADBEEF
91852.xword 0xDEADBEEFDEADBEEF
91853.xword 0xDEADBEEFDEADBEEF
91854.xword 0xDEADBEEFDEADBEEF
91855.xword 0xDEADBEEFDEADBEEF
91856.xword 0xDEADBEEFDEADBEEF
91857.xword 0xDEADBEEFDEADBEEF
91858.xword 0xDEADBEEFDEADBEEF
91859.xword 0xDEADBEEFDEADBEEF
91860.xword 0xDEADBEEFDEADBEEF
91861.xword 0xDEADBEEFDEADBEEF
91862.xword 0xDEADBEEFDEADBEEF
91863.xword 0xDEADBEEFDEADBEEF
91864.xword 0xDEADBEEFDEADBEEF
91865.xword 0xDEADBEEFDEADBEEF
91866.xword 0xDEADBEEFDEADBEEF
91867.xword 0xDEADBEEFDEADBEEF
91868.xword 0xDEADBEEFDEADBEEF
91869.xword 0xDEADBEEFDEADBEEF
91870.xword 0xDEADBEEFDEADBEEF
91871.xword 0xDEADBEEFDEADBEEF
91872.xword 0xDEADBEEFDEADBEEF
91873.xword 0xDEADBEEFDEADBEEF
91874.xword 0xDEADBEEFDEADBEEF
91875.xword 0xDEADBEEFDEADBEEF
91876.xword 0xDEADBEEFDEADBEEF
91877.xword 0xDEADBEEFDEADBEEF
91878.xword 0xDEADBEEFDEADBEEF
91879.xword 0xDEADBEEFDEADBEEF
91880.xword 0xDEADBEEFDEADBEEF
91881.xword 0xDEADBEEFDEADBEEF
91882.xword 0xDEADBEEFDEADBEEF
91883.xword 0xDEADBEEFDEADBEEF
91884.xword 0xDEADBEEFDEADBEEF
91885.xword 0xDEADBEEFDEADBEEF
91886.xword 0xDEADBEEFDEADBEEF
91887.xword 0xDEADBEEFDEADBEEF
91888.xword 0xDEADBEEFDEADBEEF
91889.xword 0xDEADBEEFDEADBEEF
91890.xword 0xDEADBEEFDEADBEEF
91891.xword 0xDEADBEEFDEADBEEF
91892.xword 0xDEADBEEFDEADBEEF
91893.xword 0xDEADBEEFDEADBEEF
91894.xword 0xDEADBEEFDEADBEEF
91895.xword 0xDEADBEEFDEADBEEF
91896.xword 0xDEADBEEFDEADBEEF
91897SECTION ._t2_T_MAX_DATA6 DATA_VA=274726912
91898attr_data {
91899 Name = ._t2_T_MAX_DATA6
91900 hypervisor
91901}
91902
91903.data
91904_t2_user_data_start:
91905_t2_scratch_area:
91906
91907.align 8
91908.global _t2_ma_operands6
91909_t2_ma_operands6:
91910.xword 0xf3cdd886ce617e2b
91911.xword 0x35a1e57ce184e528
91912.xword 0x3b9bf426d5df4ced
91913.xword 0x41f6d29cb8d1797f
91914.xword 0xcda5d92e1b388343
91915.xword 0x024fa9ed3c1ee8a0
91916.xword 0xf5d9f1203659ae6d
91917.xword 0xcd9d730413ff2f65
91918.xword 0x7843b7ba60bcab92
91919.xword 0xb73adb73c27a7361
91920.xword 0x82717a7740177c8b
91921.xword 0x8b6f2c5e48409317
91922.xword 0xfd708404cf026506
91923.xword 0xc5f5c74f1aa29635
91924.xword 0x3179868968fd9574
91925.xword 0xfc7b5bf2eb66395c
91926.xword 0xd1ff4c4d6d102f67
91927.xword 0xe74685ae70fca1d7
91928.xword 0xc20d3d54894caf09
91929.xword 0x5d878a3c10b34a90
91930.xword 0xe059e8122a274e6e
91931.xword 0x01213ff240801a25
91932.xword 0xbae8e3a655ff5c9e
91933.xword 0xc4ddae4eeb0eaab9
91934.xword 0x23c422f0ff205223
91935.xword 0x157a2d0d835212a7
91936.xword 0x11af136f495464f9
91937.xword 0x4664bf5f9f0e5837
91938.xword 0x5540d341641276ce
91939.xword 0xb62a28e4d169c2c6
91940.xword 0xc40d4dbe983600bf
91941.xword 0xd48573181f3751fa
91942.xword 0xbc4877882ce9fc5a
91943.xword 0xcbf7d4bf86d26fef
91944.xword 0xd00865101eaa371d
91945.xword 0x4e2cc04c39757973
91946.xword 0xe0706601d3d380c8
91947.xword 0x0709dff0f1c12c79
91948.xword 0x904dac5127c7de6b
91949.xword 0x4314d19e22947da4
91950.xword 0x3b1669dea9e207b7
91951.xword 0x09d26674556dfc76
91952.xword 0x9990f24f32322b81
91953.xword 0x161bc4d2adc0f86f
91954.xword 0x3914877df07e4c3b
91955.xword 0x4fe78c6a4cb2092f
91956.xword 0xc5842a3913a85030
91957.xword 0xcf13973750b23e32
91958.xword 0xa1ef17044fa6fb7a
91959.xword 0xf3bfa1cfce150c7f
91960.xword 0xc2f7a21f0737a389
91961.xword 0x4ea95f32e39493cd
91962.xword 0x99c2f5eb489eeb3b
91963.xword 0x7abe9759374c0dfb
91964.xword 0xc03fb6b5415939b9
91965.xword 0x8b03b8403b1415f6
91966.xword 0xa89ada0a90e71f54
91967.xword 0x3d8145b473c1416b
91968.xword 0x27e9c0c92923b202
91969.xword 0xe6c612bcc168bc10
91970.xword 0xf9df9299eb13bf1f
91971.xword 0x9a5ad35f7b029dd8
91972.xword 0x31cad183ebfd515e
91973.xword 0xa83a060d09e2e98a
91974.xword 0x266b380a1d930f71
91975.xword 0x7c03a27ef8708417
91976.xword 0x3db82d98f3c48d92
91977.xword 0xb6a151dad1db2d36
91978.xword 0xf88c2242c4569d03
91979.xword 0x15dae13db2986d27
91980.xword 0x0e9fb5c957864582
91981.xword 0x73b125e627ec1e18
91982.xword 0x09aaa041b0ed386f
91983.xword 0xd0f3db2c7b113d95
91984.xword 0x86622cae8dadb7a3
91985.xword 0xdf5a06df2bfde98b
91986.xword 0x837e314c658e01a4
91987.xword 0x7a8bc51bbb30d1b6
91988.xword 0x5d6a10831eb2f899
91989.xword 0x28c0d1dfafd29264
91990.xword 0x823d47d523eaec72
91991.xword 0x7ca59e52fc8740aa
91992.xword 0x13e106f51ab54834
91993.xword 0xbbffb38b1f6b76c1
91994.xword 0x56ecfa5ec5e84622
91995.xword 0x98b01647373c403c
91996.xword 0xf085b3e6c2411103
91997.xword 0x4cf332c97ae44930
91998.xword 0xf0bfc62cb404e40c
91999.xword 0x373d779888347781
92000.xword 0xed3862ddddb65da1
92001.xword 0xdcf13f931eeac210
92002.xword 0xbb22bafa0d65c462
92003.xword 0x30ff1312fa8634c8
92004.xword 0x365885db0b46913a
92005.xword 0x6cbb57c1205ecd07
92006.xword 0xc70efeb0c5b4bb04
92007.xword 0x1d44568077a6717d
92008.xword 0x16b1709c75b02f9a
92009.xword 0xaaede90e240c24e1
92010.xword 0x58c579ea4523f6ea
92011.xword 0x2a0d461a6dafbbcf
92012.xword 0xda1e8db31c5782e8
92013.xword 0x5f6eea703289b37d
92014.xword 0x87a61da5b412b65d
92015.xword 0x2baefb76f75dfe57
92016.xword 0x81a4723a2ed5e9aa
92017.xword 0x30b88345e5a90804
92018.xword 0xf7b3390cf375825c
92019.xword 0x9d760fda19fd352d
92020.xword 0xce96c41f1d255320
92021.xword 0xc0d0b089dc2f6c57
92022.xword 0xbdc1d05223b5863e
92023.xword 0x5671427d211b1eae
92024.xword 0xf22480b556264f81
92025.xword 0x2ce8aa9a56b73154
92026.xword 0x3c9deb00fc72ce1f
92027.xword 0x0d03624a896f39c3
92028.xword 0x9cf0c952e0bde308
92029.xword 0xe0888194d1d59b5f
92030.xword 0x42082fbba883cf17
92031.xword 0xc4b9a64781066d9d
92032.xword 0xae7721e983637ef3
92033.xword 0x1e9c6d0692121996
92034.xword 0x0851897a87a5c1d2
92035.xword 0x935439fb9fe6a0ff
92036.xword 0x5024d75a5212cda1
92037.xword 0xd330a81dd5688b94
92038.xword 0xf58949b3396fdb18
92039.xword 0x3181ab064241f2b9
92040.xword 0xda3b6739ab09cab7
92041.xword 0x38b0044acac8f70f
92042.xword 0x69aaf67a9b8b8155
92043.xword 0xe71db4148a97ebed
92044.xword 0xb98bc88c8e290506
92045.xword 0xe95c803c0011f774
92046.xword 0x683e4fb2200aca34
92047.xword 0x5e4e8de4b2233a66
92048.xword 0xefa2a649be906b96
92049.xword 0x5c8f2be45fbb81f1
92050.xword 0x45b67f055387da71
92051.xword 0x1871110e2173afa2
92052.xword 0x9f567e9ec5d11e15
92053.xword 0x6e270eddcc4a6204
92054.xword 0xd7fb6339fdb4cc63
92055.xword 0x63f2f74b5d72cb4d
92056.xword 0x90fc00b439df0221
92057.xword 0x0e02dac40e8a391d
92058.xword 0x7e48bc2baedd4a4c
92059.xword 0x41c76f8d78ae5919
92060.xword 0x1611a9e18478ed90
92061.xword 0x612293e716c20b0a
92062.xword 0x2ae3e6e6b45c46c6
92063.xword 0x311573e1ead73940
92064.xword 0xc1e1003a44e646d4
92065.xword 0x577f31523fcc430b
92066.xword 0xc6c3480c2c3beffb
92067.xword 0xf9b3369642360b74
92068.xword 0xf7a4f7c581b77c97
92069.xword 0xfb95bde388d4fe0f
92070.xword 0x4299236d99bb06a5
92071.xword 0x17db9c572ad09a6e
92072.xword 0x98b8bbdb6af3b5ff
92073.xword 0xe3c2209412da6236
92074
92075.align 8
92076.global _t2_ma_operations6
92077_t2_ma_operations6:
92078.xword 0
92079.xword 0x00020075 | (6 << 18) !ma_ctl_Ld (0)
92080
92081.xword 0
92082.xword 0x00020120 | (6 << 18) !ma_ctl_St (0)
92083
92084.xword 0x80604020
92085.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (0)
92086
92087.xword 0x356a
92088.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (0)
92089
92090.xword 0x0000006000408020
92091.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
92092
92093.xword 0x6a35
92094.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
92095
92096.xword 0x78285050
92097.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
92098
92099.xword 0x80604020
92100.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
92101
92102.xword 0x00287850
92103.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (0)
92104
92105.xword 0x00287850
92106.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (0)
92107
92108.xword 0x78285050
92109.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (0)
92110
92111.xword 0x64500000003c0000
92112.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
92113
92114.xword 0x7766000000554422
92115.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
92116
92117.xword 0x706200000054462a
92118.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
92119
92120.xword 0x784d005800423721
92121.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
92122
92123.xword 0x804d006000504020
92124.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
92125
92126.xword 0x0000006000408020
92127.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
92128
92129.xword 0
92130.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (0)
92131
92132.xword 0
92133.xword 0x00020081 | (6 << 18) !ma_ctl_Ld (1)
92134
92135.xword 0
92136.xword 0x00020163 | (6 << 18) !ma_ctl_St (1)
92137
92138.xword 0x80604020
92139.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (1)
92140
92141.xword 0x356a
92142.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (1)
92143
92144.xword 0x0000006000408020
92145.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
92146
92147.xword 0x6a35
92148.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
92149
92150.xword 0x78285050
92151.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
92152
92153.xword 0x80604020
92154.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
92155
92156.xword 0x00287850
92157.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (1)
92158
92159.xword 0x00287850
92160.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (1)
92161
92162.xword 0x78285050
92163.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (1)
92164
92165.xword 0x64500000003c0000
92166.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
92167
92168.xword 0x7766000000554422
92169.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
92170
92171.xword 0x706200000054462a
92172.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
92173
92174.xword 0x784d005800423721
92175.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
92176
92177.xword 0x804d006000504020
92178.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
92179
92180.xword 0x0000006000408020
92181.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
92182
92183.xword 0
92184.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (1)
92185
92186.xword 0
92187.xword 0x0002002c | (6 << 18) !ma_ctl_Ld (2)
92188
92189.xword 0
92190.xword 0x00020148 | (6 << 18) !ma_ctl_St (2)
92191
92192.xword 0x80604020
92193.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (2)
92194
92195.xword 0x356a
92196.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (2)
92197
92198.xword 0x0000006000408020
92199.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
92200
92201.xword 0x6a35
92202.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
92203
92204.xword 0x78285050
92205.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
92206
92207.xword 0x80604020
92208.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
92209
92210.xword 0x00287850
92211.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (2)
92212
92213.xword 0x00287850
92214.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (2)
92215
92216.xword 0x78285050
92217.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (2)
92218
92219.xword 0x64500000003c0000
92220.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
92221
92222.xword 0x7766000000554422
92223.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
92224
92225.xword 0x706200000054462a
92226.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
92227
92228.xword 0x784d005800423721
92229.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
92230
92231.xword 0x804d006000504020
92232.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
92233
92234.xword 0x0000006000408020
92235.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
92236
92237.xword 0
92238.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (2)
92239
92240.xword 0
92241.xword 0x0002002d | (6 << 18) !ma_ctl_Ld (3)
92242
92243.xword 0
92244.xword 0x00020179 | (6 << 18) !ma_ctl_St (3)
92245
92246.xword 0x80604020
92247.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (3)
92248
92249.xword 0x356a
92250.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (3)
92251
92252.xword 0x0000006000408020
92253.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
92254
92255.xword 0x6a35
92256.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
92257
92258.xword 0x78285050
92259.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
92260
92261.xword 0x80604020
92262.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
92263
92264.xword 0x00287850
92265.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (3)
92266
92267.xword 0x00287850
92268.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (3)
92269
92270.xword 0x78285050
92271.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (3)
92272
92273.xword 0x64500000003c0000
92274.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
92275
92276.xword 0x7766000000554422
92277.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
92278
92279.xword 0x706200000054462a
92280.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
92281
92282.xword 0x784d005800423721
92283.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
92284
92285.xword 0x804d006000504020
92286.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
92287
92288.xword 0x0000006000408020
92289.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
92290
92291.xword 0
92292.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (3)
92293
92294.xword 0
92295.xword 0x00020061 | (6 << 18) !ma_ctl_Ld (4)
92296
92297.xword 0
92298.xword 0x00020125 | (6 << 18) !ma_ctl_St (4)
92299
92300.xword 0x80604020
92301.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (4)
92302
92303.xword 0x356a
92304.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (4)
92305
92306.xword 0x0000006000408020
92307.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
92308
92309.xword 0x6a35
92310.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
92311
92312.xword 0x78285050
92313.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
92314
92315.xword 0x80604020
92316.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
92317
92318.xword 0x00287850
92319.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (4)
92320
92321.xword 0x00287850
92322.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (4)
92323
92324.xword 0x78285050
92325.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (4)
92326
92327.xword 0x64500000003c0000
92328.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
92329
92330.xword 0x7766000000554422
92331.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
92332
92333.xword 0x706200000054462a
92334.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
92335
92336.xword 0x784d005800423721
92337.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
92338
92339.xword 0x804d006000504020
92340.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
92341
92342.xword 0x0000006000408020
92343.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
92344
92345.xword 0
92346.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (4)
92347
92348.xword 0
92349.xword 0x0002003b | (6 << 18) !ma_ctl_Ld (5)
92350
92351.xword 0
92352.xword 0x0002018a | (6 << 18) !ma_ctl_St (5)
92353
92354.xword 0x80604020
92355.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (5)
92356
92357.xword 0x356a
92358.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (5)
92359
92360.xword 0x0000006000408020
92361.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
92362
92363.xword 0x6a35
92364.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
92365
92366.xword 0x78285050
92367.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
92368
92369.xword 0x80604020
92370.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
92371
92372.xword 0x00287850
92373.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (5)
92374
92375.xword 0x00287850
92376.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (5)
92377
92378.xword 0x78285050
92379.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
92380
92381.xword 0x64500000003c0000
92382.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
92383
92384.xword 0x7766000000554422
92385.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
92386
92387.xword 0x706200000054462a
92388.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
92389
92390.xword 0x784d005800423721
92391.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
92392
92393.xword 0x804d006000504020
92394.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
92395
92396.xword 0x0000006000408020
92397.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
92398
92399.xword 0
92400.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (5)
92401
92402.xword 0
92403.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (6)
92404
92405.xword 0
92406.xword 0x00020152 | (6 << 18) !ma_ctl_St (6)
92407
92408.xword 0x80604020
92409.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (6)
92410
92411.xword 0x356a
92412.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (6)
92413
92414.xword 0x0000006000408020
92415.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
92416
92417.xword 0x6a35
92418.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
92419
92420.xword 0x78285050
92421.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
92422
92423.xword 0x80604020
92424.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
92425
92426.xword 0x00287850
92427.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (6)
92428
92429.xword 0x00287850
92430.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (6)
92431
92432.xword 0x78285050
92433.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (6)
92434
92435.xword 0x64500000003c0000
92436.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
92437
92438.xword 0x7766000000554422
92439.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
92440
92441.xword 0x706200000054462a
92442.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
92443
92444.xword 0x784d005800423721
92445.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
92446
92447.xword 0x804d006000504020
92448.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
92449
92450.xword 0x0000006000408020
92451.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
92452
92453.xword 0
92454.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (6)
92455
92456.xword 0
92457.xword 0x00020039 | (6 << 18) !ma_ctl_Ld (7)
92458
92459.xword 0
92460.xword 0x0002014d | (6 << 18) !ma_ctl_St (7)
92461
92462.xword 0x80604020
92463.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (7)
92464
92465.xword 0x356a
92466.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (7)
92467
92468.xword 0x0000006000408020
92469.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
92470
92471.xword 0x6a35
92472.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
92473
92474.xword 0x78285050
92475.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
92476
92477.xword 0x80604020
92478.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
92479
92480.xword 0x00287850
92481.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (7)
92482
92483.xword 0x00287850
92484.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (7)
92485
92486.xword 0x78285050
92487.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (7)
92488
92489.xword 0x64500000003c0000
92490.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
92491
92492.xword 0x7766000000554422
92493.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
92494
92495.xword 0x706200000054462a
92496.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
92497
92498.xword 0x784d005800423721
92499.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
92500
92501.xword 0x804d006000504020
92502.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
92503
92504.xword 0x0000006000408020
92505.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
92506
92507.xword 0
92508.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (7)
92509
92510.xword 0
92511.xword 0x00020033 | (6 << 18) !ma_ctl_Ld (8)
92512
92513.xword 0
92514.xword 0x00020180 | (6 << 18) !ma_ctl_St (8)
92515
92516.xword 0x80604020
92517.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (8)
92518
92519.xword 0x356a
92520.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (8)
92521
92522.xword 0x0000006000408020
92523.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
92524
92525.xword 0x6a35
92526.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
92527
92528.xword 0x78285050
92529.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
92530
92531.xword 0x80604020
92532.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
92533
92534.xword 0x00287850
92535.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (8)
92536
92537.xword 0x00287850
92538.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (8)
92539
92540.xword 0x78285050
92541.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (8)
92542
92543.xword 0x64500000003c0000
92544.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
92545
92546.xword 0x7766000000554422
92547.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
92548
92549.xword 0x706200000054462a
92550.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
92551
92552.xword 0x784d005800423721
92553.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
92554
92555.xword 0x804d006000504020
92556.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
92557
92558.xword 0x0000006000408020
92559.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
92560
92561.xword 0
92562.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (8)
92563
92564.xword 0
92565.xword 0x00020085 | (6 << 18) !ma_ctl_Ld (9)
92566
92567.xword 0
92568.xword 0x00020126 | (6 << 18) !ma_ctl_St (9)
92569
92570.xword 0x80604020
92571.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (9)
92572
92573.xword 0x356a
92574.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
92575
92576.xword 0x0000006000408020
92577.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
92578
92579.xword 0x6a35
92580.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
92581
92582.xword 0x78285050
92583.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
92584
92585.xword 0x80604020
92586.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
92587
92588.xword 0x00287850
92589.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (9)
92590
92591.xword 0x00287850
92592.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (9)
92593
92594.xword 0x78285050
92595.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (9)
92596
92597.xword 0x64500000003c0000
92598.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
92599
92600.xword 0x7766000000554422
92601.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
92602
92603.xword 0x706200000054462a
92604.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
92605
92606.xword 0x784d005800423721
92607.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
92608
92609.xword 0x804d006000504020
92610.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
92611
92612.xword 0x0000006000408020
92613.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
92614
92615.xword 0
92616.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (9)
92617
92618.xword 0
92619.xword 0x00020043 | (6 << 18) !ma_ctl_Ld (10)
92620
92621.xword 0
92622.xword 0x0002015a | (6 << 18) !ma_ctl_St (10)
92623
92624.xword 0x80604020
92625.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (10)
92626
92627.xword 0x356a
92628.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (10)
92629
92630.xword 0x0000006000408020
92631.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
92632
92633.xword 0x6a35
92634.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
92635
92636.xword 0x78285050
92637.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
92638
92639.xword 0x80604020
92640.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
92641
92642.xword 0x00287850
92643.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (10)
92644
92645.xword 0x00287850
92646.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (10)
92647
92648.xword 0x78285050
92649.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (10)
92650
92651.xword 0x64500000003c0000
92652.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
92653
92654.xword 0x7766000000554422
92655.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
92656
92657.xword 0x706200000054462a
92658.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
92659
92660.xword 0x784d005800423721
92661.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
92662
92663.xword 0x804d006000504020
92664.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
92665
92666.xword 0x0000006000408020
92667.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
92668
92669.xword 0
92670.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (10)
92671
92672.xword 0
92673.xword 0x0002006c | (6 << 18) !ma_ctl_Ld (11)
92674
92675.xword 0
92676.xword 0x0002012b | (6 << 18) !ma_ctl_St (11)
92677
92678.xword 0x80604020
92679.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (11)
92680
92681.xword 0x356a
92682.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (11)
92683
92684.xword 0x0000006000408020
92685.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
92686
92687.xword 0x6a35
92688.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
92689
92690.xword 0x78285050
92691.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
92692
92693.xword 0x80604020
92694.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
92695
92696.xword 0x00287850
92697.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (11)
92698
92699.xword 0x00287850
92700.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (11)
92701
92702.xword 0x78285050
92703.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (11)
92704
92705.xword 0x64500000003c0000
92706.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
92707
92708.xword 0x7766000000554422
92709.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
92710
92711.xword 0x706200000054462a
92712.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
92713
92714.xword 0x784d005800423721
92715.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
92716
92717.xword 0x804d006000504020
92718.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
92719
92720.xword 0x0000006000408020
92721.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
92722
92723.xword 0
92724.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (11)
92725
92726.xword 0
92727.xword 0x00020075 | (6 << 18) !ma_ctl_Ld (12)
92728
92729.xword 0
92730.xword 0x00020154 | (6 << 18) !ma_ctl_St (12)
92731
92732.xword 0x80604020
92733.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (12)
92734
92735.xword 0x356a
92736.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (12)
92737
92738.xword 0x0000006000408020
92739.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
92740
92741.xword 0x6a35
92742.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
92743
92744.xword 0x78285050
92745.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
92746
92747.xword 0x80604020
92748.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
92749
92750.xword 0x00287850
92751.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (12)
92752
92753.xword 0x00287850
92754.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (12)
92755
92756.xword 0x78285050
92757.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (12)
92758
92759.xword 0x64500000003c0000
92760.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
92761
92762.xword 0x7766000000554422
92763.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
92764
92765.xword 0x706200000054462a
92766.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
92767
92768.xword 0x784d005800423721
92769.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
92770
92771.xword 0x804d006000504020
92772.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
92773
92774.xword 0x0000006000408020
92775.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
92776
92777.xword 0
92778.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (12)
92779
92780.xword 0
92781.xword 0x00020099 | (6 << 18) !ma_ctl_Ld (13)
92782
92783.xword 0
92784.xword 0x0002013c | (6 << 18) !ma_ctl_St (13)
92785
92786.xword 0x80604020
92787.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (13)
92788
92789.xword 0x356a
92790.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (13)
92791
92792.xword 0x0000006000408020
92793.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
92794
92795.xword 0x6a35
92796.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
92797
92798.xword 0x78285050
92799.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
92800
92801.xword 0x80604020
92802.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
92803
92804.xword 0x00287850
92805.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (13)
92806
92807.xword 0x00287850
92808.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (13)
92809
92810.xword 0x78285050
92811.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (13)
92812
92813.xword 0x64500000003c0000
92814.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
92815
92816.xword 0x7766000000554422
92817.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
92818
92819.xword 0x706200000054462a
92820.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
92821
92822.xword 0x784d005800423721
92823.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
92824
92825.xword 0x804d006000504020
92826.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
92827
92828.xword 0x0000006000408020
92829.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
92830
92831.xword 0
92832.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (13)
92833
92834.xword 0
92835.xword 0x0002009d | (6 << 18) !ma_ctl_Ld (14)
92836
92837.xword 0
92838.xword 0x00020167 | (6 << 18) !ma_ctl_St (14)
92839
92840.xword 0x80604020
92841.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (14)
92842
92843.xword 0x356a
92844.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (14)
92845
92846.xword 0x0000006000408020
92847.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
92848
92849.xword 0x6a35
92850.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
92851
92852.xword 0x78285050
92853.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
92854
92855.xword 0x80604020
92856.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
92857
92858.xword 0x00287850
92859.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (14)
92860
92861.xword 0x00287850
92862.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (14)
92863
92864.xword 0x78285050
92865.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (14)
92866
92867.xword 0x64500000003c0000
92868.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
92869
92870.xword 0x7766000000554422
92871.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
92872
92873.xword 0x706200000054462a
92874.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
92875
92876.xword 0x784d005800423721
92877.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
92878
92879.xword 0x804d006000504020
92880.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
92881
92882.xword 0x0000006000408020
92883.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
92884
92885.xword 0
92886.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (14)
92887
92888.xword 0
92889.xword 0x0002006e | (6 << 18) !ma_ctl_Ld (15)
92890
92891.xword 0
92892.xword 0x0002012d | (6 << 18) !ma_ctl_St (15)
92893
92894.xword 0x80604020
92895.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (15)
92896
92897.xword 0x356a
92898.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (15)
92899
92900.xword 0x0000006000408020
92901.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
92902
92903.xword 0x6a35
92904.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
92905
92906.xword 0x78285050
92907.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
92908
92909.xword 0x80604020
92910.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
92911
92912.xword 0x00287850
92913.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (15)
92914
92915.xword 0x00287850
92916.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (15)
92917
92918.xword 0x78285050
92919.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (15)
92920
92921.xword 0x64500000003c0000
92922.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
92923
92924.xword 0x7766000000554422
92925.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
92926
92927.xword 0x706200000054462a
92928.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
92929
92930.xword 0x784d005800423721
92931.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
92932
92933.xword 0x804d006000504020
92934.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
92935
92936.xword 0x0000006000408020
92937.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
92938
92939.xword 0
92940.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (15)
92941
92942.xword 0
92943.xword 0x00020042 | (6 << 18) !ma_ctl_Ld (16)
92944
92945.xword 0
92946.xword 0x00020135 | (6 << 18) !ma_ctl_St (16)
92947
92948.xword 0x80604020
92949.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (16)
92950
92951.xword 0x356a
92952.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (16)
92953
92954.xword 0x0000006000408020
92955.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
92956
92957.xword 0x6a35
92958.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
92959
92960.xword 0x78285050
92961.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
92962
92963.xword 0x80604020
92964.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
92965
92966.xword 0x00287850
92967.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (16)
92968
92969.xword 0x00287850
92970.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (16)
92971
92972.xword 0x78285050
92973.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (16)
92974
92975.xword 0x64500000003c0000
92976.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
92977
92978.xword 0x7766000000554422
92979.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
92980
92981.xword 0x706200000054462a
92982.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
92983
92984.xword 0x784d005800423721
92985.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
92986
92987.xword 0x804d006000504020
92988.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
92989
92990.xword 0x0000006000408020
92991.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
92992
92993.xword 0
92994.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (16)
92995
92996.xword 0
92997.xword 0x00020091 | (6 << 18) !ma_ctl_Ld (17)
92998
92999.xword 0
93000.xword 0x0002017a | (6 << 18) !ma_ctl_St (17)
93001
93002.xword 0x80604020
93003.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (17)
93004
93005.xword 0x356a
93006.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (17)
93007
93008.xword 0x0000006000408020
93009.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
93010
93011.xword 0x6a35
93012.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
93013
93014.xword 0x78285050
93015.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
93016
93017.xword 0x80604020
93018.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
93019
93020.xword 0x00287850
93021.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (17)
93022
93023.xword 0x00287850
93024.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (17)
93025
93026.xword 0x78285050
93027.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (17)
93028
93029.xword 0x64500000003c0000
93030.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
93031
93032.xword 0x7766000000554422
93033.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
93034
93035.xword 0x706200000054462a
93036.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
93037
93038.xword 0x784d005800423721
93039.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
93040
93041.xword 0x804d006000504020
93042.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
93043
93044.xword 0x0000006000408020
93045.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
93046
93047.xword 0
93048.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (17)
93049
93050.xword 0
93051.xword 0x0002008e | (6 << 18) !ma_ctl_Ld (18)
93052
93053.xword 0
93054.xword 0x00020138 | (6 << 18) !ma_ctl_St (18)
93055
93056.xword 0x80604020
93057.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (18)
93058
93059.xword 0x356a
93060.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (18)
93061
93062.xword 0x0000006000408020
93063.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
93064
93065.xword 0x6a35
93066.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
93067
93068.xword 0x78285050
93069.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
93070
93071.xword 0x80604020
93072.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
93073
93074.xword 0x00287850
93075.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (18)
93076
93077.xword 0x00287850
93078.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (18)
93079
93080.xword 0x78285050
93081.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (18)
93082
93083.xword 0x64500000003c0000
93084.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
93085
93086.xword 0x7766000000554422
93087.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
93088
93089.xword 0x706200000054462a
93090.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
93091
93092.xword 0x784d005800423721
93093.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
93094
93095.xword 0x804d006000504020
93096.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
93097
93098.xword 0x0000006000408020
93099.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
93100
93101.xword 0
93102.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (18)
93103
93104.xword 0
93105.xword 0x0002003d | (6 << 18) !ma_ctl_Ld (19)
93106
93107.xword 0
93108.xword 0x00020125 | (6 << 18) !ma_ctl_St (19)
93109
93110.xword 0x80604020
93111.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (19)
93112
93113.xword 0x356a
93114.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (19)
93115
93116.xword 0x0000006000408020
93117.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
93118
93119.xword 0x6a35
93120.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
93121
93122.xword 0x78285050
93123.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
93124
93125.xword 0x80604020
93126.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
93127
93128.xword 0x00287850
93129.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (19)
93130
93131.xword 0x00287850
93132.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (19)
93133
93134.xword 0x78285050
93135.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
93136
93137.xword 0x64500000003c0000
93138.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
93139
93140.xword 0x7766000000554422
93141.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
93142
93143.xword 0x706200000054462a
93144.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
93145
93146.xword 0x784d005800423721
93147.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
93148
93149.xword 0x804d006000504020
93150.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
93151
93152.xword 0x0000006000408020
93153.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
93154
93155.xword 0
93156.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (19)
93157
93158.xword 0
93159.xword 0x00020072 | (6 << 18) !ma_ctl_Ld (20)
93160
93161.xword 0
93162.xword 0x0002011f | (6 << 18) !ma_ctl_St (20)
93163
93164.xword 0x80604020
93165.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (20)
93166
93167.xword 0x356a
93168.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (20)
93169
93170.xword 0x0000006000408020
93171.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
93172
93173.xword 0x6a35
93174.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
93175
93176.xword 0x78285050
93177.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
93178
93179.xword 0x80604020
93180.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
93181
93182.xword 0x00287850
93183.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (20)
93184
93185.xword 0x00287850
93186.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (20)
93187
93188.xword 0x78285050
93189.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (20)
93190
93191.xword 0x64500000003c0000
93192.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
93193
93194.xword 0x7766000000554422
93195.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
93196
93197.xword 0x706200000054462a
93198.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
93199
93200.xword 0x784d005800423721
93201.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
93202
93203.xword 0x804d006000504020
93204.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
93205
93206.xword 0x0000006000408020
93207.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
93208
93209.xword 0
93210.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (20)
93211
93212.xword 0
93213.xword 0x00020057 | (6 << 18) !ma_ctl_Ld (21)
93214
93215.xword 0
93216.xword 0x00020176 | (6 << 18) !ma_ctl_St (21)
93217
93218.xword 0x80604020
93219.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (21)
93220
93221.xword 0x356a
93222.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (21)
93223
93224.xword 0x0000006000408020
93225.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
93226
93227.xword 0x6a35
93228.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
93229
93230.xword 0x78285050
93231.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
93232
93233.xword 0x80604020
93234.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
93235
93236.xword 0x00287850
93237.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (21)
93238
93239.xword 0x00287850
93240.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (21)
93241
93242.xword 0x78285050
93243.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (21)
93244
93245.xword 0x64500000003c0000
93246.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
93247
93248.xword 0x7766000000554422
93249.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
93250
93251.xword 0x706200000054462a
93252.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
93253
93254.xword 0x784d005800423721
93255.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
93256
93257.xword 0x804d006000504020
93258.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
93259
93260.xword 0x0000006000408020
93261.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
93262
93263.xword 0
93264.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (21)
93265
93266.xword 0
93267.xword 0x00020061 | (6 << 18) !ma_ctl_Ld (22)
93268
93269.xword 0
93270.xword 0x0002012a | (6 << 18) !ma_ctl_St (22)
93271
93272.xword 0x80604020
93273.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (22)
93274
93275.xword 0x356a
93276.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (22)
93277
93278.xword 0x0000006000408020
93279.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
93280
93281.xword 0x6a35
93282.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
93283
93284.xword 0x78285050
93285.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
93286
93287.xword 0x80604020
93288.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
93289
93290.xword 0x00287850
93291.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (22)
93292
93293.xword 0x00287850
93294.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (22)
93295
93296.xword 0x78285050
93297.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (22)
93298
93299.xword 0x64500000003c0000
93300.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
93301
93302.xword 0x7766000000554422
93303.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
93304
93305.xword 0x706200000054462a
93306.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
93307
93308.xword 0x784d005800423721
93309.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
93310
93311.xword 0x804d006000504020
93312.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
93313
93314.xword 0x0000006000408020
93315.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
93316
93317.xword 0
93318.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (22)
93319
93320.xword 0
93321.xword 0x0002002a | (6 << 18) !ma_ctl_Ld (23)
93322
93323.xword 0
93324.xword 0x00020186 | (6 << 18) !ma_ctl_St (23)
93325
93326.xword 0x80604020
93327.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (23)
93328
93329.xword 0x356a
93330.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (23)
93331
93332.xword 0x0000006000408020
93333.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
93334
93335.xword 0x6a35
93336.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
93337
93338.xword 0x78285050
93339.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
93340
93341.xword 0x80604020
93342.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
93343
93344.xword 0x00287850
93345.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (23)
93346
93347.xword 0x00287850
93348.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (23)
93349
93350.xword 0x78285050
93351.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (23)
93352
93353.xword 0x64500000003c0000
93354.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
93355
93356.xword 0x7766000000554422
93357.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
93358
93359.xword 0x706200000054462a
93360.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
93361
93362.xword 0x784d005800423721
93363.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
93364
93365.xword 0x804d006000504020
93366.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
93367
93368.xword 0x0000006000408020
93369.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
93370
93371.xword 0
93372.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (23)
93373
93374.xword 0
93375.xword 0x00020068 | (6 << 18) !ma_ctl_Ld (24)
93376
93377.xword 0
93378.xword 0x00020134 | (6 << 18) !ma_ctl_St (24)
93379
93380.xword 0x80604020
93381.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (24)
93382
93383.xword 0x356a
93384.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (24)
93385
93386.xword 0x0000006000408020
93387.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
93388
93389.xword 0x6a35
93390.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
93391
93392.xword 0x78285050
93393.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
93394
93395.xword 0x80604020
93396.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
93397
93398.xword 0x00287850
93399.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (24)
93400
93401.xword 0x00287850
93402.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (24)
93403
93404.xword 0x78285050
93405.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (24)
93406
93407.xword 0x64500000003c0000
93408.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
93409
93410.xword 0x7766000000554422
93411.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
93412
93413.xword 0x706200000054462a
93414.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
93415
93416.xword 0x784d005800423721
93417.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
93418
93419.xword 0x804d006000504020
93420.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
93421
93422.xword 0x0000006000408020
93423.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
93424
93425.xword 0
93426.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (24)
93427
93428.xword 0
93429.xword 0x0002003f | (6 << 18) !ma_ctl_Ld (25)
93430
93431.xword 0
93432.xword 0x00020126 | (6 << 18) !ma_ctl_St (25)
93433
93434.xword 0x80604020
93435.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (25)
93436
93437.xword 0x356a
93438.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (25)
93439
93440.xword 0x0000006000408020
93441.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
93442
93443.xword 0x6a35
93444.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
93445
93446.xword 0x78285050
93447.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
93448
93449.xword 0x80604020
93450.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
93451
93452.xword 0x00287850
93453.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (25)
93454
93455.xword 0x00287850
93456.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (25)
93457
93458.xword 0x78285050
93459.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (25)
93460
93461.xword 0x64500000003c0000
93462.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
93463
93464.xword 0x7766000000554422
93465.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
93466
93467.xword 0x706200000054462a
93468.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
93469
93470.xword 0x784d005800423721
93471.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
93472
93473.xword 0x804d006000504020
93474.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
93475
93476.xword 0x0000006000408020
93477.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
93478
93479.xword 0
93480.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (25)
93481
93482.xword 0
93483.xword 0x00020043 | (6 << 18) !ma_ctl_Ld (26)
93484
93485.xword 0
93486.xword 0x00020136 | (6 << 18) !ma_ctl_St (26)
93487
93488.xword 0x80604020
93489.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (26)
93490
93491.xword 0x356a
93492.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (26)
93493
93494.xword 0x0000006000408020
93495.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
93496
93497.xword 0x6a35
93498.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
93499
93500.xword 0x78285050
93501.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
93502
93503.xword 0x80604020
93504.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
93505
93506.xword 0x00287850
93507.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (26)
93508
93509.xword 0x00287850
93510.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (26)
93511
93512.xword 0x78285050
93513.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (26)
93514
93515.xword 0x64500000003c0000
93516.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
93517
93518.xword 0x7766000000554422
93519.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
93520
93521.xword 0x706200000054462a
93522.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
93523
93524.xword 0x784d005800423721
93525.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
93526
93527.xword 0x804d006000504020
93528.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
93529
93530.xword 0x0000006000408020
93531.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
93532
93533.xword 0
93534.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (26)
93535
93536.xword 0
93537.xword 0x0002008f | (6 << 18) !ma_ctl_Ld (27)
93538
93539.xword 0
93540.xword 0x00020183 | (6 << 18) !ma_ctl_St (27)
93541
93542.xword 0x80604020
93543.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (27)
93544
93545.xword 0x356a
93546.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (27)
93547
93548.xword 0x0000006000408020
93549.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
93550
93551.xword 0x6a35
93552.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
93553
93554.xword 0x78285050
93555.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
93556
93557.xword 0x80604020
93558.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
93559
93560.xword 0x00287850
93561.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (27)
93562
93563.xword 0x00287850
93564.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (27)
93565
93566.xword 0x78285050
93567.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (27)
93568
93569.xword 0x64500000003c0000
93570.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
93571
93572.xword 0x7766000000554422
93573.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
93574
93575.xword 0x706200000054462a
93576.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
93577
93578.xword 0x784d005800423721
93579.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
93580
93581.xword 0x804d006000504020
93582.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
93583
93584.xword 0x0000006000408020
93585.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
93586
93587.xword 0
93588.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (27)
93589
93590.xword 0
93591.xword 0x00020073 | (6 << 18) !ma_ctl_Ld (28)
93592
93593.xword 0
93594.xword 0x00020159 | (6 << 18) !ma_ctl_St (28)
93595
93596.xword 0x80604020
93597.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (28)
93598
93599.xword 0x356a
93600.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (28)
93601
93602.xword 0x0000006000408020
93603.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
93604
93605.xword 0x6a35
93606.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
93607
93608.xword 0x78285050
93609.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
93610
93611.xword 0x80604020
93612.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
93613
93614.xword 0x00287850
93615.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (28)
93616
93617.xword 0x00287850
93618.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (28)
93619
93620.xword 0x78285050
93621.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (28)
93622
93623.xword 0x64500000003c0000
93624.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
93625
93626.xword 0x7766000000554422
93627.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
93628
93629.xword 0x706200000054462a
93630.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
93631
93632.xword 0x784d005800423721
93633.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
93634
93635.xword 0x804d006000504020
93636.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
93637
93638.xword 0x0000006000408020
93639.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
93640
93641.xword 0
93642.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (28)
93643
93644.xword 0
93645.xword 0x0002007f | (6 << 18) !ma_ctl_Ld (29)
93646
93647.xword 0
93648.xword 0x00020136 | (6 << 18) !ma_ctl_St (29)
93649
93650.xword 0x80604020
93651.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (29)
93652
93653.xword 0x356a
93654.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (29)
93655
93656.xword 0x0000006000408020
93657.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
93658
93659.xword 0x6a35
93660.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
93661
93662.xword 0x78285050
93663.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
93664
93665.xword 0x80604020
93666.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
93667
93668.xword 0x00287850
93669.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (29)
93670
93671.xword 0x00287850
93672.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (29)
93673
93674.xword 0x78285050
93675.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (29)
93676
93677.xword 0x64500000003c0000
93678.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
93679
93680.xword 0x7766000000554422
93681.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
93682
93683.xword 0x706200000054462a
93684.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
93685
93686.xword 0x784d005800423721
93687.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
93688
93689.xword 0x804d006000504020
93690.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
93691
93692.xword 0x0000006000408020
93693.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
93694
93695.xword 0
93696.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (29)
93697
93698.xword 0
93699.xword 0x00020056 | (6 << 18) !ma_ctl_Ld (30)
93700
93701.xword 0
93702.xword 0x00020183 | (6 << 18) !ma_ctl_St (30)
93703
93704.xword 0x80604020
93705.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (30)
93706
93707.xword 0x356a
93708.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (30)
93709
93710.xword 0x0000006000408020
93711.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
93712
93713.xword 0x6a35
93714.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
93715
93716.xword 0x78285050
93717.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
93718
93719.xword 0x80604020
93720.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
93721
93722.xword 0x00287850
93723.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (30)
93724
93725.xword 0x00287850
93726.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (30)
93727
93728.xword 0x78285050
93729.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (30)
93730
93731.xword 0x64500000003c0000
93732.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
93733
93734.xword 0x7766000000554422
93735.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
93736
93737.xword 0x706200000054462a
93738.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
93739
93740.xword 0x784d005800423721
93741.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
93742
93743.xword 0x804d006000504020
93744.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
93745
93746.xword 0x0000006000408020
93747.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
93748
93749.xword 0
93750.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (30)
93751
93752.xword 0
93753.xword 0x00020055 | (6 << 18) !ma_ctl_Ld (31)
93754
93755.xword 0
93756.xword 0x00020127 | (6 << 18) !ma_ctl_St (31)
93757
93758.xword 0x80604020
93759.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (31)
93760
93761.xword 0x356a
93762.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (31)
93763
93764.xword 0x0000006000408020
93765.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
93766
93767.xword 0x6a35
93768.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
93769
93770.xword 0x78285050
93771.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
93772
93773.xword 0x80604020
93774.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
93775
93776.xword 0x00287850
93777.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (31)
93778
93779.xword 0x00287850
93780.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (31)
93781
93782.xword 0x78285050
93783.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (31)
93784
93785.xword 0x64500000003c0000
93786.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
93787
93788.xword 0x7766000000554422
93789.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
93790
93791.xword 0x706200000054462a
93792.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
93793
93794.xword 0x784d005800423721
93795.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
93796
93797.xword 0x804d006000504020
93798.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
93799
93800.xword 0x0000006000408020
93801.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
93802
93803.xword 0
93804.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (31)
93805
93806.xword 0
93807.xword 0x00020036 | (6 << 18) !ma_ctl_Ld (32)
93808
93809.xword 0
93810.xword 0x00020122 | (6 << 18) !ma_ctl_St (32)
93811
93812.xword 0x80604020
93813.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (32)
93814
93815.xword 0x356a
93816.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (32)
93817
93818.xword 0x0000006000408020
93819.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
93820
93821.xword 0x6a35
93822.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
93823
93824.xword 0x78285050
93825.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
93826
93827.xword 0x80604020
93828.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
93829
93830.xword 0x00287850
93831.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (32)
93832
93833.xword 0x00287850
93834.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (32)
93835
93836.xword 0x78285050
93837.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (32)
93838
93839.xword 0x64500000003c0000
93840.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
93841
93842.xword 0x7766000000554422
93843.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
93844
93845.xword 0x706200000054462a
93846.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
93847
93848.xword 0x784d005800423721
93849.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
93850
93851.xword 0x804d006000504020
93852.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
93853
93854.xword 0x0000006000408020
93855.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
93856
93857.xword 0
93858.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (32)
93859
93860.xword 0
93861.xword 0x0002003d | (6 << 18) !ma_ctl_Ld (33)
93862
93863.xword 0
93864.xword 0x00020153 | (6 << 18) !ma_ctl_St (33)
93865
93866.xword 0x80604020
93867.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (33)
93868
93869.xword 0x356a
93870.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (33)
93871
93872.xword 0x0000006000408020
93873.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
93874
93875.xword 0x6a35
93876.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
93877
93878.xword 0x78285050
93879.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
93880
93881.xword 0x80604020
93882.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
93883
93884.xword 0x00287850
93885.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (33)
93886
93887.xword 0x00287850
93888.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (33)
93889
93890.xword 0x78285050
93891.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (33)
93892
93893.xword 0x64500000003c0000
93894.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
93895
93896.xword 0x7766000000554422
93897.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
93898
93899.xword 0x706200000054462a
93900.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
93901
93902.xword 0x784d005800423721
93903.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
93904
93905.xword 0x804d006000504020
93906.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
93907
93908.xword 0x0000006000408020
93909.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
93910
93911.xword 0
93912.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (33)
93913
93914.xword 0
93915.xword 0x00020079 | (6 << 18) !ma_ctl_Ld (34)
93916
93917.xword 0
93918.xword 0x00020168 | (6 << 18) !ma_ctl_St (34)
93919
93920.xword 0x80604020
93921.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (34)
93922
93923.xword 0x356a
93924.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (34)
93925
93926.xword 0x0000006000408020
93927.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
93928
93929.xword 0x6a35
93930.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
93931
93932.xword 0x78285050
93933.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
93934
93935.xword 0x80604020
93936.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
93937
93938.xword 0x00287850
93939.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (34)
93940
93941.xword 0x00287850
93942.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (34)
93943
93944.xword 0x78285050
93945.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (34)
93946
93947.xword 0x64500000003c0000
93948.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
93949
93950.xword 0x7766000000554422
93951.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
93952
93953.xword 0x706200000054462a
93954.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
93955
93956.xword 0x784d005800423721
93957.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
93958
93959.xword 0x804d006000504020
93960.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
93961
93962.xword 0x0000006000408020
93963.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
93964
93965.xword 0
93966.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (34)
93967
93968.xword 0
93969.xword 0x00020026 | (6 << 18) !ma_ctl_Ld (35)
93970
93971.xword 0
93972.xword 0x00020153 | (6 << 18) !ma_ctl_St (35)
93973
93974.xword 0x80604020
93975.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (35)
93976
93977.xword 0x356a
93978.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (35)
93979
93980.xword 0x0000006000408020
93981.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
93982
93983.xword 0x6a35
93984.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
93985
93986.xword 0x78285050
93987.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
93988
93989.xword 0x80604020
93990.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
93991
93992.xword 0x00287850
93993.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (35)
93994
93995.xword 0x00287850
93996.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (35)
93997
93998.xword 0x78285050
93999.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (35)
94000
94001.xword 0x64500000003c0000
94002.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
94003
94004.xword 0x7766000000554422
94005.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
94006
94007.xword 0x706200000054462a
94008.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
94009
94010.xword 0x784d005800423721
94011.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
94012
94013.xword 0x804d006000504020
94014.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
94015
94016.xword 0x0000006000408020
94017.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
94018
94019.xword 0
94020.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (35)
94021
94022.xword 0
94023.xword 0x00020081 | (6 << 18) !ma_ctl_Ld (36)
94024
94025.xword 0
94026.xword 0x00020128 | (6 << 18) !ma_ctl_St (36)
94027
94028.xword 0x80604020
94029.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (36)
94030
94031.xword 0x356a
94032.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (36)
94033
94034.xword 0x0000006000408020
94035.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
94036
94037.xword 0x6a35
94038.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
94039
94040.xword 0x78285050
94041.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
94042
94043.xword 0x80604020
94044.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
94045
94046.xword 0x00287850
94047.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (36)
94048
94049.xword 0x00287850
94050.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (36)
94051
94052.xword 0x78285050
94053.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (36)
94054
94055.xword 0x64500000003c0000
94056.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
94057
94058.xword 0x7766000000554422
94059.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
94060
94061.xword 0x706200000054462a
94062.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
94063
94064.xword 0x784d005800423721
94065.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
94066
94067.xword 0x804d006000504020
94068.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
94069
94070.xword 0x0000006000408020
94071.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
94072
94073.xword 0
94074.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (36)
94075
94076.xword 0
94077.xword 0x00020020 | (6 << 18) !ma_ctl_Ld (37)
94078
94079.xword 0
94080.xword 0x0002018c | (6 << 18) !ma_ctl_St (37)
94081
94082.xword 0x80604020
94083.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (37)
94084
94085.xword 0x356a
94086.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (37)
94087
94088.xword 0x0000006000408020
94089.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
94090
94091.xword 0x6a35
94092.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
94093
94094.xword 0x78285050
94095.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
94096
94097.xword 0x80604020
94098.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
94099
94100.xword 0x00287850
94101.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (37)
94102
94103.xword 0x00287850
94104.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (37)
94105
94106.xword 0x78285050
94107.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (37)
94108
94109.xword 0x64500000003c0000
94110.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
94111
94112.xword 0x7766000000554422
94113.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
94114
94115.xword 0x706200000054462a
94116.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
94117
94118.xword 0x784d005800423721
94119.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
94120
94121.xword 0x804d006000504020
94122.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
94123
94124.xword 0x0000006000408020
94125.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
94126
94127.xword 0
94128.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (37)
94129
94130.xword 0
94131.xword 0x0002001f | (6 << 18) !ma_ctl_Ld (38)
94132
94133.xword 0
94134.xword 0x00020125 | (6 << 18) !ma_ctl_St (38)
94135
94136.xword 0x80604020
94137.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (38)
94138
94139.xword 0x356a
94140.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (38)
94141
94142.xword 0x0000006000408020
94143.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
94144
94145.xword 0x6a35
94146.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
94147
94148.xword 0x78285050
94149.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
94150
94151.xword 0x80604020
94152.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
94153
94154.xword 0x00287850
94155.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (38)
94156
94157.xword 0x00287850
94158.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (38)
94159
94160.xword 0x78285050
94161.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (38)
94162
94163.xword 0x64500000003c0000
94164.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
94165
94166.xword 0x7766000000554422
94167.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
94168
94169.xword 0x706200000054462a
94170.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
94171
94172.xword 0x784d005800423721
94173.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
94174
94175.xword 0x804d006000504020
94176.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
94177
94178.xword 0x0000006000408020
94179.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
94180
94181.xword 0
94182.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (38)
94183
94184.xword 0
94185.xword 0x00020030 | (6 << 18) !ma_ctl_Ld (39)
94186
94187.xword 0
94188.xword 0x00020143 | (6 << 18) !ma_ctl_St (39)
94189
94190.xword 0x80604020
94191.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (39)
94192
94193.xword 0x356a
94194.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (39)
94195
94196.xword 0x0000006000408020
94197.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
94198
94199.xword 0x6a35
94200.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
94201
94202.xword 0x78285050
94203.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
94204
94205.xword 0x80604020
94206.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
94207
94208.xword 0x00287850
94209.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (39)
94210
94211.xword 0x00287850
94212.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (39)
94213
94214.xword 0x78285050
94215.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
94216
94217.xword 0x64500000003c0000
94218.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
94219
94220.xword 0x7766000000554422
94221.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
94222
94223.xword 0x706200000054462a
94224.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
94225
94226.xword 0x784d005800423721
94227.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
94228
94229.xword 0x804d006000504020
94230.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
94231
94232.xword 0x0000006000408020
94233.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
94234
94235.xword 0
94236.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (39)
94237
94238.xword 0
94239.xword 0x00020026 | (6 << 18) !ma_ctl_Ld (40)
94240
94241.xword 0
94242.xword 0x0002017f | (6 << 18) !ma_ctl_St (40)
94243
94244.xword 0x80604020
94245.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (40)
94246
94247.xword 0x356a
94248.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (40)
94249
94250.xword 0x0000006000408020
94251.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
94252
94253.xword 0x6a35
94254.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
94255
94256.xword 0x78285050
94257.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
94258
94259.xword 0x80604020
94260.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
94261
94262.xword 0x00287850
94263.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (40)
94264
94265.xword 0x00287850
94266.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (40)
94267
94268.xword 0x78285050
94269.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (40)
94270
94271.xword 0x64500000003c0000
94272.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
94273
94274.xword 0x7766000000554422
94275.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
94276
94277.xword 0x706200000054462a
94278.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
94279
94280.xword 0x784d005800423721
94281.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
94282
94283.xword 0x804d006000504020
94284.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
94285
94286.xword 0x0000006000408020
94287.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
94288
94289.xword 0
94290.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (40)
94291
94292.xword 0
94293.xword 0x00020035 | (6 << 18) !ma_ctl_Ld (41)
94294
94295.xword 0
94296.xword 0x00020158 | (6 << 18) !ma_ctl_St (41)
94297
94298.xword 0x80604020
94299.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (41)
94300
94301.xword 0x356a
94302.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (41)
94303
94304.xword 0x0000006000408020
94305.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
94306
94307.xword 0x6a35
94308.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
94309
94310.xword 0x78285050
94311.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
94312
94313.xword 0x80604020
94314.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
94315
94316.xword 0x00287850
94317.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (41)
94318
94319.xword 0x00287850
94320.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (41)
94321
94322.xword 0x78285050
94323.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (41)
94324
94325.xword 0x64500000003c0000
94326.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
94327
94328.xword 0x7766000000554422
94329.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
94330
94331.xword 0x706200000054462a
94332.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
94333
94334.xword 0x784d005800423721
94335.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
94336
94337.xword 0x804d006000504020
94338.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
94339
94340.xword 0x0000006000408020
94341.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
94342
94343.xword 0
94344.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (41)
94345
94346.xword 0
94347.xword 0x00020031 | (6 << 18) !ma_ctl_Ld (42)
94348
94349.xword 0
94350.xword 0x00020154 | (6 << 18) !ma_ctl_St (42)
94351
94352.xword 0x80604020
94353.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (42)
94354
94355.xword 0x356a
94356.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (42)
94357
94358.xword 0x0000006000408020
94359.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
94360
94361.xword 0x6a35
94362.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
94363
94364.xword 0x78285050
94365.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
94366
94367.xword 0x80604020
94368.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
94369
94370.xword 0x00287850
94371.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (42)
94372
94373.xword 0x00287850
94374.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (42)
94375
94376.xword 0x78285050
94377.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (42)
94378
94379.xword 0x64500000003c0000
94380.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
94381
94382.xword 0x7766000000554422
94383.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
94384
94385.xword 0x706200000054462a
94386.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
94387
94388.xword 0x784d005800423721
94389.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
94390
94391.xword 0x804d006000504020
94392.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
94393
94394.xword 0x0000006000408020
94395.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
94396
94397.xword 0
94398.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (42)
94399
94400.xword 0
94401.xword 0x00020023 | (6 << 18) !ma_ctl_Ld (43)
94402
94403.xword 0
94404.xword 0x00020149 | (6 << 18) !ma_ctl_St (43)
94405
94406.xword 0x80604020
94407.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (43)
94408
94409.xword 0x356a
94410.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (43)
94411
94412.xword 0x0000006000408020
94413.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
94414
94415.xword 0x6a35
94416.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
94417
94418.xword 0x78285050
94419.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
94420
94421.xword 0x80604020
94422.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
94423
94424.xword 0x00287850
94425.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (43)
94426
94427.xword 0x00287850
94428.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (43)
94429
94430.xword 0x78285050
94431.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (43)
94432
94433.xword 0x64500000003c0000
94434.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
94435
94436.xword 0x7766000000554422
94437.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
94438
94439.xword 0x706200000054462a
94440.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
94441
94442.xword 0x784d005800423721
94443.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
94444
94445.xword 0x804d006000504020
94446.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
94447
94448.xword 0x0000006000408020
94449.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
94450
94451.xword 0
94452.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (43)
94453
94454.xword 0
94455.xword 0x00020024 | (6 << 18) !ma_ctl_Ld (44)
94456
94457.xword 0
94458.xword 0x0002014c | (6 << 18) !ma_ctl_St (44)
94459
94460.xword 0x80604020
94461.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (44)
94462
94463.xword 0x356a
94464.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (44)
94465
94466.xword 0x0000006000408020
94467.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
94468
94469.xword 0x6a35
94470.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
94471
94472.xword 0x78285050
94473.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
94474
94475.xword 0x80604020
94476.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
94477
94478.xword 0x00287850
94479.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (44)
94480
94481.xword 0x00287850
94482.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (44)
94483
94484.xword 0x78285050
94485.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (44)
94486
94487.xword 0x64500000003c0000
94488.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
94489
94490.xword 0x7766000000554422
94491.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
94492
94493.xword 0x706200000054462a
94494.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
94495
94496.xword 0x784d005800423721
94497.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
94498
94499.xword 0x804d006000504020
94500.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
94501
94502.xword 0x0000006000408020
94503.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
94504
94505.xword 0
94506.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (44)
94507
94508.xword 0
94509.xword 0x0002006e | (6 << 18) !ma_ctl_Ld (45)
94510
94511.xword 0
94512.xword 0x0002017b | (6 << 18) !ma_ctl_St (45)
94513
94514.xword 0x80604020
94515.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (45)
94516
94517.xword 0x356a
94518.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (45)
94519
94520.xword 0x0000006000408020
94521.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
94522
94523.xword 0x6a35
94524.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
94525
94526.xword 0x78285050
94527.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
94528
94529.xword 0x80604020
94530.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
94531
94532.xword 0x00287850
94533.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (45)
94534
94535.xword 0x00287850
94536.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (45)
94537
94538.xword 0x78285050
94539.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (45)
94540
94541.xword 0x64500000003c0000
94542.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
94543
94544.xword 0x7766000000554422
94545.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
94546
94547.xword 0x706200000054462a
94548.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
94549
94550.xword 0x784d005800423721
94551.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
94552
94553.xword 0x804d006000504020
94554.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
94555
94556.xword 0x0000006000408020
94557.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
94558
94559.xword 0
94560.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (45)
94561
94562.xword 0
94563.xword 0x00020023 | (6 << 18) !ma_ctl_Ld (46)
94564
94565.xword 0
94566.xword 0x00020190 | (6 << 18) !ma_ctl_St (46)
94567
94568.xword 0x80604020
94569.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (46)
94570
94571.xword 0x356a
94572.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (46)
94573
94574.xword 0x0000006000408020
94575.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
94576
94577.xword 0x6a35
94578.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
94579
94580.xword 0x78285050
94581.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
94582
94583.xword 0x80604020
94584.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
94585
94586.xword 0x00287850
94587.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (46)
94588
94589.xword 0x00287850
94590.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (46)
94591
94592.xword 0x78285050
94593.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (46)
94594
94595.xword 0x64500000003c0000
94596.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
94597
94598.xword 0x7766000000554422
94599.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
94600
94601.xword 0x706200000054462a
94602.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
94603
94604.xword 0x784d005800423721
94605.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
94606
94607.xword 0x804d006000504020
94608.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
94609
94610.xword 0x0000006000408020
94611.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
94612
94613.xword 0
94614.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (46)
94615
94616.xword 0
94617.xword 0x00020027 | (6 << 18) !ma_ctl_Ld (47)
94618
94619.xword 0
94620.xword 0x0002012e | (6 << 18) !ma_ctl_St (47)
94621
94622.xword 0x80604020
94623.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (47)
94624
94625.xword 0x356a
94626.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (47)
94627
94628.xword 0x0000006000408020
94629.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
94630
94631.xword 0x6a35
94632.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
94633
94634.xword 0x78285050
94635.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
94636
94637.xword 0x80604020
94638.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
94639
94640.xword 0x00287850
94641.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (47)
94642
94643.xword 0x00287850
94644.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (47)
94645
94646.xword 0x78285050
94647.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (47)
94648
94649.xword 0x64500000003c0000
94650.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
94651
94652.xword 0x7766000000554422
94653.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
94654
94655.xword 0x706200000054462a
94656.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
94657
94658.xword 0x784d005800423721
94659.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
94660
94661.xword 0x804d006000504020
94662.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
94663
94664.xword 0x0000006000408020
94665.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
94666
94667.xword 0
94668.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (47)
94669
94670.xword 0
94671.xword 0x00020035 | (6 << 18) !ma_ctl_Ld (48)
94672
94673.xword 0
94674.xword 0x0002017d | (6 << 18) !ma_ctl_St (48)
94675
94676.xword 0x80604020
94677.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (48)
94678
94679.xword 0x356a
94680.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (48)
94681
94682.xword 0x0000006000408020
94683.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
94684
94685.xword 0x6a35
94686.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
94687
94688.xword 0x78285050
94689.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
94690
94691.xword 0x80604020
94692.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
94693
94694.xword 0x00287850
94695.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (48)
94696
94697.xword 0x00287850
94698.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (48)
94699
94700.xword 0x78285050
94701.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (48)
94702
94703.xword 0x64500000003c0000
94704.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
94705
94706.xword 0x7766000000554422
94707.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
94708
94709.xword 0x706200000054462a
94710.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
94711
94712.xword 0x784d005800423721
94713.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
94714
94715.xword 0x804d006000504020
94716.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
94717
94718.xword 0x0000006000408020
94719.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
94720
94721.xword 0
94722.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (48)
94723
94724.xword 0
94725.xword 0x00020091 | (6 << 18) !ma_ctl_Ld (49)
94726
94727.xword 0
94728.xword 0x0002017b | (6 << 18) !ma_ctl_St (49)
94729
94730.xword 0x80604020
94731.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (49)
94732
94733.xword 0x356a
94734.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (49)
94735
94736.xword 0x0000006000408020
94737.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
94738
94739.xword 0x6a35
94740.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
94741
94742.xword 0x78285050
94743.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
94744
94745.xword 0x80604020
94746.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
94747
94748.xword 0x00287850
94749.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (49)
94750
94751.xword 0x00287850
94752.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (49)
94753
94754.xword 0x78285050
94755.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (49)
94756
94757.xword 0x64500000003c0000
94758.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
94759
94760.xword 0x7766000000554422
94761.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
94762
94763.xword 0x706200000054462a
94764.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
94765
94766.xword 0x784d005800423721
94767.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
94768
94769.xword 0x804d006000504020
94770.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
94771
94772.xword 0x0000006000408020
94773.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
94774
94775.xword 0
94776.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (49)
94777
94778.xword 0
94779.xword 0x0002004f | (6 << 18) !ma_ctl_Ld (50)
94780
94781.xword 0
94782.xword 0x0002015d | (6 << 18) !ma_ctl_St (50)
94783
94784.xword 0x80604020
94785.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (50)
94786
94787.xword 0x356a
94788.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (50)
94789
94790.xword 0x0000006000408020
94791.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
94792
94793.xword 0x6a35
94794.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
94795
94796.xword 0x78285050
94797.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
94798
94799.xword 0x80604020
94800.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
94801
94802.xword 0x00287850
94803.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (50)
94804
94805.xword 0x00287850
94806.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (50)
94807
94808.xword 0x78285050
94809.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (50)
94810
94811.xword 0x64500000003c0000
94812.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
94813
94814.xword 0x7766000000554422
94815.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
94816
94817.xword 0x706200000054462a
94818.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
94819
94820.xword 0x784d005800423721
94821.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
94822
94823.xword 0x804d006000504020
94824.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
94825
94826.xword 0x0000006000408020
94827.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
94828
94829.xword 0
94830.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (50)
94831
94832.xword 0
94833.xword 0x00020032 | (6 << 18) !ma_ctl_Ld (51)
94834
94835.xword 0
94836.xword 0x00020173 | (6 << 18) !ma_ctl_St (51)
94837
94838.xword 0x80604020
94839.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (51)
94840
94841.xword 0x356a
94842.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (51)
94843
94844.xword 0x0000006000408020
94845.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
94846
94847.xword 0x6a35
94848.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
94849
94850.xword 0x78285050
94851.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
94852
94853.xword 0x80604020
94854.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
94855
94856.xword 0x00287850
94857.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (51)
94858
94859.xword 0x00287850
94860.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (51)
94861
94862.xword 0x78285050
94863.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (51)
94864
94865.xword 0x64500000003c0000
94866.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
94867
94868.xword 0x7766000000554422
94869.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
94870
94871.xword 0x706200000054462a
94872.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
94873
94874.xword 0x784d005800423721
94875.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
94876
94877.xword 0x804d006000504020
94878.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
94879
94880.xword 0x0000006000408020
94881.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
94882
94883.xword 0
94884.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (51)
94885
94886.xword 0
94887.xword 0x00020041 | (6 << 18) !ma_ctl_Ld (52)
94888
94889.xword 0
94890.xword 0x00020150 | (6 << 18) !ma_ctl_St (52)
94891
94892.xword 0x80604020
94893.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (52)
94894
94895.xword 0x356a
94896.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (52)
94897
94898.xword 0x0000006000408020
94899.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
94900
94901.xword 0x6a35
94902.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
94903
94904.xword 0x78285050
94905.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
94906
94907.xword 0x80604020
94908.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
94909
94910.xword 0x00287850
94911.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (52)
94912
94913.xword 0x00287850
94914.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (52)
94915
94916.xword 0x78285050
94917.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (52)
94918
94919.xword 0x64500000003c0000
94920.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
94921
94922.xword 0x7766000000554422
94923.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
94924
94925.xword 0x706200000054462a
94926.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
94927
94928.xword 0x784d005800423721
94929.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
94930
94931.xword 0x804d006000504020
94932.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
94933
94934.xword 0x0000006000408020
94935.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
94936
94937.xword 0
94938.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (52)
94939
94940.xword 0
94941.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (53)
94942
94943.xword 0
94944.xword 0x00020120 | (6 << 18) !ma_ctl_St (53)
94945
94946.xword 0x80604020
94947.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (53)
94948
94949.xword 0x356a
94950.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (53)
94951
94952.xword 0x0000006000408020
94953.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
94954
94955.xword 0x6a35
94956.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
94957
94958.xword 0x78285050
94959.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
94960
94961.xword 0x80604020
94962.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
94963
94964.xword 0x00287850
94965.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (53)
94966
94967.xword 0x00287850
94968.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (53)
94969
94970.xword 0x78285050
94971.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (53)
94972
94973.xword 0x64500000003c0000
94974.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
94975
94976.xword 0x7766000000554422
94977.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
94978
94979.xword 0x706200000054462a
94980.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
94981
94982.xword 0x784d005800423721
94983.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
94984
94985.xword 0x804d006000504020
94986.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
94987
94988.xword 0x0000006000408020
94989.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
94990
94991.xword 0
94992.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (53)
94993
94994.xword 0
94995.xword 0x0002002a | (6 << 18) !ma_ctl_Ld (54)
94996
94997.xword 0
94998.xword 0x00020169 | (6 << 18) !ma_ctl_St (54)
94999
95000.xword 0x80604020
95001.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (54)
95002
95003.xword 0x356a
95004.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (54)
95005
95006.xword 0x0000006000408020
95007.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
95008
95009.xword 0x6a35
95010.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
95011
95012.xword 0x78285050
95013.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
95014
95015.xword 0x80604020
95016.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
95017
95018.xword 0x00287850
95019.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (54)
95020
95021.xword 0x00287850
95022.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (54)
95023
95024.xword 0x78285050
95025.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (54)
95026
95027.xword 0x64500000003c0000
95028.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
95029
95030.xword 0x7766000000554422
95031.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
95032
95033.xword 0x706200000054462a
95034.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
95035
95036.xword 0x784d005800423721
95037.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
95038
95039.xword 0x804d006000504020
95040.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
95041
95042.xword 0x0000006000408020
95043.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
95044
95045.xword 0
95046.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (54)
95047
95048.xword 0
95049.xword 0x00020088 | (6 << 18) !ma_ctl_Ld (55)
95050
95051.xword 0
95052.xword 0x00020140 | (6 << 18) !ma_ctl_St (55)
95053
95054.xword 0x80604020
95055.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (55)
95056
95057.xword 0x356a
95058.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (55)
95059
95060.xword 0x0000006000408020
95061.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
95062
95063.xword 0x6a35
95064.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
95065
95066.xword 0x78285050
95067.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
95068
95069.xword 0x80604020
95070.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
95071
95072.xword 0x00287850
95073.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (55)
95074
95075.xword 0x00287850
95076.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (55)
95077
95078.xword 0x78285050
95079.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (55)
95080
95081.xword 0x64500000003c0000
95082.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
95083
95084.xword 0x7766000000554422
95085.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
95086
95087.xword 0x706200000054462a
95088.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
95089
95090.xword 0x784d005800423721
95091.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
95092
95093.xword 0x804d006000504020
95094.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
95095
95096.xword 0x0000006000408020
95097.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
95098
95099.xword 0
95100.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (55)
95101
95102.xword 0
95103.xword 0x00020035 | (6 << 18) !ma_ctl_Ld (56)
95104
95105.xword 0
95106.xword 0x00020185 | (6 << 18) !ma_ctl_St (56)
95107
95108.xword 0x80604020
95109.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (56)
95110
95111.xword 0x356a
95112.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (56)
95113
95114.xword 0x0000006000408020
95115.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
95116
95117.xword 0x6a35
95118.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
95119
95120.xword 0x78285050
95121.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
95122
95123.xword 0x80604020
95124.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
95125
95126.xword 0x00287850
95127.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (56)
95128
95129.xword 0x00287850
95130.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (56)
95131
95132.xword 0x78285050
95133.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (56)
95134
95135.xword 0x64500000003c0000
95136.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
95137
95138.xword 0x7766000000554422
95139.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
95140
95141.xword 0x706200000054462a
95142.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
95143
95144.xword 0x784d005800423721
95145.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
95146
95147.xword 0x804d006000504020
95148.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
95149
95150.xword 0x0000006000408020
95151.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
95152
95153.xword 0
95154.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (56)
95155
95156.xword 0
95157.xword 0x00020058 | (6 << 18) !ma_ctl_Ld (57)
95158
95159.xword 0
95160.xword 0x0002017e | (6 << 18) !ma_ctl_St (57)
95161
95162.xword 0x80604020
95163.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (57)
95164
95165.xword 0x356a
95166.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (57)
95167
95168.xword 0x0000006000408020
95169.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
95170
95171.xword 0x6a35
95172.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
95173
95174.xword 0x78285050
95175.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
95176
95177.xword 0x80604020
95178.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
95179
95180.xword 0x00287850
95181.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (57)
95182
95183.xword 0x00287850
95184.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (57)
95185
95186.xword 0x78285050
95187.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (57)
95188
95189.xword 0x64500000003c0000
95190.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
95191
95192.xword 0x7766000000554422
95193.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
95194
95195.xword 0x706200000054462a
95196.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
95197
95198.xword 0x784d005800423721
95199.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
95200
95201.xword 0x804d006000504020
95202.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
95203
95204.xword 0x0000006000408020
95205.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
95206
95207.xword 0
95208.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (57)
95209
95210.xword 0
95211.xword 0x00020063 | (6 << 18) !ma_ctl_Ld (58)
95212
95213.xword 0
95214.xword 0x00020158 | (6 << 18) !ma_ctl_St (58)
95215
95216.xword 0x80604020
95217.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (58)
95218
95219.xword 0x356a
95220.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (58)
95221
95222.xword 0x0000006000408020
95223.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
95224
95225.xword 0x6a35
95226.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
95227
95228.xword 0x78285050
95229.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
95230
95231.xword 0x80604020
95232.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
95233
95234.xword 0x00287850
95235.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (58)
95236
95237.xword 0x00287850
95238.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (58)
95239
95240.xword 0x78285050
95241.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (58)
95242
95243.xword 0x64500000003c0000
95244.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
95245
95246.xword 0x7766000000554422
95247.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
95248
95249.xword 0x706200000054462a
95250.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
95251
95252.xword 0x784d005800423721
95253.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
95254
95255.xword 0x804d006000504020
95256.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
95257
95258.xword 0x0000006000408020
95259.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
95260
95261.xword 0
95262.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (58)
95263
95264
95265.align 8
95266.global _t2_ma_results6
95267_t2_ma_results6:
95268.xword 0xDEADBEEFDEADBEEF
95269.xword 0xDEADBEEFDEADBEEF
95270.xword 0xDEADBEEFDEADBEEF
95271.xword 0xDEADBEEFDEADBEEF
95272.xword 0xDEADBEEFDEADBEEF
95273.xword 0xDEADBEEFDEADBEEF
95274.xword 0xDEADBEEFDEADBEEF
95275.xword 0xDEADBEEFDEADBEEF
95276.xword 0xDEADBEEFDEADBEEF
95277.xword 0xDEADBEEFDEADBEEF
95278.xword 0xDEADBEEFDEADBEEF
95279.xword 0xDEADBEEFDEADBEEF
95280.xword 0xDEADBEEFDEADBEEF
95281.xword 0xDEADBEEFDEADBEEF
95282.xword 0xDEADBEEFDEADBEEF
95283.xword 0xDEADBEEFDEADBEEF
95284.xword 0xDEADBEEFDEADBEEF
95285.xword 0xDEADBEEFDEADBEEF
95286.xword 0xDEADBEEFDEADBEEF
95287.xword 0xDEADBEEFDEADBEEF
95288.xword 0xDEADBEEFDEADBEEF
95289.xword 0xDEADBEEFDEADBEEF
95290.xword 0xDEADBEEFDEADBEEF
95291.xword 0xDEADBEEFDEADBEEF
95292.xword 0xDEADBEEFDEADBEEF
95293.xword 0xDEADBEEFDEADBEEF
95294.xword 0xDEADBEEFDEADBEEF
95295.xword 0xDEADBEEFDEADBEEF
95296.xword 0xDEADBEEFDEADBEEF
95297.xword 0xDEADBEEFDEADBEEF
95298.xword 0xDEADBEEFDEADBEEF
95299.xword 0xDEADBEEFDEADBEEF
95300.xword 0xDEADBEEFDEADBEEF
95301.xword 0xDEADBEEFDEADBEEF
95302.xword 0xDEADBEEFDEADBEEF
95303.xword 0xDEADBEEFDEADBEEF
95304.xword 0xDEADBEEFDEADBEEF
95305.xword 0xDEADBEEFDEADBEEF
95306.xword 0xDEADBEEFDEADBEEF
95307.xword 0xDEADBEEFDEADBEEF
95308.xword 0xDEADBEEFDEADBEEF
95309.xword 0xDEADBEEFDEADBEEF
95310.xword 0xDEADBEEFDEADBEEF
95311.xword 0xDEADBEEFDEADBEEF
95312.xword 0xDEADBEEFDEADBEEF
95313.xword 0xDEADBEEFDEADBEEF
95314.xword 0xDEADBEEFDEADBEEF
95315.xword 0xDEADBEEFDEADBEEF
95316.xword 0xDEADBEEFDEADBEEF
95317.xword 0xDEADBEEFDEADBEEF
95318.xword 0xDEADBEEFDEADBEEF
95319.xword 0xDEADBEEFDEADBEEF
95320.xword 0xDEADBEEFDEADBEEF
95321.xword 0xDEADBEEFDEADBEEF
95322.xword 0xDEADBEEFDEADBEEF
95323.xword 0xDEADBEEFDEADBEEF
95324.xword 0xDEADBEEFDEADBEEF
95325.xword 0xDEADBEEFDEADBEEF
95326.xword 0xDEADBEEFDEADBEEF
95327.xword 0xDEADBEEFDEADBEEF
95328.xword 0xDEADBEEFDEADBEEF
95329.xword 0xDEADBEEFDEADBEEF
95330.xword 0xDEADBEEFDEADBEEF
95331.xword 0xDEADBEEFDEADBEEF
95332.xword 0xDEADBEEFDEADBEEF
95333.xword 0xDEADBEEFDEADBEEF
95334.xword 0xDEADBEEFDEADBEEF
95335.xword 0xDEADBEEFDEADBEEF
95336.xword 0xDEADBEEFDEADBEEF
95337.xword 0xDEADBEEFDEADBEEF
95338.xword 0xDEADBEEFDEADBEEF
95339.xword 0xDEADBEEFDEADBEEF
95340.xword 0xDEADBEEFDEADBEEF
95341.xword 0xDEADBEEFDEADBEEF
95342.xword 0xDEADBEEFDEADBEEF
95343.xword 0xDEADBEEFDEADBEEF
95344.xword 0xDEADBEEFDEADBEEF
95345.xword 0xDEADBEEFDEADBEEF
95346.xword 0xDEADBEEFDEADBEEF
95347.xword 0xDEADBEEFDEADBEEF
95348.xword 0xDEADBEEFDEADBEEF
95349.xword 0xDEADBEEFDEADBEEF
95350.xword 0xDEADBEEFDEADBEEF
95351.xword 0xDEADBEEFDEADBEEF
95352.xword 0xDEADBEEFDEADBEEF
95353.xword 0xDEADBEEFDEADBEEF
95354.xword 0xDEADBEEFDEADBEEF
95355.xword 0xDEADBEEFDEADBEEF
95356.xword 0xDEADBEEFDEADBEEF
95357.xword 0xDEADBEEFDEADBEEF
95358.xword 0xDEADBEEFDEADBEEF
95359.xword 0xDEADBEEFDEADBEEF
95360.xword 0xDEADBEEFDEADBEEF
95361.xword 0xDEADBEEFDEADBEEF
95362.xword 0xDEADBEEFDEADBEEF
95363.xword 0xDEADBEEFDEADBEEF
95364.xword 0xDEADBEEFDEADBEEF
95365.xword 0xDEADBEEFDEADBEEF
95366.xword 0xDEADBEEFDEADBEEF
95367.xword 0xDEADBEEFDEADBEEF
95368.xword 0xDEADBEEFDEADBEEF
95369.xword 0xDEADBEEFDEADBEEF
95370.xword 0xDEADBEEFDEADBEEF
95371.xword 0xDEADBEEFDEADBEEF
95372.xword 0xDEADBEEFDEADBEEF
95373.xword 0xDEADBEEFDEADBEEF
95374.xword 0xDEADBEEFDEADBEEF
95375.xword 0xDEADBEEFDEADBEEF
95376.xword 0xDEADBEEFDEADBEEF
95377.xword 0xDEADBEEFDEADBEEF
95378.xword 0xDEADBEEFDEADBEEF
95379.xword 0xDEADBEEFDEADBEEF
95380.xword 0xDEADBEEFDEADBEEF
95381.xword 0xDEADBEEFDEADBEEF
95382.xword 0xDEADBEEFDEADBEEF
95383.xword 0xDEADBEEFDEADBEEF
95384.xword 0xDEADBEEFDEADBEEF
95385.xword 0xDEADBEEFDEADBEEF
95386.xword 0xDEADBEEFDEADBEEF
95387.xword 0xDEADBEEFDEADBEEF
95388.xword 0xDEADBEEFDEADBEEF
95389.xword 0xDEADBEEFDEADBEEF
95390.xword 0xDEADBEEFDEADBEEF
95391.xword 0xDEADBEEFDEADBEEF
95392.xword 0xDEADBEEFDEADBEEF
95393.xword 0xDEADBEEFDEADBEEF
95394.xword 0xDEADBEEFDEADBEEF
95395.xword 0xDEADBEEFDEADBEEF
95396.xword 0xDEADBEEFDEADBEEF
95397.xword 0xDEADBEEFDEADBEEF
95398.xword 0xDEADBEEFDEADBEEF
95399.xword 0xDEADBEEFDEADBEEF
95400.xword 0xDEADBEEFDEADBEEF
95401.xword 0xDEADBEEFDEADBEEF
95402.xword 0xDEADBEEFDEADBEEF
95403.xword 0xDEADBEEFDEADBEEF
95404.xword 0xDEADBEEFDEADBEEF
95405.xword 0xDEADBEEFDEADBEEF
95406.xword 0xDEADBEEFDEADBEEF
95407.xword 0xDEADBEEFDEADBEEF
95408.xword 0xDEADBEEFDEADBEEF
95409.xword 0xDEADBEEFDEADBEEF
95410.xword 0xDEADBEEFDEADBEEF
95411.xword 0xDEADBEEFDEADBEEF
95412.xword 0xDEADBEEFDEADBEEF
95413.xword 0xDEADBEEFDEADBEEF
95414.xword 0xDEADBEEFDEADBEEF
95415.xword 0xDEADBEEFDEADBEEF
95416.xword 0xDEADBEEFDEADBEEF
95417.xword 0xDEADBEEFDEADBEEF
95418.xword 0xDEADBEEFDEADBEEF
95419.xword 0xDEADBEEFDEADBEEF
95420.xword 0xDEADBEEFDEADBEEF
95421.xword 0xDEADBEEFDEADBEEF
95422.xword 0xDEADBEEFDEADBEEF
95423.xword 0xDEADBEEFDEADBEEF
95424.xword 0xDEADBEEFDEADBEEF
95425.xword 0xDEADBEEFDEADBEEF
95426.xword 0xDEADBEEFDEADBEEF
95427.xword 0xDEADBEEFDEADBEEF
95428.xword 0xDEADBEEFDEADBEEF
95429.xword 0xDEADBEEFDEADBEEF
95430.xword 0xDEADBEEFDEADBEEF
95431.xword 0xDEADBEEFDEADBEEF
95432SECTION ._t2_T_MAX_DATA7 DATA_VA=275775488
95433attr_data {
95434 Name = ._t2_T_MAX_DATA7
95435 hypervisor
95436}
95437
95438.data
95439_t2_user_data_start:
95440_t2_scratch_area:
95441
95442.align 8
95443.global _t2_ma_operands7
95444_t2_ma_operands7:
95445.xword 0x2617f695e5d995f2
95446.xword 0xcbf185aa4ab27da4
95447.xword 0x1969b3db5f1a7e41
95448.xword 0xa040eedac42d02e3
95449.xword 0x458307ee3c9c28bf
95450.xword 0x279ef131b4113754
95451.xword 0x7f1cc1e0832d16fc
95452.xword 0x66571a9d9256d4cd
95453.xword 0xd1e20f2abdae51a4
95454.xword 0xd2f30c51cfb50cd3
95455.xword 0x837ebd9f5f050de4
95456.xword 0x80550bc9c338b747
95457.xword 0x3e2e5ecec1fe7816
95458.xword 0x549bb775acb7835c
95459.xword 0x492852138c047a83
95460.xword 0x3ba67f9e0f3ed5ef
95461.xword 0x911167149bdf20ee
95462.xword 0x7ef44c3f95e45783
95463.xword 0xcdc49f0f4cbfb908
95464.xword 0x7475b9df16e26157
95465.xword 0x14c09d71b726919b
95466.xword 0xff9c2c1e32582edb
95467.xword 0x426bdbd65b1ec9a6
95468.xword 0xf5b4b98d27269dc4
95469.xword 0x89994f838fd1c491
95470.xword 0x5ec1cd64d737d51a
95471.xword 0x824691f1e5802148
95472.xword 0xda6ce736217c8dd1
95473.xword 0xc1c2f4ff3e3ba619
95474.xword 0x561bc28367c5d28a
95475.xword 0x15dabef6a730aa36
95476.xword 0xbfe5278608707564
95477.xword 0xb5e12b17cf4d88cd
95478.xword 0xc5ae3cb2af6cb385
95479.xword 0xa38ad2590975c5f6
95480.xword 0x7899b286139be954
95481.xword 0x280fae6c49c34767
95482.xword 0x73ffb36cef3f68de
95483.xword 0x95dfa5e8488a4689
95484.xword 0xd552030c88e9ec99
95485.xword 0x0de0cbb9c53abe58
95486.xword 0x164615c76a4c2939
95487.xword 0x2c5d023cdffbab6e
95488.xword 0xa53aacac13442a5f
95489.xword 0x62c10f7ce1908c81
95490.xword 0x759107c0c6e97d60
95491.xword 0x25b4317f998fc75d
95492.xword 0x7842f5a780ac3725
95493.xword 0xe7b3c2e0085cb22d
95494.xword 0x9ceb6d2ef89a8222
95495.xword 0x60dac04685facddc
95496.xword 0xc454a126935471ba
95497.xword 0xfd39fda6c2bf8874
95498.xword 0x6c76d0e444bd1aea
95499.xword 0x54c146326be954a1
95500.xword 0xc357e311144e3f41
95501.xword 0x1d65b909f266299a
95502.xword 0x0c5934440c946874
95503.xword 0xc7bd6fc76273bc23
95504.xword 0xe9253cdddcce6859
95505.xword 0x477a8887734275f6
95506.xword 0xc47d0fecc56a0ff8
95507.xword 0xe862d1068fd4c8c9
95508.xword 0x9a611f1eb49ad6a3
95509.xword 0x1a18683ff9b24691
95510.xword 0x83cc3d74244e8a08
95511.xword 0x6dfd23d35767396a
95512.xword 0x0a458e276df6fcd1
95513.xword 0x9a228e5931f88d88
95514.xword 0x9dfc6ff521e810ff
95515.xword 0x946c35e1bb1b2211
95516.xword 0x4b0e8edb518ba3d2
95517.xword 0x213ebcc36171c85b
95518.xword 0x496fb2e3566825fa
95519.xword 0x86eb2966d4aa33f4
95520.xword 0x188fdd14aa3290ab
95521.xword 0xd226bf4bf8a9564a
95522.xword 0x67613f3e56d82578
95523.xword 0xb73bb3a0b397c878
95524.xword 0x127e7d143f183dde
95525.xword 0x77f99a31ccc09006
95526.xword 0x80ef0cc642b700ec
95527.xword 0x6dcbc59a77d48aea
95528.xword 0x96bb550d1e6afef3
95529.xword 0x6e2633f8804e3e9e
95530.xword 0xb291889abe7b3763
95531.xword 0x030d2627ff87b8cc
95532.xword 0x81e122f3de92cb8d
95533.xword 0x81475bf5cdaf3a3d
95534.xword 0xfcb8c6ebfa0752b9
95535.xword 0x5afd8aeebf07211a
95536.xword 0x603c4946b04db7ab
95537.xword 0x95ca5861f38aaaf9
95538.xword 0x13cebaaa0e703405
95539.xword 0x35029f4dacbb018e
95540.xword 0xab51f0feb6608916
95541.xword 0xfb50f2e3260669c5
95542.xword 0xddfdd81ded13835a
95543.xword 0x79b259a15f9a0d7e
95544.xword 0xeeec43b75c6886d9
95545.xword 0xf5e20914e755bbd4
95546.xword 0xbaefe5ff80935550
95547.xword 0xb2b9c83b7c35760c
95548.xword 0x20a7ecc778637440
95549.xword 0xbd40f878b2d28faa
95550.xword 0xa44e233547e5e292
95551.xword 0x074c9f04bc4ca811
95552.xword 0x7b59c25e3e961e79
95553.xword 0x36e491f28057f6e5
95554.xword 0x06a7bb0013a186f9
95555.xword 0xd4378d539b85c268
95556.xword 0x6a9e0d07faf9614d
95557.xword 0x25caada44b1f3a84
95558.xword 0x899cd08b123ad2b3
95559.xword 0xf85d017b5fea56c0
95560.xword 0xc381f24d0f262d22
95561.xword 0xa109b75ba6a414fa
95562.xword 0x564dfb0cd32eae35
95563.xword 0xa6be1363c1e6823d
95564.xword 0xe11e19521ccf601c
95565.xword 0x3d9175f672bff9a2
95566.xword 0xada90ea11091e96c
95567.xword 0x48ad0bfecaaca52a
95568.xword 0x3114235bb14711f0
95569.xword 0x361919ec760b17c7
95570.xword 0x9452349bcf39d211
95571.xword 0x3e899ec4cfd6bb8e
95572.xword 0x72a18ed8e633dd39
95573.xword 0x9370fdbb8971a8c2
95574.xword 0xd64444430b5636e2
95575.xword 0xcc272e61f19cf329
95576.xword 0x36580df7f1b2cead
95577.xword 0x5aa679655c76d0df
95578.xword 0x4e8e46a302593007
95579.xword 0xf9d1974237e2b671
95580.xword 0x5e720d4c61169a25
95581.xword 0x64d020b8b4743dd0
95582.xword 0x91cc64c5739048d3
95583.xword 0x74349bd306248d13
95584.xword 0xdec668d398363ecd
95585.xword 0xa4c7ba468793bdac
95586.xword 0xd50f62df3619c1b1
95587.xword 0xf3e8aaa2c0431abb
95588.xword 0xd6f8112c09f9cc16
95589.xword 0xa0466d44d5ee6a29
95590.xword 0x67bd344de55d9b49
95591.xword 0xda7b1963cf48c11c
95592.xword 0xeb18add79e937173
95593.xword 0x097240dfdcb9d979
95594.xword 0x7bd45bc46ee47076
95595.xword 0xf95f9d3f6d664c25
95596.xword 0x3e73fd4156575d40
95597.xword 0xfb478ef0d3bcf445
95598.xword 0x224a125c281748ef
95599.xword 0x51507a3bafd54090
95600.xword 0xda5fd1c282f0000e
95601.xword 0xbd3595534547a780
95602.xword 0x825304c9d0641ce4
95603.xword 0x67ce47d290c0716b
95604.xword 0xe88058e421be0b0b
95605.xword 0x71ce60b8c3afdd94
95606.xword 0x719762de19995a84
95607.xword 0x8551828c837fdf63
95608.xword 0xa095565ddfaaf685
95609
95610.align 8
95611.global _t2_ma_operations7
95612_t2_ma_operations7:
95613.xword 0
95614.xword 0x00020022 | (6 << 18) !ma_ctl_Ld (0)
95615
95616.xword 0
95617.xword 0x00020126 | (6 << 18) !ma_ctl_St (0)
95618
95619.xword 0x80604020
95620.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (0)
95621
95622.xword 0x356a
95623.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (0)
95624
95625.xword 0x0000006000408020
95626.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
95627
95628.xword 0x6a35
95629.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
95630
95631.xword 0x78285050
95632.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
95633
95634.xword 0x80604020
95635.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
95636
95637.xword 0x00287850
95638.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (0)
95639
95640.xword 0x00287850
95641.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (0)
95642
95643.xword 0x78285050
95644.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (0)
95645
95646.xword 0x64500000003c0000
95647.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
95648
95649.xword 0x7766000000554422
95650.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
95651
95652.xword 0x706200000054462a
95653.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
95654
95655.xword 0x784d005800423721
95656.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
95657
95658.xword 0x804d006000504020
95659.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
95660
95661.xword 0x0000006000408020
95662.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
95663
95664.xword 0
95665.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (0)
95666
95667.xword 0
95668.xword 0x0002008a | (6 << 18) !ma_ctl_Ld (1)
95669
95670.xword 0
95671.xword 0x00020145 | (6 << 18) !ma_ctl_St (1)
95672
95673.xword 0x80604020
95674.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (1)
95675
95676.xword 0x356a
95677.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (1)
95678
95679.xword 0x0000006000408020
95680.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
95681
95682.xword 0x6a35
95683.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
95684
95685.xword 0x78285050
95686.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
95687
95688.xword 0x80604020
95689.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
95690
95691.xword 0x00287850
95692.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
95693
95694.xword 0x00287850
95695.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
95696
95697.xword 0x78285050
95698.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (1)
95699
95700.xword 0x64500000003c0000
95701.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
95702
95703.xword 0x7766000000554422
95704.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
95705
95706.xword 0x706200000054462a
95707.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
95708
95709.xword 0x784d005800423721
95710.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
95711
95712.xword 0x804d006000504020
95713.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
95714
95715.xword 0x0000006000408020
95716.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
95717
95718.xword 0
95719.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (1)
95720
95721.xword 0
95722.xword 0x00020043 | (6 << 18) !ma_ctl_Ld (2)
95723
95724.xword 0
95725.xword 0x00020161 | (6 << 18) !ma_ctl_St (2)
95726
95727.xword 0x80604020
95728.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (2)
95729
95730.xword 0x356a
95731.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (2)
95732
95733.xword 0x0000006000408020
95734.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
95735
95736.xword 0x6a35
95737.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
95738
95739.xword 0x78285050
95740.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
95741
95742.xword 0x80604020
95743.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
95744
95745.xword 0x00287850
95746.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (2)
95747
95748.xword 0x00287850
95749.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (2)
95750
95751.xword 0x78285050
95752.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (2)
95753
95754.xword 0x64500000003c0000
95755.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
95756
95757.xword 0x7766000000554422
95758.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
95759
95760.xword 0x706200000054462a
95761.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
95762
95763.xword 0x784d005800423721
95764.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
95765
95766.xword 0x804d006000504020
95767.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
95768
95769.xword 0x0000006000408020
95770.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
95771
95772.xword 0
95773.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (2)
95774
95775.xword 0
95776.xword 0x00020044 | (6 << 18) !ma_ctl_Ld (3)
95777
95778.xword 0
95779.xword 0x00020133 | (6 << 18) !ma_ctl_St (3)
95780
95781.xword 0x80604020
95782.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (3)
95783
95784.xword 0x356a
95785.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (3)
95786
95787.xword 0x0000006000408020
95788.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
95789
95790.xword 0x6a35
95791.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
95792
95793.xword 0x78285050
95794.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
95795
95796.xword 0x80604020
95797.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
95798
95799.xword 0x00287850
95800.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (3)
95801
95802.xword 0x00287850
95803.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (3)
95804
95805.xword 0x78285050
95806.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (3)
95807
95808.xword 0x64500000003c0000
95809.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
95810
95811.xword 0x7766000000554422
95812.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
95813
95814.xword 0x706200000054462a
95815.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
95816
95817.xword 0x784d005800423721
95818.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
95819
95820.xword 0x804d006000504020
95821.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
95822
95823.xword 0x0000006000408020
95824.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
95825
95826.xword 0
95827.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (3)
95828
95829.xword 0
95830.xword 0x0002009f | (6 << 18) !ma_ctl_Ld (4)
95831
95832.xword 0
95833.xword 0x0002012b | (6 << 18) !ma_ctl_St (4)
95834
95835.xword 0x80604020
95836.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (4)
95837
95838.xword 0x356a
95839.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (4)
95840
95841.xword 0x0000006000408020
95842.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
95843
95844.xword 0x6a35
95845.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
95846
95847.xword 0x78285050
95848.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
95849
95850.xword 0x80604020
95851.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
95852
95853.xword 0x00287850
95854.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (4)
95855
95856.xword 0x00287850
95857.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (4)
95858
95859.xword 0x78285050
95860.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (4)
95861
95862.xword 0x64500000003c0000
95863.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
95864
95865.xword 0x7766000000554422
95866.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
95867
95868.xword 0x706200000054462a
95869.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
95870
95871.xword 0x784d005800423721
95872.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
95873
95874.xword 0x804d006000504020
95875.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
95876
95877.xword 0x0000006000408020
95878.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
95879
95880.xword 0
95881.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (4)
95882
95883.xword 0
95884.xword 0x00020093 | (6 << 18) !ma_ctl_Ld (5)
95885
95886.xword 0
95887.xword 0x0002016c | (6 << 18) !ma_ctl_St (5)
95888
95889.xword 0x80604020
95890.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (5)
95891
95892.xword 0x356a
95893.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (5)
95894
95895.xword 0x0000006000408020
95896.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
95897
95898.xword 0x6a35
95899.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
95900
95901.xword 0x78285050
95902.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
95903
95904.xword 0x80604020
95905.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
95906
95907.xword 0x00287850
95908.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (5)
95909
95910.xword 0x00287850
95911.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (5)
95912
95913.xword 0x78285050
95914.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
95915
95916.xword 0x64500000003c0000
95917.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
95918
95919.xword 0x7766000000554422
95920.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
95921
95922.xword 0x706200000054462a
95923.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
95924
95925.xword 0x784d005800423721
95926.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
95927
95928.xword 0x804d006000504020
95929.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
95930
95931.xword 0x0000006000408020
95932.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
95933
95934.xword 0
95935.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (5)
95936
95937.xword 0
95938.xword 0x00020093 | (6 << 18) !ma_ctl_Ld (6)
95939
95940.xword 0
95941.xword 0x00020164 | (6 << 18) !ma_ctl_St (6)
95942
95943.xword 0x80604020
95944.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (6)
95945
95946.xword 0x356a
95947.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (6)
95948
95949.xword 0x0000006000408020
95950.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
95951
95952.xword 0x6a35
95953.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
95954
95955.xword 0x78285050
95956.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
95957
95958.xword 0x80604020
95959.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
95960
95961.xword 0x00287850
95962.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (6)
95963
95964.xword 0x00287850
95965.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (6)
95966
95967.xword 0x78285050
95968.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (6)
95969
95970.xword 0x64500000003c0000
95971.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
95972
95973.xword 0x7766000000554422
95974.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
95975
95976.xword 0x706200000054462a
95977.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
95978
95979.xword 0x784d005800423721
95980.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
95981
95982.xword 0x804d006000504020
95983.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
95984
95985.xword 0x0000006000408020
95986.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
95987
95988.xword 0
95989.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (6)
95990
95991.xword 0
95992.xword 0x00020050 | (6 << 18) !ma_ctl_Ld (7)
95993
95994.xword 0
95995.xword 0x0002015b | (6 << 18) !ma_ctl_St (7)
95996
95997.xword 0x80604020
95998.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (7)
95999
96000.xword 0x356a
96001.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (7)
96002
96003.xword 0x0000006000408020
96004.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
96005
96006.xword 0x6a35
96007.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
96008
96009.xword 0x78285050
96010.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
96011
96012.xword 0x80604020
96013.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
96014
96015.xword 0x00287850
96016.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (7)
96017
96018.xword 0x00287850
96019.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (7)
96020
96021.xword 0x78285050
96022.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (7)
96023
96024.xword 0x64500000003c0000
96025.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
96026
96027.xword 0x7766000000554422
96028.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
96029
96030.xword 0x706200000054462a
96031.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
96032
96033.xword 0x784d005800423721
96034.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
96035
96036.xword 0x804d006000504020
96037.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
96038
96039.xword 0x0000006000408020
96040.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
96041
96042.xword 0
96043.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (7)
96044
96045.xword 0
96046.xword 0x0002006f | (6 << 18) !ma_ctl_Ld (8)
96047
96048.xword 0
96049.xword 0x00020182 | (6 << 18) !ma_ctl_St (8)
96050
96051.xword 0x80604020
96052.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (8)
96053
96054.xword 0x356a
96055.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (8)
96056
96057.xword 0x0000006000408020
96058.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
96059
96060.xword 0x6a35
96061.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
96062
96063.xword 0x78285050
96064.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
96065
96066.xword 0x80604020
96067.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
96068
96069.xword 0x00287850
96070.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (8)
96071
96072.xword 0x00287850
96073.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
96074
96075.xword 0x78285050
96076.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (8)
96077
96078.xword 0x64500000003c0000
96079.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
96080
96081.xword 0x7766000000554422
96082.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
96083
96084.xword 0x706200000054462a
96085.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
96086
96087.xword 0x784d005800423721
96088.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
96089
96090.xword 0x804d006000504020
96091.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
96092
96093.xword 0x0000006000408020
96094.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
96095
96096.xword 0
96097.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (8)
96098
96099.xword 0
96100.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (9)
96101
96102.xword 0
96103.xword 0x00020139 | (6 << 18) !ma_ctl_St (9)
96104
96105.xword 0x80604020
96106.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (9)
96107
96108.xword 0x356a
96109.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
96110
96111.xword 0x0000006000408020
96112.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
96113
96114.xword 0x6a35
96115.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
96116
96117.xword 0x78285050
96118.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
96119
96120.xword 0x80604020
96121.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
96122
96123.xword 0x00287850
96124.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (9)
96125
96126.xword 0x00287850
96127.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (9)
96128
96129.xword 0x78285050
96130.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (9)
96131
96132.xword 0x64500000003c0000
96133.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
96134
96135.xword 0x7766000000554422
96136.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
96137
96138.xword 0x706200000054462a
96139.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
96140
96141.xword 0x784d005800423721
96142.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
96143
96144.xword 0x804d006000504020
96145.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
96146
96147.xword 0x0000006000408020
96148.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
96149
96150.xword 0
96151.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (9)
96152
96153.xword 0
96154.xword 0x00020092 | (6 << 18) !ma_ctl_Ld (10)
96155
96156.xword 0
96157.xword 0x00020128 | (6 << 18) !ma_ctl_St (10)
96158
96159.xword 0x80604020
96160.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (10)
96161
96162.xword 0x356a
96163.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (10)
96164
96165.xword 0x0000006000408020
96166.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
96167
96168.xword 0x6a35
96169.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
96170
96171.xword 0x78285050
96172.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
96173
96174.xword 0x80604020
96175.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
96176
96177.xword 0x00287850
96178.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (10)
96179
96180.xword 0x00287850
96181.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (10)
96182
96183.xword 0x78285050
96184.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
96185
96186.xword 0x64500000003c0000
96187.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
96188
96189.xword 0x7766000000554422
96190.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
96191
96192.xword 0x706200000054462a
96193.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
96194
96195.xword 0x784d005800423721
96196.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
96197
96198.xword 0x804d006000504020
96199.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
96200
96201.xword 0x0000006000408020
96202.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
96203
96204.xword 0
96205.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (10)
96206
96207.xword 0
96208.xword 0x0002002e | (6 << 18) !ma_ctl_Ld (11)
96209
96210.xword 0
96211.xword 0x00020166 | (6 << 18) !ma_ctl_St (11)
96212
96213.xword 0x80604020
96214.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (11)
96215
96216.xword 0x356a
96217.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (11)
96218
96219.xword 0x0000006000408020
96220.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
96221
96222.xword 0x6a35
96223.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
96224
96225.xword 0x78285050
96226.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
96227
96228.xword 0x80604020
96229.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
96230
96231.xword 0x00287850
96232.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (11)
96233
96234.xword 0x00287850
96235.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (11)
96236
96237.xword 0x78285050
96238.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (11)
96239
96240.xword 0x64500000003c0000
96241.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
96242
96243.xword 0x7766000000554422
96244.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
96245
96246.xword 0x706200000054462a
96247.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
96248
96249.xword 0x784d005800423721
96250.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
96251
96252.xword 0x804d006000504020
96253.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
96254
96255.xword 0x0000006000408020
96256.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
96257
96258.xword 0
96259.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (11)
96260
96261.xword 0
96262.xword 0x00020099 | (6 << 18) !ma_ctl_Ld (12)
96263
96264.xword 0
96265.xword 0x0002016f | (6 << 18) !ma_ctl_St (12)
96266
96267.xword 0x80604020
96268.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (12)
96269
96270.xword 0x356a
96271.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (12)
96272
96273.xword 0x0000006000408020
96274.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
96275
96276.xword 0x6a35
96277.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
96278
96279.xword 0x78285050
96280.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
96281
96282.xword 0x80604020
96283.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
96284
96285.xword 0x00287850
96286.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (12)
96287
96288.xword 0x00287850
96289.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (12)
96290
96291.xword 0x78285050
96292.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (12)
96293
96294.xword 0x64500000003c0000
96295.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
96296
96297.xword 0x7766000000554422
96298.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
96299
96300.xword 0x706200000054462a
96301.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
96302
96303.xword 0x784d005800423721
96304.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
96305
96306.xword 0x804d006000504020
96307.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
96308
96309.xword 0x0000006000408020
96310.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
96311
96312.xword 0
96313.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (12)
96314
96315.xword 0
96316.xword 0x00020047 | (6 << 18) !ma_ctl_Ld (13)
96317
96318.xword 0
96319.xword 0x00020145 | (6 << 18) !ma_ctl_St (13)
96320
96321.xword 0x80604020
96322.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (13)
96323
96324.xword 0x356a
96325.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (13)
96326
96327.xword 0x0000006000408020
96328.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
96329
96330.xword 0x6a35
96331.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
96332
96333.xword 0x78285050
96334.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
96335
96336.xword 0x80604020
96337.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
96338
96339.xword 0x00287850
96340.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (13)
96341
96342.xword 0x00287850
96343.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (13)
96344
96345.xword 0x78285050
96346.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (13)
96347
96348.xword 0x64500000003c0000
96349.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
96350
96351.xword 0x7766000000554422
96352.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
96353
96354.xword 0x706200000054462a
96355.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
96356
96357.xword 0x784d005800423721
96358.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
96359
96360.xword 0x804d006000504020
96361.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
96362
96363.xword 0x0000006000408020
96364.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
96365
96366.xword 0
96367.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (13)
96368
96369.xword 0
96370.xword 0x0002008f | (6 << 18) !ma_ctl_Ld (14)
96371
96372.xword 0
96373.xword 0x00020185 | (6 << 18) !ma_ctl_St (14)
96374
96375.xword 0x80604020
96376.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (14)
96377
96378.xword 0x356a
96379.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (14)
96380
96381.xword 0x0000006000408020
96382.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
96383
96384.xword 0x6a35
96385.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
96386
96387.xword 0x78285050
96388.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
96389
96390.xword 0x80604020
96391.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
96392
96393.xword 0x00287850
96394.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (14)
96395
96396.xword 0x00287850
96397.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (14)
96398
96399.xword 0x78285050
96400.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (14)
96401
96402.xword 0x64500000003c0000
96403.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
96404
96405.xword 0x7766000000554422
96406.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
96407
96408.xword 0x706200000054462a
96409.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
96410
96411.xword 0x784d005800423721
96412.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
96413
96414.xword 0x804d006000504020
96415.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
96416
96417.xword 0x0000006000408020
96418.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
96419
96420.xword 0
96421.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (14)
96422
96423.xword 0
96424.xword 0x0002001f | (6 << 18) !ma_ctl_Ld (15)
96425
96426.xword 0
96427.xword 0x00020158 | (6 << 18) !ma_ctl_St (15)
96428
96429.xword 0x80604020
96430.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (15)
96431
96432.xword 0x356a
96433.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (15)
96434
96435.xword 0x0000006000408020
96436.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
96437
96438.xword 0x6a35
96439.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
96440
96441.xword 0x78285050
96442.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
96443
96444.xword 0x80604020
96445.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
96446
96447.xword 0x00287850
96448.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (15)
96449
96450.xword 0x00287850
96451.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (15)
96452
96453.xword 0x78285050
96454.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (15)
96455
96456.xword 0x64500000003c0000
96457.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
96458
96459.xword 0x7766000000554422
96460.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
96461
96462.xword 0x706200000054462a
96463.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
96464
96465.xword 0x784d005800423721
96466.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
96467
96468.xword 0x804d006000504020
96469.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
96470
96471.xword 0x0000006000408020
96472.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
96473
96474.xword 0
96475.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (15)
96476
96477.xword 0
96478.xword 0x0002007a | (6 << 18) !ma_ctl_Ld (16)
96479
96480.xword 0
96481.xword 0x0002018a | (6 << 18) !ma_ctl_St (16)
96482
96483.xword 0x80604020
96484.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (16)
96485
96486.xword 0x356a
96487.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (16)
96488
96489.xword 0x0000006000408020
96490.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
96491
96492.xword 0x6a35
96493.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
96494
96495.xword 0x78285050
96496.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
96497
96498.xword 0x80604020
96499.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
96500
96501.xword 0x00287850
96502.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (16)
96503
96504.xword 0x00287850
96505.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (16)
96506
96507.xword 0x78285050
96508.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (16)
96509
96510.xword 0x64500000003c0000
96511.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
96512
96513.xword 0x7766000000554422
96514.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
96515
96516.xword 0x706200000054462a
96517.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
96518
96519.xword 0x784d005800423721
96520.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
96521
96522.xword 0x804d006000504020
96523.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
96524
96525.xword 0x0000006000408020
96526.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
96527
96528.xword 0
96529.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (16)
96530
96531.xword 0
96532.xword 0x0002005f | (6 << 18) !ma_ctl_Ld (17)
96533
96534.xword 0
96535.xword 0x0002015d | (6 << 18) !ma_ctl_St (17)
96536
96537.xword 0x80604020
96538.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (17)
96539
96540.xword 0x356a
96541.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (17)
96542
96543.xword 0x0000006000408020
96544.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
96545
96546.xword 0x6a35
96547.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
96548
96549.xword 0x78285050
96550.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
96551
96552.xword 0x80604020
96553.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
96554
96555.xword 0x00287850
96556.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (17)
96557
96558.xword 0x00287850
96559.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (17)
96560
96561.xword 0x78285050
96562.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (17)
96563
96564.xword 0x64500000003c0000
96565.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
96566
96567.xword 0x7766000000554422
96568.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
96569
96570.xword 0x706200000054462a
96571.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
96572
96573.xword 0x784d005800423721
96574.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
96575
96576.xword 0x804d006000504020
96577.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
96578
96579.xword 0x0000006000408020
96580.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
96581
96582.xword 0
96583.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (17)
96584
96585.xword 0
96586.xword 0x00020059 | (6 << 18) !ma_ctl_Ld (18)
96587
96588.xword 0
96589.xword 0x00020161 | (6 << 18) !ma_ctl_St (18)
96590
96591.xword 0x80604020
96592.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (18)
96593
96594.xword 0x356a
96595.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (18)
96596
96597.xword 0x0000006000408020
96598.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
96599
96600.xword 0x6a35
96601.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
96602
96603.xword 0x78285050
96604.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
96605
96606.xword 0x80604020
96607.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
96608
96609.xword 0x00287850
96610.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (18)
96611
96612.xword 0x00287850
96613.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (18)
96614
96615.xword 0x78285050
96616.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (18)
96617
96618.xword 0x64500000003c0000
96619.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
96620
96621.xword 0x7766000000554422
96622.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
96623
96624.xword 0x706200000054462a
96625.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
96626
96627.xword 0x784d005800423721
96628.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
96629
96630.xword 0x804d006000504020
96631.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
96632
96633.xword 0x0000006000408020
96634.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
96635
96636.xword 0
96637.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (18)
96638
96639.xword 0
96640.xword 0x0002002c | (6 << 18) !ma_ctl_Ld (19)
96641
96642.xword 0
96643.xword 0x00020135 | (6 << 18) !ma_ctl_St (19)
96644
96645.xword 0x80604020
96646.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (19)
96647
96648.xword 0x356a
96649.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (19)
96650
96651.xword 0x0000006000408020
96652.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
96653
96654.xword 0x6a35
96655.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
96656
96657.xword 0x78285050
96658.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
96659
96660.xword 0x80604020
96661.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
96662
96663.xword 0x00287850
96664.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (19)
96665
96666.xword 0x00287850
96667.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (19)
96668
96669.xword 0x78285050
96670.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
96671
96672.xword 0x64500000003c0000
96673.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
96674
96675.xword 0x7766000000554422
96676.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
96677
96678.xword 0x706200000054462a
96679.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
96680
96681.xword 0x784d005800423721
96682.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
96683
96684.xword 0x804d006000504020
96685.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
96686
96687.xword 0x0000006000408020
96688.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
96689
96690.xword 0
96691.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (19)
96692
96693.xword 0
96694.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (20)
96695
96696.xword 0
96697.xword 0x00020182 | (6 << 18) !ma_ctl_St (20)
96698
96699.xword 0x80604020
96700.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (20)
96701
96702.xword 0x356a
96703.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (20)
96704
96705.xword 0x0000006000408020
96706.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
96707
96708.xword 0x6a35
96709.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
96710
96711.xword 0x78285050
96712.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
96713
96714.xword 0x80604020
96715.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
96716
96717.xword 0x00287850
96718.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (20)
96719
96720.xword 0x00287850
96721.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (20)
96722
96723.xword 0x78285050
96724.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (20)
96725
96726.xword 0x64500000003c0000
96727.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
96728
96729.xword 0x7766000000554422
96730.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
96731
96732.xword 0x706200000054462a
96733.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
96734
96735.xword 0x784d005800423721
96736.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
96737
96738.xword 0x804d006000504020
96739.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
96740
96741.xword 0x0000006000408020
96742.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
96743
96744.xword 0
96745.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (20)
96746
96747.xword 0
96748.xword 0x00020029 | (6 << 18) !ma_ctl_Ld (21)
96749
96750.xword 0
96751.xword 0x0002012b | (6 << 18) !ma_ctl_St (21)
96752
96753.xword 0x80604020
96754.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (21)
96755
96756.xword 0x356a
96757.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (21)
96758
96759.xword 0x0000006000408020
96760.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
96761
96762.xword 0x6a35
96763.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
96764
96765.xword 0x78285050
96766.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
96767
96768.xword 0x80604020
96769.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
96770
96771.xword 0x00287850
96772.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (21)
96773
96774.xword 0x00287850
96775.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (21)
96776
96777.xword 0x78285050
96778.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (21)
96779
96780.xword 0x64500000003c0000
96781.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
96782
96783.xword 0x7766000000554422
96784.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
96785
96786.xword 0x706200000054462a
96787.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
96788
96789.xword 0x784d005800423721
96790.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
96791
96792.xword 0x804d006000504020
96793.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
96794
96795.xword 0x0000006000408020
96796.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
96797
96798.xword 0
96799.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (21)
96800
96801.xword 0
96802.xword 0x00020027 | (6 << 18) !ma_ctl_Ld (22)
96803
96804.xword 0
96805.xword 0x0002013f | (6 << 18) !ma_ctl_St (22)
96806
96807.xword 0x80604020
96808.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (22)
96809
96810.xword 0x356a
96811.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (22)
96812
96813.xword 0x0000006000408020
96814.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
96815
96816.xword 0x6a35
96817.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
96818
96819.xword 0x78285050
96820.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
96821
96822.xword 0x80604020
96823.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
96824
96825.xword 0x00287850
96826.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (22)
96827
96828.xword 0x00287850
96829.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (22)
96830
96831.xword 0x78285050
96832.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (22)
96833
96834.xword 0x64500000003c0000
96835.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
96836
96837.xword 0x7766000000554422
96838.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
96839
96840.xword 0x706200000054462a
96841.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
96842
96843.xword 0x784d005800423721
96844.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
96845
96846.xword 0x804d006000504020
96847.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
96848
96849.xword 0x0000006000408020
96850.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
96851
96852.xword 0
96853.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (22)
96854
96855.xword 0
96856.xword 0x0002006e | (6 << 18) !ma_ctl_Ld (23)
96857
96858.xword 0
96859.xword 0x0002018e | (6 << 18) !ma_ctl_St (23)
96860
96861.xword 0x80604020
96862.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (23)
96863
96864.xword 0x356a
96865.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (23)
96866
96867.xword 0x0000006000408020
96868.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
96869
96870.xword 0x6a35
96871.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
96872
96873.xword 0x78285050
96874.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
96875
96876.xword 0x80604020
96877.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
96878
96879.xword 0x00287850
96880.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (23)
96881
96882.xword 0x00287850
96883.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (23)
96884
96885.xword 0x78285050
96886.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (23)
96887
96888.xword 0x64500000003c0000
96889.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
96890
96891.xword 0x7766000000554422
96892.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
96893
96894.xword 0x706200000054462a
96895.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
96896
96897.xword 0x784d005800423721
96898.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
96899
96900.xword 0x804d006000504020
96901.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
96902
96903.xword 0x0000006000408020
96904.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
96905
96906.xword 0
96907.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (23)
96908
96909.xword 0
96910.xword 0x00020072 | (6 << 18) !ma_ctl_Ld (24)
96911
96912.xword 0
96913.xword 0x0002018e | (6 << 18) !ma_ctl_St (24)
96914
96915.xword 0x80604020
96916.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (24)
96917
96918.xword 0x356a
96919.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (24)
96920
96921.xword 0x0000006000408020
96922.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
96923
96924.xword 0x6a35
96925.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
96926
96927.xword 0x78285050
96928.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
96929
96930.xword 0x80604020
96931.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
96932
96933.xword 0x00287850
96934.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (24)
96935
96936.xword 0x00287850
96937.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (24)
96938
96939.xword 0x78285050
96940.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (24)
96941
96942.xword 0x64500000003c0000
96943.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
96944
96945.xword 0x7766000000554422
96946.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
96947
96948.xword 0x706200000054462a
96949.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
96950
96951.xword 0x784d005800423721
96952.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
96953
96954.xword 0x804d006000504020
96955.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
96956
96957.xword 0x0000006000408020
96958.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
96959
96960.xword 0
96961.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (24)
96962
96963.xword 0
96964.xword 0x00020081 | (6 << 18) !ma_ctl_Ld (25)
96965
96966.xword 0
96967.xword 0x00020140 | (6 << 18) !ma_ctl_St (25)
96968
96969.xword 0x80604020
96970.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (25)
96971
96972.xword 0x356a
96973.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (25)
96974
96975.xword 0x0000006000408020
96976.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
96977
96978.xword 0x6a35
96979.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
96980
96981.xword 0x78285050
96982.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
96983
96984.xword 0x80604020
96985.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
96986
96987.xword 0x00287850
96988.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (25)
96989
96990.xword 0x00287850
96991.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (25)
96992
96993.xword 0x78285050
96994.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (25)
96995
96996.xword 0x64500000003c0000
96997.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
96998
96999.xword 0x7766000000554422
97000.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
97001
97002.xword 0x706200000054462a
97003.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
97004
97005.xword 0x784d005800423721
97006.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
97007
97008.xword 0x804d006000504020
97009.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
97010
97011.xword 0x0000006000408020
97012.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
97013
97014.xword 0
97015.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (25)
97016
97017.xword 0
97018.xword 0x0002005b | (6 << 18) !ma_ctl_Ld (26)
97019
97020.xword 0
97021.xword 0x00020169 | (6 << 18) !ma_ctl_St (26)
97022
97023.xword 0x80604020
97024.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (26)
97025
97026.xword 0x356a
97027.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (26)
97028
97029.xword 0x0000006000408020
97030.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
97031
97032.xword 0x6a35
97033.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
97034
97035.xword 0x78285050
97036.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
97037
97038.xword 0x80604020
97039.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
97040
97041.xword 0x00287850
97042.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (26)
97043
97044.xword 0x00287850
97045.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (26)
97046
97047.xword 0x78285050
97048.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (26)
97049
97050.xword 0x64500000003c0000
97051.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
97052
97053.xword 0x7766000000554422
97054.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
97055
97056.xword 0x706200000054462a
97057.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
97058
97059.xword 0x784d005800423721
97060.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
97061
97062.xword 0x804d006000504020
97063.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
97064
97065.xword 0x0000006000408020
97066.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
97067
97068.xword 0
97069.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (26)
97070
97071.xword 0
97072.xword 0x0002008b | (6 << 18) !ma_ctl_Ld (27)
97073
97074.xword 0
97075.xword 0x00020177 | (6 << 18) !ma_ctl_St (27)
97076
97077.xword 0x80604020
97078.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (27)
97079
97080.xword 0x356a
97081.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (27)
97082
97083.xword 0x0000006000408020
97084.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
97085
97086.xword 0x6a35
97087.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
97088
97089.xword 0x78285050
97090.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
97091
97092.xword 0x80604020
97093.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
97094
97095.xword 0x00287850
97096.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (27)
97097
97098.xword 0x00287850
97099.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (27)
97100
97101.xword 0x78285050
97102.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (27)
97103
97104.xword 0x64500000003c0000
97105.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
97106
97107.xword 0x7766000000554422
97108.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
97109
97110.xword 0x706200000054462a
97111.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
97112
97113.xword 0x784d005800423721
97114.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
97115
97116.xword 0x804d006000504020
97117.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
97118
97119.xword 0x0000006000408020
97120.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
97121
97122.xword 0
97123.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (27)
97124
97125.xword 0
97126.xword 0x00020054 | (6 << 18) !ma_ctl_Ld (28)
97127
97128.xword 0
97129.xword 0x0002012b | (6 << 18) !ma_ctl_St (28)
97130
97131.xword 0x80604020
97132.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (28)
97133
97134.xword 0x356a
97135.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (28)
97136
97137.xword 0x0000006000408020
97138.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
97139
97140.xword 0x6a35
97141.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
97142
97143.xword 0x78285050
97144.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
97145
97146.xword 0x80604020
97147.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
97148
97149.xword 0x00287850
97150.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (28)
97151
97152.xword 0x00287850
97153.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (28)
97154
97155.xword 0x78285050
97156.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (28)
97157
97158.xword 0x64500000003c0000
97159.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
97160
97161.xword 0x7766000000554422
97162.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
97163
97164.xword 0x706200000054462a
97165.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
97166
97167.xword 0x784d005800423721
97168.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
97169
97170.xword 0x804d006000504020
97171.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
97172
97173.xword 0x0000006000408020
97174.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
97175
97176.xword 0
97177.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (28)
97178
97179.xword 0
97180.xword 0x0002009f | (6 << 18) !ma_ctl_Ld (29)
97181
97182.xword 0
97183.xword 0x00020181 | (6 << 18) !ma_ctl_St (29)
97184
97185.xword 0x80604020
97186.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (29)
97187
97188.xword 0x356a
97189.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (29)
97190
97191.xword 0x0000006000408020
97192.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
97193
97194.xword 0x6a35
97195.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
97196
97197.xword 0x78285050
97198.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
97199
97200.xword 0x80604020
97201.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
97202
97203.xword 0x00287850
97204.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (29)
97205
97206.xword 0x00287850
97207.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (29)
97208
97209.xword 0x78285050
97210.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (29)
97211
97212.xword 0x64500000003c0000
97213.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
97214
97215.xword 0x7766000000554422
97216.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
97217
97218.xword 0x706200000054462a
97219.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
97220
97221.xword 0x784d005800423721
97222.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
97223
97224.xword 0x804d006000504020
97225.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
97226
97227.xword 0x0000006000408020
97228.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
97229
97230.xword 0
97231.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (29)
97232
97233.xword 0
97234.xword 0x00020076 | (6 << 18) !ma_ctl_Ld (30)
97235
97236.xword 0
97237.xword 0x00020168 | (6 << 18) !ma_ctl_St (30)
97238
97239.xword 0x80604020
97240.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (30)
97241
97242.xword 0x356a
97243.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (30)
97244
97245.xword 0x0000006000408020
97246.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
97247
97248.xword 0x6a35
97249.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
97250
97251.xword 0x78285050
97252.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
97253
97254.xword 0x80604020
97255.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
97256
97257.xword 0x00287850
97258.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (30)
97259
97260.xword 0x00287850
97261.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (30)
97262
97263.xword 0x78285050
97264.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (30)
97265
97266.xword 0x64500000003c0000
97267.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
97268
97269.xword 0x7766000000554422
97270.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
97271
97272.xword 0x706200000054462a
97273.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
97274
97275.xword 0x784d005800423721
97276.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
97277
97278.xword 0x804d006000504020
97279.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
97280
97281.xword 0x0000006000408020
97282.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
97283
97284.xword 0
97285.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (30)
97286
97287.xword 0
97288.xword 0x00020055 | (6 << 18) !ma_ctl_Ld (31)
97289
97290.xword 0
97291.xword 0x00020160 | (6 << 18) !ma_ctl_St (31)
97292
97293.xword 0x80604020
97294.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (31)
97295
97296.xword 0x356a
97297.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (31)
97298
97299.xword 0x0000006000408020
97300.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
97301
97302.xword 0x6a35
97303.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
97304
97305.xword 0x78285050
97306.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
97307
97308.xword 0x80604020
97309.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
97310
97311.xword 0x00287850
97312.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (31)
97313
97314.xword 0x00287850
97315.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (31)
97316
97317.xword 0x78285050
97318.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (31)
97319
97320.xword 0x64500000003c0000
97321.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
97322
97323.xword 0x7766000000554422
97324.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
97325
97326.xword 0x706200000054462a
97327.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
97328
97329.xword 0x784d005800423721
97330.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
97331
97332.xword 0x804d006000504020
97333.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
97334
97335.xword 0x0000006000408020
97336.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
97337
97338.xword 0
97339.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (31)
97340
97341.xword 0
97342.xword 0x00020046 | (6 << 18) !ma_ctl_Ld (32)
97343
97344.xword 0
97345.xword 0x00020129 | (6 << 18) !ma_ctl_St (32)
97346
97347.xword 0x80604020
97348.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (32)
97349
97350.xword 0x356a
97351.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (32)
97352
97353.xword 0x0000006000408020
97354.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
97355
97356.xword 0x6a35
97357.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
97358
97359.xword 0x78285050
97360.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
97361
97362.xword 0x80604020
97363.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
97364
97365.xword 0x00287850
97366.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (32)
97367
97368.xword 0x00287850
97369.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (32)
97370
97371.xword 0x78285050
97372.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (32)
97373
97374.xword 0x64500000003c0000
97375.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
97376
97377.xword 0x7766000000554422
97378.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
97379
97380.xword 0x706200000054462a
97381.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
97382
97383.xword 0x784d005800423721
97384.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
97385
97386.xword 0x804d006000504020
97387.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
97388
97389.xword 0x0000006000408020
97390.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
97391
97392.xword 0
97393.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (32)
97394
97395.xword 0
97396.xword 0x00020073 | (6 << 18) !ma_ctl_Ld (33)
97397
97398.xword 0
97399.xword 0x00020139 | (6 << 18) !ma_ctl_St (33)
97400
97401.xword 0x80604020
97402.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (33)
97403
97404.xword 0x356a
97405.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (33)
97406
97407.xword 0x0000006000408020
97408.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
97409
97410.xword 0x6a35
97411.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
97412
97413.xword 0x78285050
97414.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
97415
97416.xword 0x80604020
97417.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
97418
97419.xword 0x00287850
97420.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (33)
97421
97422.xword 0x00287850
97423.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (33)
97424
97425.xword 0x78285050
97426.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (33)
97427
97428.xword 0x64500000003c0000
97429.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
97430
97431.xword 0x7766000000554422
97432.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
97433
97434.xword 0x706200000054462a
97435.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
97436
97437.xword 0x784d005800423721
97438.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
97439
97440.xword 0x804d006000504020
97441.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
97442
97443.xword 0x0000006000408020
97444.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
97445
97446.xword 0
97447.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (33)
97448
97449.xword 0
97450.xword 0x00020080 | (6 << 18) !ma_ctl_Ld (34)
97451
97452.xword 0
97453.xword 0x00020165 | (6 << 18) !ma_ctl_St (34)
97454
97455.xword 0x80604020
97456.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (34)
97457
97458.xword 0x356a
97459.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (34)
97460
97461.xword 0x0000006000408020
97462.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
97463
97464.xword 0x6a35
97465.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
97466
97467.xword 0x78285050
97468.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
97469
97470.xword 0x80604020
97471.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
97472
97473.xword 0x00287850
97474.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (34)
97475
97476.xword 0x00287850
97477.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (34)
97478
97479.xword 0x78285050
97480.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (34)
97481
97482.xword 0x64500000003c0000
97483.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
97484
97485.xword 0x7766000000554422
97486.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
97487
97488.xword 0x706200000054462a
97489.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
97490
97491.xword 0x784d005800423721
97492.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
97493
97494.xword 0x804d006000504020
97495.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
97496
97497.xword 0x0000006000408020
97498.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
97499
97500.xword 0
97501.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (34)
97502
97503.xword 0
97504.xword 0x00020043 | (6 << 18) !ma_ctl_Ld (35)
97505
97506.xword 0
97507.xword 0x00020146 | (6 << 18) !ma_ctl_St (35)
97508
97509.xword 0x80604020
97510.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (35)
97511
97512.xword 0x356a
97513.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (35)
97514
97515.xword 0x0000006000408020
97516.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
97517
97518.xword 0x6a35
97519.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
97520
97521.xword 0x78285050
97522.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
97523
97524.xword 0x80604020
97525.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
97526
97527.xword 0x00287850
97528.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (35)
97529
97530.xword 0x00287850
97531.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (35)
97532
97533.xword 0x78285050
97534.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (35)
97535
97536.xword 0x64500000003c0000
97537.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
97538
97539.xword 0x7766000000554422
97540.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
97541
97542.xword 0x706200000054462a
97543.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
97544
97545.xword 0x784d005800423721
97546.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
97547
97548.xword 0x804d006000504020
97549.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
97550
97551.xword 0x0000006000408020
97552.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
97553
97554.xword 0
97555.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (35)
97556
97557.xword 0
97558.xword 0x00020055 | (6 << 18) !ma_ctl_Ld (36)
97559
97560.xword 0
97561.xword 0x00020134 | (6 << 18) !ma_ctl_St (36)
97562
97563.xword 0x80604020
97564.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (36)
97565
97566.xword 0x356a
97567.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (36)
97568
97569.xword 0x0000006000408020
97570.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
97571
97572.xword 0x6a35
97573.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
97574
97575.xword 0x78285050
97576.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
97577
97578.xword 0x80604020
97579.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
97580
97581.xword 0x00287850
97582.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (36)
97583
97584.xword 0x00287850
97585.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (36)
97586
97587.xword 0x78285050
97588.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (36)
97589
97590.xword 0x64500000003c0000
97591.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
97592
97593.xword 0x7766000000554422
97594.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
97595
97596.xword 0x706200000054462a
97597.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
97598
97599.xword 0x784d005800423721
97600.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
97601
97602.xword 0x804d006000504020
97603.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
97604
97605.xword 0x0000006000408020
97606.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
97607
97608.xword 0
97609.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (36)
97610
97611.xword 0
97612.xword 0x00020056 | (6 << 18) !ma_ctl_Ld (37)
97613
97614.xword 0
97615.xword 0x00020130 | (6 << 18) !ma_ctl_St (37)
97616
97617.xword 0x80604020
97618.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (37)
97619
97620.xword 0x356a
97621.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (37)
97622
97623.xword 0x0000006000408020
97624.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
97625
97626.xword 0x6a35
97627.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
97628
97629.xword 0x78285050
97630.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
97631
97632.xword 0x80604020
97633.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
97634
97635.xword 0x00287850
97636.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (37)
97637
97638.xword 0x00287850
97639.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (37)
97640
97641.xword 0x78285050
97642.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (37)
97643
97644.xword 0x64500000003c0000
97645.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
97646
97647.xword 0x7766000000554422
97648.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
97649
97650.xword 0x706200000054462a
97651.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
97652
97653.xword 0x784d005800423721
97654.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
97655
97656.xword 0x804d006000504020
97657.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
97658
97659.xword 0x0000006000408020
97660.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
97661
97662.xword 0
97663.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (37)
97664
97665.xword 0
97666.xword 0x00020037 | (6 << 18) !ma_ctl_Ld (38)
97667
97668.xword 0
97669.xword 0x00020138 | (6 << 18) !ma_ctl_St (38)
97670
97671.xword 0x80604020
97672.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (38)
97673
97674.xword 0x356a
97675.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (38)
97676
97677.xword 0x0000006000408020
97678.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
97679
97680.xword 0x6a35
97681.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
97682
97683.xword 0x78285050
97684.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
97685
97686.xword 0x80604020
97687.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
97688
97689.xword 0x00287850
97690.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (38)
97691
97692.xword 0x00287850
97693.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (38)
97694
97695.xword 0x78285050
97696.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (38)
97697
97698.xword 0x64500000003c0000
97699.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
97700
97701.xword 0x7766000000554422
97702.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
97703
97704.xword 0x706200000054462a
97705.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
97706
97707.xword 0x784d005800423721
97708.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
97709
97710.xword 0x804d006000504020
97711.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
97712
97713.xword 0x0000006000408020
97714.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
97715
97716.xword 0
97717.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (38)
97718
97719.xword 0
97720.xword 0x0002004e | (6 << 18) !ma_ctl_Ld (39)
97721
97722.xword 0
97723.xword 0x00020133 | (6 << 18) !ma_ctl_St (39)
97724
97725.xword 0x80604020
97726.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (39)
97727
97728.xword 0x356a
97729.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (39)
97730
97731.xword 0x0000006000408020
97732.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
97733
97734.xword 0x6a35
97735.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
97736
97737.xword 0x78285050
97738.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
97739
97740.xword 0x80604020
97741.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
97742
97743.xword 0x00287850
97744.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (39)
97745
97746.xword 0x00287850
97747.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (39)
97748
97749.xword 0x78285050
97750.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (39)
97751
97752.xword 0x64500000003c0000
97753.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
97754
97755.xword 0x7766000000554422
97756.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
97757
97758.xword 0x706200000054462a
97759.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
97760
97761.xword 0x784d005800423721
97762.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
97763
97764.xword 0x804d006000504020
97765.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
97766
97767.xword 0x0000006000408020
97768.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
97769
97770.xword 0
97771.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (39)
97772
97773.xword 0
97774.xword 0x00020032 | (6 << 18) !ma_ctl_Ld (40)
97775
97776.xword 0
97777.xword 0x00020158 | (6 << 18) !ma_ctl_St (40)
97778
97779.xword 0x80604020
97780.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (40)
97781
97782.xword 0x356a
97783.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (40)
97784
97785.xword 0x0000006000408020
97786.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
97787
97788.xword 0x6a35
97789.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
97790
97791.xword 0x78285050
97792.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
97793
97794.xword 0x80604020
97795.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
97796
97797.xword 0x00287850
97798.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (40)
97799
97800.xword 0x00287850
97801.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (40)
97802
97803.xword 0x78285050
97804.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (40)
97805
97806.xword 0x64500000003c0000
97807.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
97808
97809.xword 0x7766000000554422
97810.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
97811
97812.xword 0x706200000054462a
97813.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
97814
97815.xword 0x784d005800423721
97816.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
97817
97818.xword 0x804d006000504020
97819.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
97820
97821.xword 0x0000006000408020
97822.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
97823
97824.xword 0
97825.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (40)
97826
97827.xword 0
97828.xword 0x0002003d | (6 << 18) !ma_ctl_Ld (41)
97829
97830.xword 0
97831.xword 0x0002014f | (6 << 18) !ma_ctl_St (41)
97832
97833.xword 0x80604020
97834.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (41)
97835
97836.xword 0x356a
97837.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (41)
97838
97839.xword 0x0000006000408020
97840.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
97841
97842.xword 0x6a35
97843.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
97844
97845.xword 0x78285050
97846.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
97847
97848.xword 0x80604020
97849.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
97850
97851.xword 0x00287850
97852.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (41)
97853
97854.xword 0x00287850
97855.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (41)
97856
97857.xword 0x78285050
97858.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (41)
97859
97860.xword 0x64500000003c0000
97861.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
97862
97863.xword 0x7766000000554422
97864.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
97865
97866.xword 0x706200000054462a
97867.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
97868
97869.xword 0x784d005800423721
97870.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
97871
97872.xword 0x804d006000504020
97873.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
97874
97875.xword 0x0000006000408020
97876.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
97877
97878.xword 0
97879.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (41)
97880
97881.xword 0
97882.xword 0x0002004e | (6 << 18) !ma_ctl_Ld (42)
97883
97884.xword 0
97885.xword 0x00020181 | (6 << 18) !ma_ctl_St (42)
97886
97887.xword 0x80604020
97888.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (42)
97889
97890.xword 0x356a
97891.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (42)
97892
97893.xword 0x0000006000408020
97894.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
97895
97896.xword 0x6a35
97897.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
97898
97899.xword 0x78285050
97900.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
97901
97902.xword 0x80604020
97903.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
97904
97905.xword 0x00287850
97906.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (42)
97907
97908.xword 0x00287850
97909.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (42)
97910
97911.xword 0x78285050
97912.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (42)
97913
97914.xword 0x64500000003c0000
97915.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
97916
97917.xword 0x7766000000554422
97918.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
97919
97920.xword 0x706200000054462a
97921.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
97922
97923.xword 0x784d005800423721
97924.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
97925
97926.xword 0x804d006000504020
97927.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
97928
97929.xword 0x0000006000408020
97930.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
97931
97932.xword 0
97933.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (42)
97934
97935.xword 0
97936.xword 0x0002009c | (6 << 18) !ma_ctl_Ld (43)
97937
97938.xword 0
97939.xword 0x00020149 | (6 << 18) !ma_ctl_St (43)
97940
97941.xword 0x80604020
97942.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (43)
97943
97944.xword 0x356a
97945.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (43)
97946
97947.xword 0x0000006000408020
97948.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
97949
97950.xword 0x6a35
97951.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
97952
97953.xword 0x78285050
97954.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
97955
97956.xword 0x80604020
97957.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
97958
97959.xword 0x00287850
97960.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (43)
97961
97962.xword 0x00287850
97963.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (43)
97964
97965.xword 0x78285050
97966.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (43)
97967
97968.xword 0x64500000003c0000
97969.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
97970
97971.xword 0x7766000000554422
97972.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
97973
97974.xword 0x706200000054462a
97975.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
97976
97977.xword 0x784d005800423721
97978.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
97979
97980.xword 0x804d006000504020
97981.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
97982
97983.xword 0x0000006000408020
97984.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
97985
97986.xword 0
97987.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (43)
97988
97989.xword 0
97990.xword 0x0002003b | (6 << 18) !ma_ctl_Ld (44)
97991
97992.xword 0
97993.xword 0x0002016c | (6 << 18) !ma_ctl_St (44)
97994
97995.xword 0x80604020
97996.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (44)
97997
97998.xword 0x356a
97999.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (44)
98000
98001.xword 0x0000006000408020
98002.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
98003
98004.xword 0x6a35
98005.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
98006
98007.xword 0x78285050
98008.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
98009
98010.xword 0x80604020
98011.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
98012
98013.xword 0x00287850
98014.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (44)
98015
98016.xword 0x00287850
98017.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (44)
98018
98019.xword 0x78285050
98020.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (44)
98021
98022.xword 0x64500000003c0000
98023.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
98024
98025.xword 0x7766000000554422
98026.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
98027
98028.xword 0x706200000054462a
98029.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
98030
98031.xword 0x784d005800423721
98032.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
98033
98034.xword 0x804d006000504020
98035.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
98036
98037.xword 0x0000006000408020
98038.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
98039
98040.xword 0
98041.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (44)
98042
98043.xword 0
98044.xword 0x00020053 | (6 << 18) !ma_ctl_Ld (45)
98045
98046.xword 0
98047.xword 0x0002013d | (6 << 18) !ma_ctl_St (45)
98048
98049.xword 0x80604020
98050.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (45)
98051
98052.xword 0x356a
98053.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (45)
98054
98055.xword 0x0000006000408020
98056.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
98057
98058.xword 0x6a35
98059.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
98060
98061.xword 0x78285050
98062.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
98063
98064.xword 0x80604020
98065.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
98066
98067.xword 0x00287850
98068.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (45)
98069
98070.xword 0x00287850
98071.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (45)
98072
98073.xword 0x78285050
98074.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (45)
98075
98076.xword 0x64500000003c0000
98077.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
98078
98079.xword 0x7766000000554422
98080.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
98081
98082.xword 0x706200000054462a
98083.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
98084
98085.xword 0x784d005800423721
98086.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
98087
98088.xword 0x804d006000504020
98089.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
98090
98091.xword 0x0000006000408020
98092.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
98093
98094.xword 0
98095.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (45)
98096
98097.xword 0
98098.xword 0x0002004a | (6 << 18) !ma_ctl_Ld (46)
98099
98100.xword 0
98101.xword 0x0002016c | (6 << 18) !ma_ctl_St (46)
98102
98103.xword 0x80604020
98104.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (46)
98105
98106.xword 0x356a
98107.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (46)
98108
98109.xword 0x0000006000408020
98110.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
98111
98112.xword 0x6a35
98113.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
98114
98115.xword 0x78285050
98116.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
98117
98118.xword 0x80604020
98119.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
98120
98121.xword 0x00287850
98122.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (46)
98123
98124.xword 0x00287850
98125.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (46)
98126
98127.xword 0x78285050
98128.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (46)
98129
98130.xword 0x64500000003c0000
98131.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
98132
98133.xword 0x7766000000554422
98134.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
98135
98136.xword 0x706200000054462a
98137.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
98138
98139.xword 0x784d005800423721
98140.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
98141
98142.xword 0x804d006000504020
98143.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
98144
98145.xword 0x0000006000408020
98146.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
98147
98148.xword 0
98149.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (46)
98150
98151.xword 0
98152.xword 0x00020029 | (6 << 18) !ma_ctl_Ld (47)
98153
98154.xword 0
98155.xword 0x00020164 | (6 << 18) !ma_ctl_St (47)
98156
98157.xword 0x80604020
98158.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (47)
98159
98160.xword 0x356a
98161.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (47)
98162
98163.xword 0x0000006000408020
98164.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
98165
98166.xword 0x6a35
98167.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
98168
98169.xword 0x78285050
98170.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
98171
98172.xword 0x80604020
98173.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
98174
98175.xword 0x00287850
98176.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (47)
98177
98178.xword 0x00287850
98179.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (47)
98180
98181.xword 0x78285050
98182.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (47)
98183
98184.xword 0x64500000003c0000
98185.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
98186
98187.xword 0x7766000000554422
98188.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
98189
98190.xword 0x706200000054462a
98191.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
98192
98193.xword 0x784d005800423721
98194.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
98195
98196.xword 0x804d006000504020
98197.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
98198
98199.xword 0x0000006000408020
98200.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
98201
98202.xword 0
98203.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (47)
98204
98205.xword 0
98206.xword 0x00020027 | (6 << 18) !ma_ctl_Ld (48)
98207
98208.xword 0
98209.xword 0x0002013b | (6 << 18) !ma_ctl_St (48)
98210
98211.xword 0x80604020
98212.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (48)
98213
98214.xword 0x356a
98215.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (48)
98216
98217.xword 0x0000006000408020
98218.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
98219
98220.xword 0x6a35
98221.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
98222
98223.xword 0x78285050
98224.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
98225
98226.xword 0x80604020
98227.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
98228
98229.xword 0x00287850
98230.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (48)
98231
98232.xword 0x00287850
98233.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (48)
98234
98235.xword 0x78285050
98236.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (48)
98237
98238.xword 0x64500000003c0000
98239.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
98240
98241.xword 0x7766000000554422
98242.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
98243
98244.xword 0x706200000054462a
98245.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
98246
98247.xword 0x784d005800423721
98248.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
98249
98250.xword 0x804d006000504020
98251.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
98252
98253.xword 0x0000006000408020
98254.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
98255
98256.xword 0
98257.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (48)
98258
98259.xword 0
98260.xword 0x00020066 | (6 << 18) !ma_ctl_Ld (49)
98261
98262.xword 0
98263.xword 0x00020148 | (6 << 18) !ma_ctl_St (49)
98264
98265.xword 0x80604020
98266.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (49)
98267
98268.xword 0x356a
98269.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (49)
98270
98271.xword 0x0000006000408020
98272.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
98273
98274.xword 0x6a35
98275.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
98276
98277.xword 0x78285050
98278.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
98279
98280.xword 0x80604020
98281.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
98282
98283.xword 0x00287850
98284.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (49)
98285
98286.xword 0x00287850
98287.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (49)
98288
98289.xword 0x78285050
98290.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (49)
98291
98292.xword 0x64500000003c0000
98293.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
98294
98295.xword 0x7766000000554422
98296.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
98297
98298.xword 0x706200000054462a
98299.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
98300
98301.xword 0x784d005800423721
98302.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
98303
98304.xword 0x804d006000504020
98305.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
98306
98307.xword 0x0000006000408020
98308.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
98309
98310.xword 0
98311.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (49)
98312
98313.xword 0
98314.xword 0x0002009e | (6 << 18) !ma_ctl_Ld (50)
98315
98316.xword 0
98317.xword 0x00020134 | (6 << 18) !ma_ctl_St (50)
98318
98319.xword 0x80604020
98320.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (50)
98321
98322.xword 0x356a
98323.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (50)
98324
98325.xword 0x0000006000408020
98326.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
98327
98328.xword 0x6a35
98329.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
98330
98331.xword 0x78285050
98332.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
98333
98334.xword 0x80604020
98335.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
98336
98337.xword 0x00287850
98338.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (50)
98339
98340.xword 0x00287850
98341.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (50)
98342
98343.xword 0x78285050
98344.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (50)
98345
98346.xword 0x64500000003c0000
98347.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
98348
98349.xword 0x7766000000554422
98350.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
98351
98352.xword 0x706200000054462a
98353.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
98354
98355.xword 0x784d005800423721
98356.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
98357
98358.xword 0x804d006000504020
98359.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
98360
98361.xword 0x0000006000408020
98362.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
98363
98364.xword 0
98365.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (50)
98366
98367.xword 0
98368.xword 0x00020097 | (6 << 18) !ma_ctl_Ld (51)
98369
98370.xword 0
98371.xword 0x00020157 | (6 << 18) !ma_ctl_St (51)
98372
98373.xword 0x80604020
98374.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (51)
98375
98376.xword 0x356a
98377.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (51)
98378
98379.xword 0x0000006000408020
98380.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
98381
98382.xword 0x6a35
98383.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
98384
98385.xword 0x78285050
98386.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
98387
98388.xword 0x80604020
98389.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
98390
98391.xword 0x00287850
98392.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (51)
98393
98394.xword 0x00287850
98395.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (51)
98396
98397.xword 0x78285050
98398.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (51)
98399
98400.xword 0x64500000003c0000
98401.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
98402
98403.xword 0x7766000000554422
98404.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
98405
98406.xword 0x706200000054462a
98407.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
98408
98409.xword 0x784d005800423721
98410.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
98411
98412.xword 0x804d006000504020
98413.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
98414
98415.xword 0x0000006000408020
98416.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
98417
98418.xword 0
98419.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (51)
98420
98421.xword 0
98422.xword 0x0002007e | (6 << 18) !ma_ctl_Ld (52)
98423
98424.xword 0
98425.xword 0x00020185 | (6 << 18) !ma_ctl_St (52)
98426
98427.xword 0x80604020
98428.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (52)
98429
98430.xword 0x356a
98431.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (52)
98432
98433.xword 0x0000006000408020
98434.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
98435
98436.xword 0x6a35
98437.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
98438
98439.xword 0x78285050
98440.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
98441
98442.xword 0x80604020
98443.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
98444
98445.xword 0x00287850
98446.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (52)
98447
98448.xword 0x00287850
98449.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (52)
98450
98451.xword 0x78285050
98452.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (52)
98453
98454.xword 0x64500000003c0000
98455.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
98456
98457.xword 0x7766000000554422
98458.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
98459
98460.xword 0x706200000054462a
98461.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
98462
98463.xword 0x784d005800423721
98464.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
98465
98466.xword 0x804d006000504020
98467.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
98468
98469.xword 0x0000006000408020
98470.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
98471
98472.xword 0
98473.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (52)
98474
98475.xword 0
98476.xword 0x00020054 | (6 << 18) !ma_ctl_Ld (53)
98477
98478.xword 0
98479.xword 0x0002016b | (6 << 18) !ma_ctl_St (53)
98480
98481.xword 0x80604020
98482.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (53)
98483
98484.xword 0x356a
98485.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (53)
98486
98487.xword 0x0000006000408020
98488.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
98489
98490.xword 0x6a35
98491.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
98492
98493.xword 0x78285050
98494.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
98495
98496.xword 0x80604020
98497.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
98498
98499.xword 0x00287850
98500.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (53)
98501
98502.xword 0x00287850
98503.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (53)
98504
98505.xword 0x78285050
98506.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (53)
98507
98508.xword 0x64500000003c0000
98509.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
98510
98511.xword 0x7766000000554422
98512.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
98513
98514.xword 0x706200000054462a
98515.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
98516
98517.xword 0x784d005800423721
98518.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
98519
98520.xword 0x804d006000504020
98521.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
98522
98523.xword 0x0000006000408020
98524.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
98525
98526.xword 0
98527.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (53)
98528
98529.xword 0
98530.xword 0x00020026 | (6 << 18) !ma_ctl_Ld (54)
98531
98532.xword 0
98533.xword 0x0002017e | (6 << 18) !ma_ctl_St (54)
98534
98535.xword 0x80604020
98536.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (54)
98537
98538.xword 0x356a
98539.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (54)
98540
98541.xword 0x0000006000408020
98542.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
98543
98544.xword 0x6a35
98545.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
98546
98547.xword 0x78285050
98548.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
98549
98550.xword 0x80604020
98551.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
98552
98553.xword 0x00287850
98554.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (54)
98555
98556.xword 0x00287850
98557.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (54)
98558
98559.xword 0x78285050
98560.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (54)
98561
98562.xword 0x64500000003c0000
98563.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
98564
98565.xword 0x7766000000554422
98566.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
98567
98568.xword 0x706200000054462a
98569.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
98570
98571.xword 0x784d005800423721
98572.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
98573
98574.xword 0x804d006000504020
98575.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
98576
98577.xword 0x0000006000408020
98578.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
98579
98580.xword 0
98581.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (54)
98582
98583.xword 0
98584.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (55)
98585
98586.xword 0
98587.xword 0x0002011e | (6 << 18) !ma_ctl_St (55)
98588
98589.xword 0x80604020
98590.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (55)
98591
98592.xword 0x356a
98593.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (55)
98594
98595.xword 0x0000006000408020
98596.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
98597
98598.xword 0x6a35
98599.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
98600
98601.xword 0x78285050
98602.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
98603
98604.xword 0x80604020
98605.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
98606
98607.xword 0x00287850
98608.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (55)
98609
98610.xword 0x00287850
98611.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (55)
98612
98613.xword 0x78285050
98614.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (55)
98615
98616.xword 0x64500000003c0000
98617.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
98618
98619.xword 0x7766000000554422
98620.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
98621
98622.xword 0x706200000054462a
98623.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
98624
98625.xword 0x784d005800423721
98626.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
98627
98628.xword 0x804d006000504020
98629.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
98630
98631.xword 0x0000006000408020
98632.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
98633
98634.xword 0
98635.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (55)
98636
98637.xword 0
98638.xword 0x0002007b | (6 << 18) !ma_ctl_Ld (56)
98639
98640.xword 0
98641.xword 0x0002013f | (6 << 18) !ma_ctl_St (56)
98642
98643.xword 0x80604020
98644.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (56)
98645
98646.xword 0x356a
98647.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (56)
98648
98649.xword 0x0000006000408020
98650.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
98651
98652.xword 0x6a35
98653.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
98654
98655.xword 0x78285050
98656.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
98657
98658.xword 0x80604020
98659.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
98660
98661.xword 0x00287850
98662.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (56)
98663
98664.xword 0x00287850
98665.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (56)
98666
98667.xword 0x78285050
98668.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (56)
98669
98670.xword 0x64500000003c0000
98671.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
98672
98673.xword 0x7766000000554422
98674.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
98675
98676.xword 0x706200000054462a
98677.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
98678
98679.xword 0x784d005800423721
98680.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
98681
98682.xword 0x804d006000504020
98683.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
98684
98685.xword 0x0000006000408020
98686.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
98687
98688.xword 0
98689.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (56)
98690
98691.xword 0
98692.xword 0x00020056 | (6 << 18) !ma_ctl_Ld (57)
98693
98694.xword 0
98695.xword 0x0002016e | (6 << 18) !ma_ctl_St (57)
98696
98697.xword 0x80604020
98698.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (57)
98699
98700.xword 0x356a
98701.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (57)
98702
98703.xword 0x0000006000408020
98704.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
98705
98706.xword 0x6a35
98707.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
98708
98709.xword 0x78285050
98710.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
98711
98712.xword 0x80604020
98713.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
98714
98715.xword 0x00287850
98716.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (57)
98717
98718.xword 0x00287850
98719.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (57)
98720
98721.xword 0x78285050
98722.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (57)
98723
98724.xword 0x64500000003c0000
98725.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
98726
98727.xword 0x7766000000554422
98728.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
98729
98730.xword 0x706200000054462a
98731.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
98732
98733.xword 0x784d005800423721
98734.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
98735
98736.xword 0x804d006000504020
98737.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
98738
98739.xword 0x0000006000408020
98740.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
98741
98742.xword 0
98743.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (57)
98744
98745.xword 0
98746.xword 0x00020076 | (6 << 18) !ma_ctl_Ld (58)
98747
98748.xword 0
98749.xword 0x0002018f | (6 << 18) !ma_ctl_St (58)
98750
98751.xword 0x80604020
98752.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (58)
98753
98754.xword 0x356a
98755.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (58)
98756
98757.xword 0x0000006000408020
98758.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
98759
98760.xword 0x6a35
98761.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
98762
98763.xword 0x78285050
98764.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
98765
98766.xword 0x80604020
98767.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
98768
98769.xword 0x00287850
98770.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (58)
98771
98772.xword 0x00287850
98773.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (58)
98774
98775.xword 0x78285050
98776.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (58)
98777
98778.xword 0x64500000003c0000
98779.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
98780
98781.xword 0x7766000000554422
98782.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
98783
98784.xword 0x706200000054462a
98785.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
98786
98787.xword 0x784d005800423721
98788.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
98789
98790.xword 0x804d006000504020
98791.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
98792
98793.xword 0x0000006000408020
98794.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
98795
98796.xword 0
98797.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (58)
98798
98799
98800.align 8
98801.global _t2_ma_results7
98802_t2_ma_results7:
98803.xword 0xDEADBEEFDEADBEEF
98804.xword 0xDEADBEEFDEADBEEF
98805.xword 0xDEADBEEFDEADBEEF
98806.xword 0xDEADBEEFDEADBEEF
98807.xword 0xDEADBEEFDEADBEEF
98808.xword 0xDEADBEEFDEADBEEF
98809.xword 0xDEADBEEFDEADBEEF
98810.xword 0xDEADBEEFDEADBEEF
98811.xword 0xDEADBEEFDEADBEEF
98812.xword 0xDEADBEEFDEADBEEF
98813.xword 0xDEADBEEFDEADBEEF
98814.xword 0xDEADBEEFDEADBEEF
98815.xword 0xDEADBEEFDEADBEEF
98816.xword 0xDEADBEEFDEADBEEF
98817.xword 0xDEADBEEFDEADBEEF
98818.xword 0xDEADBEEFDEADBEEF
98819.xword 0xDEADBEEFDEADBEEF
98820.xword 0xDEADBEEFDEADBEEF
98821.xword 0xDEADBEEFDEADBEEF
98822.xword 0xDEADBEEFDEADBEEF
98823.xword 0xDEADBEEFDEADBEEF
98824.xword 0xDEADBEEFDEADBEEF
98825.xword 0xDEADBEEFDEADBEEF
98826.xword 0xDEADBEEFDEADBEEF
98827.xword 0xDEADBEEFDEADBEEF
98828.xword 0xDEADBEEFDEADBEEF
98829.xword 0xDEADBEEFDEADBEEF
98830.xword 0xDEADBEEFDEADBEEF
98831.xword 0xDEADBEEFDEADBEEF
98832.xword 0xDEADBEEFDEADBEEF
98833.xword 0xDEADBEEFDEADBEEF
98834.xword 0xDEADBEEFDEADBEEF
98835.xword 0xDEADBEEFDEADBEEF
98836.xword 0xDEADBEEFDEADBEEF
98837.xword 0xDEADBEEFDEADBEEF
98838.xword 0xDEADBEEFDEADBEEF
98839.xword 0xDEADBEEFDEADBEEF
98840.xword 0xDEADBEEFDEADBEEF
98841.xword 0xDEADBEEFDEADBEEF
98842.xword 0xDEADBEEFDEADBEEF
98843.xword 0xDEADBEEFDEADBEEF
98844.xword 0xDEADBEEFDEADBEEF
98845.xword 0xDEADBEEFDEADBEEF
98846.xword 0xDEADBEEFDEADBEEF
98847.xword 0xDEADBEEFDEADBEEF
98848.xword 0xDEADBEEFDEADBEEF
98849.xword 0xDEADBEEFDEADBEEF
98850.xword 0xDEADBEEFDEADBEEF
98851.xword 0xDEADBEEFDEADBEEF
98852.xword 0xDEADBEEFDEADBEEF
98853.xword 0xDEADBEEFDEADBEEF
98854.xword 0xDEADBEEFDEADBEEF
98855.xword 0xDEADBEEFDEADBEEF
98856.xword 0xDEADBEEFDEADBEEF
98857.xword 0xDEADBEEFDEADBEEF
98858.xword 0xDEADBEEFDEADBEEF
98859.xword 0xDEADBEEFDEADBEEF
98860.xword 0xDEADBEEFDEADBEEF
98861.xword 0xDEADBEEFDEADBEEF
98862.xword 0xDEADBEEFDEADBEEF
98863.xword 0xDEADBEEFDEADBEEF
98864.xword 0xDEADBEEFDEADBEEF
98865.xword 0xDEADBEEFDEADBEEF
98866.xword 0xDEADBEEFDEADBEEF
98867.xword 0xDEADBEEFDEADBEEF
98868.xword 0xDEADBEEFDEADBEEF
98869.xword 0xDEADBEEFDEADBEEF
98870.xword 0xDEADBEEFDEADBEEF
98871.xword 0xDEADBEEFDEADBEEF
98872.xword 0xDEADBEEFDEADBEEF
98873.xword 0xDEADBEEFDEADBEEF
98874.xword 0xDEADBEEFDEADBEEF
98875.xword 0xDEADBEEFDEADBEEF
98876.xword 0xDEADBEEFDEADBEEF
98877.xword 0xDEADBEEFDEADBEEF
98878.xword 0xDEADBEEFDEADBEEF
98879.xword 0xDEADBEEFDEADBEEF
98880.xword 0xDEADBEEFDEADBEEF
98881.xword 0xDEADBEEFDEADBEEF
98882.xword 0xDEADBEEFDEADBEEF
98883.xword 0xDEADBEEFDEADBEEF
98884.xword 0xDEADBEEFDEADBEEF
98885.xword 0xDEADBEEFDEADBEEF
98886.xword 0xDEADBEEFDEADBEEF
98887.xword 0xDEADBEEFDEADBEEF
98888.xword 0xDEADBEEFDEADBEEF
98889.xword 0xDEADBEEFDEADBEEF
98890.xword 0xDEADBEEFDEADBEEF
98891.xword 0xDEADBEEFDEADBEEF
98892.xword 0xDEADBEEFDEADBEEF
98893.xword 0xDEADBEEFDEADBEEF
98894.xword 0xDEADBEEFDEADBEEF
98895.xword 0xDEADBEEFDEADBEEF
98896.xword 0xDEADBEEFDEADBEEF
98897.xword 0xDEADBEEFDEADBEEF
98898.xword 0xDEADBEEFDEADBEEF
98899.xword 0xDEADBEEFDEADBEEF
98900.xword 0xDEADBEEFDEADBEEF
98901.xword 0xDEADBEEFDEADBEEF
98902.xword 0xDEADBEEFDEADBEEF
98903.xword 0xDEADBEEFDEADBEEF
98904.xword 0xDEADBEEFDEADBEEF
98905.xword 0xDEADBEEFDEADBEEF
98906.xword 0xDEADBEEFDEADBEEF
98907.xword 0xDEADBEEFDEADBEEF
98908.xword 0xDEADBEEFDEADBEEF
98909.xword 0xDEADBEEFDEADBEEF
98910.xword 0xDEADBEEFDEADBEEF
98911.xword 0xDEADBEEFDEADBEEF
98912.xword 0xDEADBEEFDEADBEEF
98913.xword 0xDEADBEEFDEADBEEF
98914.xword 0xDEADBEEFDEADBEEF
98915.xword 0xDEADBEEFDEADBEEF
98916.xword 0xDEADBEEFDEADBEEF
98917.xword 0xDEADBEEFDEADBEEF
98918.xword 0xDEADBEEFDEADBEEF
98919.xword 0xDEADBEEFDEADBEEF
98920.xword 0xDEADBEEFDEADBEEF
98921.xword 0xDEADBEEFDEADBEEF
98922.xword 0xDEADBEEFDEADBEEF
98923.xword 0xDEADBEEFDEADBEEF
98924.xword 0xDEADBEEFDEADBEEF
98925.xword 0xDEADBEEFDEADBEEF
98926.xword 0xDEADBEEFDEADBEEF
98927.xword 0xDEADBEEFDEADBEEF
98928.xword 0xDEADBEEFDEADBEEF
98929.xword 0xDEADBEEFDEADBEEF
98930.xword 0xDEADBEEFDEADBEEF
98931.xword 0xDEADBEEFDEADBEEF
98932.xword 0xDEADBEEFDEADBEEF
98933.xword 0xDEADBEEFDEADBEEF
98934.xword 0xDEADBEEFDEADBEEF
98935.xword 0xDEADBEEFDEADBEEF
98936.xword 0xDEADBEEFDEADBEEF
98937.xword 0xDEADBEEFDEADBEEF
98938.xword 0xDEADBEEFDEADBEEF
98939.xword 0xDEADBEEFDEADBEEF
98940.xword 0xDEADBEEFDEADBEEF
98941.xword 0xDEADBEEFDEADBEEF
98942.xword 0xDEADBEEFDEADBEEF
98943.xword 0xDEADBEEFDEADBEEF
98944.xword 0xDEADBEEFDEADBEEF
98945.xword 0xDEADBEEFDEADBEEF
98946.xword 0xDEADBEEFDEADBEEF
98947.xword 0xDEADBEEFDEADBEEF
98948.xword 0xDEADBEEFDEADBEEF
98949.xword 0xDEADBEEFDEADBEEF
98950.xword 0xDEADBEEFDEADBEEF
98951.xword 0xDEADBEEFDEADBEEF
98952.xword 0xDEADBEEFDEADBEEF
98953.xword 0xDEADBEEFDEADBEEF
98954.xword 0xDEADBEEFDEADBEEF
98955.xword 0xDEADBEEFDEADBEEF
98956.xword 0xDEADBEEFDEADBEEF
98957.xword 0xDEADBEEFDEADBEEF
98958.xword 0xDEADBEEFDEADBEEF
98959.xword 0xDEADBEEFDEADBEEF
98960.xword 0xDEADBEEFDEADBEEF
98961.xword 0xDEADBEEFDEADBEEF
98962.xword 0xDEADBEEFDEADBEEF
98963.xword 0xDEADBEEFDEADBEEF
98964.xword 0xDEADBEEFDEADBEEF
98965.xword 0xDEADBEEFDEADBEEF
98966.xword 0xDEADBEEFDEADBEEF
98967
98968
98969
98970SECTION .MyHTRAPS_0 TEXT_VA = 0x0000000000280000, DATA_VA = 0x00000000002c0000
98971attr_text {
98972 Name = .MyHTRAPS_0,
98973 RA = 0x0000000000280000,
98974 PA = ra2pa(0x0000000000280000,0),
98975 part_0_ctx_zero_tsb_config_3,
98976 part_0_ctx_nonzero_tsb_config_3,
98977 TTE_G = 1,
98978 TTE_Context = 0,
98979 TTE_V = 1,
98980 TTE_Size = PART0_Z_PAGE_SIZE_3,
98981 TTE_NFO = 0,
98982 TTE_IE = 0,
98983 TTE_Soft2 = 0,
98984 TTE_Diag = 0,
98985 TTE_Soft = 0,
98986 TTE_L = 0,
98987 TTE_CP = 1,
98988 TTE_CV = 1,
98989 TTE_E = 0,
98990 TTE_P = 1,
98991 TTE_W = 0,
98992 TTE_X = 0
98993}
98994
98995
98996attr_data {
98997 Name = .MyHTRAPS_0,
98998 RA = 0x00000000002c0000,
98999 PA = ra2pa(0x00000000002c0000,0),
99000 part_0_ctx_zero_tsb_config_3,
99001 part_0_ctx_nonzero_tsb_config_3,
99002 TTE_G = 1,
99003 TTE_Context = 0,
99004 TTE_V = 1,
99005 TTE_Size = PART0_Z_PAGE_SIZE_3,
99006 TTE_NFO = 0,
99007 TTE_IE = 0,
99008 TTE_Soft2 = 0,
99009 TTE_Diag = 0,
99010 TTE_Soft = 0,
99011 TTE_L = 0,
99012 TTE_CP = 0,
99013 TTE_CV = 1,
99014 TTE_E = 0,
99015 TTE_P = 1,
99016 TTE_W = 0
99017}
99018
99019.text
99020#include "htraps.s"
99021#include "tlu_htraps_ext.s"
99022
99023
99024
99025SECTION .MyHTRAPS_1 TEXT_VA = 0x00000000002a0000, DATA_VA = 0x00000000002e0000
99026attr_text {
99027 Name = .MyHTRAPS_1,
99028 RA = 0x00000000002a0000,
99029 PA = ra2pa(0x00000000002a0000,0),
99030 part_0_ctx_zero_tsb_config_3,
99031 part_0_ctx_nonzero_tsb_config_3,
99032 TTE_G = 1,
99033 TTE_Context = 0,
99034 TTE_V = 1,
99035 TTE_Size = PART0_Z_PAGE_SIZE_3,
99036 TTE_NFO = 0,
99037 TTE_IE = 0,
99038 TTE_Soft2 = 0,
99039 TTE_Diag = 0,
99040 TTE_Soft = 0,
99041 TTE_L = 0,
99042 TTE_CP = 0,
99043 TTE_CV = 0,
99044 TTE_E = 1,
99045 TTE_P = 1,
99046 TTE_W = 0,
99047 TTE_X = 0
99048}
99049
99050
99051attr_data {
99052 Name = .MyHTRAPS_1,
99053 RA = 0x00000000002e0000,
99054 PA = ra2pa(0x00000000002e0000,0),
99055 part_0_ctx_zero_tsb_config_3,
99056 part_0_ctx_nonzero_tsb_config_3,
99057 TTE_G = 1,
99058 TTE_Context = 0,
99059 TTE_V = 1,
99060 TTE_Size = PART0_Z_PAGE_SIZE_3,
99061 TTE_NFO = 0,
99062 TTE_IE = 0,
99063 TTE_Soft2 = 0,
99064 TTE_Diag = 0,
99065 TTE_Soft = 0,
99066 TTE_L = 0,
99067 TTE_CP = 0,
99068 TTE_CV = 0,
99069 TTE_E = 0,
99070 TTE_P = 1,
99071 TTE_W = 0
99072}
99073
99074.text
99075#include "htraps.s"
99076#include "tlu_htraps_ext.s"
99077
99078
99079
99080SECTION .MyHTRAPS_2 TEXT_VA = 0x0000000200280000, DATA_VA = 0x00000002002c0000
99081attr_text {
99082 Name = .MyHTRAPS_2,
99083 RA = 0x0000000200280000,
99084 PA = ra2pa(0x0000000200280000,0),
99085 part_0_ctx_zero_tsb_config_3,
99086 part_0_ctx_nonzero_tsb_config_3,
99087 TTE_G = 1,
99088 TTE_Context = 0,
99089 TTE_V = 1,
99090 TTE_Size = PART0_Z_PAGE_SIZE_3,
99091 TTE_NFO = 0,
99092 TTE_IE = 0,
99093 TTE_Soft2 = 0,
99094 TTE_Diag = 0,
99095 TTE_Soft = 0,
99096 TTE_L = 0,
99097 TTE_CP = 0,
99098 TTE_CV = 0,
99099 TTE_E = 1,
99100 TTE_P = 1,
99101 TTE_W = 0,
99102 TTE_X = 0
99103}
99104
99105
99106attr_data {
99107 Name = .MyHTRAPS_2,
99108 RA = 0x00000002002c0000,
99109 PA = ra2pa(0x00000002002c0000,0),
99110 part_0_ctx_zero_tsb_config_3,
99111 part_0_ctx_nonzero_tsb_config_3,
99112 TTE_G = 1,
99113 TTE_Context = 0,
99114 TTE_V = 1,
99115 TTE_Size = PART0_Z_PAGE_SIZE_3,
99116 TTE_NFO = 0,
99117 TTE_IE = 0,
99118 TTE_Soft2 = 0,
99119 TTE_Diag = 0,
99120 TTE_Soft = 0,
99121 TTE_L = 0,
99122 TTE_CP = 0,
99123 TTE_CV = 1,
99124 TTE_E = 0,
99125 TTE_P = 1,
99126 TTE_W = 0
99127}
99128
99129.text
99130#include "htraps.s"
99131#include "tlu_htraps_ext.s"
99132
99133
99134
99135SECTION .MyHTRAPS_3 TEXT_VA = 0x00000002002a0000, DATA_VA = 0x00000002002e0000
99136attr_text {
99137 Name = .MyHTRAPS_3,
99138 RA = 0x00000002002a0000,
99139 PA = ra2pa(0x00000002002a0000,0),
99140 part_0_ctx_zero_tsb_config_3,
99141 part_0_ctx_nonzero_tsb_config_3,
99142 TTE_G = 1,
99143 TTE_Context = 0,
99144 TTE_V = 1,
99145 TTE_Size = PART0_Z_PAGE_SIZE_3,
99146 TTE_NFO = 0,
99147 TTE_IE = 0,
99148 TTE_Soft2 = 0,
99149 TTE_Diag = 0,
99150 TTE_Soft = 0,
99151 TTE_L = 0,
99152 TTE_CP = 0,
99153 TTE_CV = 0,
99154 TTE_E = 0,
99155 TTE_P = 1,
99156 TTE_W = 0,
99157 TTE_X = 0
99158}
99159
99160
99161attr_data {
99162 Name = .MyHTRAPS_3,
99163 RA = 0x00000002002e0000,
99164 PA = ra2pa(0x00000002002e0000,0),
99165 part_0_ctx_zero_tsb_config_3,
99166 part_0_ctx_nonzero_tsb_config_3,
99167 TTE_G = 1,
99168 TTE_Context = 0,
99169 TTE_V = 1,
99170 TTE_Size = PART0_Z_PAGE_SIZE_3,
99171 TTE_NFO = 0,
99172 TTE_IE = 0,
99173 TTE_Soft2 = 0,
99174 TTE_Diag = 0,
99175 TTE_Soft = 0,
99176 TTE_L = 0,
99177 TTE_CP = 1,
99178 TTE_CV = 0,
99179 TTE_E = 0,
99180 TTE_P = 1,
99181 TTE_W = 0
99182}
99183
99184.text
99185#include "htraps.s"
99186#include "tlu_htraps_ext.s"
99187
99188
99189
99190
99191
99192SECTION .MyTRAPS_0 TEXT_VA = 0x0000000000380000, DATA_VA = 0x00000000003c0000
99193attr_text {
99194 Name = .MyTRAPS_0,
99195 RA = 0x0000000000380000,
99196 PA = ra2pa(0x0000000000380000,0),
99197 part_0_ctx_zero_tsb_config_3,
99198 part_0_ctx_nonzero_tsb_config_3,
99199 TTE_G = 1,
99200 TTE_Context = 0,
99201 TTE_V = 1,
99202 TTE_Size = PART0_Z_PAGE_SIZE_3,
99203 TTE_NFO = 1,
99204 TTE_IE = 0,
99205 TTE_Soft2 = 0,
99206 TTE_Diag = 0,
99207 TTE_Soft = 0,
99208 TTE_L = 0,
99209 TTE_CP = 0,
99210 TTE_CV = 0,
99211 TTE_E = 1,
99212 TTE_P = 1,
99213 TTE_W = 0,
99214 TTE_X = 1
99215}
99216
99217
99218attr_data {
99219 Name = .MyTRAPS_0,
99220 RA = 0x00000000003c0000,
99221 PA = ra2pa(0x00000000003c0000,0),
99222 part_0_ctx_zero_tsb_config_3,
99223 part_0_ctx_nonzero_tsb_config_3,
99224 TTE_G = 1,
99225 TTE_Context = 0,
99226 TTE_V = 1,
99227 TTE_Size = PART0_Z_PAGE_SIZE_3,
99228 TTE_NFO = 1,
99229 TTE_IE = 1,
99230 TTE_Soft2 = 0,
99231 TTE_Diag = 0,
99232 TTE_Soft = 0,
99233 TTE_L = 0,
99234 TTE_CP = 0,
99235 TTE_CV = 1,
99236 TTE_E = 0,
99237 TTE_P = 1,
99238 TTE_W = 1
99239}
99240
99241#include "traps.s"
99242
99243
99244
99245SECTION .MyTRAPS_1 TEXT_VA = 0x00000000003a0000, DATA_VA = 0x00000000003e0000
99246attr_text {
99247 Name = .MyTRAPS_1,
99248 RA = 0x00000000003a0000,
99249 PA = ra2pa(0x00000000003a0000,0),
99250 part_0_ctx_zero_tsb_config_3,
99251 part_0_ctx_nonzero_tsb_config_3,
99252 TTE_G = 1,
99253 TTE_Context = 0,
99254 TTE_V = 1,
99255 TTE_Size = PART0_Z_PAGE_SIZE_3,
99256 TTE_NFO = 0,
99257 TTE_IE = 1,
99258 TTE_Soft2 = 0,
99259 TTE_Diag = 0,
99260 TTE_Soft = 0,
99261 TTE_L = 0,
99262 TTE_CP = 1,
99263 TTE_CV = 1,
99264 TTE_E = 0,
99265 TTE_P = 0,
99266 TTE_W = 1,
99267 TTE_X = 0
99268}
99269
99270
99271attr_data {
99272 Name = .MyTRAPS_1,
99273 RA = 0x00000000003e0000,
99274 PA = ra2pa(0x00000000003e0000,0),
99275 part_0_ctx_zero_tsb_config_3,
99276 part_0_ctx_nonzero_tsb_config_3,
99277 TTE_G = 1,
99278 TTE_Context = 0,
99279 TTE_V = 1,
99280 TTE_Size = PART0_Z_PAGE_SIZE_3,
99281 TTE_NFO = 1,
99282 TTE_IE = 1,
99283 TTE_Soft2 = 0,
99284 TTE_Diag = 0,
99285 TTE_Soft = 0,
99286 TTE_L = 0,
99287 TTE_CP = 1,
99288 TTE_CV = 1,
99289 TTE_E = 0,
99290 TTE_P = 1,
99291 TTE_W = 1
99292}
99293
99294#include "traps.s"
99295
99296
99297
99298SECTION .MyTRAPS_2 TEXT_VA = 0x0000000400380000, DATA_VA = 0x00000004003c0000
99299attr_text {
99300 Name = .MyTRAPS_2,
99301 RA = 0x0000000400380000,
99302 PA = ra2pa(0x0000000400380000,0),
99303 part_0_ctx_zero_tsb_config_3,
99304 part_0_ctx_nonzero_tsb_config_3,
99305 TTE_G = 1,
99306 TTE_Context = 0,
99307 TTE_V = 1,
99308 TTE_Size = PART0_Z_PAGE_SIZE_3,
99309 TTE_NFO = 1,
99310 TTE_IE = 0,
99311 TTE_Soft2 = 0,
99312 TTE_Diag = 0,
99313 TTE_Soft = 0,
99314 TTE_L = 0,
99315 TTE_CP = 0,
99316 TTE_CV = 0,
99317 TTE_E = 1,
99318 TTE_P = 1,
99319 TTE_W = 0,
99320 TTE_X = 1
99321}
99322
99323
99324attr_data {
99325 Name = .MyTRAPS_2,
99326 RA = 0x00000004003c0000,
99327 PA = ra2pa(0x00000004003c0000,0),
99328 part_0_ctx_zero_tsb_config_3,
99329 part_0_ctx_nonzero_tsb_config_3,
99330 TTE_G = 1,
99331 TTE_Context = 0,
99332 TTE_V = 1,
99333 TTE_Size = PART0_Z_PAGE_SIZE_3,
99334 TTE_NFO = 1,
99335 TTE_IE = 1,
99336 TTE_Soft2 = 0,
99337 TTE_Diag = 0,
99338 TTE_Soft = 0,
99339 TTE_L = 0,
99340 TTE_CP = 0,
99341 TTE_CV = 0,
99342 TTE_E = 0,
99343 TTE_P = 1,
99344 TTE_W = 0
99345}
99346
99347#include "traps.s"
99348
99349
99350
99351SECTION .MyTRAPS_3 TEXT_VA = 0x00000004003a0000, DATA_VA = 0x00000004003e0000
99352attr_text {
99353 Name = .MyTRAPS_3,
99354 RA = 0x00000004003a0000,
99355 PA = ra2pa(0x00000004003a0000,0),
99356 part_0_ctx_zero_tsb_config_3,
99357 part_0_ctx_nonzero_tsb_config_3,
99358 TTE_G = 1,
99359 TTE_Context = 0,
99360 TTE_V = 1,
99361 TTE_Size = PART0_Z_PAGE_SIZE_3,
99362 TTE_NFO = 0,
99363 TTE_IE = 0,
99364 TTE_Soft2 = 0,
99365 TTE_Diag = 0,
99366 TTE_Soft = 0,
99367 TTE_L = 0,
99368 TTE_CP = 0,
99369 TTE_CV = 1,
99370 TTE_E = 0,
99371 TTE_P = 1,
99372 TTE_W = 1,
99373 TTE_X = 0
99374}
99375
99376
99377attr_data {
99378 Name = .MyTRAPS_3,
99379 RA = 0x00000004003e0000,
99380 PA = ra2pa(0x00000004003e0000,0),
99381 part_0_ctx_zero_tsb_config_3,
99382 part_0_ctx_nonzero_tsb_config_3,
99383 TTE_G = 1,
99384 TTE_Context = 0,
99385 TTE_V = 1,
99386 TTE_Size = PART0_Z_PAGE_SIZE_3,
99387 TTE_NFO = 1,
99388 TTE_IE = 0,
99389 TTE_Soft2 = 0,
99390 TTE_Diag = 0,
99391 TTE_Soft = 0,
99392 TTE_L = 0,
99393 TTE_CP = 1,
99394 TTE_CV = 1,
99395 TTE_E = 0,
99396 TTE_P = 1,
99397 TTE_W = 1
99398}
99399
99400#include "traps.s"
99401
99402
99403
99404
99405
99406SECTION .MyDATA_0 TEXT_VA = 0x00000000e0140000, DATA_VA = 0x0000000060140000
99407attr_text {
99408 Name = .MyDATA_0,
99409 RA = 0x0000000170100000,
99410 PA = ra2pa(0x0000000170100000,0),
99411 part_0_ctx_zero_tsb_config_0,
99412 part_0_ctx_nonzero_tsb_config_0,
99413 TTE_G = 1,
99414 TTE_Context = PCONTEXT,
99415 TTE_V = 1,
99416 TTE_Size = 5,
99417 TTE_NFO = 1,
99418 TTE_IE = 0,
99419 TTE_Soft2 = 0,
99420 TTE_Diag = 0,
99421 TTE_Soft = 0,
99422 TTE_L = 0,
99423 TTE_CP = 0,
99424 TTE_CV = 0,
99425 TTE_E = 0,
99426 TTE_P = 0,
99427 TTE_W = 1
99428}
99429
99430
99431attr_data {
99432 Name = .MyDATA_0,
99433 RA = 0x0000000170100000,
99434 PA = ra2pa(0x0000000170100000,0),
99435 part_0_ctx_zero_tsb_config_1,
99436 part_0_ctx_nonzero_tsb_config_1,
99437 TTE_G = 1,
99438 TTE_Context = PCONTEXT,
99439 TTE_V = 1,
99440 TTE_Size = 0,
99441 TTE_NFO = 0,
99442 TTE_IE = 0,
99443 TTE_Soft2 = 0,
99444 TTE_Diag = 0,
99445 TTE_Soft = 0,
99446 TTE_L = 0,
99447 TTE_CP = 1,
99448 TTE_CV = 1,
99449 TTE_E = 1,
99450 TTE_P = 1,
99451 TTE_W = 1
99452}
99453
99454
99455attr_data {
99456 Name = .MyDATA_0,
99457 RA = 0x0000000170100000,
99458 PA = ra2pa(0x0000000170100000,0),
99459 part_0_ctx_nonzero_tsb_config_2,
99460 TTE_G = 1,
99461 TTE_Context = SCONTEXT,
99462 TTE_V = 1,
99463 TTE_Size = 5,
99464 TTE_NFO = 1,
99465 TTE_IE = 0,
99466 TTE_Soft2 = 0,
99467 TTE_Diag = 0,
99468 TTE_Soft = 0,
99469 TTE_L = 0,
99470 TTE_CP = 1,
99471 TTE_CV = 0,
99472 TTE_E = 0,
99473 TTE_P = 0,
99474 TTE_W = 0,
99475 tsbonly
99476}
99477
99478
99479attr_data {
99480 Name = .MyDATA_0,
99481 hypervisor
99482}
99483
99484
99485attr_text {
99486 Name = .MyDATA_0,
99487 hypervisor
99488}
99489
99490.data
99491 .xword 0xf4cc1fce32729ad5
99492 .xword 0x1a2a82222497455e
99493 .xword 0x296654547187c5cc
99494 .xword 0x4572e445c0ba67f7
99495 .xword 0xf4d4132e296e81a2
99496 .xword 0x61cf4642478dd85f
99497 .xword 0x8983346e6f874dc4
99498 .xword 0x21161f427d76b650
99499 .xword 0x7b87a40996d6bc65
99500 .xword 0xcfec9ee378fac9a5
99501 .xword 0xbf684220ef63274f
99502 .xword 0x50844e885b0c0b51
99503 .xword 0x28d2b67ee58cab0f
99504 .xword 0xf62963e0a0b466e7
99505 .xword 0xc2ba2ad59e006612
99506 .xword 0x079ee82e36a7d0f2
99507 .xword 0x6536b0c387db6cf8
99508 .xword 0xbdcf6c518b1333fc
99509 .xword 0x8786470f31cb2b10
99510 .xword 0x810d844ea73c74b4
99511 .xword 0xea0aef48dfc7daa3
99512 .xword 0xf3c9cb56b2330654
99513 .xword 0xa7d36780ca85f762
99514 .xword 0xb58635034eea7d0c
99515 .xword 0x0e70d45441f50e6f
99516 .xword 0xa5178bafbd7c53e4
99517 .xword 0xc7661981f1acaeea
99518 .xword 0x6511a0f91b6a4489
99519 .xword 0x5bf83f5ea34d215b
99520 .xword 0xfa7aca2dd0d85f1e
99521 .xword 0x38ed3e23990bbd8f
99522 .xword 0x23ba697b3b0f77c4
99523
99524
99525
99526SECTION .MyDATA_1 TEXT_VA = 0x00000000e0340000, DATA_VA = 0x0000000060340000
99527attr_text {
99528 Name = .MyDATA_1,
99529 RA = 0x0000000170300000,
99530 PA = ra2pa(0x0000000170300000,0),
99531 part_0_ctx_zero_tsb_config_0,
99532 part_0_ctx_nonzero_tsb_config_0,
99533 TTE_G = 1,
99534 TTE_Context = PCONTEXT,
99535 TTE_V = 1,
99536 TTE_Size = 0,
99537 TTE_NFO = 1,
99538 TTE_IE = 0,
99539 TTE_Soft2 = 0,
99540 TTE_Diag = 0,
99541 TTE_Soft = 0,
99542 TTE_L = 0,
99543 TTE_CP = 0,
99544 TTE_CV = 0,
99545 TTE_E = 1,
99546 TTE_P = 1,
99547 TTE_W = 1
99548}
99549
99550
99551attr_data {
99552 Name = .MyDATA_1,
99553 RA = 0x0000000170300000,
99554 PA = ra2pa(0x0000000170300000,0),
99555 part_0_ctx_zero_tsb_config_1,
99556 part_0_ctx_nonzero_tsb_config_1,
99557 TTE_G = 1,
99558 TTE_Context = PCONTEXT,
99559 TTE_V = 1,
99560 TTE_Size = 5,
99561 TTE_NFO = 1,
99562 TTE_IE = 1,
99563 TTE_Soft2 = 0,
99564 TTE_Diag = 0,
99565 TTE_Soft = 0,
99566 TTE_L = 0,
99567 TTE_CP = 1,
99568 TTE_CV = 0,
99569 TTE_E = 0,
99570 TTE_P = 0,
99571 TTE_W = 0
99572}
99573
99574
99575attr_data {
99576 Name = .MyDATA_1,
99577 RA = 0x0000000170300000,
99578 PA = ra2pa(0x0000000170300000,0),
99579 part_0_ctx_nonzero_tsb_config_2,
99580 TTE_G = 1,
99581 TTE_Context = SCONTEXT,
99582 TTE_V = 1,
99583 TTE_Size = 1,
99584 TTE_NFO = 0,
99585 TTE_IE = 1,
99586 TTE_Soft2 = 0,
99587 TTE_Diag = 0,
99588 TTE_Soft = 0,
99589 TTE_L = 0,
99590 TTE_CP = 1,
99591 TTE_CV = 0,
99592 TTE_E = 1,
99593 TTE_P = 0,
99594 TTE_W = 0,
99595 tsbonly
99596}
99597
99598
99599attr_data {
99600 Name = .MyDATA_1,
99601 hypervisor
99602}
99603
99604
99605attr_text {
99606 Name = .MyDATA_1,
99607 hypervisor
99608}
99609
99610.data
99611 .xword 0xcf474ee488832511
99612 .xword 0x25a0f955bad9d8ea
99613 .xword 0x41d45ee4299071a3
99614 .xword 0xcc35665008907c96
99615 .xword 0x5caf7aa7d06352b1
99616 .xword 0x0f6442ab407ba37d
99617 .xword 0x9e52fa7d993d4c40
99618 .xword 0x04a52abe569bd6bc
99619 .xword 0xa7f11aa17dd9c300
99620 .xword 0x1eb6bd324222aa4a
99621 .xword 0x243dc224b3a1c20c
99622 .xword 0xb916a5874b6ca938
99623 .xword 0x7194743400764336
99624 .xword 0x6f503f45eb4e9935
99625 .xword 0xd648aa3a71afcf48
99626 .xword 0xa33193076ab404ce
99627 .xword 0xa458946423559082
99628 .xword 0xe5cefda075719c6f
99629 .xword 0xce224050989e6244
99630 .xword 0xbaeb239766847e5d
99631 .xword 0xfcbe7329ff8db028
99632 .xword 0xe075462882c6f3cf
99633 .xword 0x17b1838ac0cc5bed
99634 .xword 0xf18feea91d9f66ef
99635 .xword 0x0d35b44ee942c8dc
99636 .xword 0xd137aff8ebfb3d51
99637 .xword 0x4763b989166bd06f
99638 .xword 0x1fb66bb8279266f1
99639 .xword 0x35a79bac924e31d4
99640 .xword 0x473384725530108c
99641 .xword 0xb2c338961a09c141
99642 .xword 0x130a24fb8af89516
99643
99644
99645
99646SECTION .MyDATA_2 TEXT_VA = 0x00000000e0540000, DATA_VA = 0x0000000060540000
99647attr_text {
99648 Name = .MyDATA_2,
99649 RA = 0x0000000170500000,
99650 PA = ra2pa(0x0000000170500000,0),
99651 part_0_ctx_zero_tsb_config_0,
99652 part_0_ctx_nonzero_tsb_config_0,
99653 TTE_G = 1,
99654 TTE_Context = PCONTEXT,
99655 TTE_V = 1,
99656 TTE_Size = 5,
99657 TTE_NFO = 0,
99658 TTE_IE = 1,
99659 TTE_Soft2 = 0,
99660 TTE_Diag = 0,
99661 TTE_Soft = 0,
99662 TTE_L = 0,
99663 TTE_CP = 1,
99664 TTE_CV = 1,
99665 TTE_E = 0,
99666 TTE_P = 1,
99667 TTE_W = 0
99668}
99669
99670
99671attr_data {
99672 Name = .MyDATA_2,
99673 RA = 0x0000000170500000,
99674 PA = ra2pa(0x0000000170500000,0),
99675 part_0_ctx_zero_tsb_config_1,
99676 part_0_ctx_nonzero_tsb_config_1,
99677 TTE_G = 1,
99678 TTE_Context = PCONTEXT,
99679 TTE_V = 1,
99680 TTE_Size = 1,
99681 TTE_NFO = 1,
99682 TTE_IE = 1,
99683 TTE_Soft2 = 0,
99684 TTE_Diag = 0,
99685 TTE_Soft = 0,
99686 TTE_L = 0,
99687 TTE_CP = 0,
99688 TTE_CV = 0,
99689 TTE_E = 1,
99690 TTE_P = 1,
99691 TTE_W = 1
99692}
99693
99694
99695attr_data {
99696 Name = .MyDATA_2,
99697 RA = 0x0000000170500000,
99698 PA = ra2pa(0x0000000170500000,0),
99699 part_0_ctx_nonzero_tsb_config_2,
99700 TTE_G = 1,
99701 TTE_Context = SCONTEXT,
99702 TTE_V = 1,
99703 TTE_Size = 0,
99704 TTE_NFO = 1,
99705 TTE_IE = 0,
99706 TTE_Soft2 = 0,
99707 TTE_Diag = 0,
99708 TTE_Soft = 0,
99709 TTE_L = 0,
99710 TTE_CP = 0,
99711 TTE_CV = 1,
99712 TTE_E = 1,
99713 TTE_P = 0,
99714 TTE_W = 0,
99715 tsbonly
99716}
99717
99718
99719attr_data {
99720 Name = .MyDATA_2,
99721 hypervisor
99722}
99723
99724
99725attr_text {
99726 Name = .MyDATA_2,
99727 hypervisor
99728}
99729
99730.data
99731 .xword 0x3acf66819fcc3db9
99732 .xword 0xef7388c1d8d30bfd
99733 .xword 0x40d646d5af069db6
99734 .xword 0xbe0b67881c25500a
99735 .xword 0xee8f50706a5637cc
99736 .xword 0xa31e3a3aba9b9cf8
99737 .xword 0xb89298dbac7c9b7a
99738 .xword 0xa397d233d9362994
99739 .xword 0x9771a925d0bf9ab7
99740 .xword 0x82df3d8e0721b4e0
99741 .xword 0x2759230dbf4fab4d
99742 .xword 0xeac11018986ec4ed
99743 .xword 0x8baa06e756bd90e7
99744 .xword 0x811d98e4342a7614
99745 .xword 0x4f6683c3365d3334
99746 .xword 0xa48a29847fb7a753
99747 .xword 0xab06e2e5e81ac73e
99748 .xword 0xa5c88c93f224fac2
99749 .xword 0x2512ea0ef8780e3d
99750 .xword 0xd60b3e25b6ee04d6
99751 .xword 0x91b40edc742e4204
99752 .xword 0xfdaa1bf0236bedae
99753 .xword 0x725b9c61c8190214
99754 .xword 0xf7a940e87768f08a
99755 .xword 0x4929d4853fd3f4fe
99756 .xword 0x4a81c61bc7ccf331
99757 .xword 0xdaf667621bec5510
99758 .xword 0xf759a95e9d3bed7e
99759 .xword 0x45fb277324f06707
99760 .xword 0x823db905a8c875b7
99761 .xword 0x7a0147e2cd221fed
99762 .xword 0xb4df6cb0ba518ef1
99763
99764
99765
99766SECTION .MyDATA_3 TEXT_VA = 0x00000000e0740000, DATA_VA = 0x0000000060740000
99767attr_text {
99768 Name = .MyDATA_3,
99769 RA = 0x0000000170700000,
99770 PA = ra2pa(0x0000000170700000,0),
99771 part_0_ctx_zero_tsb_config_0,
99772 part_0_ctx_nonzero_tsb_config_0,
99773 TTE_G = 1,
99774 TTE_Context = PCONTEXT,
99775 TTE_V = 1,
99776 TTE_Size = 1,
99777 TTE_NFO = 0,
99778 TTE_IE = 0,
99779 TTE_Soft2 = 0,
99780 TTE_Diag = 0,
99781 TTE_Soft = 0,
99782 TTE_L = 0,
99783 TTE_CP = 1,
99784 TTE_CV = 0,
99785 TTE_E = 1,
99786 TTE_P = 1,
99787 TTE_W = 1
99788}
99789
99790
99791attr_data {
99792 Name = .MyDATA_3,
99793 RA = 0x0000000170700000,
99794 PA = ra2pa(0x0000000170700000,0),
99795 part_0_ctx_zero_tsb_config_1,
99796 part_0_ctx_nonzero_tsb_config_1,
99797 TTE_G = 1,
99798 TTE_Context = PCONTEXT,
99799 TTE_V = 1,
99800 TTE_Size = 3,
99801 TTE_NFO = 1,
99802 TTE_IE = 1,
99803 TTE_Soft2 = 0,
99804 TTE_Diag = 0,
99805 TTE_Soft = 0,
99806 TTE_L = 0,
99807 TTE_CP = 0,
99808 TTE_CV = 0,
99809 TTE_E = 0,
99810 TTE_P = 0,
99811 TTE_W = 1
99812}
99813
99814
99815attr_data {
99816 Name = .MyDATA_3,
99817 RA = 0x0000000170700000,
99818 PA = ra2pa(0x0000000170700000,0),
99819 part_0_ctx_nonzero_tsb_config_2,
99820 TTE_G = 1,
99821 TTE_Context = SCONTEXT,
99822 TTE_V = 1,
99823 TTE_Size = 5,
99824 TTE_NFO = 1,
99825 TTE_IE = 1,
99826 TTE_Soft2 = 0,
99827 TTE_Diag = 0,
99828 TTE_Soft = 0,
99829 TTE_L = 0,
99830 TTE_CP = 0,
99831 TTE_CV = 0,
99832 TTE_E = 0,
99833 TTE_P = 0,
99834 TTE_W = 0,
99835 tsbonly
99836}
99837
99838
99839attr_data {
99840 Name = .MyDATA_3,
99841 hypervisor
99842}
99843
99844
99845attr_text {
99846 Name = .MyDATA_3,
99847 hypervisor
99848}
99849
99850.data
99851 .xword 0xcfe967c6621df513
99852 .xword 0x4ad35a933eec3bc5
99853 .xword 0x8a16ec2bdbc28576
99854 .xword 0xb3a92df500bc9ef7
99855 .xword 0x420d44a081e72c5b
99856 .xword 0x442505f1be8d4eb9
99857 .xword 0x23cbf2d5b500e6ad
99858 .xword 0x952e0e180f787c2b
99859 .xword 0x355986daf315ea25
99860 .xword 0x0712289b0252c986
99861 .xword 0xadbec13e959f9f60
99862 .xword 0x60da69290f403c09
99863 .xword 0xaaed0e75c3b2afbd
99864 .xword 0xcef0d8092bb6644b
99865 .xword 0xac9af0d760b6e76d
99866 .xword 0x1287f8478acf06c9
99867 .xword 0xb3d5c29aade912b8
99868 .xword 0xb347b603c820647a
99869 .xword 0x909f3a208dee6c70
99870 .xword 0x1dc8f640fbf81fd1
99871 .xword 0x5423dc3a46a0553b
99872 .xword 0x1e85b8800ebc240a
99873 .xword 0x337fd490d34f993f
99874 .xword 0xb51c647895e6fd14
99875 .xword 0x2dc3d0151ec606f7
99876 .xword 0x7ec04492a36acbac
99877 .xword 0x7c16d275e6cea10b
99878 .xword 0x021511d1b4df2763
99879 .xword 0x9e53ce91a1ccc015
99880 .xword 0xe968671e3ffb5ec2
99881 .xword 0x811ce7390455d5c3
99882 .xword 0x8cf8339a5f9cd0d2
99883
99884
99885
99886
99887
99888SECTION .MyTEXT_0 TEXT_VA = 0x00000000e0200000
99889attr_text {
99890 Name = .MyTEXT_0,
99891 RA = 0x00000000e0200000,
99892 PA = ra2pa(0x00000000e0200000,0),
99893 part_0_ctx_zero_tsb_config_1,
99894 part_0_ctx_nonzero_tsb_config_1,
99895 TTE_G = 1,
99896 TTE_Context = PCONTEXT,
99897 TTE_V = 1,
99898 TTE_Size = 1,
99899 TTE_NFO = 0,
99900 TTE_IE = 0,
99901 TTE_Soft2 = 0,
99902 TTE_Diag = 0,
99903 TTE_Soft = 0,
99904 TTE_L = 0,
99905 TTE_CP = 0,
99906 TTE_CV = 1,
99907 TTE_EP = 1,
99908 TTE_E = 1,
99909 TTE_P = 0,
99910 TTE_W = 1
99911}
99912
99913.text
99914nuff_said_0:
99915 .word 0xa1a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f16
99916 .word 0xc19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
99917 mov HIGHVA_HIGHNUM, %r11
99918 sllx %r11, 32, %r11
99919 or %r27, %r11, %r27
99920 jmpl %r27+8, %r0
99921 jmpl %r27+8, %r0
99922 jmpl %r27+8, %r0
99923 jmpl %r27+8, %r0
99924 .word 0xc1bfda00 ! 1: STDFA_R stda %f0, [%r0, %r31]
99925 .word 0xc1bfc2c0 ! 1: STDFA_R stda %f0, [%r0, %r31]
99926
99927
99928
99929SECTION .MyTEXT_1 TEXT_VA = 0x00000000e0a00000
99930attr_text {
99931 Name = .MyTEXT_1,
99932 RA = 0x00000000e0a00000,
99933 PA = ra2pa(0x00000000e0a00000,0),
99934 part_0_ctx_zero_tsb_config_1,
99935 part_0_ctx_nonzero_tsb_config_1,
99936 TTE_G = 1,
99937 TTE_Context = PCONTEXT,
99938 TTE_V = 1,
99939 TTE_Size = 5,
99940 TTE_NFO = 0,
99941 TTE_IE = 1,
99942 TTE_Soft2 = 0,
99943 TTE_Diag = 0,
99944 TTE_Soft = 0,
99945 TTE_L = 0,
99946 TTE_CP = 0,
99947 TTE_CV = 0,
99948 TTE_EP = 0,
99949 TTE_E = 1,
99950 TTE_P = 1,
99951 TTE_W = 1
99952}
99953
99954.text
99955nuff_said_1:
99956 .word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
99957 .word 0xe19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
99958 mov HIGHVA_HIGHNUM, %r11
99959 sllx %r11, 32, %r11
99960 or %r27, %r11, %r27
99961 jmpl %r27+8, %r0
99962 jmpl %r27+8, %r0
99963 jmpl %r27+8, %r0
99964 jmpl %r27+8, %r0
99965 .word 0xa1a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f16
99966 .word 0xc1bfde20 ! 1: STDFA_R stda %f0, [%r0, %r31]
99967
99968
99969
99970SECTION .MyTEXT_2 TEXT_VA = 0x00000000e1200000
99971attr_text {
99972 Name = .MyTEXT_2,
99973 RA = 0x00000000e1200000,
99974 PA = ra2pa(0x00000000e1200000,0),
99975 part_0_ctx_zero_tsb_config_1,
99976 part_0_ctx_nonzero_tsb_config_1,
99977 TTE_G = 1,
99978 TTE_Context = PCONTEXT,
99979 TTE_V = 1,
99980 TTE_Size = 3,
99981 TTE_NFO = 0,
99982 TTE_IE = 0,
99983 TTE_Soft2 = 0,
99984 TTE_Diag = 0,
99985 TTE_Soft = 0,
99986 TTE_L = 0,
99987 TTE_CP = 1,
99988 TTE_CV = 1,
99989 TTE_EP = 0,
99990 TTE_E = 0,
99991 TTE_P = 0,
99992 TTE_W = 0
99993}
99994
99995.text
99996nuff_said_2:
99997 .word 0x81a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f0
99998 .word 0xe1bfc3e0 ! 1: STDFA_R stda %f16, [%r0, %r31]
99999 mov HIGHVA_HIGHNUM, %r11
100000 sllx %r11, 32, %r11
100001 or %r27, %r11, %r27
100002 jmpl %r27+8, %r0
100003 jmpl %r27+8, %r0
100004 jmpl %r27+8, %r0
100005 jmpl %r27+8, %r0
100006 .word 0xa1b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r16
100007 .word 0xa1a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f16
100008
100009
100010
100011SECTION .MyTEXT_3 TEXT_VA = 0x00000000e1a00000
100012attr_text {
100013 Name = .MyTEXT_3,
100014 RA = 0x00000000e1a00000,
100015 PA = ra2pa(0x00000000e1a00000,0),
100016 part_0_ctx_zero_tsb_config_1,
100017 part_0_ctx_nonzero_tsb_config_1,
100018 TTE_G = 1,
100019 TTE_Context = PCONTEXT,
100020 TTE_V = 1,
100021 TTE_Size = 1,
100022 TTE_NFO = 0,
100023 TTE_IE = 1,
100024 TTE_Soft2 = 0,
100025 TTE_Diag = 0,
100026 TTE_Soft = 0,
100027 TTE_L = 0,
100028 TTE_CP = 1,
100029 TTE_CV = 0,
100030 TTE_EP = 1,
100031 TTE_E = 1,
100032 TTE_P = 1,
100033 TTE_W = 1
100034}
100035
100036.text
100037nuff_said_3:
100038 .word 0xa1b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r16
100039 .word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
100040 mov HIGHVA_HIGHNUM, %r11
100041 sllx %r11, 32, %r11
100042 or %r27, %r11, %r27
100043 jmpl %r27+8, %r0
100044 jmpl %r27+8, %r0
100045 jmpl %r27+8, %r0
100046 jmpl %r27+8, %r0
100047 .word 0xe1bfdc00 ! 1: STDFA_R stda %f16, [%r0, %r31]
100048 .word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
100049
100050
100051
100052
100053
100054SECTION .VaHOLE_0 TEXT_VA = 0x00007fffffffe000
100055attr_text {
100056 Name = .VaHOLE_0,
100057 RA = 0x00000000ffffe000,
100058 PA = ra2pa(0x00000000ffffe000,0),
100059 part_0_ctx_zero_tsb_config_1,
100060 part_0_ctx_nonzero_tsb_config_1,
100061 TTE_G = 1,
100062 TTE_Context = PCONTEXT,
100063 TTE_V = 1,
100064 TTE_Size = 0,
100065 TTE_NFO = 0,
100066 TTE_IE = 1,
100067 TTE_Soft2 = 0,
100068 TTE_Diag = 0,
100069 TTE_Soft = 0,
100070 TTE_L = 0,
100071 TTE_CP = 1,
100072 TTE_CV = 1,
100073 TTE_E = 1,
100074 TTE_P = 0,
100075 TTE_W = 0,
100076 TTE_X = 1
100077}
100078
100079.text
100080.global vahole_target0
100081.text
100082.global vahole_target1
100083.text
100084.global vahole_target2
100085.text
100086.global vahole_target3
100087 nop
100088.align 4096
100089 nop
100090.align 2048
100091 nop
100092.align 1024
100093 nop
100094.align 512
100095 nop
100096.align 256
100097 nop
100098.align 128
100099 nop
100100.align 64
100101 nop
100102 nop
100103.align 16
100104 nop;nop;nop
100105vahole_target0: nop;nop
100106vahole_target1: nop
100107vahole_target2: nop;nop;nop
100108vahole_target3: nop;nop;nop
100109
100110
100111
100112
100113
100114SECTION .VaHOLEL_0 TEXT_VA = 0x00000000ffffe000
100115attr_text {
100116 Name = .VaHOLEL_0,
100117 RA = 0x00000000ffffe000,
100118 PA = ra2pa(0x00000000ffffe000,0),
100119 part_0_ctx_zero_tsb_config_0,
100120 part_0_ctx_nonzero_tsb_config_0,
100121 TTE_G = 1,
100122 TTE_Context = PCONTEXT,
100123 TTE_V = 1,
100124 TTE_Size = 1,
100125 TTE_NFO = 0,
100126 TTE_IE = 1,
100127 TTE_Soft2 = 0,
100128 TTE_Diag = 0,
100129 TTE_Soft = 0,
100130 TTE_L = 0,
100131 TTE_CP = 1,
100132 TTE_CV = 0,
100133 TTE_E = 0,
100134 TTE_P = 0,
100135 TTE_W = 0,
100136 TTE_X = 1,
100137 tsbonly
100138}
100139
100140.text
100141 nop
100142
100143
100144
100145
100146
100147SECTION .ZERO_0 TEXT_VA = 0x0000000000000000
100148attr_text {
100149 Name = .ZERO_0,
100150 RA = 0x0000000000000000,
100151 PA = ra2pa(0x0000000000000000,0),
100152 part_0_ctx_zero_tsb_config_1,
100153 part_0_ctx_nonzero_tsb_config_1,
100154 TTE_G = 1,
100155 TTE_Context = 0x44,
100156 TTE_V = 1,
100157 TTE_Size = 5,
100158 TTE_NFO = 0,
100159 TTE_IE = 1,
100160 TTE_Soft2 = 0,
100161 TTE_Diag = 0,
100162 TTE_Soft = 0,
100163 TTE_L = 0,
100164 TTE_CP = 1,
100165 TTE_CV = 1,
100166 TTE_E = 0,
100167 TTE_P = 0,
100168 TTE_W = 1,
100169 TTE_X = 1
100170}
100171
100172
100173.text
100174 nop
100175 mov HIGHVA_HIGHNUM, %r11
100176 sllx %r11, 32, %r11
100177 or %r27, %r11, %r27
100178 jmpl %r27+8, %r0
100179 nop
100180 jmpl %r27+8, %r0
100181 nop
100182
100183Power_On_Reset:
100184 setx HRedmode_Reset_Handler, %g1, %g2
100185 jmp %g2
100186 nop
100187.align 32
100188
100189Watchdog_Reset:
100190 setx wdog_red_ext, %g1, %g2
100191 jmp %g2
100192 nop
100193.align 32
100194
100195External_Reset:
100196 My_External_Reset
100197
100198.align 32
100199
100200Software_Initiated_Reset:
100201 setx Software_Reset_Handler, %g1, %g2
100202 jmp %g2
100203 nop
100204
100205.align 32
100206
100207.global ZRED_Mode_Other_Reset
100208ZRED_Mode_Other_Reset:
100209 ! IF TL=6, shift stack by one ..
100210 rdpr %tl, %l1
100211 cmp %l1, 6
100212 be start_tsa_shift
100213 nop
100214
100215continue_red_other:
100216 mov 0x1f, %l1
100217 stxa %l1, [%g0] ASI_LSU_CTL_REG
100218
100219 rdpr %tt, %l1
100220
100221 rdhpr %htstate, %l2
100222 and %l2, 0x4, %l2 ! If previously in hpriv mode, go to hpriv
100223 brnz,a %l2, red_goto_handler
100224 rdhpr %htba, %l2
100225 srlx %l1, 7, %l2 ! Send priv sw traps to priv mode ..
100226 cmp %l2, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
100227 be,a red_goto_handler
100228 rdpr %tba, %l2
100229 rdhpr %htba, %l2
100230red_goto_handler:
100231
100232 sllx %l1, 5, %l1
100233 add %l1, %l2, %l2
100234 rdhpr %htstate, %l1
100235 andn %l1, 0x20, %l1
100236 wrhpr %g0, %l1, %htstate
100237 rdhpr %hpstate, %l1
100238 jmp %l2
100239 wrhpr %l1, 0x20, %hpstate
100240 nop
100241
100242wdog_red_ext:
100243 ! Shift stack down by 1 ...
100244 rdpr %tl, %l1
100245 cmp %l1, 6
100246 bl wdog_end
100247start_tsa_shift:
100248 mov 0x2, %l2
100249
100250tsa_shift:
100251 wrpr %l2, %tl
100252 rdpr %tt, %l3
100253 rdpr %tpc, %l4
100254 rdpr %tnpc, %l5
100255 rdpr %tstate, %l6
100256 rdhpr %htstate, %l7
100257 dec %l2
100258 wrpr %l2, %tl
100259 wrpr %l3, %tt
100260 wrpr %l4, %tpc
100261 wrpr %l5, %tnpc
100262 wrpr %l6, %tstate
100263 wrhpr %l7, %htstate
100264 add %l2, 2, %l2
100265 cmp %l2, %l1
100266 ble tsa_shift
100267 nop
100268tsa_shift_done:
100269 dec %l1
100270 wrpr %l1, %tl
100271
100272wdog_end:
100273 ! If TT != 2, then goto trap handler
100274 rdpr %tt, %l1
100275
100276 cmp %l1, 0x2
100277 bne continue_red_other
100278 nop
100279 ! else done
100280 mov 0x1f, %l1
100281 stxa %l1, [%g0] ASI_LSU_CTL_REG
100282 done
100283
100284
100285
100286
100287
100288SECTION .VaHOLE_PA_0 TEXT_VA = 0x000000ffffffe000
100289attr_text {
100290 Name = .VAHOLE_PA_0,
100291 hypervisor
100292}
100293
100294 nop
100295.align 4096
100296 nop
100297.align 2048
100298 nop
100299.align 1024
100300 nop
100301.align 512
100302 nop
100303.align 256
100304 nop
100305.align 128
100306 nop
100307.align 64
100308 nop
100309 nop
100310.align 16
100311 nop;nop;nop
100312 nop
100313 nop
100314 jmpl %r27+8, %r0
100315 nop
100316 nop
100317 nop
100318 jmpl %r27+8, %r0
100319 nop
100320
100321
100322
100323
100324
100325SECTION .MASKEDHOLE_0 TEXT_VA = 0x0000000100000000
100326attr_text {
100327 Name = .MASKEDHOLE_0,
100328 RA = 0x0000000000000000,
100329 PA = ra2pa(0x0000000000000000,0),
100330 part_0_ctx_zero_tsb_config_3,
100331 part_0_ctx_nonzero_tsb_config_3,
100332 TTE_G = 1,
100333 TTE_Context = 0x44,
100334 TTE_V = 1,
100335 TTE_Size = 1,
100336 TTE_NFO = 0,
100337 TTE_IE = 1,
100338 TTE_Soft2 = 0,
100339 TTE_Diag = 0,
100340 TTE_Soft = 0,
100341 TTE_L = 0,
100342 TTE_CP = 0,
100343 TTE_CV = 0,
100344 TTE_E = 0,
100345 TTE_P = 0,
100346 TTE_W = 0,
100347 TTE_X = 1,
100348 tsbonly
100349}
100350
100351
100352attr_text {
100353 Name = .MASKEDHOLE_0,
100354 hypervisor
100355}
100356
100357 mov HIGHVA_HIGHNUM, %r11
100358 sllx %r11, 32, %r11
100359 or %r27, %r11, %r27
100360 return %r27+8
100361 nop
100362
100363
100364
100365
100366
100367SECTION .MyFRZ_0 TEXT_VA = 0x000000003cb00000
100368attr_text {
100369 Name = .MyFRZ_0,
100370 RA = 0x000000003cb00000,
100371 PA = ra2pa(0x000000003cb00000,0),
100372 part_0_ctx_zero_tsb_config_1,
100373 part_0_ctx_nonzero_tsb_config_1,
100374 TTE_G = 1,
100375 TTE_Context = PCONTEXT,
100376 TTE_V = 1,
100377 TTE_Size = 0,
100378 TTE_NFO = 0,
100379 TTE_IE = 1,
100380 TTE_Soft2 = 0,
100381 TTE_Diag = 0,
100382 TTE_Soft = 0,
100383 TTE_L = 0,
100384 TTE_CP = 1,
100385 TTE_CV = 0,
100386 TTE_EP = 0,
100387 TTE_E = 0,
100388 TTE_P = 1,
100389 TTE_W = 0
100390}
100391
100392
100393.text
100394.global last_in_frz_1_0
100395
100396 nop
100397.align 4096
100398 nop
100399.align 2048
100400 nop
100401.align 1024
100402 nop
100403.align 512
100404 nop
100405.align 256
100406 nop
100407.align 128
100408 nop
100409.align 64
100410 nop
100411.align 16
100412 nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
100413last_in_frz_1_0:
100414 .word 0x19400001 ! 1: FBPUGE fbuge
100415
100416
100417
100418SECTION .MyFRZ_1 TEXT_VA = 0x000000003cb40000
100419attr_text {
100420 Name = .MyFRZ_1,
100421 RA = 0x000000003cb40000,
100422 PA = ra2pa(0x000000003cb40000,0),
100423 part_0_ctx_zero_tsb_config_1,
100424 part_0_ctx_nonzero_tsb_config_1,
100425 TTE_G = 1,
100426 TTE_Context = PCONTEXT,
100427 TTE_V = 1,
100428 TTE_Size = 0,
100429 TTE_NFO = 0,
100430 TTE_IE = 1,
100431 TTE_Soft2 = 0,
100432 TTE_Diag = 0,
100433 TTE_Soft = 0,
100434 TTE_L = 0,
100435 TTE_CP = 1,
100436 TTE_CV = 0,
100437 TTE_EP = 1,
100438 TTE_E = 1,
100439 TTE_P = 0,
100440 TTE_W = 0
100441}
100442
100443
100444.text
100445.global last_in_frz_1_1
100446
100447 nop
100448.align 4096
100449 nop
100450.align 2048
100451 nop
100452.align 1024
100453 nop
100454.align 512
100455 nop
100456.align 256
100457 nop
100458.align 128
100459 nop
100460.align 64
100461 nop
100462.align 16
100463 nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
100464last_in_frz_1_1:
100465 .word 0xa1a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f16
100466
100467
100468
100469SECTION .MyFRZ_2 TEXT_VA = 0x000000003cb80000
100470attr_text {
100471 Name = .MyFRZ_2,
100472 RA = 0x000000003cb80000,
100473 PA = ra2pa(0x000000003cb80000,0),
100474 part_0_ctx_zero_tsb_config_1,
100475 part_0_ctx_nonzero_tsb_config_1,
100476 TTE_G = 1,
100477 TTE_Context = PCONTEXT,
100478 TTE_V = 1,
100479 TTE_Size = 0,
100480 TTE_NFO = 0,
100481 TTE_IE = 1,
100482 TTE_Soft2 = 0,
100483 TTE_Diag = 0,
100484 TTE_Soft = 0,
100485 TTE_L = 0,
100486 TTE_CP = 1,
100487 TTE_CV = 1,
100488 TTE_EP = 0,
100489 TTE_E = 1,
100490 TTE_P = 0,
100491 TTE_W = 1
100492}
100493
100494
100495.text
100496.global last_in_frz_1_2
100497
100498 nop
100499.align 4096
100500 nop
100501.align 2048
100502 nop
100503.align 1024
100504 nop
100505.align 512
100506 nop
100507.align 256
100508 nop
100509.align 128
100510 nop
100511.align 64
100512 nop
100513.align 16
100514 nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
100515last_in_frz_1_2:
100516 .word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
100517
100518
100519
100520SECTION .MyFRZ_3 TEXT_VA = 0x000000003cbc0000
100521attr_text {
100522 Name = .MyFRZ_3,
100523 RA = 0x000000003cbc0000,
100524 PA = ra2pa(0x000000003cbc0000,0),
100525 part_0_ctx_zero_tsb_config_1,
100526 part_0_ctx_nonzero_tsb_config_1,
100527 TTE_G = 1,
100528 TTE_Context = PCONTEXT,
100529 TTE_V = 1,
100530 TTE_Size = 0,
100531 TTE_NFO = 0,
100532 TTE_IE = 1,
100533 TTE_Soft2 = 0,
100534 TTE_Diag = 0,
100535 TTE_Soft = 0,
100536 TTE_L = 0,
100537 TTE_CP = 1,
100538 TTE_CV = 0,
100539 TTE_EP = 1,
100540 TTE_E = 1,
100541 TTE_P = 0,
100542 TTE_W = 0
100543}
100544
100545
100546.text
100547.global last_in_frz_1_3
100548
100549 nop
100550.align 4096
100551 nop
100552.align 2048
100553 nop
100554.align 1024
100555 nop
100556.align 512
100557 nop
100558.align 256
100559 nop
100560.align 128
100561 nop
100562.align 64
100563 nop
100564.align 16
100565 nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
100566last_in_frz_1_3:
100567 .word 0xa1b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d16
100568
100569
100570
100571
100572
100573SECTION .MyFRZn_0 TEXT_VA = 0x000000003cb02000
100574attr_text {
100575 Name = .MyFRZn_0,
100576 RA = 0x000000003cb02000,
100577 PA = ra2pa(0x000000003cb02000,0),
100578 part_0_ctx_zero_tsb_config_2,
100579 part_0_ctx_nonzero_tsb_config_2,
100580 TTE_G = 1,
100581 TTE_Context = PCONTEXT,
100582 TTE_V = 1,
100583 TTE_Size = 0,
100584 TTE_NFO = 0,
100585 TTE_IE = 1,
100586 TTE_Soft2 = 0,
100587 TTE_Diag = 0,
100588 TTE_Soft = 0,
100589 TTE_L = 0,
100590 TTE_CP = 1,
100591 TTE_CV = 0,
100592 TTE_EP = 1,
100593 TTE_E = 0,
100594 TTE_P = 0,
100595 TTE_W = 0
100596}
100597
100598 nop
100599 nop
100600 return %r27+8
100601 .word 0xc09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r0
100602
100603
100604
100605SECTION .MyFRZn_1 TEXT_VA = 0x000000003cb42000
100606attr_text {
100607 Name = .MyFRZn_1,
100608 RA = 0x000000003cb42000,
100609 PA = ra2pa(0x000000003cb42000,0),
100610 part_0_ctx_zero_tsb_config_2,
100611 part_0_ctx_nonzero_tsb_config_2,
100612 TTE_G = 1,
100613 TTE_Context = PCONTEXT,
100614 TTE_V = 1,
100615 TTE_Size = 0,
100616 TTE_NFO = 0,
100617 TTE_IE = 0,
100618 TTE_Soft2 = 0,
100619 TTE_Diag = 0,
100620 TTE_Soft = 0,
100621 TTE_L = 0,
100622 TTE_CP = 1,
100623 TTE_CV = 1,
100624 TTE_EP = 1,
100625 TTE_E = 1,
100626 TTE_P = 0,
100627 TTE_W = 0
100628}
100629
100630 nop
100631 nop
100632 return %r27+8
100633 .word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
100634
100635
100636
100637SECTION .MyFRZn_2 TEXT_VA = 0x000000003cb82000
100638attr_text {
100639 Name = .MyFRZn_2,
100640 RA = 0x000000003cb82000,
100641 PA = ra2pa(0x000000003cb82000,0),
100642 part_0_ctx_zero_tsb_config_2,
100643 part_0_ctx_nonzero_tsb_config_2,
100644 TTE_G = 1,
100645 TTE_Context = PCONTEXT,
100646 TTE_V = 1,
100647 TTE_Size = 0,
100648 TTE_NFO = 0,
100649 TTE_IE = 0,
100650 TTE_Soft2 = 0,
100651 TTE_Diag = 0,
100652 TTE_Soft = 0,
100653 TTE_L = 0,
100654 TTE_CP = 0,
100655 TTE_CV = 1,
100656 TTE_EP = 1,
100657 TTE_E = 0,
100658 TTE_P = 0,
100659 TTE_W = 1
100660}
100661
100662 nop
100663 nop
100664 return %r27+8
100665 .word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
100666
100667
100668
100669SECTION .MyFRZn_3 TEXT_VA = 0x000000003cbc2000
100670attr_text {
100671 Name = .MyFRZn_3,
100672 RA = 0x000000003cbc2000,
100673 PA = ra2pa(0x000000003cbc2000,0),
100674 part_0_ctx_zero_tsb_config_2,
100675 part_0_ctx_nonzero_tsb_config_2,
100676 TTE_G = 1,
100677 TTE_Context = PCONTEXT,
100678 TTE_V = 1,
100679 TTE_Size = 0,
100680 TTE_NFO = 0,
100681 TTE_IE = 1,
100682 TTE_Soft2 = 0,
100683 TTE_Diag = 0,
100684 TTE_Soft = 0,
100685 TTE_L = 0,
100686 TTE_CP = 0,
100687 TTE_CV = 0,
100688 TTE_EP = 1,
100689 TTE_E = 0,
100690 TTE_P = 0,
100691 TTE_W = 1
100692}
100693
100694 nop
100695 nop
100696 return %r27+8
100697 .word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
100698
100699
100700
100701#if 0
100702#endif