Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / diag / assembly / uarch / tlu / diag / newfcrand05 / fcrand05_rand_45.s
CommitLineData
86530b38
AT
1/*
2* ========== Copyright Header Begin ==========================================
3*
4* OpenSPARC T2 Processor File: fcrand05_rand_45.s
5* Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
6* 4150 Network Circle, Santa Clara, California 95054, U.S.A.
7*
8* DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
9*
10* This program is free software; you can redistribute it and/or modify
11* it under the terms of the GNU General Public License as published by
12* the Free Software Foundation; version 2 of the License.
13*
14* This program is distributed in the hope that it will be useful,
15* but WITHOUT ANY WARRANTY; without even the implied warranty of
16* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
17* GNU General Public License for more details.
18*
19* You should have received a copy of the GNU General Public License
20* along with this program; if not, write to the Free Software
21* Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
22*
23* For the avoidance of doubt, and except that if any non-GPL license
24* choice is available it will apply instead, Sun elects to use only
25* the General Public License version 2 (GPLv2) at this time for any
26* software where a choice of GPL license versions is made
27* available with the language indicating that GPLv2 or any later version
28* may be used, or where a choice of which version of the GPL is applied is
29* otherwise unspecified.
30*
31* Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
32* CA 95054 USA or visit www.sun.com if you need additional information or
33* have any questions.
34*
35*
36* ========== Copyright Header End ============================================
37*/
38#define NO_INTERNAL_SPU
39#define IMMU_SKIP_IF_NO_TTE
40#define DMMU_SKIP_IF_NO_TTE
41#define MAIN_PAGE_NUCLEUS_ALSO
42#define MAIN_PAGE_HV_ALSO
43#define MAIN_PAGE_VA_IS_RA_ALSO
44#define DISABLE_PART_LIMIT_CHECK
45#define MAIN_PAGE_USE_CONFIG 3
46#define PART0_Z_TSB_SIZE_3 10
47#define PART0_Z_PAGE_SIZE_3 1
48#define PART0_NZ_TSB_SIZE_3 10
49#define PART0_NZ_PAGE_SIZE_3 1
50#define PART0_Z_TSB_SIZE_1 3
51#define PART0_NZ_TSB_SIZE_1 3
52
53#define PART_0_BASE 0x0
54#define USER_PAGE_CUSTOM_MAP
55#define MAIN_BASE_TEXT_VA 0x333000000
56#define MAIN_BASE_TEXT_RA 0x033000000
57#define MAIN_BASE_DATA_VA 0x379400000
58#define MAIN_BASE_DATA_RA 0x079400000
59#define HIGHVA_HIGHNUM 0x3
60
61#d
62#define NO_EOB_MARKER
63
64#undef INC_ERR_TRAPS
65
66#undef H_HT0_Instruction_Access_MMU_Error_0x71
67#define H_HT0_Instruction_Access_MMU_Error_0x71
68#define SUN_H_HT0_Instruction_Access_MMU_Error_0x71 \
69 mov 0x80, %l3;\
70 stxa %g0, [%l3]0x57;\
71 retry;
72#undef H_HT0_Instruction_access_error_0x0a
73#define H_HT0_Instruction_access_error_0x0a
74#define SUN_H_HT0_Instruction_access_error_0x0a retry
75#undef H_HT0_Internal_Processor_Error_0x29
76#define H_HT0_Internal_Processor_Error_0x29
77#define SUN_H_HT0_Internal_Processor_Error_0x29 retry
78#undef H_HT0_Data_Access_MMU_Error_0x72
79#define H_HT0_Data_Access_MMU_Error_0x72
80#define SUN_H_HT0_Data_Access_MMU_Error_0x72 \
81 mov 0x80, %l3;\
82 stxa %g0, [%l3]0x5f;\
83 retry;
84#undef H_HT0_Data_access_error_0x32
85#define H_HT0_Data_access_error_0x32
86#define SUN_H_HT0_Data_access_error_0x32 \
87 add %g0, 0x18, %i1;\
88 ldxa [%i1] 0x58, %i2;\
89 cmp %i2, 0x4;\
90 bne 1f;\
91 nop;\
92 done;\
93 1:retry
94#undef H_HT0_Hw_Corrected_Error_0x63
95#define H_HT0_Hw_Corrected_Error_0x63
96#define SUN_H_HT0_Hw_Corrected_Error_0x63 ldxa [%g0]ASI_DESR, %i1; retry
97#undef H_HT0_Sw_Recoverable_Error_0x40
98#define H_HT0_Sw_Recoverable_Error_0x40
99#define SUN_H_HT0_Sw_Recoverable_Error_0x40 ldxa [%g0]ASI_DESR, %i1; retry
100#undef H_HT0_Store_Error_0x07
101#define H_HT0_Store_Error_0x07
102#define SUN_H_HT0_Store_Error_0x07 retry
103
104#define DAE_SKIP_IF_SOCU_ERROR
105#ifndef T_HANDLER_RAND4_1
106#define T_HANDLER_RAND4_1 b .+16;\
107 sdiv %r1, %r0, %l4;nop;nop
108#endif
109#ifndef T_HANDLER_RAND7_1
110#define T_HANDLER_RAND7_1 b .+28;\
111 pdist %f4, %f6, %f20; \
112 nop; nop ; nop; nop; illtrap
113#endif
114#ifndef T_HANDLER_RAND4_2
115#define T_HANDLER_RAND4_2 save %i7, %g0, %i7; \
116 save %i7, %g0, %i7; \
117 restore %i7, %g0, %i7;\
118 restore %i7, %g0, %i7;
119#endif
120#ifndef T_HANDLER_RAND7_2
121#define T_HANDLER_RAND7_2 b .+8 ;\
122 rdpr %pstate, %l2;\
123 b .+8 ;\
124 rdpr %tstate, %l3;\
125 b .+12 ;\
126 wrpr %l3, %r0, %tstate; nop
127#endif
128#ifndef T_HANDLER_RAND4_3
129#define T_HANDLER_RAND4_3 save %i7, %g0, %i7;\
130 restore %i7, %g0, %i7;\
131 save %i7, %g0, %i7; \
132 restore %i7, %g0, %i7;
133#endif
134#ifndef T_HANDLER_RAND7_3
135#define T_HANDLER_RAND7_3 b .+8 ;\
136 rdpr %tnpc, %l2;\
137 and %l2, 0xfc0, %l2;\
138 add %i7, %l2, %l2;\
139 stda %f16,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY ;\
140 b .+8 ;\
141 stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE ;
142#endif
143#ifndef T_HANDLER_RAND4_4
144#define T_HANDLER_RAND4_4 b .+4 ; b .+4; b .+4; b .+4
145#endif
146#ifndef T_HANDLER_RAND7_4
147#define T_HANDLER_RAND7_4 b .+8;\
148 save %i7, %g0, %i7; \
149 b,a .+8;\
150 b .+12;\
151 stw %i7, [%i7];\
152 b .-8;;\
153 restore %i7, %g0, %i7;
154
155#endif
156#ifndef T_HANDLER_RAND4_5
157#define T_HANDLER_RAND4_5 ldda [%r31]ASI_NUCLEUS_QUAD_LDD, %f16;\
158 sdiv %l4, %l5, %l7;\
159 add %r31, 128, %l5;\
160 stda %l4, [%i7]ASI_BLOCK_PRIMARY_LITTLE;
161#endif
162#ifndef T_HANDLER_RAND7_5
163#define T_HANDLER_RAND7_5 save %i7, %g0, %i7;\
164 rdpr %tnpc, %l2;\
165 wrpr %l2, %tpc;\
166 add %l2, 4, %l2;\
167 wrpr %l2, %tnpc;\
168 restore %i7, %g0, %i7;\
169 retry;
170#endif
171#ifndef T_HANDLER_RAND4_6
172#define T_HANDLER_RAND4_6 ldda [%r31]ASI_BLOCK_AS_IF_USER_PRIMARY, %f32;\
173 rd %fprs, %l2; \
174 wr %l2, 0x4, %fprs ;\
175 stda %f0,[%r31]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE;
176#endif
177#ifndef T_HANDLER_RAND7_6
178#define T_HANDLER_RAND7_6 umul %o4, 2, %o5;\
179 rdpr %tnpc, %l2;\
180 wrpr %l2, %tpc;\
181 add %l2, 4, %l2;\
182 wrpr %l2, %tnpc;\
183 stw %l2, [%i7];\
184 retry;
185#endif
186!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
187#ifndef HT_HANDLER_RAND4_1
188#define HT_HANDLER_RAND4_1 mov 0x80, %l3;\
189 b .+12;\
190 stxa %l3, [%l3]0x57 ;\
191 nop
192#endif
193#ifndef HT_HANDLER_RAND7_1
194#define HT_HANDLER_RAND7_1 b .+28;\
195 pdist %f4, %f4, %f20;\
196 nop; nop ; nop; nop; illtrap
197#endif
198#ifndef HT_HANDLER_RAND4_2
199#define HT_HANDLER_RAND4_2 rdpr %tstate, %l2;\
200 b .+12;\
201 wrpr %l2, 0x800, %tstate;\
202 nop;
203#endif
204#ifndef HT_HANDLER_RAND7_2
205#define HT_HANDLER_RAND7_2 b .+8 ;\
206 rdhpr %hpstate, %l2;\
207 b .+8 ;\
208 rdhpr %htstate, %l3;\
209 b .+12 ;\
210 wrhpr %l3, %r0, %htstate; nop
211#endif
212#ifndef HT_HANDLER_RAND4_3
213#define HT_HANDLER_RAND4_3 stxa %l4, [%r31]ASI_AS_IF_USER_PRIMARY;\
214 mov 0x80, %l3;\
215 stxa %l3, [%l3]0x5f ;\
216 b .+8 ;\
217 ldxa [%r31]ASI_AS_IF_USER_PRIMARY, %l4;
218#endif
219#ifndef HT_HANDLER_RAND7_3
220#define HT_HANDLER_RAND7_3 b .+8 ;\
221 rdpr %tnpc, %l2;\
222 and %l2, 0xfc0, %l2;\
223 add %i7, %l2, %l2;\
224 stda %f16,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY ;\
225 b .+8 ;\
226 stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE ;
227#endif
228#ifndef HT_HANDLER_RAND4_4
229#define HT_HANDLER_RAND4_4 ldda [%i7]ASI_BLOCK_PRIMARY_LITTLE, %f0;\
230 b .+12 ;\
231 stxa %l3, [%g0]ASI_LSU_CONTROL; nop
232#endif
233#ifndef HT_HANDLER_RAND7_4
234#define HT_HANDLER_RAND7_4 rdpr %tnpc, %l3;\
235 and %l3, 0xff, %l3;\
236 sllx %l3, 26, %l3;\
237 ldxa [%g0]0x45, %l4;\
238 or %l3, %l4, %l3 ;\
239 stxa %l3, [%g0]0x45 ;\
240 nop;
241#endif
242#ifndef HT_HANDLER_RAND4_5
243#define HT_HANDLER_RAND4_5 ldda [%r31]ASI_NUCLEUS_QUAD_LDD, %f48;\
244 sdiv %l4, %l5, %l6;\
245 sdiv %l3, %l6, %l7;\
246 stda %f32, [%r31]ASI_BLOCK_PRIMARY_LITTLE;
247#endif
248#ifndef HT_HANDLER_RAND7_5
249#define HT_HANDLER_RAND7_5 save %i7, %g0, %i7;\
250 rdpr %tnpc, %l2;\
251 wrpr %l2, %tpc;\
252 add %l2, 4, %l2;\
253 wrpr %l2, %tnpc;\
254 restore %i7, %g0, %i7;\
255 retry;
256#endif
257#ifndef HT_HANDLER_RAND4_6
258#define HT_HANDLER_RAND4_6 ld [%r31], %l2;\
259 rd %fprs, %l2; \
260 wr %l2, 0x4, %fprs ;\
261 stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE;
262#endif
263#ifndef HT_HANDLER_RAND7_6
264#define HT_HANDLER_RAND7_6 rdhpr %htstate, %o4;\
265 rdpr %tnpc, %l2;\
266 wrpr %l2, %tpc;\
267 add %l2, 4, %l2;\
268 wrpr %l2, %tnpc;\
269 wrhpr %o4, %r0, %htstate;\
270 retry;
271#endif
272
273!!!!!!!!!!!!!!!!!!!!!!!!!
274!! Disable trap checking
275#define NO_TRAPCHECK
276
277! Enable Traps
278#define ENABLE_T1_Privileged_Opcode_0x11
279#define ENABLE_T1_Fp_Disabled_0x20
280#define ENABLE_HT0_Watchdog_Reset_0x02
281
282#define FILL_TRAP_RETRY
283#define SPILL_TRAP_RETRY
284#define CLEAN_WIN_RETRY
285
286#define My_RED_Mode_Other_Reset
287#define My_RED_Mode_Other_Reset \
288 ba red_other_ext;\
289 nop;retry;nop;nop;nop;nop;nop
290
291#define H_HT0_Software_Initiated_Reset_0x04
292#define SUN_H_HT0_Software_Initiated_Reset_0x04 \
293 setx Software_Reset_Handler, %g1, %g2 ;\
294 jmp %g2 ;\
295 nop
296#define H_T1_Clean_Window_0x24
297#define SUN_H_T1_Clean_Window_0x24 \
298 rdpr %cleanwin, %l1;\
299 add %l1,1,%l1;\
300 wrpr %l1, %g0, %cleanwin;\
301 retry; nop; nop; nop; nop
302
303#define H_T1_Clean_Window_0x25
304#define SUN_H_T1_Clean_Window_0x25 \
305 rdpr %cleanwin, %l1;\
306 add %l1,1,%l1;\
307 wrpr %l1, %g0, %cleanwin;\
308 retry; nop; nop; nop; nop
309
310#define H_T1_Clean_Window_0x26
311#define SUN_H_T1_Clean_Window_0x26 \
312 rdpr %cleanwin, %l1;\
313 add %l1,1,%l1;\
314 wrpr %l1, %g0, %cleanwin;\
315 retry; nop; nop; nop; nop
316
317#define H_T1_Clean_Window_0x27
318#define SUN_H_T1_Clean_Window_0x27 \
319 rdpr %cleanwin, %l1;\
320 add %l1,1,%l1;\
321 wrpr %l1, %g0, %cleanwin;\
322 retry; nop; nop; nop; nop
323#define H_HT0_Tag_Overflow
324#define My_HT0_Tag_Overflow \
325 HT_HANDLER_RAND7_1 ;\
326 done
327
328#define H_T0_Tag_Overflow
329#define My_T0_Tag_Overflow \
330 T_HANDLER_RAND7_2 ;\
331 done
332
333#define H_T1_Tag_Overflow_0x23
334#define SUN_H_T1_Tag_Overflow_0x23 \
335 T_HANDLER_RAND7_3 ;\
336 done
337
338#define H_T0_Window_Spill_0_Normal_Trap
339#define SUN_H_T0_Window_Spill_0_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
340
341#define H_T0_Window_Spill_1_Normal_Trap
342#define SUN_H_T0_Window_Spill_1_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
343
344#define H_T0_Window_Spill_2_Normal_Trap
345#define SUN_H_T0_Window_Spill_2_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
346
347#define H_T0_Window_Spill_3_Normal_Trap
348#define SUN_H_T0_Window_Spill_3_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
349
350#define H_T0_Window_Spill_4_Normal_Trap
351#define SUN_H_T0_Window_Spill_4_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
352
353#define H_T0_Window_Spill_5_Normal_Trap
354#define SUN_H_T0_Window_Spill_5_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
355
356#define H_T0_Window_Spill_6_Normal_Trap
357#define SUN_H_T0_Window_Spill_6_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
358
359#define H_T0_Window_Spill_7_Normal_Trap
360#define SUN_H_T0_Window_Spill_7_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
361
362#define H_T0_Window_Spill_0_Other_Trap
363#define SUN_H_T0_Window_Spill_0_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
364
365#define H_T0_Window_Spill_1_Other_Trap
366#define SUN_H_T0_Window_Spill_1_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
367
368#define H_T0_Window_Spill_2_Other_Trap
369#define SUN_H_T0_Window_Spill_2_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
370
371#define H_T0_Window_Spill_3_Other_Trap
372#define SUN_H_T0_Window_Spill_3_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
373
374#define H_T0_Window_Spill_4_Other_Trap
375#define SUN_H_T0_Window_Spill_4_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
376
377#define H_T0_Window_Spill_5_Other_Trap
378#define SUN_H_T0_Window_Spill_5_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
379
380#define H_T0_Window_Spill_6_Other_Trap
381#define SUN_H_T0_Window_Spill_6_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
382
383#define H_T0_Window_Spill_7_Other_Trap
384#define SUN_H_T0_Window_Spill_7_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
385
386#define H_T0_Window_Fill_0_Normal_Trap
387#define SUN_H_T0_Window_Fill_0_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
388
389#define H_T0_Window_Fill_1_Normal_Trap
390#define SUN_H_T0_Window_Fill_1_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
391
392#define H_T0_Window_Fill_2_Normal_Trap
393#define SUN_H_T0_Window_Fill_2_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
394
395#define H_T0_Window_Fill_3_Normal_Trap
396#define SUN_H_T0_Window_Fill_3_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
397
398#define H_T0_Window_Fill_4_Normal_Trap
399#define SUN_H_T0_Window_Fill_4_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
400
401#define H_T0_Window_Fill_5_Normal_Trap
402#define SUN_H_T0_Window_Fill_5_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
403
404#define H_T0_Window_Fill_6_Normal_Trap
405#define SUN_H_T0_Window_Fill_6_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
406
407#define H_T0_Window_Fill_7_Normal_Trap
408#define SUN_H_T0_Window_Fill_7_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
409
410#define H_T0_Window_Fill_0_Other_Trap
411#define SUN_H_T0_Window_Fill_0_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
412
413#define H_T0_Window_Fill_1_Other_Trap
414#define SUN_H_T0_Window_Fill_1_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
415
416#define H_T0_Window_Fill_2_Other_Trap
417#define SUN_H_T0_Window_Fill_2_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
418
419#define H_T0_Window_Fill_3_Other_Trap
420#define SUN_H_T0_Window_Fill_3_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
421
422#define H_T0_Window_Fill_4_Other_Trap
423#define SUN_H_T0_Window_Fill_4_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
424
425#define H_T0_Window_Fill_5_Other_Trap
426#define SUN_H_T0_Window_Fill_5_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
427
428#define H_T0_Window_Fill_6_Other_Trap
429#define SUN_H_T0_Window_Fill_6_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
430
431#define H_T0_Window_Fill_7_Other_Trap
432#define SUN_H_T0_Window_Fill_7_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
433#define H_T1_Window_Spill_0_Normal_Trap
434#define SUN_H_T1_Window_Spill_0_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
435
436#define H_T1_Window_Spill_1_Normal_Trap
437#define SUN_H_T1_Window_Spill_1_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
438
439#define H_T1_Window_Spill_2_Normal_Trap
440#define SUN_H_T1_Window_Spill_2_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
441
442#define H_T1_Window_Spill_3_Normal_Trap
443#define SUN_H_T1_Window_Spill_3_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
444
445#define H_T1_Window_Spill_4_Normal_Trap
446#define SUN_H_T1_Window_Spill_4_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
447
448#define H_T1_Window_Spill_5_Normal_Trap
449#define SUN_H_T1_Window_Spill_5_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
450
451#define H_T1_Window_Spill_6_Normal_Trap
452#define SUN_H_T1_Window_Spill_6_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
453
454#define H_T1_Window_Spill_7_Normal_Trap
455#define SUN_H_T1_Window_Spill_7_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
456
457#define H_T1_Window_Spill_0_Other_Trap
458#define SUN_H_T1_Window_Spill_0_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
459
460#define H_T1_Window_Spill_1_Other_Trap
461#define SUN_H_T1_Window_Spill_1_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
462
463#define H_T1_Window_Spill_2_Other_Trap
464#define SUN_H_T1_Window_Spill_2_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
465
466#define H_T1_Window_Spill_3_Other_Trap
467#define SUN_H_T1_Window_Spill_3_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
468
469#define H_T1_Window_Spill_4_Other_Trap
470#define SUN_H_T1_Window_Spill_4_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
471
472#define H_T1_Window_Spill_5_Other_Trap
473#define SUN_H_T1_Window_Spill_5_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
474
475#define H_T1_Window_Spill_6_Other_Trap
476#define SUN_H_T1_Window_Spill_6_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
477
478#define H_T1_Window_Spill_7_Other_Trap
479#define SUN_H_T1_Window_Spill_7_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
480
481#define H_T1_Window_Fill_0_Normal_Trap
482#define SUN_H_T1_Window_Fill_0_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
483
484#define H_T1_Window_Fill_1_Normal_Trap
485#define SUN_H_T1_Window_Fill_1_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
486
487#define H_T1_Window_Fill_2_Normal_Trap
488#define SUN_H_T1_Window_Fill_2_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
489
490#define H_T1_Window_Fill_3_Normal_Trap
491#define SUN_H_T1_Window_Fill_3_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
492
493#define H_T1_Window_Fill_4_Normal_Trap
494#define SUN_H_T1_Window_Fill_4_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
495
496#define H_T1_Window_Fill_5_Normal_Trap
497#define SUN_H_T1_Window_Fill_5_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
498
499#define H_T1_Window_Fill_6_Normal_Trap
500#define SUN_H_T1_Window_Fill_6_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
501
502#define H_T1_Window_Fill_7_Normal_Trap
503#define SUN_H_T1_Window_Fill_7_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
504
505#define H_T1_Window_Fill_0_Other_Trap
506#define SUN_H_T1_Window_Fill_0_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
507
508#define H_T1_Window_Fill_1_Other_Trap
509#define SUN_H_T1_Window_Fill_1_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
510
511#define H_T1_Window_Fill_2_Other_Trap
512#define SUN_H_T1_Window_Fill_2_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
513
514#define H_T1_Window_Fill_3_Other_Trap
515#define SUN_H_T1_Window_Fill_3_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
516
517#define H_T1_Window_Fill_4_Other_Trap
518#define SUN_H_T1_Window_Fill_4_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
519
520#define H_T1_Window_Fill_5_Other_Trap
521#define SUN_H_T1_Window_Fill_5_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
522
523#define H_T1_Window_Fill_6_Other_Trap
524#define SUN_H_T1_Window_Fill_6_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
525
526#define H_T1_Window_Fill_7_Other_Trap
527#define SUN_H_T1_Window_Fill_7_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
528
529#define H_T0_Trap_Instruction_0
530#define My_T0_Trap_Instruction_0 \
531 T_HANDLER_RAND7_5 ;\
532 done;
533
534#define H_T0_Trap_Instruction_1
535#define My_T0_Trap_Instruction_1 \
536 T_HANDLER_RAND7_6 ;\
537 done;
538
539#define H_T0_Trap_Instruction_2
540#define My_T0_Trap_Instruction_2 \
541 inc %o3;\
542 umul %o3, 2, %o4;\
543 ba 1f; \
544 save %i7, %g0, %i7; \
545 2: done; \
546 nop; \
547 1: ba 2b; \
548 restore %i7, %g0, %i7
549#define H_T0_Trap_Instruction_3
550#define My_T0_Trap_Instruction_3 \
551 save %i7, %g0, %i7 ;\
552 T_HANDLER_RAND4_5;\
553 stw %o4, [%i7];\
554 restore %i7, %g0, %i7 ;\
555 done
556#define H_T0_Trap_Instruction_4
557#define My_T0_Trap_Instruction_4 \
558 T_HANDLER_RAND7_6 ;\
559 done;
560
561#define H_T0_Trap_Instruction_5
562#define My_T0_Trap_Instruction_5 \
563 T_HANDLER_RAND4_5;\
564 done;
565
566#define H_T1_Trap_Instruction_0
567#define My_T1_Trap_Instruction_0 \
568 inc %o4;\
569 umul %o4, 2, %o5;\
570 ba 3f; \
571 save %i7, %g0, %i7; \
572 4: done; \
573 nop; \
574 3: ba 4b; \
575 restore %i7, %g0, %i7
576#define H_T1_Trap_Instruction_1
577#define My_T1_Trap_Instruction_1 \
578 T_HANDLER_RAND7_3;\
579 done
580#define H_T1_Trap_Instruction_2
581#define My_T1_Trap_Instruction_2 \
582 inc %o3;\
583 umul %o3, 2, %o4;\
584 ba 5f; \
585 save %i7, %g0, %i7; \
586 6: done; \
587 nop; \
588 5: ba 6b; \
589 restore %i7, %g0, %i7
590#define H_T1_Trap_Instruction_3
591#define My_T1_Trap_Instruction_3 \
592 T_HANDLER_RAND4_1;\
593 done;
594
595#define H_T1_Trap_Instruction_4
596#define My_T1_Trap_Instruction_4 \
597 T_HANDLER_RAND7_1;\
598 done;
599#define H_T1_Trap_Instruction_5
600#define My_T1_Trap_Instruction_5 \
601 T_HANDLER_RAND7_2;\
602 done
603#define H_HT0_Trap_Instruction_0
604#define My_HT0_Trap_Instruction_0 \
605 HT_HANDLER_RAND4_1 ;\
606 done;
607#define H_HT0_Trap_Instruction_1
608#define My_HT0_Trap_Instruction_1 \
609 HT_HANDLER_RAND4_3 ;\
610 done
611#define H_HT0_Trap_Instruction_2
612#define My_HT0_Trap_Instruction_2 \
613 HT_HANDLER_RAND7_5 ;\
614 done;
615#define H_HT0_Trap_Instruction_3
616#define My_HT0_Trap_Instruction_3 \
617 HT_HANDLER_RAND4_5 ;\
618 done
619#define H_HT0_Trap_Instruction_4
620#define My_HT0_Trap_Instruction_4 \
621 HT_HANDLER_RAND7_4 ;\
622 done
623#define H_HT0_Trap_Instruction_5
624#define My_HT0_Trap_Instruction_5 \
625 ba htrap_5_ext;\
626 nop; retry;\
627 nop; nop; nop; nop; nop
628
629#define H_HT0_Mem_Address_Not_Aligned_0x34
630#define My_HT0_Mem_Address_Not_Aligned_0x34 \
631 HT_HANDLER_RAND4_2 ;\
632 done ;
633#define H_HT0_Illegal_instruction_0x10
634#define My_HT0_Illegal_instruction_0x10 \
635 done;
636
637#define H_HT0_DAE_so_page_0x30
638#define My_HT0_DAE_so_page_0x30 \
639 done;
640#define H_HT0_DAE_invalid_asi_0x14
641#define SUN_H_HT0_DAE_invalid_asi_0x14 \
642 done
643#define H_HT0_DAE_privilege_violation_0x15
644#define SUN_H_HT0_DAE_privilege_violation_0x15 \
645 done;
646#define H_HT0_Privileged_Action_0x37
647#define My_HT0_Privileged_Action_0x37 \
648 done; \
649 nop; nop
650#define H_HT0_Lddf_Mem_Address_Not_Aligned_0x35
651#define My_HT0_Lddf_Mem_Address_Not_Aligned_0x35 \
652 HT_HANDLER_RAND4_3 ;\
653 done
654#define H_HT0_Stdf_Mem_Address_Not_Aligned_0x36
655#define My_HT0_Stdf_Mem_Address_Not_Aligned_0x36 \
656 HT_HANDLER_RAND7_1;\
657 done
658#define H_HT0_Fp_exception_ieee_754_0x21
659#define My_HT0_Fp_exception_ieee_754_0x21 \
660 HT_HANDLER_RAND4_2 ;\
661 done
662#define H_HT0_Fp_exception_other_0x22
663#define My_HT0_Fp_exception_other_0x22 \
664 HT_HANDLER_RAND7_2 ;\
665 done
666#define H_HT0_Division_By_Zero
667#define My_HT0_Division_By_Zero \
668 HT_HANDLER_RAND4_6;\
669 done
670#define H_T0_Division_By_Zero
671#define My_T0_Division_By_Zero \
672 T_HANDLER_RAND4_3;\
673 done
674#define H_T1_Division_By_Zero_0x28
675#define My_H_T1_Division_By_Zero_0x28 \
676 T_HANDLER_RAND4_3;\
677 done
678#define H_T0_Division_By_Zero
679#define My_T0_Division_By_Zero\
680 T_HANDLER_RAND4_4 ;\
681 done
682#define H_T0_Fp_exception_ieee_754_0x21
683#define My_T0_Fp_exception_ieee_754_0x21 \
684 T_HANDLER_RAND4_3 ;\
685 done
686#define H_T1_Fp_Exception_Ieee_754_0x21
687#define My_H_T1_Fp_Exception_Ieee_754_0x21 \
688 T_HANDLER_RAND4_4 ;\
689 done
690#define H_T1_Fp_Exception_Other_0x22
691#define My_H_T1_Fp_Exception_Other_0x22 \
692 T_HANDLER_RAND4_5 ;\
693 done
694#define H_T1_Privileged_Opcode_0x11
695#define SUN_H_T1_Privileged_Opcode_0x11 \
696 T_HANDLER_RAND4_6 ;\
697 done
698
699#define H_HT0_Privileged_opcode_0x11
700#define My_HT0_Privileged_opcode_0x11 \
701 HT_HANDLER_RAND4_1;\
702 done;
703
704#define H_HT0_Fp_disabled_0x20
705#define My_HT0_Fp_disabled_0x20 \
706 mov 0x4, %l2 ;\
707 wr %l2, 0x0, %fprs ;\
708 sllx %l2, 10, %l3; \
709 rdpr %tstate, %l2;\
710 or %l2, %l3, %l2 ;\
711 stw %l2, [%i7];\
712 wrpr %l2, 0x0, %tstate;\
713 retry;
714
715#define H_T0_Fp_disabled_0x20
716#define My_T0_Fp_disabled_0x20 \
717 mov 0x4, %l2 ;\
718 wr %l2, 0x0, %fprs ;\
719 sllx %l2, 10, %l3; \
720 rdpr %tstate, %l2;\
721 or %l2, %l3, %l2 ;\
722 wrpr %l2, 0x0, %tstate;\
723 retry; nop
724
725#define H_T1_Fp_Disabled_0x20
726#define My_H_T1_Fp_Disabled_0x20 \
727 mov 0x4, %l2 ;\
728 wr %l2, 0x0, %fprs ;\
729 sllx %l2, 10, %l3; \
730 rdpr %tstate, %l2;\
731 or %l2, %l3, %l2 ;\
732 wrpr %l2, 0x0, %tstate;\
733 stw %l2, [%i7];\
734 retry
735
736#define H_HT0_Watchdog_Reset_0x02
737#define My_HT0_Watchdog_Reset_0x02 \
738 ba wdog_2_ext;\
739 nop;retry;nop;nop;nop;nop;nop
740
741#define H_T0_Privileged_opcode_0x11
742#define My_T0_Privileged_opcode_0x11 \
743 T_HANDLER_RAND4_4;\
744 done
745
746#define H_T1_Fp_exception_other_0x22
747#define My_T1_Fp_exception_other_0x22 \
748 T_HANDLER_RAND7_3 ;\
749 done;
750
751#define H_T0_Fp_exception_other_0x22
752#define My_T0_Fp_exception_other_0x22 \
753 T_HANDLER_RAND7_4;\
754 done
755
756#define H_HT0_Trap_Level_Zero_0x5f
757#define My_HT0_Trap_Level_Zero_0x5f \
758 not %g0, %r13; \
759 rdhpr %hpstate, %l3;\
760 jmp %r13;\
761 rdhpr %htstate, %l3;\
762 and %l3, 0xfe, %l3;\
763 wrhpr %l3, 0, %htstate;\
764 stw %r13, [%i7];\
765 retry
766
767#define My_Watchdog_Reset
768#define My_Watchdog_Reset \
769 ba wdog_red_ext;\
770 nop;retry;nop;nop;nop;nop;nop
771
772#define H_HT0_Control_Transfer_Instr_0x74
773#define My_H_HT0_Control_Transfer_Instr_0x74 \
774 rdpr %tstate, %l3;\
775 mov 1, %l4;\
776 sllx %l4, 20, %l4;\
777 wrpr %l3, %l4, %tstate ;\
778 retry;nop;
779
780#define H_T0_Control_Transfer_Instr_0x74
781#define My_H_T0_Control_Transfer_Instr_0x74 \
782 rdpr %tstate, %l3;\
783 mov 1, %l4;\
784 sllx %l4, 20, %l4;\
785 wrpr %l3, %l4, %tstate ;\
786 retry;nop;
787
788#define H_T1_Control_Transfer_Instr_0x74
789#define My_H_T1_Control_Transfer_Instr_0x74 \
790 rdpr %tstate, %l3;\
791 mov 1, %l4;\
792 sllx %l4, 20, %l4;\
793 wrpr %l3, %l4, %tstate ;\
794 retry;nop;
795#define H_HT0_data_access_protection_0x6c
796#define SUN_H_HT0_data_access_protection_0x6c ba daccess_prot_handler; nop
797
798#define H_HT0_PA_Watchpoint_0x61
799#define My_H_HT0_PA_Watchpoint_0x61 \
800 HT_HANDLER_RAND7_4;\
801 done
802
803#ifndef H_HT0_Data_access_error_0x32
804#define H_HT0_Data_access_error_0x32
805#define SUN_H_HT0_Data_access_error_0x32 \
806 done;nop
807#endif
808#define H_T0_VA_Watchpoint_0x62
809#define My_T0_VA_Watchpoint_0x62 \
810 T_HANDLER_RAND7_5;\
811 done
812
813#define H_T1_VA_Watchpoint_0x62
814#define SUN_H_T1_VA_Watchpoint_0x62 \
815 T_HANDLER_RAND7_3;\
816 done
817
818#define H_HT0_VA_Watchpoint_0x62
819#define My_H_HT0_VA_Watchpoint_0x62 \
820 HT_HANDLER_RAND7_5;\
821 done
822
823#define H_HT0_Instruction_VA_Watchpoint_0x75
824#define SUN_H_HT0_Instruction_VA_Watchpoint_0x75 \
825 done;
826
827#define H_HT0_Instruction_Breakpoint_0x76
828#define SUN_H_HT0_Instruction_Breakpoint_0x76 \
829 rdhpr %htstate, %g1;\
830 wrhpr %g1, 0x400, %htstate;\
831 retry;nop
832#define H_HT0_Instruction_address_range_0x0d
833#define SUN_H_HT0_Instruction_address_range_0x0d \
834 HT_HANDLER_RAND4_1;\
835 done;
836
837#define H_HT0_Instruction_real_range_0x0e
838#define SUN_H_HT0_Instruction_real_range_0x0e \
839 HT_HANDLER_RAND4_1;\
840 done;
841
842#define H_HT0_mem_real_range_0x2d
843#define SUN_H_HT0_mem_real_range_0x2d \
844 HT_HANDLER_RAND4_2;\
845 done;
846#define H_HT0_mem_address_range_0x2e
847#define SUN_H_HT0_mem_address_range_0x2e \
848 HT_HANDLER_RAND4_3;\
849 done;
850
851#define H_HT0_DAE_nc_page_0x16
852#define SUN_H_HT0_DAE_nc_page_0x16 \
853 done;
854
855#define H_HT0_DAE_nfo_page_0x17
856#define SUN_H_HT0_DAE_nfo_page_0x17 \
857 done;
858#define H_HT0_IAE_unauth_access_0x0b
859#define SUN_H_HT0_IAE_unauth_access_0x0b \
860 HT_HANDLER_RAND7_3;\
861 done;
862#define H_HT0_IAE_nfo_page_0x0c
863#define SUN_H_HT0_IAE_nfo_page_0x0c \
864 HT_HANDLER_RAND7_6;\
865 done;
866#define H_HT0_Reserved_0x3b
867#define SUN_H_HT0_Reserved_0x3b \
868 mov 0x80, %l3;\
869 stxa %l3, [%l3]0x5f ;\
870 stxa %l3, [%l3]0x57 ;\
871 done;
872#define H_HT0_IAE_privilege_violation_0x08
873#define My_HT0_IAE_privilege_violation_0x08 \
874 HT_HANDLER_RAND7_2;\
875 done;
876
877#ifndef H_HT0_Instruction_Access_MMU_Error_0x71
878#define H_HT0_Instruction_Access_MMU_Error_0x71
879#define SUN_H_HT0_Instruction_Access_MMU_Error_0x71 \
880 mov 0x80, %l3;\
881 stxa %l3, [%l3]0x5f ;\
882 stxa %l3, [%l3]0x57 ;\
883 retry;
884#endif
885
886#ifndef H_HT0_Data_Access_MMU_Error_0x72
887#define H_HT0_Data_Access_MMU_Error_0x72
888#define SUN_H_HT0_Data_Access_MMU_Error_0x72 \
889 mov 0x80, %l3;\
890 stxa %l3, [%l3]0x5f ;\
891 stxa %l3, [%l3]0x57 ;\
892 retry;
893#endif
894
895!!!!!!!!!!!!!!!!!!!!!! END of all handlers !!!!!!!!!!!!!!!!!!!
896!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
897!!!!!!!!!!!!!!!! START of Interrupt Handlers !!!!!!!!!!!!!!!!!
898
899#ifndef INT_HANDLER_RAND4_1
900#define INT_HANDLER_RAND4_1 retry; nop; nop; nop
901#endif
902#ifndef INT_HANDLER_RAND7_1
903#define INT_HANDLER_RAND7_1 retry; nop; nop; nop ; nop; nop; nop
904#endif
905#ifndef INT_HANDLER_RAND4_2
906#define INT_HANDLER_RAND4_2 retry; nop; nop; nop
907#endif
908#ifndef INT_HANDLER_RAND7_2
909#define INT_HANDLER_RAND7_2 retry; nop; nop; nop ; nop; nop; nop
910#endif
911#ifndef INT_HANDLER_RAND4_3
912#define INT_HANDLER_RAND4_3 retry; nop; nop; nop
913#endif
914#ifndef INT_HANDLER_RAND7_3
915#define INT_HANDLER_RAND7_3 retry; nop; nop; nop ; nop; nop; nop
916#endif
917#define H_HT0_Externally_Initiated_Reset_0x03
918#define SUN_H_HT0_Externally_Initiated_Reset_0x03 \
919 ldxa [%g0] ASI_LSU_CTL_REG, %g1; \
920 set cregs_lsu_ctl_reg_r64, %g1; \
921 stxa %g1, [%g0] ASI_LSU_CTL_REG; \
922 retry;nop
923
924#define My_External_Reset \
925 ldxa [%g0] ASI_LSU_CTL_REG, %l5; \
926 set cregs_lsu_ctl_reg_r64, %l5; \
927 stxa %l5, [%g0] ASI_LSU_CTL_REG; \
928 retry;nop
929
930!!!!! SPU Interrupt Handlers
931
932#define H_HT0_Control_Word_Queue_Interrupt_0x3c
933#define My_HT0_Control_Word_Queue_Interrupt_0x3c \
934 INT_HANDLER_RAND7_1 ;\
935 retry ;
936
937#define H_HT0_Modular_Arithmetic_Interrupt_0x3d
938#define My_H_HT0_Modular_Arithmetic_Interrupt_0x3d \
939 INT_HANDLER_RAND7_2 ;\
940 retry ;
941!!!!! HW interrupt handlers
942
943#define H_HT0_Interrupt_0x60
944#define My_HT0_Interrupt_0x60 \
945 ldxa [%g0] ASI_SWVR_INTR_RECEIVE, %g5 ;\
946 ldxa [%g0] ASI_SWVR_INTR_R, %g4 ;\
947 ldxa [%g0] ASI_SWVR_INTR_RECEIVE, %g3 ;\
948 INT_HANDLER_RAND4_1 ;\
949 retry;
950
951!!!!! Queue interrupt handler
952#define H_T0_Cpu_Mondo_Trap_0x7c
953#define My_T0_Cpu_Mondo_Trap_0x7c \
954 mov 0x3c8, %g3; \
955 ldxa [%g3] 0x25, %g5; \
956 mov 0x3c0, %g3; \
957 stxa %g5, [%g3] 0x25; \
958 retry; \
959 nop; \
960 nop; \
961 nop
962
963#define H_T0_Dev_Mondo_Trap_0x7d
964#define My_T0_Dev_Mondo_Trap_0x7d \
965 mov 0x3d8, %g3; \
966 ldxa [%g3] 0x25, %g5; \
967 mov 0x3d0, %g3; \
968 stxa %g5, [%g3] 0x25; \
969 retry; \
970 nop; \
971 nop; \
972 nop
973
974#define H_T0_Resumable_Error_0x7e
975#define My_T0_Resumable_Error_0x7e \
976 mov 0x3e8, %g3; \
977 ldxa [%g3] 0x25, %g5; \
978 mov 0x3e0, %g3; \
979 stxa %g5, [%g3] 0x25; \
980 retry; \
981 nop; \
982 nop; \
983 nop
984
985#define H_T1_Cpu_Mondo_Trap_0x7c
986#define My_T1_Cpu_Mondo_Trap_0x7c \
987 mov 0x3c8, %g3; \
988 ldxa [%g3] 0x25, %g5; \
989 mov 0x3c0, %g3; \
990 stxa %g5, [%g3] 0x25; \
991 retry; \
992 nop; \
993 nop; \
994 nop
995
996#define H_T1_Dev_Mondo_Trap_0x7d
997#define My_T1_Dev_Mondo_Trap_0x7d \
998 mov 0x3d8, %g3; \
999 ldxa [%g3] 0x25, %g5; \
1000 mov 0x3d0, %g3; \
1001 stxa %g5, [%g3] 0x25; \
1002 retry; \
1003 nop; \
1004 nop; \
1005 nop
1006
1007#define H_T1_Resumable_Error_0x7e
1008#define My_T1_Resumable_Error_0x7e \
1009 mov 0x3e8, %g3; \
1010 ldxa [%g3] 0x25, %g5; \
1011 mov 0x3e0, %g3; \
1012 stxa %g5, [%g3] 0x25; \
1013 retry; \
1014 nop; \
1015 nop; \
1016 nop
1017
1018#define H_HT0_Reserved_0x7c
1019#define SUN_H_HT0_Reserved_0x7c \
1020 mov 0x3c8, %g3; \
1021 ldxa [%g3] 0x25, %g5; \
1022 mov 0x3c0, %g3; \
1023 stxa %g5, [%g3] 0x25; \
1024 retry; \
1025 nop; \
1026 nop; \
1027 nop
1028
1029#define H_HT0_Reserved_0x7d
1030#define SUN_H_HT0_Reserved_0x7d \
1031 mov 0x3d8, %g3; \
1032 ldxa [%g3] 0x25, %g5; \
1033 mov 0x3d0, %g3; \
1034 stxa %g5, [%g3] 0x25; \
1035 retry; \
1036 nop; \
1037 nop; \
1038 nop
1039
1040#define H_HT0_Reserved_0x7e
1041#define SUN_H_HT0_Reserved_0x7e \
1042 mov 0x3e8, %g3; \
1043 ldxa [%g3] 0x25, %g5; \
1044 mov 0x3e0, %g3; \
1045 stxa %g5, [%g3] 0x25; \
1046 retry; \
1047 nop; \
1048 nop; \
1049 nop
1050!!!!! Hstick-match trap handler
1051#define H_T0_Reserved_0x5e
1052#define My_T0_Reserved_0x5e \
1053 rdhpr %hintp, %g3; \
1054 wrhpr %g3, %g3, %hintp; \
1055 retry; \
1056 nop; \
1057 nop; \
1058 nop; \
1059 nop; \
1060 nop
1061
1062#define H_HT0_Hstick_Match_0x5e
1063#define My_HT0_Hstick_Match_0x5e \
1064 rdhpr %hintp, %g3; \
1065 wrhpr %g3, %g3, %hintp; \
1066 retry; \
1067 nop; \
1068 nop; \
1069 nop; \
1070 nop; \
1071 nop
1072
1073#define H_T0_Reserved_0x5e
1074#define My_T0_Reserved_0x5e \
1075 rdhpr %hintp, %g3; \
1076 wrhpr %g3, %g3, %hintp; \
1077 retry; \
1078 nop; \
1079 nop; \
1080 nop; \
1081 nop; \
1082 nop
1083
1084#define H_T1_Reserved_0x5e
1085#define My_T1_Reserved_0x5e \
1086 rdhpr %hintp, %g3; \
1087 wrhpr %g3, %g3, %hintp; \
1088 retry; \
1089 nop; \
1090 nop; \
1091 nop; \
1092 nop; \
1093 nop
1094!!!!! SW interuupt handlers
1095#define H_T0_Interrupt_Level_14_0x4e
1096#define My_T0_Interrupt_Level_14_0x4e \
1097 rd %softint, %g3; \
1098 sethi %hi(0x14000), %g3; \
1099 or %g3, 0x1, %g3; \
1100 wr %g3, %g0, %clear_softint; \
1101 rd %tick, %g3 ;\
1102 retry; \
1103
1104#define H_T0_Interrupt_Level_1_0x41
1105#define My_T0_Interrupt_Level_1_0x41 \
1106 rd %softint, %g3; \
1107 or %g0, 0x2, %g3; \
1108 wr %g3, %g0, %clear_softint; \
1109 retry; \
1110 nop; \
1111 nop; \
1112 nop; \
1113 nop
1114
1115#define H_T0_Interrupt_Level_2_0x42
1116#define My_T0_Interrupt_Level_2_0x42 \
1117 rd %softint, %g3; \
1118 or %g0, 0x4, %g3; \
1119 wr %g3, %g0, %clear_softint; \
1120 retry; \
1121 nop; \
1122 nop; \
1123 nop; \
1124 nop
1125
1126#define H_T0_Interrupt_Level_3_0x43
1127#define My_T0_Interrupt_Level_3_0x43 \
1128 rd %softint, %g3; \
1129 or %g0, 0x8, %g3; \
1130 wr %g3, %g0, %clear_softint; \
1131 retry; \
1132 nop; \
1133 nop; \
1134 nop; \
1135 nop
1136
1137#define H_T0_Interrupt_Level_4_0x44
1138#define My_T0_Interrupt_Level_4_0x44 \
1139 rd %softint, %g3; \
1140 or %g0, 0x10, %g3; \
1141 wr %g3, %g0, %clear_softint; \
1142 retry; \
1143 nop; \
1144 nop; \
1145 nop; \
1146 nop
1147
1148#define H_T0_Interrupt_Level_5_0x45
1149#define My_T0_Interrupt_Level_5_0x45 \
1150 rd %softint, %g3; \
1151 or %g0, 0x20, %g3; \
1152 wr %g3, %g0, %clear_softint; \
1153 retry; \
1154 nop; \
1155 nop; \
1156 nop; \
1157 nop
1158
1159#define H_T0_Interrupt_Level_6_0x46
1160#define My_T0_Interrupt_Level_6_0x46 \
1161 rd %softint, %g3; \
1162 or %g0, 0x40, %g3; \
1163 wr %g3, %g0, %clear_softint; \
1164 retry; \
1165 nop; \
1166 nop; \
1167 nop; \
1168 nop
1169
1170#define H_T0_Interrupt_Level_7_0x47
1171#define My_T0_Interrupt_Level_7_0x47 \
1172 rd %softint, %g3; \
1173 or %g0, 0x80, %g3; \
1174 wr %g3, %g0, %clear_softint; \
1175 retry; \
1176 nop; \
1177 nop; \
1178 nop; \
1179 nop
1180
1181#define H_T0_Interrupt_Level_8_0x48
1182#define My_T0_Interrupt_Level_8_0x48 \
1183 rd %softint, %g3; \
1184 or %g0, 0x100, %g3; \
1185 wr %g3, %g0, %clear_softint; \
1186 retry; \
1187 nop; \
1188 nop; \
1189 nop; \
1190 nop
1191
1192#define H_T0_Interrupt_Level_9_0x49
1193#define My_T0_Interrupt_Level_9_0x49 \
1194 rd %softint, %g3; \
1195 or %g0, 0x200, %g3; \
1196 wr %g3, %g0, %clear_softint; \
1197 retry; \
1198 nop; \
1199 nop; \
1200 nop; \
1201 nop
1202
1203#define H_T0_Interrupt_Level_10_0x4a
1204#define My_T0_Interrupt_Level_10_0x4a \
1205 rd %softint, %g3; \
1206 or %g0, 0x400, %g3; \
1207 wr %g3, %g0, %clear_softint; \
1208 retry; \
1209 nop; \
1210 nop; \
1211 nop; \
1212 nop
1213
1214#define H_T0_Interrupt_Level_11_0x4b
1215#define My_T0_Interrupt_Level_11_0x4b \
1216 rd %softint, %g3; \
1217 or %g0, 0x800, %g3; \
1218 wr %g3, %g0, %clear_softint; \
1219 retry; \
1220 nop; \
1221 nop; \
1222 nop; \
1223 nop
1224
1225#define H_T0_Interrupt_Level_12_0x4c
1226#define My_T0_Interrupt_Level_12_0x4c \
1227 rd %softint, %g3; \
1228 sethi %hi(0x1000), %g3; \
1229 wr %g3, %g0, %clear_softint; \
1230 retry; \
1231 nop; \
1232 nop; \
1233 nop; \
1234 nop
1235
1236#define H_T0_Interrupt_Level_13_0x4d
1237#define My_T0_Interrupt_Level_13_0x4d \
1238 rd %softint, %g3; \
1239 sethi %hi(0x2000), %g3; \
1240 wr %g3, %g0, %clear_softint; \
1241 retry; \
1242 nop; \
1243 nop; \
1244 nop; \
1245 nop
1246
1247#define H_T0_Interrupt_Level_15_0x4f
1248#define My_T0_Interrupt_Level_15_0x4f \
1249 sethi %hi(0x8000), %g3; \
1250 wr %g3, %g0, %clear_softint; \
1251 wr %g0, %g0, %pic;\
1252 sethi %hi(0x80040000), %g2;\
1253 rd %pcr, %g3;\
1254 andn %g3, %g2, %g3;\
1255 wr %g3, %g0, %pcr;\
1256 retry;
1257
1258#define H_T1_Interrupt_Level_14_0x4e
1259#define My_T1_Interrupt_Level_14_0x4e \
1260 rd %softint, %g3; \
1261 sethi %hi(0x14000), %g3; \
1262 or %g3, 0x1, %g3; \
1263 wr %g3, %g0, %clear_softint; \
1264 rd %tick, %g3 ;\
1265 retry; \
1266
1267#define H_T1_Interrupt_Level_1_0x41
1268#define My_T1_Interrupt_Level_1_0x41 \
1269 rd %softint, %g3; \
1270 or %g0, 0x2, %g3; \
1271 wr %g3, %g0, %clear_softint; \
1272 retry; \
1273 nop; \
1274 nop; \
1275 nop; \
1276 nop
1277
1278#define H_T1_Interrupt_Level_2_0x42
1279#define My_T1_Interrupt_Level_2_0x42 \
1280 rd %softint, %g3; \
1281 or %g0, 0x4, %g3; \
1282 wr %g3, %g0, %clear_softint; \
1283 retry; \
1284 nop; \
1285 nop; \
1286 nop; \
1287 nop
1288
1289#define H_T1_Interrupt_Level_3_0x43
1290#define My_T1_Interrupt_Level_3_0x43 \
1291 rd %softint, %g3; \
1292 or %g0, 0x8, %g3; \
1293 wr %g3, %g0, %clear_softint; \
1294 retry; \
1295 nop; \
1296 nop; \
1297 nop; \
1298 nop
1299
1300#define H_T1_Interrupt_Level_4_0x44
1301#define My_T1_Interrupt_Level_4_0x44 \
1302 rd %softint, %g3; \
1303 or %g0, 0x10, %g3; \
1304 wr %g3, %g0, %clear_softint; \
1305 retry; \
1306 nop; \
1307 nop; \
1308 nop; \
1309 nop
1310
1311#define H_T1_Interrupt_Level_5_0x45
1312#define My_T1_Interrupt_Level_5_0x45 \
1313 rd %softint, %g3; \
1314 or %g0, 0x20, %g3; \
1315 wr %g3, %g0, %clear_softint; \
1316 retry; \
1317 nop; \
1318 nop; \
1319 nop; \
1320 nop
1321
1322#define H_T1_Interrupt_Level_6_0x46
1323#define My_T1_Interrupt_Level_6_0x46 \
1324 rd %softint, %g3; \
1325 or %g0, 0x40, %g3; \
1326 wr %g3, %g0, %clear_softint; \
1327 retry; \
1328 nop; \
1329 nop; \
1330 nop; \
1331 nop
1332
1333#define H_T1_Interrupt_Level_7_0x47
1334#define My_T1_Interrupt_Level_7_0x47 \
1335 rd %softint, %g3; \
1336 or %g0, 0x80, %g3; \
1337 wr %g3, %g0, %clear_softint; \
1338 retry; \
1339 nop; \
1340 nop; \
1341 nop; \
1342 nop
1343
1344#define H_T1_Interrupt_Level_8_0x48
1345#define My_T1_Interrupt_Level_8_0x48 \
1346 rd %softint, %g3; \
1347 or %g0, 0x100, %g3; \
1348 wr %g3, %g0, %clear_softint; \
1349 retry; \
1350 nop; \
1351 nop; \
1352 nop; \
1353 nop
1354
1355#define H_T1_Interrupt_Level_9_0x49
1356#define My_T1_Interrupt_Level_9_0x49 \
1357 rd %softint, %g3; \
1358 or %g0, 0x200, %g3; \
1359 wr %g3, %g0, %clear_softint; \
1360 retry; \
1361 nop; \
1362 nop; \
1363 nop; \
1364 nop
1365
1366#define H_T1_Interrupt_Level_10_0x4a
1367#define My_T1_Interrupt_Level_10_0x4a \
1368 rd %softint, %g3; \
1369 or %g0, 0x400, %g3; \
1370 wr %g3, %g0, %clear_softint; \
1371 retry; \
1372 nop; \
1373 nop; \
1374 nop; \
1375 nop
1376
1377#define H_T1_Interrupt_Level_11_0x4b
1378#define My_T1_Interrupt_Level_11_0x4b \
1379 rd %softint, %g3; \
1380 or %g0, 0x800, %g3; \
1381 wr %g3, %g0, %clear_softint; \
1382 retry; \
1383 nop; \
1384 nop; \
1385 nop; \
1386 nop
1387
1388#define H_T1_Interrupt_Level_12_0x4c
1389#define My_T1_Interrupt_Level_12_0x4c \
1390 rd %softint, %g3; \
1391 sethi %hi(0x1000), %g3; \
1392 wr %g3, %g0, %clear_softint; \
1393 retry; \
1394 nop; \
1395 nop; \
1396 nop; \
1397 nop
1398
1399#define H_T1_Interrupt_Level_13_0x4d
1400#define My_T1_Interrupt_Level_13_0x4d \
1401 rd %softint, %g3; \
1402 sethi %hi(0x2000), %g3; \
1403 wr %g3, %g0, %clear_softint; \
1404 retry; \
1405 nop; \
1406 nop; \
1407 nop; \
1408 nop
1409
1410#define H_T1_Interrupt_Level_15_0x4f
1411#define My_T1_Interrupt_Level_15_0x4f \
1412 sethi %hi(0x8000), %g3; \
1413 wr %g3, %g0, %clear_softint; \
1414 wr %g0, %g0, %pic;\
1415 sethi %hi(0x80040000), %g2;\
1416 rd %pcr, %g3;\
1417 andn %g3, %g2, %g3;\
1418 wr %g3, %g0, %pcr;\
1419 retry;
1420
1421#define H_HT0_Interrupt_Level_14_0x4e
1422#define My_HT0_Interrupt_Level_14_0x4e \
1423 rd %softint, %g3; \
1424 sethi %hi(0x14000), %g3; \
1425 or %g3, 0x1, %g3; \
1426 wr %g3, %g0, %clear_softint; \
1427 rd %tick, %g3 ;\
1428 sub %g3, 0x80, %g3;\
1429 wrpr %g3, %g0, %tick;\
1430 retry; \
1431
1432#define H_HT0_Interrupt_Level_1_0x41
1433#define My_HT0_Interrupt_Level_1_0x41 \
1434 rd %softint, %g3; \
1435 or %g0, 0x2, %g3; \
1436 wr %g3, %g0, %clear_softint; \
1437 retry; \
1438 nop; \
1439 nop; \
1440 nop; \
1441 nop
1442
1443#define H_HT0_Interrupt_Level_2_0x42
1444#define My_HT0_Interrupt_Level_2_0x42 \
1445 rd %softint, %g3; \
1446 or %g0, 0x4, %g3; \
1447 wr %g3, %g0, %clear_softint; \
1448 retry; \
1449 nop; \
1450 nop; \
1451 nop; \
1452 nop
1453
1454#define H_HT0_Interrupt_Level_3_0x43
1455#define My_HT0_Interrupt_Level_3_0x43 \
1456 rd %softint, %g3; \
1457 or %g0, 0x8, %g3; \
1458 wr %g3, %g0, %clear_softint; \
1459 retry; \
1460 nop; \
1461 nop; \
1462 nop; \
1463 nop
1464
1465#define H_HT0_Interrupt_Level_4_0x44
1466#define My_HT0_Interrupt_Level_4_0x44 \
1467 rd %softint, %g3; \
1468 or %g0, 0x10, %g3; \
1469 wr %g3, %g0, %clear_softint; \
1470 retry; \
1471 nop; \
1472 nop; \
1473 nop; \
1474 nop
1475
1476#define H_HT0_Interrupt_Level_5_0x45
1477#define My_HT0_Interrupt_Level_5_0x45 \
1478 rd %softint, %g3; \
1479 or %g0, 0x20, %g3; \
1480 wr %g3, %g0, %clear_softint; \
1481 retry; \
1482 nop; \
1483 nop; \
1484 nop; \
1485 nop
1486
1487#define H_HT0_Interrupt_Level_6_0x46
1488#define My_HT0_Interrupt_Level_6_0x46 \
1489 rd %softint, %g3; \
1490 or %g0, 0x40, %g3; \
1491 wr %g3, %g0, %clear_softint; \
1492 retry; \
1493 nop; \
1494 nop; \
1495 nop; \
1496 nop
1497
1498#define H_HT0_Interrupt_Level_7_0x47
1499#define My_HT0_Interrupt_Level_7_0x47 \
1500 rd %softint, %g3; \
1501 or %g0, 0x80, %g3; \
1502 wr %g3, %g0, %clear_softint; \
1503 retry; \
1504 nop; \
1505 nop; \
1506 nop; \
1507 nop
1508
1509#define H_HT0_Interrupt_Level_8_0x48
1510#define My_HT0_Interrupt_Level_8_0x48 \
1511 rd %softint, %g3; \
1512 or %g0, 0x100, %g3; \
1513 wr %g3, %g0, %clear_softint; \
1514 retry; \
1515 nop; \
1516 nop; \
1517 nop; \
1518 nop
1519
1520#define H_HT0_Interrupt_Level_9_0x49
1521#define My_HT0_Interrupt_Level_9_0x49 \
1522 rd %softint, %g3; \
1523 or %g0, 0x200, %g3; \
1524 wr %g3, %g0, %clear_softint; \
1525 retry; \
1526 nop; \
1527 nop; \
1528 nop; \
1529 nop
1530
1531#define H_HT0_Interrupt_Level_10_0x4a
1532#define My_HT0_Interrupt_Level_10_0x4a \
1533 rd %softint, %g3; \
1534 or %g0, 0x400, %g3; \
1535 wr %g3, %g0, %clear_softint; \
1536 retry; \
1537 nop; \
1538 nop; \
1539 nop; \
1540 nop
1541
1542#define H_HT0_Interrupt_Level_11_0x4b
1543#define My_HT0_Interrupt_Level_11_0x4b \
1544 rd %softint, %g3; \
1545 or %g0, 0x800, %g3; \
1546 wr %g3, %g0, %clear_softint; \
1547 retry; \
1548 nop; \
1549 nop; \
1550 nop; \
1551 nop
1552
1553#define H_HT0_Interrupt_Level_12_0x4c
1554#define My_HT0_Interrupt_Level_12_0x4c \
1555 rd %softint, %g3; \
1556 sethi %hi(0x1000), %g3; \
1557 wr %g3, %g0, %clear_softint; \
1558 retry; \
1559 nop; \
1560 nop; \
1561 nop; \
1562 nop
1563
1564#define H_HT0_Interrupt_Level_13_0x4d
1565#define My_HT0_Interrupt_Level_13_0x4d \
1566 rd %softint, %g3; \
1567 sethi %hi(0x2000), %g3; \
1568 wr %g3, %g0, %clear_softint; \
1569 retry; \
1570 nop; \
1571 nop; \
1572 nop; \
1573 nop
1574
1575#define H_HT0_Interrupt_Level_15_0x4f
1576#define My_HT0_Interrupt_Level_15_0x4f \
1577 sethi %hi(0x8000), %g3; \
1578 wr %g3, %g0, %clear_softint; \
1579 wr %g0, %g0, %pic;\
1580 sethi %hi(0x80040000), %g2;\
1581 rd %pcr, %g3;\
1582 andn %g3, %g2, %g3;\
1583 wr %g3, %g0, %pcr;\
1584 retry;
1585!!!!!!!!!!!!!!!!!!!!!! END of all handlers !!!!!!!!!!!!!!!!!!!
1586!# Steer towards main TBA on these errors ..
1587!# These are redefines ...
1588#undef My_RED_Mode_Other_Reset
1589#define My_RED_Mode_Other_Reset
1590#define My_RED_Mode_Other_Reset \
1591 mov ZRED_Mode_Other_Reset ,%r1;\
1592 jmp %g1; nop;retry;nop;nop;nop;nop
1593#undef SUN_H_HT0_IAE_unauth_access_0x0b
1594#define SUN_H_HT0_IAE_unauth_access_0x0b \
1595 set resolve_bad_tte, %g3;\
1596 jmp %g3;\
1597 nop
1598
1599#undef My_HT0_IAE_privilege_violation_0x08
1600#define My_HT0_IAE_privilege_violation_0x08 \
1601 set resolve_bad_tte, %g3;\
1602 jmp %g3;\
1603 nop
1604
1605#define H_HT0_Instruction_address_range_0x0d
1606#define SUN_H_HT0_Instruction_address_range_0x0d \
1607 rdpr %tpc, %g1;\
1608 rdpr %tnpc, %g2;\
1609 stw %g1, [%i7];\
1610 stw %g2, [%i7+4];\
1611 jmpl %r27+8, %r27;\
1612 fdivd %f0, %f4, %f4;\
1613 nop;
1614
1615#define H_HT0_Instruction_real_range_0x0e
1616#define SUN_H_HT0_Instruction_real_range_0x0e \
1617 rdpr %tpc, %g1;\
1618 rdpr %tnpc, %g2;\
1619 stw %g1, [%i7];\
1620 stw %g2, [%i7+4];\
1621 jmpl %r27+8, %r27;\
1622 fdivd %f0, %f4, %f4;\
1623 nop;
1624
1625#undef SUN_H_HT0_IAE_nfo_page_0x0c
1626#define SUN_H_HT0_IAE_nfo_page_0x0c \
1627 set resolve_bad_tte, %g3;\
1628 jmp %g3;\
1629 nop
1630
1631#define H_HT0_Instruction_Invalid_TSB_Entry_0x2a
1632#define SUN_H_HT0_Instruction_Invalid_TSB_Entry_0x2a \
1633 set restore_range_regs, %g3;\
1634 jmp %g3;\
1635 nop
1636
1637#define H_HT0_Data_Invalid_TSB_Entry_0x2b
1638#define SUN_H_HT0_Data_Invalid_TSB_Entry_0x2b \
1639 set restore_range_regs, %g3;\
1640 jmp %g3;\
1641 nop
1642
1643#define H_T1_Reserved_0x00
1644#define SUN_H_T1_Reserved_0x00 \
1645 nop;\
1646 jmpl %r27+8, %r0;\
1647 nop;
1648
1649#undef FAST_BOOT
1650#include "hboot.s"
1651
1652#ifndef MULTIPASS
1653#define MULTIPASS 0
1654#endif
1655#define LOMEIN_TEXT_VA [0x]mpeval(MAIN_BASE_TEXT_VA&0xffffffff,16)
1656#define LOMEIN_DATA_VA [0x]mpeval(MAIN_BASE_DATA_VA&0xffffffff,16)
1657changequote([, ])dnl
1658SECTION .LOMEIN TEXT_VA=LOMEIN_TEXT_VA, DATA_VA=LOMEIN_DATA_VA
1659attr_text {
1660 Name = .LOMEIN,
1661 VA= LOMEIN_TEXT_VA,
1662 RA= MAIN_BASE_TEXT_RA,
1663 PA= ra2pa2(MAIN_BASE_TEXT_RA, 0),
1664 part_0_ctx_nonzero_tsb_config_1,
1665 part_0_ctx_zero_tsb_config_1,
1666 TTE_G=1, TTE_Context=0x44, TTE_V=1,
1667 TTE_Size=0, TTE_NFO=0, TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1668 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=1,
1669 tsbonly
1670 }
1671attr_data {
1672 Name = .LOMEIN,
1673 VA= LOMEIN_DATA_VA,
1674 RA= MAIN_BASE_DATA_RA,
1675 PA= ra2pa2(MAIN_BASE_DATA_RA, 0),
1676 part_0_ctx_nonzero_tsb_config_2,
1677 part_0_ctx_zero_tsb_config_2
1678 TTE_G=1, TTE_Context=0x44, TTE_V=1, TTE_Size=0, TTE_NFO=0,
1679 TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1680 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
1681 tsbonly
1682 }
1683attr_data {
1684 Name = .LOMEIN,
1685 VA= LOMEIN_DATA_VA,
1686 RA= MAIN_BASE_DATA_RA,
1687 PA= ra2pa2(MAIN_BASE_DATA_RA, 0),
1688 part_0_ctx_nonzero_tsb_config_3,
1689 part_0_ctx_zero_tsb_config_3
1690 TTE_G=1, TTE_Context=0x55, TTE_V=1, TTE_Size=0, TTE_NFO=0,
1691 TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1692 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
1693 tsbonly
1694 }
1695.text
1696.align 0x100000
1697 nop
1698.data
1699 .word 0x0
1700
1701SECTION .MAIN TEXT_VA=MAIN_BASE_TEXT_VA, DATA_VA=MAIN_BASE_DATA_VA
1702attr_text {
1703 Name = .MAIN,
1704 VA=MAIN_BASE_TEXT_VA,
1705 RA= LOMEIN_TEXT_VA,
1706 PA= LOMEIN_TEXT_VA,
1707 part_0_ctx_nonzero_tsb_config_2,
1708 part_0_ctx_zero_tsb_config_2,
1709 TTE_G=1, TTE_Context=0x44, TTE_V=1,
1710 TTE_Size=0, TTE_NFO=0, TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1711 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=1,
1712 }
1713
1714attr_data {
1715 Name = .MAIN,
1716 VA=MAIN_BASE_DATA_VA
1717 RA= LOMEIN_DATA_VA,
1718 PA= LOMEIN_DATA_VA,
1719 part_0_ctx_nonzero_tsb_config_1,
1720 part_0_ctx_zero_tsb_config_1
1721 TTE_G=1, TTE_Context=0x44, TTE_V=1, TTE_Size=0, TTE_NFO=0,
1722 TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1723 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
1724 }
1725
1726attr_data {
1727 Name = .MAIN,
1728 VA=MAIN_BASE_DATA_VA
1729 RA= LOMEIN_DATA_VA,
1730 PA= LOMEIN_DATA_VA,
1731 part_0_ctx_nonzero_tsb_config_3,
1732 part_0_ctx_zero_tsb_config_3
1733 TTE_G=1, TTE_Context=0x55, TTE_V=1, TTE_Size=0, TTE_NFO=0,
1734 TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1735 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
1736 tsbonly
1737 }
1738
1739attr_text {
1740 Name = .MAIN,
1741 VA=MAIN_BASE_TEXT_VA,
1742 hypervisor
1743}
1744
1745attr_data {
1746 Name = .MAIN,
1747 VA=MAIN_BASE_DATA_VA
1748 hypervisor
1749}
1750changequote(`,')dnl'
1751
1752.text
1753.global main
1754main:
1755
1756 ! Set up ld/st area per thread
1757 ta T_CHANGE_HPRIV
1758 ldxa [%g0]0x63, %o2
1759 and %o2, 0x7, %o1
1760 brnz %o1, init_start
1761 mov 0xff, %r11
1762lock_sync_thds:
1763 set sync_thr_counter4, %r23
1764#if(!defined SPC && !defined PORTABLE_CORE)
1765 and %o2, 0x38, %o2
1766 add %o2,%r23,%r23 !Core's sync counter
1767#endif
1768 st %r11, [%r23] !lock sync_thr_counter4
1769 add %r23, 64, %r23
1770 st %r11, [%r23] !lock sync_thr_counter5
1771 add %r23, 64, %r23
1772 st %r11, [%r23] !lock sync_thr_counter6
1773init_start:
1774 wrhpr %g0, 0x0, %hpstate ! ta T_CHANGE_NONHPRIV
1775 umul %r9, 256, %r31
1776 setx user_data_start, %r1, %r3
1777 add %r31, %r3, %r31
1778 wr %r0, 0x4, %asi
1779
1780!Initializing integer registers
1781 ldx [%r31+0], %r0
1782 ldx [%r31+8], %r1
1783 ldx [%r31+16], %r2
1784 ldx [%r31+24], %r3
1785 ldx [%r31+32], %r4
1786 ldx [%r31+40], %r5
1787 ldx [%r31+48], %r6
1788 ldx [%r31+56], %r7
1789 ldx [%r31+64], %r8
1790 ldx [%r31+72], %r9
1791 ldx [%r31+80], %r10
1792 ldx [%r31+88], %r11
1793 ldx [%r31+96], %r12
1794 ldx [%r31+104], %r13
1795 ldx [%r31+112], %r14
1796 mov %r31, %r15
1797 ldx [%r31+128], %r16
1798 ldx [%r31+136], %r17
1799 ldx [%r31+144], %r18
1800 ldx [%r31+152], %r19
1801 ldx [%r31+160], %r20
1802 ldx [%r31+168], %r21
1803 ldx [%r31+176], %r22
1804 ldx [%r31+184], %r23
1805 ldx [%r31+192], %r24
1806 ldx [%r31+200], %r25
1807 ldx [%r31+208], %r26
1808 ldx [%r31+216], %r27
1809 ldx [%r31+224], %r28
1810 ldx [%r31+232], %r29
1811 mov 0x30, %r14
1812 mov 0x35, %r30
1813 save %r31, %r0, %r31
1814 ldx [%r31+0], %r0
1815 ldx [%r31+8], %r1
1816 ldx [%r31+16], %r2
1817 ldx [%r31+24], %r3
1818 ldx [%r31+32], %r4
1819 ldx [%r31+40], %r5
1820 ldx [%r31+48], %r6
1821 ldx [%r31+56], %r7
1822 ldx [%r31+64], %r8
1823 ldx [%r31+72], %r9
1824 ldx [%r31+80], %r10
1825 ldx [%r31+88], %r11
1826 ldx [%r31+96], %r12
1827 ldx [%r31+104], %r13
1828 ldx [%r31+112], %r14
1829 mov %r31, %r15
1830 ldx [%r31+128], %r16
1831 ldx [%r31+136], %r17
1832 ldx [%r31+144], %r18
1833 ldx [%r31+152], %r19
1834 ldx [%r31+160], %r20
1835 ldx [%r31+168], %r21
1836 ldx [%r31+176], %r22
1837 ldx [%r31+184], %r23
1838 ldx [%r31+192], %r24
1839 ldx [%r31+200], %r25
1840 ldx [%r31+208], %r26
1841 ldx [%r31+216], %r27
1842 ldx [%r31+224], %r28
1843 ldx [%r31+232], %r29
1844 mov 0x33, %r14
1845 mov 0x35, %r30
1846 save %r31, %r0, %r31
1847 ldx [%r31+0], %r0
1848 ldx [%r31+8], %r1
1849 ldx [%r31+16], %r2
1850 ldx [%r31+24], %r3
1851 ldx [%r31+32], %r4
1852 ldx [%r31+40], %r5
1853 ldx [%r31+48], %r6
1854 ldx [%r31+56], %r7
1855 ldx [%r31+64], %r8
1856 ldx [%r31+72], %r9
1857 ldx [%r31+80], %r10
1858 ldx [%r31+88], %r11
1859 ldx [%r31+96], %r12
1860 ldx [%r31+104], %r13
1861 ldx [%r31+112], %r14
1862 mov %r31, %r15
1863 ldx [%r31+128], %r16
1864 ldx [%r31+136], %r17
1865 ldx [%r31+144], %r18
1866 ldx [%r31+152], %r19
1867 ldx [%r31+160], %r20
1868 ldx [%r31+168], %r21
1869 ldx [%r31+176], %r22
1870 ldx [%r31+184], %r23
1871 ldx [%r31+192], %r24
1872 ldx [%r31+200], %r25
1873 ldx [%r31+208], %r26
1874 ldx [%r31+216], %r27
1875 ldx [%r31+224], %r28
1876 ldx [%r31+232], %r29
1877 mov 0x35, %r14
1878 mov 0xb4, %r30
1879 save %r31, %r0, %r31
1880 ldx [%r31+0], %r0
1881 ldx [%r31+8], %r1
1882 ldx [%r31+16], %r2
1883 ldx [%r31+24], %r3
1884 ldx [%r31+32], %r4
1885 ldx [%r31+40], %r5
1886 ldx [%r31+48], %r6
1887 ldx [%r31+56], %r7
1888 ldx [%r31+64], %r8
1889 ldx [%r31+72], %r9
1890 ldx [%r31+80], %r10
1891 ldx [%r31+88], %r11
1892 ldx [%r31+96], %r12
1893 ldx [%r31+104], %r13
1894 ldx [%r31+112], %r14
1895 mov %r31, %r15
1896 ldx [%r31+128], %r16
1897 ldx [%r31+136], %r17
1898 ldx [%r31+144], %r18
1899 ldx [%r31+152], %r19
1900 ldx [%r31+160], %r20
1901 ldx [%r31+168], %r21
1902 ldx [%r31+176], %r22
1903 ldx [%r31+184], %r23
1904 ldx [%r31+192], %r24
1905 ldx [%r31+200], %r25
1906 ldx [%r31+208], %r26
1907 ldx [%r31+216], %r27
1908 ldx [%r31+224], %r28
1909 ldx [%r31+232], %r29
1910 mov 0x34, %r14
1911 mov 0x34, %r30
1912 save %r31, %r0, %r31
1913 ldx [%r31+0], %r0
1914 ldx [%r31+8], %r1
1915 ldx [%r31+16], %r2
1916 ldx [%r31+24], %r3
1917 ldx [%r31+32], %r4
1918 ldx [%r31+40], %r5
1919 ldx [%r31+48], %r6
1920 ldx [%r31+56], %r7
1921 ldx [%r31+64], %r8
1922 ldx [%r31+72], %r9
1923 ldx [%r31+80], %r10
1924 ldx [%r31+88], %r11
1925 ldx [%r31+96], %r12
1926 ldx [%r31+104], %r13
1927 ldx [%r31+112], %r14
1928 mov %r31, %r15
1929 ldx [%r31+128], %r16
1930 ldx [%r31+136], %r17
1931 ldx [%r31+144], %r18
1932 ldx [%r31+152], %r19
1933 ldx [%r31+160], %r20
1934 ldx [%r31+168], %r21
1935 ldx [%r31+176], %r22
1936 ldx [%r31+184], %r23
1937 ldx [%r31+192], %r24
1938 ldx [%r31+200], %r25
1939 ldx [%r31+208], %r26
1940 ldx [%r31+216], %r27
1941 ldx [%r31+224], %r28
1942 ldx [%r31+232], %r29
1943 mov 0x31, %r14
1944 mov 0x32, %r30
1945 save %r31, %r0, %r31
1946 ldx [%r31+0], %r0
1947 ldx [%r31+8], %r1
1948 ldx [%r31+16], %r2
1949 ldx [%r31+24], %r3
1950 ldx [%r31+32], %r4
1951 ldx [%r31+40], %r5
1952 ldx [%r31+48], %r6
1953 ldx [%r31+56], %r7
1954 ldx [%r31+64], %r8
1955 ldx [%r31+72], %r9
1956 ldx [%r31+80], %r10
1957 ldx [%r31+88], %r11
1958 ldx [%r31+96], %r12
1959 ldx [%r31+104], %r13
1960 ldx [%r31+112], %r14
1961 mov %r31, %r15
1962 ldx [%r31+128], %r16
1963 ldx [%r31+136], %r17
1964 ldx [%r31+144], %r18
1965 ldx [%r31+152], %r19
1966 ldx [%r31+160], %r20
1967 ldx [%r31+168], %r21
1968 ldx [%r31+176], %r22
1969 ldx [%r31+184], %r23
1970 ldx [%r31+192], %r24
1971 ldx [%r31+200], %r25
1972 ldx [%r31+208], %r26
1973 ldx [%r31+216], %r27
1974 ldx [%r31+224], %r28
1975 ldx [%r31+232], %r29
1976 mov 0xb2, %r14
1977 mov 0x34, %r30
1978 save %r31, %r0, %r31
1979 ldx [%r31+0], %r0
1980 ldx [%r31+8], %r1
1981 ldx [%r31+16], %r2
1982 ldx [%r31+24], %r3
1983 ldx [%r31+32], %r4
1984 ldx [%r31+40], %r5
1985 ldx [%r31+48], %r6
1986 ldx [%r31+56], %r7
1987 ldx [%r31+64], %r8
1988 ldx [%r31+72], %r9
1989 ldx [%r31+80], %r10
1990 ldx [%r31+88], %r11
1991 ldx [%r31+96], %r12
1992 ldx [%r31+104], %r13
1993 ldx [%r31+112], %r14
1994 mov %r31, %r15
1995 ldx [%r31+128], %r16
1996 ldx [%r31+136], %r17
1997 ldx [%r31+144], %r18
1998 ldx [%r31+152], %r19
1999 ldx [%r31+160], %r20
2000 ldx [%r31+168], %r21
2001 ldx [%r31+176], %r22
2002 ldx [%r31+184], %r23
2003 ldx [%r31+192], %r24
2004 ldx [%r31+200], %r25
2005 ldx [%r31+208], %r26
2006 ldx [%r31+216], %r27
2007 ldx [%r31+224], %r28
2008 ldx [%r31+232], %r29
2009 mov 0xb4, %r14
2010 mov 0x35, %r30
2011 save %r31, %r0, %r31
2012 restore
2013 restore
2014 restore
2015!Initializing float registers
2016 ldd [%r31+0], %f0
2017 ldd [%r31+16], %f2
2018 ldd [%r31+32], %f4
2019 ldd [%r31+48], %f6
2020 ldd [%r31+64], %f8
2021 ldd [%r31+80], %f10
2022 ldd [%r31+96], %f12
2023 ldd [%r31+112], %f14
2024 ldd [%r31+128], %f16
2025 ldd [%r31+144], %f18
2026 ldd [%r31+160], %f20
2027 ldd [%r31+176], %f22
2028 ldd [%r31+192], %f24
2029 ldd [%r31+208], %f26
2030 ldd [%r31+224], %f28
2031 ldd [%r31+240], %f30
2032 !! Set TPC/TNPC to diag-finish in case we get to a strange TL ..
2033 ta T_CHANGE_HPRIV
2034 setx diag_finish, %r29, %r28
2035 add %r28, 4, %r29
2036 wrpr %g0, 1, %tl
2037 wrpr %r28, %tpc
2038 wrpr %r29, %tnpc
2039 wrpr %g0, 2, %tl
2040 wrpr %r28, %tpc
2041 wrpr %r29, %tnpc
2042 wrpr %g0, 3, %tl
2043 wrpr %r28, %tpc
2044 wrpr %r29, %tnpc
2045 wrpr %g0, 4, %tl
2046 wrpr %r28, %tpc
2047 wrpr %r29, %tnpc
2048 wrpr %g0, 5, %tl
2049 wrpr %r28, %tpc
2050 wrpr %r29, %tnpc
2051 wrpr %g0, 6, %tl
2052 wrpr %r28, %tpc
2053 wrpr %r29, %tnpc
2054 wrpr %g0, 0, %tl
2055
2056 !Initializing Tick Cmprs
2057 mov 1, %g2
2058 sllx %g2, 63, %g2
2059 or %g1, %g2, %g1
2060 wrhpr %g1, %g0, %hsys_tick_cmpr
2061 wr %g1, %g0, %tick_cmpr
2062 wr %g1, %g0, %sys_tick_cmpr
2063
2064#if (MULTIPASS > 0)
2065 mov 0x38, %g1
2066 stxa %r0, [%g1]ASI_SCRATCHPAD
2067#endif
2068
2069 ! Set up fpr PMU traps
2070 set 0x287945b4, %g2
2071 b fork_threads
2072 wr %g2, %g0, %pcr
2073.align 1024
2074common_target:
2075 nop
2076 sub %r27, 8, %r27
2077 and %r27, 8, %r12
2078 mov HIGHVA_HIGHNUM, %r11
2079 sllx %r11, 32, %r11
2080 or %r27, %r11, %r27
2081 brz,a %r12, .+8
2082 lduw [%r27], %r12 ! load jmp dest into dcache - xinval
2083 return %r27
2084 .word 0xa7a289d2 ! 1: FDIVd fdivd %f10, %f18, %f50
2085 nop
2086 jmp %r27
2087 nop
2088!$EV trig_pc_d(1,@VA(.MAIN.fork_threads)) -> marker(bootEnd, *, 1)
2089fork_threads:
2090 rd %tick, %r17
2091 mov 0x40, %g1
2092setup_hwtw_config:
2093 stxa %r17, [%g1]0x58
2094 ta %icc, T_RD_THID
2095! fork: source strm = 0xffffffffffffffff; target strm = 0x1
2096 cmp %o1, 0
2097 setx fork_lbl_0_1, %g2, %g3
2098 be,a .+8
2099 jmp %g3
2100 nop
2101! fork: source strm = 0xffffffffffffffff; target strm = 0x2
2102 cmp %o1, 1
2103 setx fork_lbl_0_2, %g2, %g3
2104 be,a .+8
2105 jmp %g3
2106 nop
2107! fork: source strm = 0xffffffffffffffff; target strm = 0x4
2108 cmp %o1, 2
2109 setx fork_lbl_0_3, %g2, %g3
2110 be,a .+8
2111 jmp %g3
2112 nop
2113! fork: source strm = 0xffffffffffffffff; target strm = 0x8
2114 cmp %o1, 3
2115 setx fork_lbl_0_4, %g2, %g3
2116 be,a .+8
2117 jmp %g3
2118 nop
2119! fork: source strm = 0xffffffffffffffff; target strm = 0x10
2120 cmp %o1, 4
2121 setx fork_lbl_0_5, %g2, %g3
2122 be,a .+8
2123 jmp %g3
2124 nop
2125! fork: source strm = 0xffffffffffffffff; target strm = 0x20
2126 cmp %o1, 5
2127 setx fork_lbl_0_6, %g2, %g3
2128 be,a .+8
2129 jmp %g3
2130 nop
2131! fork: source strm = 0xffffffffffffffff; target strm = 0x40
2132 cmp %o1, 6
2133 setx fork_lbl_0_7, %g2, %g3
2134 be,a .+8
2135 jmp %g3
2136 nop
2137! fork: source strm = 0xffffffffffffffff; target strm = 0x80
2138 cmp %o1, 7
2139 setx fork_lbl_0_8, %g2, %g3
2140 be,a .+8
2141 jmp %g3
2142 nop
2143.text
2144 setx join_lbl_0_0, %g1, %g2
2145 jmp %g2
2146 nop
2147.text
2148 setx join_lbl_0_0, %g1, %g2
2149 jmp %g2
2150 nop
2151fork_lbl_0_8:
2152 wrhpr %g0, 0x413, %hpstate ! ta T_CHANGE_NONHPRIV
2153 nop
2154 nop
2155 ta T_CHANGE_HPRIV ! macro
2156donret_80_0:
2157 rd %pc, %r12
2158 mov HIGHVA_HIGHNUM, %r10
2159 sllx %r10, 32, %r10
2160 or %r12, %r10, %r12
2161 add %r12, (donretarg_80_0-donret_80_0+4), %r12
2162 add %r12, 0x4, %r11 ! seq tnpc
2163 wrpr %g0, 0x2, %tl
2164 wrpr %g0, %r12, %tpc
2165 wrpr %g0, %r11, %tnpc
2166 set (0x0042ab00 | (0x58 << 24)), %r13
2167 and %r12, 0xfff, %r14
2168 sllx %r14, 32, %r14
2169 or %r13, %r14, %r20
2170 wrpr %r20, %g0, %tstate
2171 wrhpr %g0, 0x17cf, %htstate
2172 best_set_reg(0x1bcb, %g1, %g2)
2173 wrpr %g0, %g2, %pstate ! rand=0 (80)
2174 ldx [%r12+%r0], %g1
2175 retry
2176donretarg_80_0:
2177brcommon3_80_1:
2178 nop
2179 nop
2180 setx common_target, %r12, %r27
2181 lduw [%r27-0], %r12 ! Load common dest into dcache ..
2182 stuw %r12, [%r27-0] ! Load common dest into dcache ..
2183 ba,a .+12
2184 .word 0xe66fe050 ! 1: LDSTUB_I ldstub %r19, [%r31 + 0x0050]
2185 ba,a .+8
2186 jmpl %r27-0, %r27
2187 .word 0xe6dfd060 ! 1: LDXA_R ldxa [%r31, %r0] 0x83, %r19
2188jmptr_80_3:
2189 nop
2190 nop
2191 best_set_reg(0xe0a00000, %r20, %r27)
2192 .word 0xb7c6c000 ! 5: JMPL_R jmpl %r27 + %r0, %r27
2193splash_lsu_80_5:
2194 nop
2195 nop
2196 ta T_CHANGE_HPRIV
2197 set 0x3d52313a, %r2
2198 mov 0x2, %r1
2199 sllx %r1, 32, %r1
2200 or %r1, %r2, %r2
2201 stxa %r2, [%r0] ASI_LSU_CONTROL
2202 .word 0x3d400001 ! 9: FBPULE fbule,a,pn %fcc0, <label_0x1>
2203 nop
2204 nop
2205 set 0xd6e0538e, %r28 !TTID : 3 (mask2tid(0x80))
2206#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2207 sethi %hi(0x3800), %r27
2208 andn %r28, %r27, %r28
2209#ifdef PORTABLE_CORE
2210 ! Add CID to vector
2211 ta T_CHANGE_HPRIV
2212 ldxa [%g0]0x63, %r27
2213 sllx %r27, 8, %r27
2214 or %r27, %r28, %r28
2215#endif
2216#else
2217 ! Add CID IF tid matches
2218ifelse(3,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2219#endif
2220 sethi %hi(0x30000), %r27
2221 andn %r28, %r27, %r28
2222 ta T_CHANGE_HPRIV
2223ifelse(3,mask2tid(0x80),`.align 16')
2224 stxa %r28, [%g0] 0x73
2225intvec_80_7:
2226 .word 0x39400001 ! 13: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
2227 .word 0xdb3fe040 ! 17: STDF_I std %f13, [0x0040, %r31]
2228 .word 0x30800001 ! 1: BA ba,a <label_0x1>
2229 .word 0x8d903353 ! 21: WRPR_PSTATE_I wrpr %r0, 0x1353, %pstate
2230pmu_80_13:
2231 nop
2232 nop
2233 ta T_CHANGE_PRIV
2234 setx 0xffffffb9ffffffa3, %g1, %g7
2235 .word 0xa3800007 ! 25: WR_PERF_COUNTER_R wr %r0, %r7, %-
2236 .word 0x8980000a ! 29: WRTICK_R wr %r0, %r10, %tick
2237memptr_80_17:
2238 set 0x60340000, %r31
2239 .word 0x8581b299 ! 33: WRCCR_I wr %r6, 0x1299, %ccr
2240#if (defined SPC || defined CMP1)
2241!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_20) , 16, 16)) -> intp(5,0,8,*,736,*,83,1)
2242!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_20)&0xffffffff) , 16, 16)) -> intp(2,0,20,*,704,*,83,1)
2243#else
2244 nop
2245 nop
2246 set 0x87f0b085, %r28 !TTID : 0 (mask2tid(0x80))
2247#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2248 sethi %hi(0x3800), %r27
2249 andn %r28, %r27, %r28
2250#ifdef PORTABLE_CORE
2251 ! Add CID to vector
2252 ta T_CHANGE_HPRIV
2253 ldxa [%g0]0x63, %r27
2254 sllx %r27, 8, %r27
2255 or %r27, %r28, %r28
2256#endif
2257#else
2258 ! Add CID IF tid matches
2259ifelse(0,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2260#endif
2261ifelse(0,mask2tid(0x80),`.align 16')
2262 stxa %r28, [%g0] 0x73
2263#endif
2264intvec_80_20:
2265 .word 0x39400001 ! 37: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
2266 nop
2267 nop
2268 ta T_CHANGE_HPRIV
2269 mov 0x1, %r11
2270splash_cmpr_80_23:
2271 sllx %r11, 63, %r11
2272 not %r11, %r11
2273 rd %tick, %r10
2274#if (defined SPC || defined CMP1)
2275 add %r10, 0x200, %r10
2276#else
2277 add %r10, 0xc00, %r10
2278#endif
2279 and %r10, %r11, %r10
2280 wrhpr %r10, %g0, %hsys_tick_cmpr
2281 wrhpr %g0, 0x0, %halt ! HALT
2282 rd %tick, %r10
2283#if (defined SPC || defined CMP1)
2284 add %r10, 0x150, %r10
2285#else
2286 add %r10, 0x380, %r10
2287#endif
2288 and %r10, %r11, %r10
2289 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
2290#if (defined SPC || defined CMP1)
2291!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_23)+8 , 16, 16)) -> intp(7,0,11,*,672,*,f2,1)
2292!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_23)&0xffffffff)+8 , 16, 16)) -> intp(3,0,20,*,1008,*,f2,1)
2293#endif
2294 wrhpr %g0, 0x0, %halt ! HALT
2295 .word 0x81982e5b ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0e5b, %hpstate
2296 .word 0x81b01021 ! 41: SIAM siam 1
2297 brz,a,pt %r19, skip_80_24
2298 stxa %r14, [%r0] ASI_LSU_CONTROL
2299 fbo,a,pn %fcc0, skip_80_24
2300 stxa %r19, [%r0] ASI_LSU_CONTROL
2301.align 1024
2302skip_80_24:
2303 .word 0xd5e7d060 ! 45: CASA_I casa [%r31] 0x83, %r0, %r10
2304 .word 0xd477e0e0 ! 49: STX_I stx %r10, [%r31 + 0x00e0]
2305 .word 0x91947971 ! 53: WRPR_PIL_I wrpr %r17, 0x1971, %pil
2306mondo_80_30:
2307 nop
2308 nop
2309 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
2310 ta T_CHANGE_PRIV
2311 stxa %r11, [%r0+0x3e0] %asi
2312 stxa %r16, [%r0+0x3e8] %asi
2313 .word 0x87802004 ! 1: WRASI_I wr %r0, 0x0004, %asi
2314 .word 0x9d94800b ! 57: WRPR_WSTATE_R wrpr %r18, %r11, %wstate
2315jmptr_80_32:
2316 nop
2317 nop
2318 best_set_reg(0xe1a00000, %r20, %r27)
2319 .word 0xb7c6c000 ! 61: JMPL_R jmpl %r27 + %r0, %r27
2320brcommon1_80_33:
2321 nop
2322 nop
2323 setx common_target, %r12, %r27
2324 lduw [%r27-4], %r12 ! Load common dest into dcache ..
2325 stuw %r12, [%r27-4] ! Load common dest into dcache ..
2326 ba,a .+12
2327 .word 0xc32fe140 ! 1: STXFSR_I st-sfr %f1, [0x0140, %r31]
2328 ba,a .+8
2329 jmpl %r27-4, %r27
2330 .word 0x20800001 ! 65: BN bn,a <label_0x1>
2331demap_80_35:
2332 nop
2333 mov 0x80, %g3
2334 ta T_CHANGE_HPRIV
2335 .word 0x2e800001 ! 1: BVS bvs,a <label_0x1>
2336 stxa %g3, [%g3] 0x5f
2337 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2338 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2339 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2340 stxa %g3, [%g3] 0x57
2341 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2342 stxa %g3, [%g3] 0x5f
2343 stxa %g3, [%g3] 0x57
2344 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2345 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2346 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2347 stxa %g3, [%g3] 0x5f
2348 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2349 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2350 stxa %g3, [%g3] 0x57
2351 stxa %g3, [%g3] 0x5f
2352 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2353 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2354 stxa %g3, [%g3] 0x5f
2355 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2356 wrhpr %g0, 0xb9a, %hpstate ! ta T_CHANGE_NONHPRIV
2357 .word 0xd41fe102 ! 69: LDD_I ldd [%r31 + 0x0102], %r10
2358splash_hpstate_80_36:
2359 ta T_CHANGE_NONHPRIV
2360 .word 0x3f400001 ! 1: FBPO fbo,a,pn %fcc0, <label_0x1>
2361 .word 0x81982156 ! 73: WRHPR_HPSTATE_I wrhpr %r0, 0x0156, %hpstate
2362 nop
2363 nop
2364 ta T_CHANGE_HPRIV ! macro
2365donret_80_38:
2366 rd %pc, %r12
2367 mov HIGHVA_HIGHNUM, %r10
2368 sllx %r10, 32, %r10
2369 or %r12, %r10, %r12
2370 add %r12, (donretarg_80_38-donret_80_38), %r12
2371 add %r12, 0x8, %r11 ! nonseq tnpc
2372 wrpr %g0, 0x1, %tl
2373 wrpr %g0, %r12, %tpc
2374 wrpr %g0, %r11, %tnpc
2375 set (0x007fab00 | (0x8a << 24)), %r13
2376 and %r12, 0xfff, %r14
2377 sllx %r14, 32, %r14
2378 or %r13, %r14, %r20
2379 wrpr %r20, %g0, %tstate
2380 wrhpr %g0, 0x139f, %htstate
2381 wrhpr %g0, 0x388, %hpstate ! rand=1 (80)
2382 ldx [%r12+%r0], %g1
2383 retry
2384donretarg_80_38:
2385 .word 0x22cc0001 ! 77: BRZ brz,a,pt %r16,<label_0xc0001>
2386 .word 0xc19fdc40 ! 81: LDDFA_R ldda [%r31, %r0], %f0
2387memptr_80_41:
2388 set 0x60140000, %r31
2389 .word 0x85822f76 ! 85: WRCCR_I wr %r8, 0x0f76, %ccr
2390 .word 0xa7812a45 ! 89: WR_GRAPHICS_STATUS_REG_I wr %r4, 0x0a45, %-
2391brcommon3_80_45:
2392 nop
2393 nop
2394 setx common_target, %r12, %r27
2395 lduw [%r27], %r12 ! Load common dest into dcache ..
2396 stuw %r12, [%r27] ! Load common dest into dcache ..
2397 ba,a .+12
2398 .word 0xd5e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r10
2399 ba,a .+8
2400 jmpl %r27+0, %r27
2401 stxa %r14, [%r0] ASI_LSU_CONTROL
2402 .word 0x95aac830 ! 93: FMOVGE fmovs %fcc1, %f16, %f10
2403 .word 0xd09fc400 ! 97: LDDA_R ldda [%r31, %r0] 0x20, %r8
2404 .word 0x3b400001 ! 1: FBPLE fble,a,pn %fcc0, <label_0x1>
2405 .word 0x8d9035b1 ! 101: WRPR_PSTATE_I wrpr %r0, 0x15b1, %pstate
2406 .word 0xa1902008 ! 105: WRPR_GL_I wrpr %r0, 0x0008, %-
2407 .word 0xd08008a0 ! 109: LDUWA_R lduwa [%r0, %r0] 0x45, %r8
2408memptr_80_58:
2409 set 0x60740000, %r31
2410 .word 0x858135fb ! 113: WRCCR_I wr %r4, 0x15fb, %ccr
2411 .word 0x91d02034 ! 117: Tcc_I ta icc_or_xcc, %r0 + 52
2412demap_80_62:
2413 nop
2414 mov 0x80, %g3
2415 ta T_CHANGE_HPRIV
2416 .word 0x28800001 ! 1: BLEU bleu,a <label_0x1>
2417 stxa %g3, [%g3] 0x5f
2418 stxa %g3, [%g3] 0x57
2419 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2420 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2421 stxa %g3, [%g3] 0x5f
2422 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2423 wrhpr %g0, 0xe12, %hpstate ! ta T_CHANGE_NONHPRIV
2424 .word 0xd01fe179 ! 121: LDD_I ldd [%r31 + 0x0179], %r8
2425 .word 0x91944011 ! 125: WRPR_PIL_R wrpr %r17, %r17, %pil
2426 .word 0xe1bfe180 ! 129: STDFA_I stda %f16, [0x0180, %r31]
2427 .word 0xd08fc6c0 ! 133: LDUBA_R lduba [%r31, %r0] 0x36, %r8
2428intveclr_80_71:
2429 nop
2430 nop
2431 ta T_CHANGE_HPRIV
2432 setx 0xff199a80fd510199, %r1, %r28
2433 stxa %r28, [%g0] 0x72
2434 .word 0x05400001 ! 137: FBPLG fblg
2435 ble skip_80_72
2436 stxa %r20, [%r0] ASI_LSU_CONTROL
2437 fbuge,a,pn %fcc0, skip_80_72
2438 stxa %r17, [%r0] ASI_LSU_CONTROL
2439.align 2048
2440skip_80_72:
2441 .word 0xc32fc000 ! 141: STXFSR_R st-sfr %f1, [%r0, %r31]
2442demap_80_74:
2443 nop
2444 mov 0x80, %g3
2445 ta T_CHANGE_HPRIV
2446 .word 0x09400001 ! 1: FBPL fbl
2447 stxa %g3, [%g3] 0x57
2448 stxa %g3, [%g3] 0x5f
2449 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2450 stxa %g3, [%g3] 0x5f
2451 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2452 stxa %g3, [%g3] 0x57
2453 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2454 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2455 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2456 stxa %g3, [%g3] 0x57
2457 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2458 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2459 wrhpr %g0, 0xd92, %hpstate ! ta T_CHANGE_NONHPRIV
2460 .word 0xd01fe1a8 ! 145: LDD_I ldd [%r31 + 0x01a8], %r8
2461brcommon3_80_78:
2462 nop
2463 nop
2464 setx common_target, %r12, %r27
2465 lduw [%r27-0], %r12 ! Load common dest into dcache ..
2466 stuw %r12, [%r27-4] ! Load common dest into dcache ..
2467 ba,a .+12
2468 .word 0xd1e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r8
2469 ba,a .+8
2470 jmpl %r27+0, %r27
2471 .word 0x819825c7 ! 149: WRHPR_HPSTATE_I wrhpr %r0, 0x05c7, %hpstate
2472splash_tba_80_81:
2473 nop
2474 ta T_CHANGE_PRIV
2475 set 0x120000, %r12
2476 .word 0x8b90000c ! 153: WRPR_TBA_R wrpr %r0, %r12, %tba
2477splash_tba_80_84:
2478 nop
2479 ta T_CHANGE_PRIV
2480 setx 0x00000000003a0000, %r11, %r12
2481 .word 0x8b90000c ! 157: WRPR_TBA_R wrpr %r0, %r12, %tba
2482splash_hpstate_80_87:
2483 .word 0x819827ce ! 161: WRHPR_HPSTATE_I wrhpr %r0, 0x07ce, %hpstate
2484 .word 0xc19fe0e0 ! 165: LDDFA_I ldda [%r31, 0x00e0], %f0
2485 .word 0xe1bfdd40 ! 169: STDFA_R stda %f16, [%r0, %r31]
2486memptr_80_94:
2487 set 0x60140000, %r31
2488 .word 0x858136a2 ! 173: WRCCR_I wr %r4, 0x16a2, %ccr
2489 brz,a,pt %r18, skip_80_95
2490 stxa %r17, [%r0] ASI_LSU_CONTROL
2491 fble skip_80_95
2492 stxa %r8, [%r0] ASI_LSU_CONTROL
2493.align 512
2494skip_80_95:
2495 .word 0xd03fe04d ! 177: STD_I std %r8, [%r31 + 0x004d]
2496 .word 0xc1bfc2c0 ! 181: STDFA_R stda %f0, [%r0, %r31]
2497intveclr_80_99:
2498 nop
2499 nop
2500 ta T_CHANGE_HPRIV
2501 setx 0xe20b4d30b0a48c28, %r1, %r28
2502 stxa %r28, [%g0] 0x72
2503 wrhpr %g0, 0x2d2, %hpstate ! ta T_CHANGE_NONHPRIV
2504 .word 0x25400001 ! 185: FBPLG fblg,a,pn %fcc0, <label_0x1>
2505demap_80_101:
2506 nop
2507 mov 0x80, %g3
2508 ta T_CHANGE_HPRIV
2509 .word 0x27400002 ! 1: FBPUL fbul,a,pn %fcc0, <label_0x2>
2510 stxa %g3, [%g3] 0x57
2511 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2512 stxa %g3, [%g3] 0x57
2513 stxa %g3, [%g3] 0x57
2514 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2515 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2516 stxa %g3, [%g3] 0x57
2517 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2518 wrhpr %g0, 0x283, %hpstate ! ta T_CHANGE_NONHPRIV
2519 .word 0xd01fe140 ! 189: LDD_I ldd [%r31 + 0x0140], %r8
2520intveclr_80_102:
2521 nop
2522 nop
2523 ta T_CHANGE_HPRIV
2524 setx 0x6def9f787080d17a, %r1, %r28
2525 stxa %r28, [%g0] 0x72
2526 wrhpr %g0, 0xa8b, %hpstate ! ta T_CHANGE_NONHPRIV
2527 .word 0x05400001 ! 193: FBPLG fblg
2528 nop
2529 nop
2530 ta T_CHANGE_HPRIV ! macro
2531donret_80_104:
2532 rd %pc, %r12
2533 mov HIGHVA_HIGHNUM, %r10
2534 sllx %r10, 32, %r10
2535 or %r12, %r10, %r12
2536 add %r12, (donretarg_80_104-donret_80_104+4), %r12
2537 add %r12, 0x4, %r11 ! seq tnpc
2538 wrpr %g0, 0x2, %tl
2539 wrpr %g0, %r12, %tpc
2540 wrpr %g0, %r11, %tnpc
2541 set (0x00d57e00 | (0x80 << 24)), %r13
2542 and %r12, 0xfff, %r14
2543 sllx %r14, 32, %r14
2544 or %r13, %r14, %r20
2545 wrpr %r20, %g0, %tstate
2546 wrhpr %g0, 0x1025, %htstate
2547 best_set_reg(0x12f1, %g1, %g2)
2548 wrpr %g0, %g2, %pstate ! rand=0 (80)
2549 retry
2550donretarg_80_104:
2551 .word 0xd0ffd060 ! 197: SWAPA_R swapa %r8, [%r31 + %r0] 0x83
2552 ble,a skip_80_106
2553 brlez,pn %r3, skip_80_106
2554.align 4096
2555skip_80_106:
2556 .word 0x99b484c8 ! 201: FCMPNE32 fcmpne32 %d18, %d8, %r12
2557 .word 0x8980000a ! 205: WRTICK_R wr %r0, %r10, %tick
2558 .word 0xf1efe070 ! 209: PREFETCHA_I prefetcha [%r31, + 0x0070] %asi, #24
2559 .word 0x8980000a ! 213: WRTICK_R wr %r0, %r10, %tick
2560 .word 0x33400001 ! 1: FBPE fbe,a,pn %fcc0, <label_0x1>
2561 .word 0x8d903c61 ! 217: WRPR_PSTATE_I wrpr %r0, 0x1c61, %pstate
2562frzptr_80_119:
2563 nop
2564 nop
2565 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
2566 jmpl %r27, %r27
2567 .word 0x00800001 ! 221: BN bn <label_0x1>
2568 fbuge skip_80_122
2569 bn skip_80_122
2570.align 2048
2571skip_80_122:
2572 .word 0x04cd0002 ! 225: BRLEZ brlez,pt %r20,<label_0xd0002>
2573memptr_80_124:
2574 set 0x60140000, %r31
2575 .word 0x85847645 ! 229: WRCCR_I wr %r17, 0x1645, %ccr
2576demap_80_127:
2577 nop
2578 mov 0x80, %g3
2579 ta T_CHANGE_HPRIV
2580 stxa %r17, [%r0] ASI_LSU_CONTROL
2581 stxa %g3, [%g3] 0x57
2582 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2583 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2584 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2585 wrhpr %g0, 0x690, %hpstate ! ta T_CHANGE_NONHPRIV
2586 .word 0xd01fe014 ! 233: LDD_I ldd [%r31 + 0x0014], %r8
2587 nop
2588 nop
2589 mov 0x1, %r11
2590splash_cmpr_80_129:
2591 sllx %r11, 63, %r11
2592 not %r11, %r11
2593 rd %tick, %r10
2594#if (defined SPC || defined CMP1)
2595 add %r10, 0x150, %r10
2596#else
2597 add %r10, 0x550, %r10
2598#endif
2599 and %r10, %r11, %r10
2600 rd %tick, %r10
2601#if (defined SPC || defined CMP1)
2602 add %r10, 0x200, %r10
2603#else
2604 add %r10, 0x550, %r10
2605#endif
2606 and %r10, %r11, %r10
2607 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
2608#if (defined SPC || defined CMP1)
2609!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_129)+8 , 16, 16)) -> intp(4,0,28,*,968,*,9f,1)
2610!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_129)&0xffffffff)+8 , 16, 16)) -> intp(2,0,26,*,1008,*,9f,1)
2611#endif
2612 wrhpr %g0, 0x0, %halt ! HALT
2613 .word 0x81b01021 ! 237: SIAM siam 1
2614 .word 0xc19fdb40 ! 241: LDDFA_R ldda [%r31, %r0], %f0
2615splash_lsu_80_132:
2616 nop
2617 nop
2618 ta T_CHANGE_HPRIV
2619 set 0xd1973bf0, %r2
2620 mov 0x5, %r1
2621 sllx %r1, 32, %r1
2622 or %r1, %r2, %r2
2623 .word 0x27400001 ! 1: FBPUL fbul,a,pn %fcc0, <label_0x1>
2624 stxa %r2, [%r0] ASI_LSU_CONTROL
2625 ta T_CHANGE_NONHPRIV
2626 .word 0x3d400001 ! 245: FBPULE fbule,a,pn %fcc0, <label_0x1>
2627demap_80_133:
2628 nop
2629 mov 0x80, %g3
2630 ta T_CHANGE_HPRIV
2631 stxa %r7, [%r0] ASI_LSU_CONTROL
2632 stxa %g3, [%g3] 0x5f
2633 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2634 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
2635 stxa %g3, [%g3] 0x5f
2636 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2637 stxa %g3, [%g3] 0x57
2638 stxa %g3, [%g3] 0x5f
2639 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2640 stxa %g3, [%g3] 0x57
2641 stxa %g3, [%g3] 0x57
2642 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2643 stxa %g3, [%g3] 0x57
2644 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2645 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2646 stxa %g3, [%g3] 0x5f
2647 wrhpr %g0, 0x84b, %hpstate ! ta T_CHANGE_NONHPRIV
2648 .word 0xd01fe01a ! 249: LDD_I ldd [%r31 + 0x001a], %r8
2649ibp_80_135:
2650 nop
2651 nop
2652 ta T_CHANGE_HPRIV
2653 mov 8, %r18
2654 rd %asi, %r12
2655 wr %r0, 0x41, %asi
2656 set sync_thr_counter4, %r23
2657#ifndef SPC
2658 ldxa [%g0]0x63, %r8
2659 and %r8, 0x38, %r8 ! Core ID
2660#ifndef PORTABLE_CORE
2661 add %r8, %r23, %r23
2662#endif
2663#else
2664 mov 0, %r8
2665#endif
2666 mov 0x80, %r16
2667ibp_startwait80_135:
2668 cas [%r23],%g0,%r16 !lock
2669 brz,a %r16, continue_ibp_80_135
2670 mov (~0x80&0xf0), %r16
2671 ld [%r23], %r16
2672ibp_wait80_135:
2673 brnz %r16, ibp_wait80_135
2674 ld [%r23], %r16
2675 ba ibp_startwait80_135
2676 mov 0x80, %r16
2677continue_ibp_80_135:
2678 sllx %r16, %r8, %r16 !Mask for my core only
2679 ldxa [0x58]%asi, %r17 !Running_status
2680wait_for_stat_80_135:
2681 ldxa [0x50]%asi, %r13 !Running_rw
2682 cmp %r13, %r17
2683 bne,a %xcc, wait_for_stat_80_135
2684 ldxa [0x58]%asi, %r17 !Running_status
2685 stxa %r16, [0x68]%asi !Park (W1C)
2686 ldxa [0x50]%asi, %r14 !Running_rw
2687wait_for_ibp_80_135:
2688 ldxa [0x58]%asi, %r17 !Running_status
2689 cmp %r14, %r17
2690 bne,a %xcc, wait_for_ibp_80_135
2691 ldxa [0x50]%asi, %r14 !Running_rw
2692ibp_doit80_135:
2693 best_set_reg(0x0000006c79a028aa,%r19, %r20)
2694 stxa %r20, [%r18]0x42
2695 stxa %r16, [0x60] %asi !Unpark (W1S)
2696 st %g0, [%r23] !clear lock
2697 wr %r0, %r12, %asi !restore %asi
2698 .word 0x87ac4a46 ! 253: FCMPd fcmpd %fcc<n>, %f48, %f6
2699 .word 0x87802004 ! 257: WRASI_I wr %r0, 0x0004, %asi
2700splash_tba_80_139:
2701 nop
2702 ta T_CHANGE_PRIV
2703 set 0x120000, %r12
2704 .word 0x8b90000c ! 261: WRPR_TBA_R wrpr %r0, %r12, %tba
2705splash_hpstate_80_141:
2706 ta T_CHANGE_NONHPRIV
2707 .word 0x0f400001 ! 1: FBPU fbu
2708 .word 0x81982a94 ! 265: WRHPR_HPSTATE_I wrhpr %r0, 0x0a94, %hpstate
2709 .word 0xc19fdf00 ! 269: LDDFA_R ldda [%r31, %r0], %f0
2710dvapa_80_144:
2711 nop
2712 nop
2713 ta T_CHANGE_HPRIV
2714 mov 0xaea, %r20
2715 mov 0x16, %r19
2716 sllx %r20, 23, %r20
2717 or %r19, %r20, %r19
2718 stxa %r19, [%g0] ASI_LSU_CONTROL
2719 mov 0x38, %r18
2720 stxa %r31, [%r18]0x58
2721 wrhpr %g0, 0x6da, %hpstate ! ta T_CHANGE_NONHPRIV
2722 .word 0xdabfc600 ! 273: STDA_R stda %r13, [%r31 + %r0] 0x30
2723 .word 0xc19fdd40 ! 277: LDDFA_R ldda [%r31, %r0], %f0
2724brcommon3_80_148:
2725 nop
2726 nop
2727 setx common_target, %r12, %r27
2728 lduw [%r27], %r12 ! Load common dest into dcache ..
2729 stuw %r12, [%r27] ! Load common dest into dcache ..
2730 ba,a .+12
2731 .word 0xdbe7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r13
2732 ba,a .+8
2733 jmpl %r27+0, %r27
2734 stxa %r17, [%r0] ASI_LSU_CONTROL
2735 .word 0x99aac826 ! 281: FMOVGE fmovs %fcc1, %f6, %f12
2736 nop
2737 nop
2738 ta T_CHANGE_HPRIV ! macro
2739donret_80_150:
2740 rd %pc, %r12
2741 mov HIGHVA_HIGHNUM, %r10
2742 sllx %r10, 32, %r10
2743 or %r12, %r10, %r12
2744 add %r12, (donretarg_80_150-donret_80_150), %r12
2745 add %r12, 0x4, %r11 ! seq tnpc
2746 andn %r12, %r10, %r12 ! low VA tpc
2747 wrpr %g0, 0x1, %tl
2748 wrpr %g0, %r12, %tpc
2749 wrpr %g0, %r11, %tnpc
2750 set (0x00819700 | (20 << 24)), %r13
2751 and %r12, 0xfff, %r14
2752 sllx %r14, 32, %r14
2753 or %r13, %r14, %r20
2754 wrpr %r20, %g0, %tstate
2755 wrhpr %g0, 0x1b5f, %htstate
2756 best_set_reg(0x870, %g1, %g2)
2757 wrpr %g0, %g2, %pstate ! rand=0 (80)
2758 ldx [%r12+%r0], %g1
2759 retry
2760donretarg_80_150:
2761 .word 0x95a149d0 ! 285: FDIVd fdivd %f36, %f16, %f10
2762jmptr_80_152:
2763 nop
2764 nop
2765 best_set_reg(0xe0a00000, %r20, %r27)
2766 .word 0xb7c6c000 ! 289: JMPL_R jmpl %r27 + %r0, %r27
2767cancelint_80_156:
2768 rdhpr %halt, %r12
2769 .word 0x85880000 ! 293: ALLCLEAN <illegal instruction>
2770 nop
2771 nop
2772 ta T_CHANGE_HPRIV ! macro
2773donret_80_159:
2774 rd %pc, %r12
2775 mov HIGHVA_HIGHNUM, %r10
2776 sllx %r10, 32, %r10
2777 or %r12, %r10, %r12
2778 add %r12, (donretarg_80_159-donret_80_159+4), %r12
2779 add %r12, 0x4, %r11 ! seq tnpc
2780 andn %r12, %r10, %r12 ! low VA tpc
2781 wrpr %g0, 0x1, %tl
2782 wrpr %g0, %r12, %tpc
2783 wrpr %g0, %r11, %tnpc
2784 set (0x00875b00 | (0x88 << 24)), %r13
2785 and %r12, 0xfff, %r14
2786 sllx %r14, 32, %r14
2787 or %r13, %r14, %r20
2788 wrpr %r20, %g0, %tstate
2789 wrhpr %g0, 0x1b45, %htstate
2790 wrhpr %g0, 0xb, %hpstate ! rand=1 (80)
2791 done
2792.align 128
2793donretarg_80_159:
2794 .word 0xd2ffd060 ! 297: SWAPA_R swapa %r9, [%r31 + %r0] 0x83
2795splash_lsu_80_162:
2796 nop
2797 nop
2798 ta T_CHANGE_HPRIV
2799 set 0xfbf3de1a, %r2
2800 mov 0x1, %r1
2801 sllx %r1, 32, %r1
2802 or %r1, %r2, %r2
2803 stxa %r2, [%r0] ASI_LSU_CONTROL
2804 ta T_CHANGE_NONHPRIV
2805 .word 0x3d400001 ! 301: FBPULE fbule,a,pn %fcc0, <label_0x1>
2806demap_80_163:
2807 nop
2808 mov 0x80, %g3
2809 ta T_CHANGE_HPRIV
2810 .word 0x33400001 ! 1: FBPE fbe,a,pn %fcc0, <label_0x1>
2811 stxa %g3, [%g3] 0x57
2812 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2813 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2814 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
2815 stxa %g3, [%g3] 0x57
2816 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
2817 wrhpr %g0, 0x8cb, %hpstate ! ta T_CHANGE_NONHPRIV
2818 .word 0xd21fe191 ! 305: LDD_I ldd [%r31 + 0x0191], %r9
2819cancelint_80_164:
2820 rdhpr %halt, %r19
2821 .word 0x85880000 ! 309: ALLCLEAN <illegal instruction>
2822splash_lsu_80_166:
2823 nop
2824 nop
2825 ta T_CHANGE_HPRIV
2826 set 0xc5ed47c9, %r2
2827 mov 0x4, %r1
2828 sllx %r1, 32, %r1
2829 or %r1, %r2, %r2
2830 stxa %r2, [%r0] ASI_LSU_CONTROL
2831 ta T_CHANGE_NONHPRIV
2832 .word 0x1d400001 ! 313: FBPULE fbule
2833 .word 0xc32fc000 ! 317: STXFSR_R st-sfr %f1, [%r0, %r31]
2834 .word 0xd647e158 ! 321: LDSW_I ldsw [%r31 + 0x0158], %r11
2835 .word 0xc1bfe100 ! 325: STDFA_I stda %f0, [0x0100, %r31]
2836brcommon3_80_173:
2837 nop
2838 nop
2839 setx common_target, %r12, %r27
2840 lduw [%r27], %r12 ! Load common dest into dcache ..
2841 stuw %r12, [%r27] ! Load common dest into dcache ..
2842 ba,a .+12
2843 .word 0xd737e100 ! 1: STQF_I - %f11, [0x0100, %r31]
2844 ba,a .+8
2845 jmpl %r27+0, %r27
2846 stxa %r8, [%r0] ASI_LSU_CONTROL
2847 .word 0x9baac833 ! 329: FMOVGE fmovs %fcc1, %f19, %f13
2848splash_lsu_80_175:
2849 nop
2850 nop
2851 ta T_CHANGE_HPRIV
2852 set 0x88b443ce, %r2
2853 mov 0x1, %r1
2854 sllx %r1, 32, %r1
2855 or %r1, %r2, %r2
2856 stxa %r2, [%r0] ASI_LSU_CONTROL
2857 ta T_CHANGE_NONHPRIV
2858 .word 0x1d400001 ! 333: FBPULE fbule
2859splash_lsu_80_178:
2860 nop
2861 nop
2862 ta T_CHANGE_HPRIV
2863 set 0xe811ce7b, %r2
2864 mov 0x5, %r1
2865 sllx %r1, 32, %r1
2866 or %r1, %r2, %r2
2867 stxa %r2, [%r0] ASI_LSU_CONTROL
2868 ta T_CHANGE_NONHPRIV
2869 .word 0x1d400001 ! 337: FBPULE fbule
2870 .word 0xa7a00160 ! 341: FABSq dis not found
2871
2872jmptr_80_184:
2873 nop
2874 nop
2875 best_set_reg(0xe1a00000, %r20, %r27)
2876 .word 0xb7c6c000 ! 345: JMPL_R jmpl %r27 + %r0, %r27
2877 .word 0x91d020b4 ! 349: Tcc_I ta icc_or_xcc, %r0 + 180
2878splash_lsu_80_188:
2879 nop
2880 nop
2881 ta T_CHANGE_HPRIV
2882 set 0xcf4c7776, %r2
2883 mov 0x3, %r1
2884 sllx %r1, 32, %r1
2885 or %r1, %r2, %r2
2886 .word 0x3b400001 ! 1: FBPLE fble,a,pn %fcc0, <label_0x1>
2887 stxa %r2, [%r0] ASI_LSU_CONTROL
2888 ta T_CHANGE_NONHPRIV
2889 .word 0x3d400001 ! 353: FBPULE fbule,a,pn %fcc0, <label_0x1>
2890memptr_80_191:
2891 set 0x60740000, %r31
2892 .word 0x85852bb1 ! 357: WRCCR_I wr %r20, 0x0bb1, %ccr
2893 .word 0xe69fe120 ! 361: LDDA_I ldda [%r31, + 0x0120] %asi, %r19
2894 .word 0xe677e0ca ! 365: STX_I stx %r19, [%r31 + 0x00ca]
2895 .word 0x2a800001 ! 369: BCS bcs,a <label_0x1>
2896 brgz,pt %r19, skip_80_198
2897 stxa %r11, [%r0] ASI_LSU_CONTROL
2898 fbuge,a,pn %fcc0, skip_80_198
2899 stxa %r16, [%r0] ASI_LSU_CONTROL
2900.align 2048
2901skip_80_198:
2902 .word 0xc32fc000 ! 373: STXFSR_R st-sfr %f1, [%r0, %r31]
2903cancelint_80_200:
2904 rdhpr %halt, %r9
2905 .word 0x85880000 ! 377: ALLCLEAN <illegal instruction>
2906 .word 0xd537e000 ! 381: STQF_I - %f10, [0x0000, %r31]
2907 .word 0x8980000a ! 385: WRTICK_R wr %r0, %r10, %tick
2908 nop
2909 nop
2910 set 0x36706c41, %r28 !TTID : 4 (mask2tid(0x80))
2911#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2912 sethi %hi(0x3800), %r27
2913 andn %r28, %r27, %r28
2914#ifdef PORTABLE_CORE
2915 ! Add CID to vector
2916 ta T_CHANGE_HPRIV
2917 ldxa [%g0]0x63, %r27
2918 sllx %r27, 8, %r27
2919 or %r27, %r28, %r28
2920#endif
2921#else
2922 ! Add CID IF tid matches
2923ifelse(4,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2924#endif
2925 sethi %hi(0x30000), %r27
2926 andn %r28, %r27, %r28
2927 ta T_CHANGE_HPRIV
2928ifelse(4,mask2tid(0x80),`.align 16')
2929 stxa %r28, [%g0] 0x73
2930intvec_80_207:
2931 .word 0x97a489d1 ! 389: FDIVd fdivd %f18, %f48, %f42
2932intveclr_80_209:
2933 nop
2934 nop
2935 ta T_CHANGE_HPRIV
2936 setx 0xcf7aad260cb0b88c, %r1, %r28
2937 stxa %r28, [%g0] 0x72
2938 wrhpr %g0, 0x61b, %hpstate ! ta T_CHANGE_NONHPRIV
2939 .word 0x05400001 ! 393: FBPLG fblg
2940 fbe,a,pn %fcc0, skip_80_212
2941 stxa %r8, [%r0] ASI_LSU_CONTROL
2942 fblg,a,pn %fcc0, skip_80_212
2943 stxa %r15, [%r0] ASI_LSU_CONTROL
2944.align 1024
2945skip_80_212:
2946 .word 0xc32fc000 ! 397: STXFSR_R st-sfr %f1, [%r0, %r31]
2947brcommon3_80_215:
2948 nop
2949 nop
2950 setx common_target, %r12, %r27
2951 lduw [%r27-0], %r12 ! Load common dest into dcache ..
2952 stuw %r12, [%r27-4] ! Load common dest into dcache ..
2953 ba,a .+12
2954 .word 0xe1e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r16
2955 ba,a .+8
2956 jmpl %r27+0, %r27
2957 .word 0x00800001 ! 401: BN bn <label_0x1>
2958 .word 0xe0bfd040 ! 405: STDA_R stda %r16, [%r31 + %r0] 0x82
2959 .word 0x9bb2c581 ! 409: FCMPGT32 fcmpgt32 %d42, %d32, %r13
2960 nop
2961 nop
2962 ta T_CHANGE_HPRIV
2963 mov 0x1, %r11
2964splash_cmpr_80_220:
2965 sllx %r11, 63, %r11
2966 not %r11, %r11
2967 rd %tick, %r10
2968#if (defined SPC || defined CMP1)
2969 add %r10, 0x150, %r10
2970#else
2971 add %r10, 0x380, %r10
2972#endif
2973 and %r10, %r11, %r10
2974 wrhpr %r10, %g0, %hsys_tick_cmpr
2975 wrhpr %g0, 0x0, %halt ! HALT
2976 rd %tick, %r10
2977#if (defined SPC || defined CMP1)
2978 add %r10, 0x200, %r10
2979#else
2980 add %r10, 0x900, %r10
2981#endif
2982 and %r10, %r11, %r10
2983 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
2984#if (defined SPC || defined CMP1)
2985!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_220)+8 , 16, 16)) -> intp(4,0,7,*,976,*,d2,1)
2986!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_220)&0xffffffff)+8 , 16, 16)) -> intp(1,0,12,*,992,*,d2,1)
2987#endif
2988 wrhpr %g0, 0x0, %halt ! HALT
2989 .word 0x81983484 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1484, %hpstate
2990 .word 0x81b01021 ! 413: SIAM siam 1
2991intveclr_80_222:
2992 nop
2993 nop
2994 ta T_CHANGE_HPRIV
2995 setx 0x704f184314c08a4e, %r1, %r28
2996 stxa %r28, [%g0] 0x72
2997 .word 0x25400001 ! 417: FBPLG fblg,a,pn %fcc0, <label_0x1>
2998#if (defined SPC || defined CMP1)
2999!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_226) , 16, 16)) -> intp(0,0,26,*,936,*,c0,1)
3000!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_226)&0xffffffff) , 16, 16)) -> intp(5,0,23,*,976,*,c0,1)
3001#else
3002 nop
3003 nop
3004 set 0x2f2068c0, %r28 !TTID : 0 (mask2tid(0x80))
3005#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3006 sethi %hi(0x3800), %r27
3007 andn %r28, %r27, %r28
3008#ifdef PORTABLE_CORE
3009 ! Add CID to vector
3010 ta T_CHANGE_HPRIV
3011 ldxa [%g0]0x63, %r27
3012 sllx %r27, 8, %r27
3013 or %r27, %r28, %r28
3014#endif
3015#else
3016 ! Add CID IF tid matches
3017ifelse(0,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3018#endif
3019ifelse(0,mask2tid(0x80),`.align 16')
3020 stxa %r28, [%g0] 0x73
3021#endif
3022intvec_80_226:
3023 .word 0x9ba409d0 ! 421: FDIVd fdivd %f16, %f16, %f44
3024cancelint_80_228:
3025 rdhpr %halt, %r20
3026 .word 0x85880000 ! 425: ALLCLEAN <illegal instruction>
3027 nop
3028 nop
3029 ta T_CHANGE_HPRIV ! macro
3030donret_80_231:
3031 rd %pc, %r12
3032 mov HIGHVA_HIGHNUM, %r10
3033 sllx %r10, 32, %r10
3034 or %r12, %r10, %r12
3035 add %r12, (donretarg_80_231-donret_80_231+4), %r12
3036 add %r12, 0x4, %r11 ! seq tnpc
3037 andn %r11, %r10, %r11 ! low VA tnpc
3038 wrpr %g0, 0x1, %tl
3039 wrpr %g0, %r12, %tpc
3040 wrpr %g0, %r11, %tnpc
3041 set (0x0090df00 | (0x8b << 24)), %r13
3042 and %r12, 0xfff, %r14
3043 sllx %r14, 32, %r14
3044 or %r13, %r14, %r20
3045 wrpr %r20, %g0, %tstate
3046 wrhpr %g0, 0x34d, %htstate
3047 best_set_reg(0x719, %g1, %g2)
3048 wrpr %g0, %g2, %pstate ! rand=0 (80)
3049 done
3050.align 128
3051donretarg_80_231:
3052 .word 0x8d902385 ! 429: WRPR_PSTATE_I wrpr %r0, 0x0385, %pstate
3053 .word 0xc19fdf00 ! 433: LDDFA_R ldda [%r31, %r0], %f0
3054 .word 0xe917c000 ! 437: LDQF_R - [%r31, %r0], %f20
3055 .word 0xe827e0ec ! 441: STW_I stw %r20, [%r31 + 0x00ec]
3056 .word 0xa36a8003 ! 445: SDIVX_R sdivx %r10, %r3, %r17
3057 .word 0x8980000a ! 449: WRTICK_R wr %r0, %r10, %tick
3058 nop
3059 nop
3060 ta T_CHANGE_HPRIV ! macro
3061donret_80_240:
3062 rd %pc, %r12
3063 mov HIGHVA_HIGHNUM, %r10
3064 sllx %r10, 32, %r10
3065 or %r12, %r10, %r12
3066 add %r12, (donretarg_80_240-donret_80_240), %r12
3067 add %r12, 0x8, %r11 ! nonseq tnpc
3068 wrpr %g0, 0x1, %tl
3069 wrpr %g0, %r12, %tpc
3070 wrpr %g0, %r11, %tnpc
3071 set (0x00a47700 | (32 << 24)), %r13
3072 and %r12, 0xfff, %r14
3073 sllx %r14, 32, %r14
3074 or %r13, %r14, %r20
3075 wrpr %r20, %g0, %tstate
3076 wrhpr %g0, 0x7d5, %htstate
3077 best_set_reg(0x5ea, %g1, %g2)
3078 wrpr %g0, %g2, %pstate ! rand=0 (80)
3079 .word 0x07400001 ! 1: FBPUL fbul
3080 ldx [%r12+%r0], %g1
3081 retry
3082.align 128
3083donretarg_80_240:
3084 .word 0x81982e16 ! 453: WRHPR_HPSTATE_I wrhpr %r0, 0x0e16, %hpstate
3085 nop
3086 nop
3087 ta T_CHANGE_HPRIV ! macro
3088donret_80_243:
3089 rd %pc, %r12
3090 mov HIGHVA_HIGHNUM, %r10
3091 sllx %r10, 32, %r10
3092 or %r12, %r10, %r12
3093 add %r12, (donretarg_80_243-donret_80_243+4), %r12
3094 add %r12, 0x4, %r11 ! seq tnpc
3095 andn %r12, %r10, %r12 ! low VA tpc
3096 wrpr %g0, 0x2, %tl
3097 wrpr %g0, %r12, %tpc
3098 wrpr %g0, %r11, %tnpc
3099 set (0x00023f00 | (0x4f << 24)), %r13
3100 and %r12, 0xfff, %r14
3101 sllx %r14, 32, %r14
3102 or %r13, %r14, %r20
3103 wrpr %r20, %g0, %tstate
3104 wrhpr %g0, 0x1ed5, %htstate
3105 wrhpr %g0, 0x610, %hpstate ! rand=1 (80)
3106 ldx [%r11+%r0], %g1
3107 done
3108donretarg_80_243:
3109 .word 0xe86fe0d9 ! 457: LDSTUB_I ldstub %r20, [%r31 + 0x00d9]
3110splash_hpstate_80_246:
3111 .word 0x81982c93 ! 461: WRHPR_HPSTATE_I wrhpr %r0, 0x0c93, %hpstate
3112 bgu,a skip_80_249
3113 .word 0xa5b104cb ! 1: FCMPNE32 fcmpne32 %d4, %d42, %r18
3114.align 2048
3115skip_80_249:
3116 .word 0x39400001 ! 465: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
3117 .word 0xe1bfde00 ! 469: STDFA_R stda %f16, [%r0, %r31]
3118intveclr_80_250:
3119 nop
3120 nop
3121 ta T_CHANGE_HPRIV
3122 setx 0xde9579f38927f526, %r1, %r28
3123 stxa %r28, [%g0] 0x72
3124 .word 0x25400001 ! 473: FBPLG fblg,a,pn %fcc0, <label_0x1>
3125intveclr_80_253:
3126 nop
3127 nop
3128 ta T_CHANGE_HPRIV
3129 setx 0x23e2298b2c331716, %r1, %r28
3130 stxa %r28, [%g0] 0x72
3131 .word 0x25400001 ! 477: FBPLG fblg,a,pn %fcc0, <label_0x1>
3132 brgez,pn %r12, skip_80_254
3133 stxa %r16, [%r0] ASI_LSU_CONTROL
3134 bvs,a skip_80_254
3135 stxa %r9, [%r0] ASI_LSU_CONTROL
3136.align 128
3137skip_80_254:
3138 .word 0xe83fe028 ! 481: STD_I std %r20, [%r31 + 0x0028]
3139cancelint_80_256:
3140 rdhpr %halt, %r17
3141 .word 0x85880000 ! 485: ALLCLEAN <illegal instruction>
3142demap_80_258:
3143 nop
3144 mov 0x80, %g3
3145 ta T_CHANGE_HPRIV
3146 stxa %r16, [%r0] ASI_LSU_CONTROL
3147 stxa %g3, [%g3] 0x57
3148 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3149 stxa %g3, [%g3] 0x57
3150 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3151 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3152 stxa %g3, [%g3] 0x57
3153 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3154 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3155 stxa %g3, [%g3] 0x57
3156 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3157 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3158 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3159 stxa %g3, [%g3] 0x57
3160 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3161 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3162 stxa %g3, [%g3] 0x57
3163 stxa %g3, [%g3] 0x57
3164 wrhpr %g0, 0x78b, %hpstate ! ta T_CHANGE_NONHPRIV
3165 .word 0xe01fe084 ! 489: LDD_I ldd [%r31 + 0x0084], %r16
3166splash_lsu_80_260:
3167 nop
3168 nop
3169 ta T_CHANGE_HPRIV
3170 set 0xe9316124, %r2
3171 mov 0x7, %r1
3172 sllx %r1, 32, %r1
3173 or %r1, %r2, %r2
3174 stxa %r2, [%r0] ASI_LSU_CONTROL
3175 .word 0x3d400002 ! 493: FBPULE fbule,a,pn %fcc0, <label_0x2>
3176dvapa_80_263:
3177 nop
3178 nop
3179 ta T_CHANGE_HPRIV
3180 mov 0x94b, %r20
3181 mov 0x11, %r19
3182 sllx %r20, 23, %r20
3183 or %r19, %r20, %r19
3184 stxa %r19, [%g0] ASI_LSU_CONTROL
3185 mov 0x38, %r18
3186 stxa %r31, [%r18]0x58
3187 wrhpr %g0, 0xdda, %hpstate ! ta T_CHANGE_NONHPRIV
3188 .word 0xe0dfd140 ! 497: LDXA_R ldxa [%r31, %r0] 0x8a, %r16
3189 fbg skip_80_265
3190 bcs skip_80_265
3191.align 512
3192skip_80_265:
3193 .word 0x87aaca41 ! 501: FCMPd fcmpd %fcc<n>, %f42, %f32
3194 .word 0xe897df00 ! 505: LDUHA_R lduha [%r31, %r0] 0xf8, %r20
3195 .word 0x8980000a ! 509: WRTICK_R wr %r0, %r10, %tick
3196splash_lsu_80_273:
3197 nop
3198 nop
3199 ta T_CHANGE_HPRIV
3200 set 0x74888f31, %r2
3201 mov 0x4, %r1
3202 sllx %r1, 32, %r1
3203 or %r1, %r2, %r2
3204 stxa %r2, [%r0] ASI_LSU_CONTROL
3205 .word 0x3d400001 ! 513: FBPULE fbule,a,pn %fcc0, <label_0x1>
3206splash_tba_80_275:
3207 nop
3208 ta T_CHANGE_PRIV
3209 setx 0x00000004003a0000, %r11, %r12
3210 .word 0x8b90000c ! 517: WRPR_TBA_R wrpr %r0, %r12, %tba
3211 .word 0x8d90361d ! 521: WRPR_PSTATE_I wrpr %r0, 0x161d, %pstate
3212demap_80_278:
3213 nop
3214 mov 0x80, %g3
3215 ta T_CHANGE_HPRIV
3216 .word 0x2b400001 ! 1: FBPUG fbug,a,pn %fcc0, <label_0x1>
3217 stxa %g3, [%g3] 0x5f
3218 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3219 stxa %g3, [%g3] 0x57
3220 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3221 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3222 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3223 stxa %g3, [%g3] 0x5f
3224 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3225 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3226 stxa %g3, [%g3] 0x5f
3227 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3228 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3229 wrhpr %g0, 0xa13, %hpstate ! ta T_CHANGE_NONHPRIV
3230 .word 0xe81fe08a ! 525: LDD_I ldd [%r31 + 0x008a], %r20
3231 .word 0xe83fe080 ! 1: STD_I std %r20, [%r31 + 0x0080]
3232 .word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
3233 mov 0xb3, %r30
3234 .word 0x83d0001e ! 529: Tcc_R te icc_or_xcc, %r0 + %r30
3235splash_htba_80_280:
3236 nop
3237 ta T_CHANGE_HPRIV
3238 setx 0x00000000002a0000, %r11, %r12
3239 .word 0x8b98000c ! 533: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
3240 brlz,pt %r16, skip_80_281
3241 ba skip_80_281
3242.align 4096
3243skip_80_281:
3244 .word 0x24ccc001 ! 537: BRLEZ brlez,a,pt %r19,<label_0xcc001>
3245 .word 0xd48008a0 ! 541: LDUWA_R lduwa [%r0, %r0] 0x45, %r10
3246memptr_80_283:
3247 set 0x60140000, %r31
3248 .word 0x8584a9f5 ! 545: WRCCR_I wr %r18, 0x09f5, %ccr
3249 .word 0xd407c000 ! 549: LDUW_R lduw [%r31 + %r0], %r10
3250 .word 0x16800001 ! 553: BGE bge <label_0x1>
3251 .word 0x9192c014 ! 557: WRPR_PIL_R wrpr %r11, %r20, %pil
3252memptr_80_292:
3253 set 0x60340000, %r31
3254 .word 0x8582a46a ! 561: WRCCR_I wr %r10, 0x046a, %ccr
3255 .word 0xd48008a0 ! 565: LDUWA_R lduwa [%r0, %r0] 0x45, %r10
3256 fble,a,pn %fcc0, skip_80_297
3257 brnz,a,pt %r20, skip_80_297
3258.align 1024
3259skip_80_297:
3260 .word 0x91b484d1 ! 569: FCMPNE32 fcmpne32 %d18, %d48, %r8
3261 fbge,a,pn %fcc0, skip_80_299
3262 stxa %r11, [%r0] ASI_LSU_CONTROL
3263 bvc,a skip_80_299
3264 stxa %r16, [%r0] ASI_LSU_CONTROL
3265.align 128
3266skip_80_299:
3267 .word 0xe23fe1f1 ! 573: STD_I std %r17, [%r31 + 0x01f1]
3268jmptr_80_302:
3269 nop
3270 nop
3271 best_set_reg(0xe0a00000, %r20, %r27)
3272 .word 0xb7c6c000 ! 577: JMPL_R jmpl %r27 + %r0, %r27
3273memptr_80_303:
3274 set user_data_start, %r31
3275 .word 0x85833570 ! 581: WRCCR_I wr %r12, 0x1570, %ccr
3276splash_hpstate_80_306:
3277 .word 0x0d400001 ! 1: FBPG fbg
3278 .word 0x819834d1 ! 585: WRHPR_HPSTATE_I wrhpr %r0, 0x14d1, %hpstate
3279 .word 0xa1902007 ! 589: WRPR_GL_I wrpr %r0, 0x0007, %-
3280#if (defined SPC || defined CMP1)
3281!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_312) , 16, 16)) -> intp(3,0,15,*,712,*,bd,1)
3282!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_312)&0xffffffff) , 16, 16)) -> intp(4,0,19,*,976,*,bd,1)
3283#else
3284 nop
3285 nop
3286 set 0x75b00539, %r28 !TTID : 5 (mask2tid(0x80))
3287#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3288 sethi %hi(0x3800), %r27
3289 andn %r28, %r27, %r28
3290#ifdef PORTABLE_CORE
3291 ! Add CID to vector
3292 ta T_CHANGE_HPRIV
3293 ldxa [%g0]0x63, %r27
3294 sllx %r27, 8, %r27
3295 or %r27, %r28, %r28
3296#endif
3297#else
3298 ! Add CID IF tid matches
3299ifelse(5,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3300#endif
3301ifelse(5,mask2tid(0x80),`.align 16')
3302 stxa %r28, [%g0] 0x73
3303#endif
3304intvec_80_312:
3305#if (defined SPC || defined CMP1)
3306 wrhpr %g0, 0x0, %halt ! HALT
3307#else
3308ifelse(5,mask2tid(0x80),`wrhpr %g0, 0x0, %halt ! HALT')
3309#endif
3310 .word 0xa7b4c4d3 ! 593: FCMPNE32 fcmpne32 %d50, %d50, %r19
3311 .word 0xc19fe020 ! 597: LDDFA_I ldda [%r31, 0x0020], %f0
3312brcommon3_80_318:
3313 nop
3314 nop
3315 setx common_target, %r12, %r27
3316 lduw [%r27-4], %r12 ! Load common dest into dcache ..
3317 stuw %r12, [%r27-0] ! Load common dest into dcache ..
3318 ba,a .+12
3319 .word 0xda6fe1a0 ! 1: LDSTUB_I ldstub %r13, [%r31 + 0x01a0]
3320 ba,a .+8
3321 jmpl %r27+0, %r27
3322 .word 0x8d903405 ! 601: WRPR_PSTATE_I wrpr %r0, 0x1405, %pstate
3323splash_htba_80_320:
3324 nop
3325 ta T_CHANGE_HPRIV
3326 setx 0x00000002002a0000, %r11, %r12
3327 .word 0x8b98000c ! 605: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
3328 ble skip_80_322
3329 ba,a skip_80_322
3330.align 512
3331skip_80_322:
3332 .word 0x24cd0001 ! 609: BRLEZ brlez,a,pt %r20,<label_0xd0001>
3333 bneg,a skip_80_324
3334 .word 0x87ab4a42 ! 1: FCMPd fcmpd %fcc<n>, %f44, %f2
3335.align 2048
3336skip_80_324:
3337 .word 0x9ba309d3 ! 613: FDIVd fdivd %f12, %f50, %f44
3338 .word 0xc19fdf20 ! 617: LDDFA_R ldda [%r31, %r0], %f0
3339memptr_80_329:
3340 set 0x60140000, %r31
3341 .word 0x8584b596 ! 621: WRCCR_I wr %r18, 0x1596, %ccr
3342frzptr_80_330:
3343 nop
3344 nop
3345 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
3346 ldx [%r27+0xc], %r20
3347 jmpl %r27+4, %r27
3348 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
3349 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
3350 jmpl %r27, %r27
3351 .word 0xe19fdc00 ! 625: LDDFA_R ldda [%r31, %r0], %f16
3352brcommon1_80_333:
3353 nop
3354 nop
3355 setx common_target, %r12, %r27
3356 lduw [%r27-0], %r12 ! Load common dest into dcache ..
3357 stuw %r12, [%r27-0] ! Load common dest into dcache ..
3358 ba,a .+12
3359 .word 0xd9e7d040 ! 1: CASA_I casa [%r31] 0x82, %r0, %r12
3360 ba,a .+8
3361 jmpl %r27-4, %r27
3362 .word 0xa5b3448c ! 629: FCMPLE32 fcmple32 %d44, %d12, %r18
3363 .word 0xe63fc000 ! 633: STD_R std %r19, [%r31 + %r0]
3364brcommon3_80_338:
3365 nop
3366 nop
3367 setx common_target, %r12, %r27
3368 lduw [%r27-4], %r12 ! Load common dest into dcache ..
3369 stuw %r12, [%r27-0] ! Load common dest into dcache ..
3370 ba,a .+12
3371 .word 0xe66fe1d0 ! 1: LDSTUB_I ldstub %r19, [%r31 + 0x01d0]
3372 ba,a .+8
3373 jmpl %r27-0, %r27
3374 .word 0xe71fe0e0 ! 637: LDDF_I ldd [%r31, 0x00e0], %f19
3375#if (defined SPC || defined CMP1)
3376!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_340) , 16, 16)) -> intp(5,0,12,*,976,*,f4,1)
3377!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_340)&0xffffffff) , 16, 16)) -> intp(3,0,18,*,920,*,f4,1)
3378#else
3379 nop
3380 nop
3381 set 0x79e0708a, %r28 !TTID : 0 (mask2tid(0x80))
3382#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3383 sethi %hi(0x3800), %r27
3384 andn %r28, %r27, %r28
3385#ifdef PORTABLE_CORE
3386 ! Add CID to vector
3387 ta T_CHANGE_HPRIV
3388 ldxa [%g0]0x63, %r27
3389 sllx %r27, 8, %r27
3390 or %r27, %r28, %r28
3391#endif
3392#else
3393 ! Add CID IF tid matches
3394ifelse(0,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3395#endif
3396ifelse(0,mask2tid(0x80),`.align 16')
3397 stxa %r28, [%g0] 0x73
3398#endif
3399intvec_80_340:
3400#if (defined SPC || defined CMP1)
3401 wrhpr %g0, 0x0, %halt ! HALT
3402#else
3403ifelse(0,mask2tid(0x80),`wrhpr %g0, 0x0, %halt ! HALT')
3404#endif
3405 .word 0x39400001 ! 641: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
3406 .word 0xe0d7d060 ! 645: LDSHA_R ldsha [%r31, %r0] 0x83, %r16
3407 .word 0xc30fc000 ! 649: LDXFSR_R ld-fsr [%r31, %r0], %f1
3408cancelint_80_343:
3409 rdhpr %halt, %r12
3410 .word 0x85880000 ! 653: ALLCLEAN <illegal instruction>
3411 nop
3412 nop
3413 ta T_CHANGE_HPRIV ! macro
3414donret_80_346:
3415 rd %pc, %r12
3416 mov HIGHVA_HIGHNUM, %r10
3417 sllx %r10, 32, %r10
3418 or %r12, %r10, %r12
3419 add %r12, (donretarg_80_346-donret_80_346), %r12
3420 add %r12, 0x4, %r11 ! seq tnpc
3421 andn %r12, %r10, %r12 ! low VA tpc
3422 wrpr %g0, 0x2, %tl
3423 wrpr %g0, %r12, %tpc
3424 wrpr %g0, %r11, %tnpc
3425 set (0x0076ad00 | (0x58 << 24)), %r13
3426 and %r12, 0xfff, %r14
3427 sllx %r14, 32, %r14
3428 or %r13, %r14, %r20
3429 wrpr %r20, %g0, %tstate
3430 wrhpr %g0, 0x1e75, %htstate
3431 best_set_reg(0x398, %g1, %g2)
3432 wrpr %g0, %g2, %pstate ! rand=0 (80)
3433 ldx [%r12+%r0], %g1
3434 retry
3435.align 128
3436donretarg_80_346:
3437 .word 0xd06fe18f ! 657: LDSTUB_I ldstub %r8, [%r31 + 0x018f]
3438 nop
3439 nop
3440 set 0x1e000e96, %r28 !TTID : 6 (mask2tid(0x80))
3441#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3442 sethi %hi(0x3800), %r27
3443 andn %r28, %r27, %r28
3444#ifdef PORTABLE_CORE
3445 ! Add CID to vector
3446 ta T_CHANGE_HPRIV
3447 ldxa [%g0]0x63, %r27
3448 sllx %r27, 8, %r27
3449 or %r27, %r28, %r28
3450#endif
3451#else
3452 ! Add CID IF tid matches
3453ifelse(6,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3454#endif
3455 sethi %hi(0x30000), %r27
3456 andn %r28, %r27, %r28
3457 ta T_CHANGE_HPRIV
3458ifelse(6,mask2tid(0x80),`.align 16')
3459 stxa %r28, [%g0] 0x73
3460intvec_80_349:
3461 .word 0xa9a4c9c5 ! 661: FDIVd fdivd %f50, %f36, %f20
3462demap_80_352:
3463 nop
3464 mov 0x80, %g3
3465 ta T_CHANGE_HPRIV
3466 .word 0x35400001 ! 1: FBPUE fbue,a,pn %fcc0, <label_0x1>
3467 stxa %g3, [%g3] 0x57
3468 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3469 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3470 stxa %g3, [%g3] 0x5f
3471 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3472 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3473 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3474 stxa %g3, [%g3] 0x57
3475 stxa %g3, [%g3] 0x57
3476 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3477 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3478 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3479 wrhpr %g0, 0xf42, %hpstate ! ta T_CHANGE_NONHPRIV
3480 .word 0xe01fe030 ! 665: LDD_I ldd [%r31 + 0x0030], %r16
3481 .word 0xc32fc000 ! 669: STXFSR_R st-sfr %f1, [%r0, %r31]
3482 .word 0x24cd0001 ! 1: BRLEZ brlez,a,pt %r20,<label_0xd0001>
3483 .word 0x8d903e45 ! 673: WRPR_PSTATE_I wrpr %r0, 0x1e45, %pstate
3484 .word 0xc1bfdf20 ! 677: STDFA_R stda %f0, [%r0, %r31]
3485splash_lsu_80_359:
3486 nop
3487 nop
3488 ta T_CHANGE_HPRIV
3489 set 0xf58e2428, %r2
3490 mov 0x2, %r1
3491 sllx %r1, 32, %r1
3492 or %r1, %r2, %r2
3493 .word 0x35400001 ! 1: FBPUE fbue,a,pn %fcc0, <label_0x1>
3494 stxa %r2, [%r0] ASI_LSU_CONTROL
3495 ta T_CHANGE_NONHPRIV
3496 .word 0x3d400001 ! 681: FBPULE fbule,a,pn %fcc0, <label_0x1>
3497 bvs,a skip_80_362
3498 stxa %r7, [%r0] ASI_LSU_CONTROL
3499 .word 0x87ac8a53 ! 1: FCMPd fcmpd %fcc<n>, %f18, %f50
3500 stxa %r17, [%r0] ASI_LSU_CONTROL
3501.align 128
3502skip_80_362:
3503 .word 0xc30fc000 ! 685: LDXFSR_R ld-fsr [%r31, %r0], %f1
3504demap_80_364:
3505 nop
3506 mov 0x80, %g3
3507 ta T_CHANGE_HPRIV
3508 stxa %r7, [%r0] ASI_LSU_CONTROL
3509 stxa %g3, [%g3] 0x5f
3510 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3511 stxa %g3, [%g3] 0x5f
3512 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3513 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3514 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3515 stxa %g3, [%g3] 0x5f
3516 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3517 wrhpr %g0, 0x290, %hpstate ! ta T_CHANGE_NONHPRIV
3518 .word 0xe01fe128 ! 689: LDD_I ldd [%r31 + 0x0128], %r16
3519 .word 0xe027e038 ! 693: STW_I stw %r16, [%r31 + 0x0038]
3520dvapa_80_368:
3521 nop
3522 nop
3523 ta T_CHANGE_HPRIV
3524 mov 0xde7, %r20
3525 mov 0x17, %r19
3526 sllx %r20, 23, %r20
3527 or %r19, %r20, %r19
3528 stxa %r19, [%g0] ASI_LSU_CONTROL
3529 mov 0x38, %r18
3530 stxa %r31, [%r18]0x58
3531 wrhpr %g0, 0x3d8, %hpstate ! ta T_CHANGE_NONHPRIV
3532 .word 0xe09fd000 ! 697: LDDA_R ldda [%r31, %r0] 0x80, %r16
3533splash_hpstate_80_372:
3534 ta T_CHANGE_NONHPRIV
3535 .word 0x81983655 ! 701: WRHPR_HPSTATE_I wrhpr %r0, 0x1655, %hpstate
3536memptr_80_374:
3537 set 0x60740000, %r31
3538 .word 0x85823168 ! 705: WRCCR_I wr %r8, 0x1168, %ccr
3539splash_tba_80_375:
3540 nop
3541 ta T_CHANGE_PRIV
3542 set 0x120000, %r12
3543 .word 0x8b90000c ! 709: WRPR_TBA_R wrpr %r0, %r12, %tba
3544 nop
3545 nop
3546 set 0x15e0ab24, %r28 !TTID : 3 (mask2tid(0x80))
3547#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3548 sethi %hi(0x3800), %r27
3549 andn %r28, %r27, %r28
3550#ifdef PORTABLE_CORE
3551 ! Add CID to vector
3552 ta T_CHANGE_HPRIV
3553 ldxa [%g0]0x63, %r27
3554 sllx %r27, 8, %r27
3555 or %r27, %r28, %r28
3556#endif
3557#else
3558 ! Add CID IF tid matches
3559ifelse(3,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3560#endif
3561 sethi %hi(0x30000), %r27
3562 andn %r28, %r27, %r28
3563 ta T_CHANGE_HPRIV
3564ifelse(3,mask2tid(0x80),`.align 16')
3565 stxa %r28, [%g0] 0x73
3566intvec_80_377:
3567 .word 0xa1a1c9d2 ! 713: FDIVd fdivd %f38, %f18, %f16
3568 .word 0xe80fe0b0 ! 717: LDUB_I ldub [%r31 + 0x00b0], %r20
3569demap_80_381:
3570 nop
3571 mov 0x80, %g3
3572 ta T_CHANGE_HPRIV
3573 stxa %r7, [%r0] ASI_LSU_CONTROL
3574 stxa %g3, [%g3] 0x57
3575 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3576 stxa %g3, [%g3] 0x5f
3577 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3578 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3579 stxa %g3, [%g3] 0x57
3580 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3581 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3582 stxa %g3, [%g3] 0x57
3583 stxa %g3, [%g3] 0x57
3584 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3585 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3586 wrhpr %g0, 0x13, %hpstate ! ta T_CHANGE_NONHPRIV
3587 .word 0xe81fe1fd ! 721: LDD_I ldd [%r31 + 0x01fd], %r20
3588 nop
3589 nop
3590 mov 0x0, %r11
3591splash_cmpr_80_383:
3592 sllx %r11, 63, %r11
3593 not %r11, %r11
3594 rd %tick, %r10
3595#if (defined SPC || defined CMP1)
3596 add %r10, 0x250, %r10
3597#else
3598 add %r10, 0x700, %r10
3599#endif
3600 and %r10, %r11, %r10
3601 ta T_CHANGE_PRIV
3602 rd %tick, %r10
3603#if (defined SPC || defined CMP1)
3604 add %r10, 0x250, %r10
3605#else
3606 add %r10, 0x700, %r10
3607#endif
3608 and %r10, %r11, %r10
3609 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
3610 .word 0x81b01021 ! 725: SIAM siam 1
3611 nop
3612 nop
3613 ta T_CHANGE_HPRIV
3614 mov 0x1, %r11
3615splash_cmpr_80_385:
3616 sllx %r11, 63, %r11
3617 not %r11, %r11
3618 rd %tick, %r10
3619#if (defined SPC || defined CMP1)
3620 add %r10, 0x250, %r10
3621#else
3622 add %r10, 0x700, %r10
3623#endif
3624 and %r10, %r11, %r10
3625 wrhpr %r10, %g0, %hsys_tick_cmpr
3626 wrhpr %g0, 0x0, %halt ! HALT
3627 rd %tick, %r10
3628#if (defined SPC || defined CMP1)
3629 add %r10, 0x100, %r10
3630#else
3631 add %r10, 0x700, %r10
3632#endif
3633 and %r10, %r11, %r10
3634 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
3635#if (defined SPC || defined CMP1)
3636!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_385)+8 , 16, 16)) -> intp(5,0,20,*,760,*,c2,1)
3637!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_385)&0xffffffff)+8 , 16, 16)) -> intp(4,0,31,*,680,*,c2,1)
3638#endif
3639 wrhpr %g0, 0x0, %halt ! HALT
3640 .word 0x819834cd ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x14cd, %hpstate
3641 .word 0x81b01021 ! 729: SIAM siam 1
3642demap_80_387:
3643 nop
3644 mov 0x80, %g3
3645 ta T_CHANGE_HPRIV
3646 .word 0x2ccc4001 ! 1: BRGZ brgz,a,pt %r17,<label_0xc4001>
3647 stxa %g3, [%g3] 0x57
3648 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3649 stxa %g3, [%g3] 0x5f
3650 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3651 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3652 stxa %g3, [%g3] 0x57
3653 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3654 stxa %g3, [%g3] 0x57
3655 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3656 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3657 stxa %g3, [%g3] 0x57
3658 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3659 stxa %g3, [%g3] 0x57
3660 stxa %g3, [%g3] 0x57
3661 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3662 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3663 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3664 stxa %g3, [%g3] 0x57
3665 wrhpr %g0, 0x309, %hpstate ! ta T_CHANGE_NONHPRIV
3666 .word 0xe81fe09c ! 733: LDD_I ldd [%r31 + 0x009c], %r20
3667 .word 0xe83fe178 ! 737: STD_I std %r20, [%r31 + 0x0178]
3668 .word 0xe9e7c600 ! 741: CASA_I casa [%r31] 0x30, %r0, %r20
3669brcommon3_80_397:
3670 nop
3671 nop
3672 setx common_target, %r12, %r27
3673 lduw [%r27], %r12 ! Load common dest into dcache ..
3674 stuw %r12, [%r27] ! Load common dest into dcache ..
3675 ba,a .+12
3676 .word 0xe86fe190 ! 1: LDSTUB_I ldstub %r20, [%r31 + 0x0190]
3677 ba,a .+8
3678 jmpl %r27+0, %r27
3679 stxa %r17, [%r0] ASI_LSU_CONTROL
3680 .word 0xa7aac831 ! 745: FMOVGE fmovs %fcc1, %f17, %f19
3681 nop
3682 nop
3683 ta T_CHANGE_HPRIV
3684 mov 0x1, %r11
3685splash_cmpr_80_399:
3686 sllx %r11, 63, %r11
3687 not %r11, %r11
3688 rd %tick, %r10
3689#if (defined SPC || defined CMP1)
3690 add %r10, 0x150, %r10
3691#else
3692 add %r10, 0x700, %r10
3693#endif
3694 and %r10, %r11, %r10
3695 wrhpr %r10, %g0, %hsys_tick_cmpr
3696 wrhpr %g0, 0x0, %halt ! HALT
3697 rd %tick, %r10
3698#if (defined SPC || defined CMP1)
3699 add %r10, 0x300, %r10
3700#else
3701 add %r10, 0x900, %r10
3702#endif
3703 and %r10, %r11, %r10
3704 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
3705#if (defined SPC || defined CMP1)
3706!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_399)+8 , 16, 16)) -> intp(7,0,29,*,1008,*,b1,1)
3707!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_399)&0xffffffff)+8 , 16, 16)) -> intp(1,0,9,*,944,*,b1,1)
3708#endif
3709 wrhpr %g0, 0x0, %halt ! HALT
3710 .word 0x81983397 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1397, %hpstate
3711 .word 0x81b01021 ! 749: SIAM siam 1
3712jmptr_80_401:
3713 nop
3714 nop
3715 best_set_reg(0xe0a00000, %r20, %r27)
3716 .word 0xb7c6c000 ! 753: JMPL_R jmpl %r27 + %r0, %r27
3717cancelint_80_402:
3718 rdhpr %halt, %r17
3719 .word 0x85880000 ! 757: ALLCLEAN <illegal instruction>
3720 nop
3721 nop
3722 ta T_CHANGE_HPRIV ! macro
3723donret_80_405:
3724 rd %pc, %r12
3725 mov HIGHVA_HIGHNUM, %r10
3726 sllx %r10, 32, %r10
3727 or %r12, %r10, %r12
3728 add %r12, (donretarg_80_405-donret_80_405+4), %r12
3729 add %r12, 0x4, %r11 ! seq tnpc
3730 wrpr %g0, 0x2, %tl
3731 wrpr %g0, %r12, %tpc
3732 wrpr %g0, %r11, %tnpc
3733 set (0x00ab9f00 | (54 << 24)), %r13
3734 and %r12, 0xfff, %r14
3735 sllx %r14, 32, %r14
3736 or %r13, %r14, %r20
3737 wrpr %r20, %g0, %tstate
3738 wrhpr %g0, 0x1207, %htstate
3739 wrhpr %g0, 0x890, %hpstate ! rand=1 (80)
3740 ldx [%r11+%r0], %g1
3741 done
3742.align 128
3743donretarg_80_405:
3744 .word 0x81983ccf ! 761: WRHPR_HPSTATE_I wrhpr %r0, 0x1ccf, %hpstate
3745 .word 0x8980000a ! 765: WRTICK_R wr %r0, %r10, %tick
3746 .word 0xe48008a0 ! 769: LDUWA_R lduwa [%r0, %r0] 0x45, %r18
3747demap_80_412:
3748 nop
3749 mov 0x80, %g3
3750 ta T_CHANGE_HPRIV
3751 .word 0x06cac001 ! 1: BRLZ brlz,pt %r11,<label_0xac001>
3752 stxa %g3, [%g3] 0x5f
3753 stxa %g3, [%g3] 0x5f
3754 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3755 stxa %g3, [%g3] 0x5f
3756 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3757 stxa %g3, [%g3] 0x57
3758 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
3759 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3760 stxa %g3, [%g3] 0x57
3761 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
3762 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
3763 wrhpr %g0, 0xac1, %hpstate ! ta T_CHANGE_NONHPRIV
3764 .word 0xe41fe109 ! 773: LDD_I ldd [%r31 + 0x0109], %r18
3765 .word 0xe19fdb20 ! 777: LDDFA_R ldda [%r31, %r0], %f16
3766 .word 0xe48008a0 ! 781: LDUWA_R lduwa [%r0, %r0] 0x45, %r18
3767 nop
3768 nop
3769 set 0x82508029, %r28 !TTID : 0 (mask2tid(0x80))
3770#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3771 sethi %hi(0x3800), %r27
3772 andn %r28, %r27, %r28
3773#ifdef PORTABLE_CORE
3774 ! Add CID to vector
3775 ta T_CHANGE_HPRIV
3776 ldxa [%g0]0x63, %r27
3777 sllx %r27, 8, %r27
3778 or %r27, %r28, %r28
3779#endif
3780#else
3781 ! Add CID IF tid matches
3782ifelse(0,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3783#endif
3784 sethi %hi(0x30000), %r27
3785 andn %r28, %r27, %r28
3786 ta T_CHANGE_HPRIV
3787ifelse(0,mask2tid(0x80),`.align 16')
3788 stxa %r28, [%g0] 0x73
3789intvec_80_417:
3790 .word 0xa5a489d4 ! 785: FDIVd fdivd %f18, %f20, %f18
3791cancelint_80_418:
3792 rdhpr %halt, %r11
3793 .word 0x85880000 ! 789: ALLCLEAN <illegal instruction>
3794pmu_80_419:
3795 nop
3796 nop
3797 ta T_CHANGE_PRIV
3798 setx 0xffffffb0ffffffa1, %g1, %g7
3799 .word 0xa3800007 ! 793: WR_PERF_COUNTER_R wr %r0, %r7, %-
3800 fbl skip_80_422
3801 fbuge,a,pn %fcc0, skip_80_422
3802.align 128
3803skip_80_422:
3804 .word 0x95b4c4d2 ! 797: FCMPNE32 fcmpne32 %d50, %d18, %r10
3805 nop
3806 nop
3807 ta T_CHANGE_PRIV
3808 wrpr %g0, %g0, %gl
3809 nop
3810 nop
3811.text
3812 setx join_lbl_0_0, %g1, %g2
3813 jmp %g2
3814 nop
3815fork_lbl_0_7:
3816#ifndef PORTABLE_CORE
3817#define SPU_8
3818#endif
3819
3820#define MAX_TIMEOUT 0x002
3821#define WAIT_LOOP 0x100
3822.text
3823.global _t2_main
3824
3825_t2_main:
3826
3827 setx 0x0000deadbeefbad0, %l5, %l4
3828
3829 !# Switch to hpriv mode
3830 ta T_CHANGE_HPRIV
3831
3832 set 52, %g3
3833 stxa %g3, [%g0] ASI_SPARC_PWR_MGMT
3834
3835 ! Set up for PMU
3836 set 0x30818db3, %g2
3837 wr %g2, %g0, %pcr
3838 setx 0xffffffbeffffffae, %g2, %g7
3839 wr %g7, %g0, %pic
3840
3841 ! Get core ID & offset
3842
3843#ifndef PORTABLE_CORE
3844 ldxa [%g0]0x63, %o1
3845 srlx %o1, 3, %o1
3846 sllx %o1, 20, %o1 !! %o1 has core ID offset
3847#else
3848 mov %g0, %o1
3849#endif
3850
3851 or %g0, 0x0, %g2 !# Operation Step
3852 or %g0, 0x0, %g4 !# Operand Step
3853
3854 !# Execute Main Diag ..
3855 !# Write address of data region to load from in MA_PA reg, and check
3856 setx _t2_ma_operands, %g6, %l7
3857#ifdef SPU_8
3858#if (MAX_THREADS > 8)
3859 ! Add core ID offset
3860 or %l7, %o1, %l7
3861#endif
3862#endif
3863 add %l7, 3, %l7 !# _t2_WARNING : Misaligned _t2_address
3864 add %l7, %g4, %l7
3865 wr %g0, 0x40, %asi
3866 stxa %l7, [%g0 + 0x88] %asi
3867
3868_t2_ma_load:
3869 !# Write MAMEM address, start at 0
3870 or %g0, 0x0, %l2
3871 stxa %l2, [%g0 + 0x90] %asi
3872
3873 !# write MA_CTL
3874 set 0x00020047, %l1
3875 mov 6, %g7
3876 sllx %g7, 18, %g7
3877 or %l1, %g7, %l1
3878 stxa %l1, [%g0 + 0x80] %asi !# LOAD
3879
3880 !# setup mask to check for INVOP
3881 or %g0, 0x1, %l2
3882 sllx %l2, 21, %l2
3883
3884 #! Try MA_SYNC operation...
3885_t2_wait:
3886 ldxa [%g0 + 0xA0] %asi, %l1
3887 ldxa [%g0 + 0x80] %asi, %l1
3888 andcc %l1, %l2, %l1
3889 bne,pn %xcc, _t2_fail
3890 nop
3891
3892
3893_t2_loop_0:
3894 wrpr %g0, 0xe3, %pstate
3895 !# write NPRIME
3896 setx 0x122c3d3118b1f8ee, %g7, %l2
3897 stxa %l2, [%g0 + 0x98] %asi
3898
3899 setx _t2_ma_operations, %g6, %l7
3900#ifdef SPU_8
3901#if (MAX_THREADS > 8)
3902 ! Add core ID offset
3903 or %l7, %o1, %l7
3904#endif
3905#endif
3906 or %g0, 12, %i0 !# OPSELECT
3907 umul %i0, 16, %i0
3908 add %i0, %g2, %i0
3909
3910 !# write MA_ADDR
3911 ldx [%l7 + %i0], %l1
3912 stxa %l1, [%g0 + 0x90] %asi
3913
3914 !# write MA_CTL
3915 add %i0, 8, %i0
3916 ldx [%l7 + %i0], %l1
3917 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
3918
3919 !# setup mask to check for INVOP
3920 or %g0, 0x1, %l2
3921 sllx %l2, 21, %l2
3922
3923 #! Try MA_SYNC operation...
3924_t2_wait2_0:
3925 ldxa [%g0 + 0xA0] %asi, %l1
3926 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
3927 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
3928 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
3929 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
3930 andcc %l1, %l2, %l1
3931 bne,pn %xcc, _t2_fail
3932 nop
3933
3934 rdhpr %halt, %g7
3935 wrpr %g0, 52, %pstate
3936
3937 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
3938 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
3939
3940_t2_loop_1:
3941 wrpr %g0, 34, %pstate
3942 !# write NPRIME
3943 setx 0xbca9498081a6bcad, %g7, %l2
3944 stxa %l2, [%g0 + 0x98] %asi
3945
3946 setx _t2_ma_operations, %g6, %l7
3947#ifdef SPU_8
3948#if (MAX_THREADS > 8)
3949 ! Add core ID offset
3950 or %l7, %o1, %l7
3951#endif
3952#endif
3953 or %g0, 7, %i0 !# OPSELECT
3954 umul %i0, 16, %i0
3955 add %i0, %g2, %i0
3956
3957 !# write MA_ADDR
3958 ldx [%l7 + %i0], %l1
3959 stxa %l1, [%g0 + 0x90] %asi
3960
3961 !# write MA_CTL
3962 add %i0, 8, %i0
3963 ldx [%l7 + %i0], %l1
3964 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
3965
3966 !# setup mask to check for INVOP
3967 or %g0, 0x1, %l2
3968 sllx %l2, 21, %l2
3969
3970 #! Try MA_SYNC operation...
3971_t2_wait2_1:
3972 ldxa [%g0 + 0xA0] %asi, %l1
3973 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
3974 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
3975 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
3976 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
3977 andcc %l1, %l2, %l1
3978 bne,pn %xcc, _t2_fail
3979 nop
3980
3981 rdhpr %halt, %g7
3982 wrpr %g0, 22, %pstate
3983
3984 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
3985 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
3986
3987_t2_loop_2:
3988 wrpr %g0, 0x60, %pstate
3989 !# write NPRIME
3990 setx 0xaed557ebf607572d, %g7, %l2
3991 stxa %l2, [%g0 + 0x98] %asi
3992
3993 setx _t2_ma_operations, %g6, %l7
3994#ifdef SPU_8
3995#if (MAX_THREADS > 8)
3996 ! Add core ID offset
3997 or %l7, %o1, %l7
3998#endif
3999#endif
4000 or %g0, 9, %i0 !# OPSELECT
4001 umul %i0, 16, %i0
4002 add %i0, %g2, %i0
4003
4004 !# write MA_ADDR
4005 ldx [%l7 + %i0], %l1
4006 stxa %l1, [%g0 + 0x90] %asi
4007
4008 !# write MA_CTL
4009 add %i0, 8, %i0
4010 ldx [%l7 + %i0], %l1
4011 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4012
4013 !# setup mask to check for INVOP
4014 or %g0, 0x1, %l2
4015 sllx %l2, 21, %l2
4016
4017 #! Try MA_SYNC operation...
4018_t2_wait2_2:
4019 ldxa [%g0 + 0xA0] %asi, %l1
4020 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4021 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4022 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4023 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4024 andcc %l1, %l2, %l1
4025 bne,pn %xcc, _t2_fail
4026 nop
4027
4028 rdhpr %halt, %g7
4029 wrpr %g0, 0xa6, %pstate
4030
4031 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4032 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4033
4034_t2_loop_3:
4035 wrpr %g0, 54, %pstate
4036 !# write NPRIME
4037 setx 0xcf06006b680cff2f, %g7, %l2
4038 stxa %l2, [%g0 + 0x98] %asi
4039
4040 setx _t2_ma_operations, %g6, %l7
4041#ifdef SPU_8
4042#if (MAX_THREADS > 8)
4043 ! Add core ID offset
4044 or %l7, %o1, %l7
4045#endif
4046#endif
4047 or %g0, 0, %i0 !# OPSELECT
4048 umul %i0, 16, %i0
4049 add %i0, %g2, %i0
4050
4051 !# write MA_ADDR
4052 ldx [%l7 + %i0], %l1
4053 stxa %l1, [%g0 + 0x90] %asi
4054
4055 !# write MA_CTL
4056 add %i0, 8, %i0
4057 ldx [%l7 + %i0], %l1
4058 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4059
4060 !# setup mask to check for INVOP
4061 or %g0, 0x1, %l2
4062 sllx %l2, 21, %l2
4063
4064 #! Try MA_SYNC operation...
4065_t2_wait2_3:
4066 ldxa [%g0 + 0xA0] %asi, %l1
4067 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4068 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4069 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4070 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4071 andcc %l1, %l2, %l1
4072 bne,pn %xcc, _t2_fail
4073 nop
4074
4075 rdhpr %halt, %g7
4076 wrpr %g0, 0xc1, %pstate
4077
4078 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4079 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4080
4081_t2_loop_4:
4082 wrpr %g0, 0xa1, %pstate
4083 !# write NPRIME
4084 setx 0x106d722e8783bbcb, %g7, %l2
4085 stxa %l2, [%g0 + 0x98] %asi
4086
4087 setx _t2_ma_operations, %g6, %l7
4088#ifdef SPU_8
4089#if (MAX_THREADS > 8)
4090 ! Add core ID offset
4091 or %l7, %o1, %l7
4092#endif
4093#endif
4094 or %g0, 3, %i0 !# OPSELECT
4095 umul %i0, 16, %i0
4096 add %i0, %g2, %i0
4097
4098 !# write MA_ADDR
4099 ldx [%l7 + %i0], %l1
4100 stxa %l1, [%g0 + 0x90] %asi
4101
4102 !# write MA_CTL
4103 add %i0, 8, %i0
4104 ldx [%l7 + %i0], %l1
4105 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4106
4107 !# setup mask to check for INVOP
4108 or %g0, 0x1, %l2
4109 sllx %l2, 21, %l2
4110
4111 #! Try MA_SYNC operation...
4112_t2_wait2_4:
4113 ldxa [%g0 + 0xA0] %asi, %l1
4114 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4115 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4116 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4117 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4118 andcc %l1, %l2, %l1
4119 bne,pn %xcc, _t2_fail
4120 nop
4121
4122 rdhpr %halt, %g7
4123 wrpr %g0, 54, %pstate
4124
4125 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4126 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4127
4128_t2_loop_5:
4129 wrpr %g0, 0x63, %pstate
4130 !# write NPRIME
4131 setx 0xe310f9b09ccf7378, %g7, %l2
4132 stxa %l2, [%g0 + 0x98] %asi
4133
4134 setx _t2_ma_operations, %g6, %l7
4135#ifdef SPU_8
4136#if (MAX_THREADS > 8)
4137 ! Add core ID offset
4138 or %l7, %o1, %l7
4139#endif
4140#endif
4141 or %g0, 8, %i0 !# OPSELECT
4142 umul %i0, 16, %i0
4143 add %i0, %g2, %i0
4144
4145 !# write MA_ADDR
4146 ldx [%l7 + %i0], %l1
4147 stxa %l1, [%g0 + 0x90] %asi
4148
4149 !# write MA_CTL
4150 add %i0, 8, %i0
4151 ldx [%l7 + %i0], %l1
4152 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4153
4154 !# setup mask to check for INVOP
4155 or %g0, 0x1, %l2
4156 sllx %l2, 21, %l2
4157
4158 #! Try MA_SYNC operation...
4159_t2_wait2_5:
4160 ldxa [%g0 + 0xA0] %asi, %l1
4161 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4162 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4163 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4164 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4165 andcc %l1, %l2, %l1
4166 bne,pn %xcc, _t2_fail
4167 nop
4168
4169 rdhpr %halt, %g7
4170 wrpr %g0, 0xf1, %pstate
4171
4172 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4173 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4174
4175_t2_loop_6:
4176 wrpr %g0, 0x93, %pstate
4177 !# write NPRIME
4178 setx 0xfc7f57db85b14f03, %g7, %l2
4179 stxa %l2, [%g0 + 0x98] %asi
4180
4181 setx _t2_ma_operations, %g6, %l7
4182#ifdef SPU_8
4183#if (MAX_THREADS > 8)
4184 ! Add core ID offset
4185 or %l7, %o1, %l7
4186#endif
4187#endif
4188 or %g0, 5, %i0 !# OPSELECT
4189 umul %i0, 16, %i0
4190 add %i0, %g2, %i0
4191
4192 !# write MA_ADDR
4193 ldx [%l7 + %i0], %l1
4194 stxa %l1, [%g0 + 0x90] %asi
4195
4196 !# write MA_CTL
4197 add %i0, 8, %i0
4198 ldx [%l7 + %i0], %l1
4199 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4200
4201 !# setup mask to check for INVOP
4202 or %g0, 0x1, %l2
4203 sllx %l2, 21, %l2
4204
4205 #! Try MA_SYNC operation...
4206_t2_wait2_6:
4207 ldxa [%g0 + 0xA0] %asi, %l1
4208 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4209 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4210 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4211 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4212 andcc %l1, %l2, %l1
4213 bne,pn %xcc, _t2_fail
4214 nop
4215
4216 rdhpr %halt, %g7
4217 wrpr %g0, 0x95, %pstate
4218
4219 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4220 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4221
4222_t2_loop_7:
4223 wrpr %g0, 0x55, %pstate
4224 !# write NPRIME
4225 setx 0x6ddc592011a90f09, %g7, %l2
4226 stxa %l2, [%g0 + 0x98] %asi
4227
4228 setx _t2_ma_operations, %g6, %l7
4229#ifdef SPU_8
4230#if (MAX_THREADS > 8)
4231 ! Add core ID offset
4232 or %l7, %o1, %l7
4233#endif
4234#endif
4235 or %g0, 16, %i0 !# OPSELECT
4236 umul %i0, 16, %i0
4237 add %i0, %g2, %i0
4238
4239 !# write MA_ADDR
4240 ldx [%l7 + %i0], %l1
4241 stxa %l1, [%g0 + 0x90] %asi
4242
4243 !# write MA_CTL
4244 add %i0, 8, %i0
4245 ldx [%l7 + %i0], %l1
4246 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4247
4248 !# setup mask to check for INVOP
4249 or %g0, 0x1, %l2
4250 sllx %l2, 21, %l2
4251
4252 #! Try MA_SYNC operation...
4253_t2_wait2_7:
4254 ldxa [%g0 + 0xA0] %asi, %l1
4255 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4256 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4257 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4258 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4259 andcc %l1, %l2, %l1
4260 bne,pn %xcc, _t2_fail
4261 nop
4262
4263 rdhpr %halt, %g7
4264 wrpr %g0, 0xe6, %pstate
4265
4266 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4267 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4268
4269_t2_loop_8:
4270 wrpr %g0, 0xf0, %pstate
4271 !# write NPRIME
4272 setx 0xea14e30186b79581, %g7, %l2
4273 stxa %l2, [%g0 + 0x98] %asi
4274
4275 setx _t2_ma_operations, %g6, %l7
4276#ifdef SPU_8
4277#if (MAX_THREADS > 8)
4278 ! Add core ID offset
4279 or %l7, %o1, %l7
4280#endif
4281#endif
4282 or %g0, 9, %i0 !# OPSELECT
4283 umul %i0, 16, %i0
4284 add %i0, %g2, %i0
4285
4286 !# write MA_ADDR
4287 ldx [%l7 + %i0], %l1
4288 stxa %l1, [%g0 + 0x90] %asi
4289
4290 !# write MA_CTL
4291 add %i0, 8, %i0
4292 ldx [%l7 + %i0], %l1
4293 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4294
4295 !# setup mask to check for INVOP
4296 or %g0, 0x1, %l2
4297 sllx %l2, 21, %l2
4298
4299 #! Try MA_SYNC operation...
4300_t2_wait2_8:
4301 ldxa [%g0 + 0xA0] %asi, %l1
4302 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4303 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4304 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4305 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4306 andcc %l1, %l2, %l1
4307 bne,pn %xcc, _t2_fail
4308 nop
4309
4310 rdhpr %halt, %g7
4311 wrpr %g0, 0xe5, %pstate
4312
4313 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4314 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4315
4316_t2_loop_9:
4317 wrpr %g0, 0xd6, %pstate
4318 !# write NPRIME
4319 setx 0x2beac0ab772cdda3, %g7, %l2
4320 stxa %l2, [%g0 + 0x98] %asi
4321
4322 setx _t2_ma_operations, %g6, %l7
4323#ifdef SPU_8
4324#if (MAX_THREADS > 8)
4325 ! Add core ID offset
4326 or %l7, %o1, %l7
4327#endif
4328#endif
4329 or %g0, 10, %i0 !# OPSELECT
4330 umul %i0, 16, %i0
4331 add %i0, %g2, %i0
4332
4333 !# write MA_ADDR
4334 ldx [%l7 + %i0], %l1
4335 stxa %l1, [%g0 + 0x90] %asi
4336
4337 !# write MA_CTL
4338 add %i0, 8, %i0
4339 ldx [%l7 + %i0], %l1
4340 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4341
4342 !# setup mask to check for INVOP
4343 or %g0, 0x1, %l2
4344 sllx %l2, 21, %l2
4345
4346 #! Try MA_SYNC operation...
4347_t2_wait2_9:
4348 ldxa [%g0 + 0xA0] %asi, %l1
4349 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4350 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4351 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4352 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4353 andcc %l1, %l2, %l1
4354 bne,pn %xcc, _t2_fail
4355 nop
4356
4357 rdhpr %halt, %g7
4358 wrpr %g0, 37, %pstate
4359
4360 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4361 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4362
4363_t2_loop_10:
4364 wrpr %g0, 16, %pstate
4365 !# write NPRIME
4366 setx 0xc9799df193f1f978, %g7, %l2
4367 stxa %l2, [%g0 + 0x98] %asi
4368
4369 setx _t2_ma_operations, %g6, %l7
4370#ifdef SPU_8
4371#if (MAX_THREADS > 8)
4372 ! Add core ID offset
4373 or %l7, %o1, %l7
4374#endif
4375#endif
4376 or %g0, 11, %i0 !# OPSELECT
4377 umul %i0, 16, %i0
4378 add %i0, %g2, %i0
4379
4380 !# write MA_ADDR
4381 ldx [%l7 + %i0], %l1
4382 stxa %l1, [%g0 + 0x90] %asi
4383
4384 !# write MA_CTL
4385 add %i0, 8, %i0
4386 ldx [%l7 + %i0], %l1
4387 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4388
4389 !# setup mask to check for INVOP
4390 or %g0, 0x1, %l2
4391 sllx %l2, 21, %l2
4392
4393 #! Try MA_SYNC operation...
4394_t2_wait2_10:
4395 ldxa [%g0 + 0xA0] %asi, %l1
4396 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4397 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4398 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4399 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4400 andcc %l1, %l2, %l1
4401 bne,pn %xcc, _t2_fail
4402 nop
4403
4404 rdhpr %halt, %g7
4405 wrpr %g0, 0xe2, %pstate
4406
4407 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4408 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4409
4410_t2_loop_11:
4411 wrpr %g0, 2, %pstate
4412 !# write NPRIME
4413 setx 0xa3367a20aaee74f0, %g7, %l2
4414 stxa %l2, [%g0 + 0x98] %asi
4415
4416 setx _t2_ma_operations, %g6, %l7
4417#ifdef SPU_8
4418#if (MAX_THREADS > 8)
4419 ! Add core ID offset
4420 or %l7, %o1, %l7
4421#endif
4422#endif
4423 or %g0, 6, %i0 !# OPSELECT
4424 umul %i0, 16, %i0
4425 add %i0, %g2, %i0
4426
4427 !# write MA_ADDR
4428 ldx [%l7 + %i0], %l1
4429 stxa %l1, [%g0 + 0x90] %asi
4430
4431 !# write MA_CTL
4432 add %i0, 8, %i0
4433 ldx [%l7 + %i0], %l1
4434 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4435
4436 !# setup mask to check for INVOP
4437 or %g0, 0x1, %l2
4438 sllx %l2, 21, %l2
4439
4440 #! Try MA_SYNC operation...
4441_t2_wait2_11:
4442 ldxa [%g0 + 0xA0] %asi, %l1
4443 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4444 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4445 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4446 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4447 andcc %l1, %l2, %l1
4448 bne,pn %xcc, _t2_fail
4449 nop
4450
4451 rdhpr %halt, %g7
4452 wrpr %g0, 0xf4, %pstate
4453
4454 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4455 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4456
4457_t2_loop_12:
4458 wrpr %g0, 0xb3, %pstate
4459 !# write NPRIME
4460 setx 0x251355252e02a518, %g7, %l2
4461 stxa %l2, [%g0 + 0x98] %asi
4462
4463 setx _t2_ma_operations, %g6, %l7
4464#ifdef SPU_8
4465#if (MAX_THREADS > 8)
4466 ! Add core ID offset
4467 or %l7, %o1, %l7
4468#endif
4469#endif
4470 or %g0, 17, %i0 !# OPSELECT
4471 umul %i0, 16, %i0
4472 add %i0, %g2, %i0
4473
4474 !# write MA_ADDR
4475 ldx [%l7 + %i0], %l1
4476 stxa %l1, [%g0 + 0x90] %asi
4477
4478 !# write MA_CTL
4479 add %i0, 8, %i0
4480 ldx [%l7 + %i0], %l1
4481 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4482
4483 !# setup mask to check for INVOP
4484 or %g0, 0x1, %l2
4485 sllx %l2, 21, %l2
4486
4487 #! Try MA_SYNC operation...
4488_t2_wait2_12:
4489 ldxa [%g0 + 0xA0] %asi, %l1
4490 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4491 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4492 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4493 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4494 andcc %l1, %l2, %l1
4495 bne,pn %xcc, _t2_fail
4496 nop
4497
4498 rdhpr %halt, %g7
4499 wrpr %g0, 0xf1, %pstate
4500
4501 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4502 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4503
4504_t2_loop_13:
4505 wrpr %g0, 0x50, %pstate
4506 !# write NPRIME
4507 setx 0x7fa84c7fa6357516, %g7, %l2
4508 stxa %l2, [%g0 + 0x98] %asi
4509
4510 setx _t2_ma_operations, %g6, %l7
4511#ifdef SPU_8
4512#if (MAX_THREADS > 8)
4513 ! Add core ID offset
4514 or %l7, %o1, %l7
4515#endif
4516#endif
4517 or %g0, 9, %i0 !# OPSELECT
4518 umul %i0, 16, %i0
4519 add %i0, %g2, %i0
4520
4521 !# write MA_ADDR
4522 ldx [%l7 + %i0], %l1
4523 stxa %l1, [%g0 + 0x90] %asi
4524
4525 !# write MA_CTL
4526 add %i0, 8, %i0
4527 ldx [%l7 + %i0], %l1
4528 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4529
4530 !# setup mask to check for INVOP
4531 or %g0, 0x1, %l2
4532 sllx %l2, 21, %l2
4533
4534 #! Try MA_SYNC operation...
4535_t2_wait2_13:
4536 ldxa [%g0 + 0xA0] %asi, %l1
4537 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4538 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4539 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4540 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4541 andcc %l1, %l2, %l1
4542 bne,pn %xcc, _t2_fail
4543 nop
4544
4545 rdhpr %halt, %g7
4546 wrpr %g0, 0xe2, %pstate
4547
4548 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4549 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4550
4551_t2_loop_14:
4552 wrpr %g0, 0xb0, %pstate
4553 !# write NPRIME
4554 setx 0x1af60d5c34aa2ead, %g7, %l2
4555 stxa %l2, [%g0 + 0x98] %asi
4556
4557 setx _t2_ma_operations, %g6, %l7
4558#ifdef SPU_8
4559#if (MAX_THREADS > 8)
4560 ! Add core ID offset
4561 or %l7, %o1, %l7
4562#endif
4563#endif
4564 or %g0, 0, %i0 !# OPSELECT
4565 umul %i0, 16, %i0
4566 add %i0, %g2, %i0
4567
4568 !# write MA_ADDR
4569 ldx [%l7 + %i0], %l1
4570 stxa %l1, [%g0 + 0x90] %asi
4571
4572 !# write MA_CTL
4573 add %i0, 8, %i0
4574 ldx [%l7 + %i0], %l1
4575 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4576
4577 !# setup mask to check for INVOP
4578 or %g0, 0x1, %l2
4579 sllx %l2, 21, %l2
4580
4581 #! Try MA_SYNC operation...
4582_t2_wait2_14:
4583 ldxa [%g0 + 0xA0] %asi, %l1
4584 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4585 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4586 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4587 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4588 andcc %l1, %l2, %l1
4589 bne,pn %xcc, _t2_fail
4590 nop
4591
4592 rdhpr %halt, %g7
4593 wrpr %g0, 0xf3, %pstate
4594
4595 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4596 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4597
4598_t2_loop_15:
4599 wrpr %g0, 0x53, %pstate
4600 !# write NPRIME
4601 setx 0x825b089cef50f3ff, %g7, %l2
4602 stxa %l2, [%g0 + 0x98] %asi
4603
4604 setx _t2_ma_operations, %g6, %l7
4605#ifdef SPU_8
4606#if (MAX_THREADS > 8)
4607 ! Add core ID offset
4608 or %l7, %o1, %l7
4609#endif
4610#endif
4611 or %g0, 4, %i0 !# OPSELECT
4612 umul %i0, 16, %i0
4613 add %i0, %g2, %i0
4614
4615 !# write MA_ADDR
4616 ldx [%l7 + %i0], %l1
4617 stxa %l1, [%g0 + 0x90] %asi
4618
4619 !# write MA_CTL
4620 add %i0, 8, %i0
4621 ldx [%l7 + %i0], %l1
4622 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4623
4624 !# setup mask to check for INVOP
4625 or %g0, 0x1, %l2
4626 sllx %l2, 21, %l2
4627
4628 #! Try MA_SYNC operation...
4629_t2_wait2_15:
4630 ldxa [%g0 + 0xA0] %asi, %l1
4631 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4632 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4633 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4634 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4635 andcc %l1, %l2, %l1
4636 bne,pn %xcc, _t2_fail
4637 nop
4638
4639 rdhpr %halt, %g7
4640 wrpr %g0, 22, %pstate
4641
4642 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4643 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4644
4645_t2_loop_16:
4646 wrpr %g0, 0x67, %pstate
4647 !# write NPRIME
4648 setx 0x7d065d87c10647c2, %g7, %l2
4649 stxa %l2, [%g0 + 0x98] %asi
4650
4651 setx _t2_ma_operations, %g6, %l7
4652#ifdef SPU_8
4653#if (MAX_THREADS > 8)
4654 ! Add core ID offset
4655 or %l7, %o1, %l7
4656#endif
4657#endif
4658 or %g0, 6, %i0 !# OPSELECT
4659 umul %i0, 16, %i0
4660 add %i0, %g2, %i0
4661
4662 !# write MA_ADDR
4663 ldx [%l7 + %i0], %l1
4664 stxa %l1, [%g0 + 0x90] %asi
4665
4666 !# write MA_CTL
4667 add %i0, 8, %i0
4668 ldx [%l7 + %i0], %l1
4669 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4670
4671 !# setup mask to check for INVOP
4672 or %g0, 0x1, %l2
4673 sllx %l2, 21, %l2
4674
4675 #! Try MA_SYNC operation...
4676_t2_wait2_16:
4677 ldxa [%g0 + 0xA0] %asi, %l1
4678 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4679 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4680 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4681 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4682 andcc %l1, %l2, %l1
4683 bne,pn %xcc, _t2_fail
4684 nop
4685
4686 rdhpr %halt, %g7
4687 wrpr %g0, 16, %pstate
4688
4689 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4690 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4691
4692_t2_loop_17:
4693 wrpr %g0, 23, %pstate
4694 !# write NPRIME
4695 setx 0xbcee711baace0e41, %g7, %l2
4696 stxa %l2, [%g0 + 0x98] %asi
4697
4698 setx _t2_ma_operations, %g6, %l7
4699#ifdef SPU_8
4700#if (MAX_THREADS > 8)
4701 ! Add core ID offset
4702 or %l7, %o1, %l7
4703#endif
4704#endif
4705 or %g0, 2, %i0 !# OPSELECT
4706 umul %i0, 16, %i0
4707 add %i0, %g2, %i0
4708
4709 !# write MA_ADDR
4710 ldx [%l7 + %i0], %l1
4711 stxa %l1, [%g0 + 0x90] %asi
4712
4713 !# write MA_CTL
4714 add %i0, 8, %i0
4715 ldx [%l7 + %i0], %l1
4716 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4717
4718 !# setup mask to check for INVOP
4719 or %g0, 0x1, %l2
4720 sllx %l2, 21, %l2
4721
4722 #! Try MA_SYNC operation...
4723_t2_wait2_17:
4724 ldxa [%g0 + 0xA0] %asi, %l1
4725 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4726 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4727 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4728 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4729 andcc %l1, %l2, %l1
4730 bne,pn %xcc, _t2_fail
4731 nop
4732
4733 rdhpr %halt, %g7
4734 wrpr %g0, 0xd5, %pstate
4735
4736 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4737 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4738
4739_t2_loop_18:
4740 wrpr %g0, 0x47, %pstate
4741 !# write NPRIME
4742 setx 0xf2a62791fac109d9, %g7, %l2
4743 stxa %l2, [%g0 + 0x98] %asi
4744
4745 setx _t2_ma_operations, %g6, %l7
4746#ifdef SPU_8
4747#if (MAX_THREADS > 8)
4748 ! Add core ID offset
4749 or %l7, %o1, %l7
4750#endif
4751#endif
4752 or %g0, 3, %i0 !# OPSELECT
4753 umul %i0, 16, %i0
4754 add %i0, %g2, %i0
4755
4756 !# write MA_ADDR
4757 ldx [%l7 + %i0], %l1
4758 stxa %l1, [%g0 + 0x90] %asi
4759
4760 !# write MA_CTL
4761 add %i0, 8, %i0
4762 ldx [%l7 + %i0], %l1
4763 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4764
4765 !# setup mask to check for INVOP
4766 or %g0, 0x1, %l2
4767 sllx %l2, 21, %l2
4768
4769 #! Try MA_SYNC operation...
4770_t2_wait2_18:
4771 ldxa [%g0 + 0xA0] %asi, %l1
4772 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4773 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4774 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4775 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4776 andcc %l1, %l2, %l1
4777 bne,pn %xcc, _t2_fail
4778 nop
4779
4780 rdhpr %halt, %g7
4781 wrpr %g0, 0xb4, %pstate
4782
4783 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4784 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4785
4786_t2_loop_19:
4787 wrpr %g0, 4, %pstate
4788 !# write NPRIME
4789 setx 0x1da77c9ab84fead1, %g7, %l2
4790 stxa %l2, [%g0 + 0x98] %asi
4791
4792 setx _t2_ma_operations, %g6, %l7
4793#ifdef SPU_8
4794#if (MAX_THREADS > 8)
4795 ! Add core ID offset
4796 or %l7, %o1, %l7
4797#endif
4798#endif
4799 or %g0, 6, %i0 !# OPSELECT
4800 umul %i0, 16, %i0
4801 add %i0, %g2, %i0
4802
4803 !# write MA_ADDR
4804 ldx [%l7 + %i0], %l1
4805 stxa %l1, [%g0 + 0x90] %asi
4806
4807 !# write MA_CTL
4808 add %i0, 8, %i0
4809 ldx [%l7 + %i0], %l1
4810 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4811
4812 !# setup mask to check for INVOP
4813 or %g0, 0x1, %l2
4814 sllx %l2, 21, %l2
4815
4816 #! Try MA_SYNC operation...
4817_t2_wait2_19:
4818 ldxa [%g0 + 0xA0] %asi, %l1
4819 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4820 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4821 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4822 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4823 andcc %l1, %l2, %l1
4824 bne,pn %xcc, _t2_fail
4825 nop
4826
4827 rdhpr %halt, %g7
4828 wrpr %g0, 0xa4, %pstate
4829
4830 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4831 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4832
4833_t2_loop_20:
4834 wrpr %g0, 0x51, %pstate
4835 !# write NPRIME
4836 setx 0xb20cf78df54a103b, %g7, %l2
4837 stxa %l2, [%g0 + 0x98] %asi
4838
4839 setx _t2_ma_operations, %g6, %l7
4840#ifdef SPU_8
4841#if (MAX_THREADS > 8)
4842 ! Add core ID offset
4843 or %l7, %o1, %l7
4844#endif
4845#endif
4846 or %g0, 4, %i0 !# OPSELECT
4847 umul %i0, 16, %i0
4848 add %i0, %g2, %i0
4849
4850 !# write MA_ADDR
4851 ldx [%l7 + %i0], %l1
4852 stxa %l1, [%g0 + 0x90] %asi
4853
4854 !# write MA_CTL
4855 add %i0, 8, %i0
4856 ldx [%l7 + %i0], %l1
4857 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4858
4859 !# setup mask to check for INVOP
4860 or %g0, 0x1, %l2
4861 sllx %l2, 21, %l2
4862
4863 #! Try MA_SYNC operation...
4864_t2_wait2_20:
4865 ldxa [%g0 + 0xA0] %asi, %l1
4866 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4867 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4868 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4869 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4870 andcc %l1, %l2, %l1
4871 bne,pn %xcc, _t2_fail
4872 nop
4873
4874 rdhpr %halt, %g7
4875 wrpr %g0, 0x75, %pstate
4876
4877 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4878 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4879
4880_t2_loop_21:
4881 wrpr %g0, 0xc5, %pstate
4882 !# write NPRIME
4883 setx 0x7e8aff1424f51cc6, %g7, %l2
4884 stxa %l2, [%g0 + 0x98] %asi
4885
4886 setx _t2_ma_operations, %g6, %l7
4887#ifdef SPU_8
4888#if (MAX_THREADS > 8)
4889 ! Add core ID offset
4890 or %l7, %o1, %l7
4891#endif
4892#endif
4893 or %g0, 2, %i0 !# OPSELECT
4894 umul %i0, 16, %i0
4895 add %i0, %g2, %i0
4896
4897 !# write MA_ADDR
4898 ldx [%l7 + %i0], %l1
4899 stxa %l1, [%g0 + 0x90] %asi
4900
4901 !# write MA_CTL
4902 add %i0, 8, %i0
4903 ldx [%l7 + %i0], %l1
4904 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4905
4906 !# setup mask to check for INVOP
4907 or %g0, 0x1, %l2
4908 sllx %l2, 21, %l2
4909
4910 #! Try MA_SYNC operation...
4911_t2_wait2_21:
4912 ldxa [%g0 + 0xA0] %asi, %l1
4913 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4914 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4915 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4916 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4917 andcc %l1, %l2, %l1
4918 bne,pn %xcc, _t2_fail
4919 nop
4920
4921 rdhpr %halt, %g7
4922 wrpr %g0, 0x63, %pstate
4923
4924 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4925 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4926
4927_t2_loop_22:
4928 wrpr %g0, 0xf3, %pstate
4929 !# write NPRIME
4930 setx 0x32835372adb40964, %g7, %l2
4931 stxa %l2, [%g0 + 0x98] %asi
4932
4933 setx _t2_ma_operations, %g6, %l7
4934#ifdef SPU_8
4935#if (MAX_THREADS > 8)
4936 ! Add core ID offset
4937 or %l7, %o1, %l7
4938#endif
4939#endif
4940 or %g0, 7, %i0 !# OPSELECT
4941 umul %i0, 16, %i0
4942 add %i0, %g2, %i0
4943
4944 !# write MA_ADDR
4945 ldx [%l7 + %i0], %l1
4946 stxa %l1, [%g0 + 0x90] %asi
4947
4948 !# write MA_CTL
4949 add %i0, 8, %i0
4950 ldx [%l7 + %i0], %l1
4951 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4952
4953 !# setup mask to check for INVOP
4954 or %g0, 0x1, %l2
4955 sllx %l2, 21, %l2
4956
4957 #! Try MA_SYNC operation...
4958_t2_wait2_22:
4959 ldxa [%g0 + 0xA0] %asi, %l1
4960 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
4961 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
4962 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
4963 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
4964 andcc %l1, %l2, %l1
4965 bne,pn %xcc, _t2_fail
4966 nop
4967
4968 rdhpr %halt, %g7
4969 wrpr %g0, 0x73, %pstate
4970
4971 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
4972 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
4973
4974_t2_loop_23:
4975 wrpr %g0, 0x87, %pstate
4976 !# write NPRIME
4977 setx 0xab31ba03ee32e877, %g7, %l2
4978 stxa %l2, [%g0 + 0x98] %asi
4979
4980 setx _t2_ma_operations, %g6, %l7
4981#ifdef SPU_8
4982#if (MAX_THREADS > 8)
4983 ! Add core ID offset
4984 or %l7, %o1, %l7
4985#endif
4986#endif
4987 or %g0, 11, %i0 !# OPSELECT
4988 umul %i0, 16, %i0
4989 add %i0, %g2, %i0
4990
4991 !# write MA_ADDR
4992 ldx [%l7 + %i0], %l1
4993 stxa %l1, [%g0 + 0x90] %asi
4994
4995 !# write MA_CTL
4996 add %i0, 8, %i0
4997 ldx [%l7 + %i0], %l1
4998 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
4999
5000 !# setup mask to check for INVOP
5001 or %g0, 0x1, %l2
5002 sllx %l2, 21, %l2
5003
5004 #! Try MA_SYNC operation...
5005_t2_wait2_23:
5006 ldxa [%g0 + 0xA0] %asi, %l1
5007 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5008 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5009 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5010 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5011 andcc %l1, %l2, %l1
5012 bne,pn %xcc, _t2_fail
5013 nop
5014
5015 rdhpr %halt, %g7
5016 wrpr %g0, 17, %pstate
5017
5018 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5019 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5020
5021_t2_loop_24:
5022 wrpr %g0, 0xf2, %pstate
5023 !# write NPRIME
5024 setx 0xd8ba140f418f60e4, %g7, %l2
5025 stxa %l2, [%g0 + 0x98] %asi
5026
5027 setx _t2_ma_operations, %g6, %l7
5028#ifdef SPU_8
5029#if (MAX_THREADS > 8)
5030 ! Add core ID offset
5031 or %l7, %o1, %l7
5032#endif
5033#endif
5034 or %g0, 8, %i0 !# OPSELECT
5035 umul %i0, 16, %i0
5036 add %i0, %g2, %i0
5037
5038 !# write MA_ADDR
5039 ldx [%l7 + %i0], %l1
5040 stxa %l1, [%g0 + 0x90] %asi
5041
5042 !# write MA_CTL
5043 add %i0, 8, %i0
5044 ldx [%l7 + %i0], %l1
5045 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5046
5047 !# setup mask to check for INVOP
5048 or %g0, 0x1, %l2
5049 sllx %l2, 21, %l2
5050
5051 #! Try MA_SYNC operation...
5052_t2_wait2_24:
5053 ldxa [%g0 + 0xA0] %asi, %l1
5054 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5055 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5056 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5057 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5058 andcc %l1, %l2, %l1
5059 bne,pn %xcc, _t2_fail
5060 nop
5061
5062 rdhpr %halt, %g7
5063 wrpr %g0, 3, %pstate
5064
5065 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5066 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5067
5068_t2_loop_25:
5069 wrpr %g0, 0x77, %pstate
5070 !# write NPRIME
5071 setx 0xd96d7fc415e5ed80, %g7, %l2
5072 stxa %l2, [%g0 + 0x98] %asi
5073
5074 setx _t2_ma_operations, %g6, %l7
5075#ifdef SPU_8
5076#if (MAX_THREADS > 8)
5077 ! Add core ID offset
5078 or %l7, %o1, %l7
5079#endif
5080#endif
5081 or %g0, 9, %i0 !# OPSELECT
5082 umul %i0, 16, %i0
5083 add %i0, %g2, %i0
5084
5085 !# write MA_ADDR
5086 ldx [%l7 + %i0], %l1
5087 stxa %l1, [%g0 + 0x90] %asi
5088
5089 !# write MA_CTL
5090 add %i0, 8, %i0
5091 ldx [%l7 + %i0], %l1
5092 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5093
5094 !# setup mask to check for INVOP
5095 or %g0, 0x1, %l2
5096 sllx %l2, 21, %l2
5097
5098 #! Try MA_SYNC operation...
5099_t2_wait2_25:
5100 ldxa [%g0 + 0xA0] %asi, %l1
5101 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5102 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5103 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5104 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5105 andcc %l1, %l2, %l1
5106 bne,pn %xcc, _t2_fail
5107 nop
5108
5109 rdhpr %halt, %g7
5110 wrpr %g0, 0xa4, %pstate
5111
5112 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5113 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5114
5115_t2_loop_26:
5116 wrpr %g0, 0x57, %pstate
5117 !# write NPRIME
5118 setx 0x44fee3ebedd44473, %g7, %l2
5119 stxa %l2, [%g0 + 0x98] %asi
5120
5121 setx _t2_ma_operations, %g6, %l7
5122#ifdef SPU_8
5123#if (MAX_THREADS > 8)
5124 ! Add core ID offset
5125 or %l7, %o1, %l7
5126#endif
5127#endif
5128 or %g0, 2, %i0 !# OPSELECT
5129 umul %i0, 16, %i0
5130 add %i0, %g2, %i0
5131
5132 !# write MA_ADDR
5133 ldx [%l7 + %i0], %l1
5134 stxa %l1, [%g0 + 0x90] %asi
5135
5136 !# write MA_CTL
5137 add %i0, 8, %i0
5138 ldx [%l7 + %i0], %l1
5139 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5140
5141 !# setup mask to check for INVOP
5142 or %g0, 0x1, %l2
5143 sllx %l2, 21, %l2
5144
5145 #! Try MA_SYNC operation...
5146_t2_wait2_26:
5147 ldxa [%g0 + 0xA0] %asi, %l1
5148 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5149 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5150 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5151 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5152 andcc %l1, %l2, %l1
5153 bne,pn %xcc, _t2_fail
5154 nop
5155
5156 rdhpr %halt, %g7
5157 wrpr %g0, 50, %pstate
5158
5159 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5160 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5161
5162_t2_loop_27:
5163 wrpr %g0, 0xf5, %pstate
5164 !# write NPRIME
5165 setx 0x245daccd16971455, %g7, %l2
5166 stxa %l2, [%g0 + 0x98] %asi
5167
5168 setx _t2_ma_operations, %g6, %l7
5169#ifdef SPU_8
5170#if (MAX_THREADS > 8)
5171 ! Add core ID offset
5172 or %l7, %o1, %l7
5173#endif
5174#endif
5175 or %g0, 16, %i0 !# OPSELECT
5176 umul %i0, 16, %i0
5177 add %i0, %g2, %i0
5178
5179 !# write MA_ADDR
5180 ldx [%l7 + %i0], %l1
5181 stxa %l1, [%g0 + 0x90] %asi
5182
5183 !# write MA_CTL
5184 add %i0, 8, %i0
5185 ldx [%l7 + %i0], %l1
5186 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5187
5188 !# setup mask to check for INVOP
5189 or %g0, 0x1, %l2
5190 sllx %l2, 21, %l2
5191
5192 #! Try MA_SYNC operation...
5193_t2_wait2_27:
5194 ldxa [%g0 + 0xA0] %asi, %l1
5195 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5196 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5197 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5198 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5199 andcc %l1, %l2, %l1
5200 bne,pn %xcc, _t2_fail
5201 nop
5202
5203 rdhpr %halt, %g7
5204 wrpr %g0, 0xa4, %pstate
5205
5206 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5207 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5208
5209_t2_loop_28:
5210 wrpr %g0, 54, %pstate
5211 !# write NPRIME
5212 setx 0x7f82635322e4443a, %g7, %l2
5213 stxa %l2, [%g0 + 0x98] %asi
5214
5215 setx _t2_ma_operations, %g6, %l7
5216#ifdef SPU_8
5217#if (MAX_THREADS > 8)
5218 ! Add core ID offset
5219 or %l7, %o1, %l7
5220#endif
5221#endif
5222 or %g0, 7, %i0 !# OPSELECT
5223 umul %i0, 16, %i0
5224 add %i0, %g2, %i0
5225
5226 !# write MA_ADDR
5227 ldx [%l7 + %i0], %l1
5228 stxa %l1, [%g0 + 0x90] %asi
5229
5230 !# write MA_CTL
5231 add %i0, 8, %i0
5232 ldx [%l7 + %i0], %l1
5233 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5234
5235 !# setup mask to check for INVOP
5236 or %g0, 0x1, %l2
5237 sllx %l2, 21, %l2
5238
5239 #! Try MA_SYNC operation...
5240_t2_wait2_28:
5241 ldxa [%g0 + 0xA0] %asi, %l1
5242 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5243 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5244 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5245 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5246 andcc %l1, %l2, %l1
5247 bne,pn %xcc, _t2_fail
5248 nop
5249
5250 rdhpr %halt, %g7
5251 wrpr %g0, 0x43, %pstate
5252
5253 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5254 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5255
5256_t2_loop_29:
5257 wrpr %g0, 0xc5, %pstate
5258 !# write NPRIME
5259 setx 0xf76fcbb1cc39fad8, %g7, %l2
5260 stxa %l2, [%g0 + 0x98] %asi
5261
5262 setx _t2_ma_operations, %g6, %l7
5263#ifdef SPU_8
5264#if (MAX_THREADS > 8)
5265 ! Add core ID offset
5266 or %l7, %o1, %l7
5267#endif
5268#endif
5269 or %g0, 14, %i0 !# OPSELECT
5270 umul %i0, 16, %i0
5271 add %i0, %g2, %i0
5272
5273 !# write MA_ADDR
5274 ldx [%l7 + %i0], %l1
5275 stxa %l1, [%g0 + 0x90] %asi
5276
5277 !# write MA_CTL
5278 add %i0, 8, %i0
5279 ldx [%l7 + %i0], %l1
5280 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5281
5282 !# setup mask to check for INVOP
5283 or %g0, 0x1, %l2
5284 sllx %l2, 21, %l2
5285
5286 #! Try MA_SYNC operation...
5287_t2_wait2_29:
5288 ldxa [%g0 + 0xA0] %asi, %l1
5289 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5290 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5291 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5292 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5293 andcc %l1, %l2, %l1
5294 bne,pn %xcc, _t2_fail
5295 nop
5296
5297 rdhpr %halt, %g7
5298 wrpr %g0, 0x67, %pstate
5299
5300 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5301 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5302
5303_t2_loop_30:
5304 wrpr %g0, 37, %pstate
5305 !# write NPRIME
5306 setx 0x74bf35a27d7de649, %g7, %l2
5307 stxa %l2, [%g0 + 0x98] %asi
5308
5309 setx _t2_ma_operations, %g6, %l7
5310#ifdef SPU_8
5311#if (MAX_THREADS > 8)
5312 ! Add core ID offset
5313 or %l7, %o1, %l7
5314#endif
5315#endif
5316 or %g0, 2, %i0 !# OPSELECT
5317 umul %i0, 16, %i0
5318 add %i0, %g2, %i0
5319
5320 !# write MA_ADDR
5321 ldx [%l7 + %i0], %l1
5322 stxa %l1, [%g0 + 0x90] %asi
5323
5324 !# write MA_CTL
5325 add %i0, 8, %i0
5326 ldx [%l7 + %i0], %l1
5327 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5328
5329 !# setup mask to check for INVOP
5330 or %g0, 0x1, %l2
5331 sllx %l2, 21, %l2
5332
5333 #! Try MA_SYNC operation...
5334_t2_wait2_30:
5335 ldxa [%g0 + 0xA0] %asi, %l1
5336 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5337 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5338 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5339 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5340 andcc %l1, %l2, %l1
5341 bne,pn %xcc, _t2_fail
5342 nop
5343
5344 rdhpr %halt, %g7
5345 wrpr %g0, 0xf1, %pstate
5346
5347 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5348 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5349
5350_t2_loop_31:
5351 wrpr %g0, 0xa1, %pstate
5352 !# write NPRIME
5353 setx 0x448f64378fc0c37c, %g7, %l2
5354 stxa %l2, [%g0 + 0x98] %asi
5355
5356 setx _t2_ma_operations, %g6, %l7
5357#ifdef SPU_8
5358#if (MAX_THREADS > 8)
5359 ! Add core ID offset
5360 or %l7, %o1, %l7
5361#endif
5362#endif
5363 or %g0, 13, %i0 !# OPSELECT
5364 umul %i0, 16, %i0
5365 add %i0, %g2, %i0
5366
5367 !# write MA_ADDR
5368 ldx [%l7 + %i0], %l1
5369 stxa %l1, [%g0 + 0x90] %asi
5370
5371 !# write MA_CTL
5372 add %i0, 8, %i0
5373 ldx [%l7 + %i0], %l1
5374 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5375
5376 !# setup mask to check for INVOP
5377 or %g0, 0x1, %l2
5378 sllx %l2, 21, %l2
5379
5380 #! Try MA_SYNC operation...
5381_t2_wait2_31:
5382 ldxa [%g0 + 0xA0] %asi, %l1
5383 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5384 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5385 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5386 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5387 andcc %l1, %l2, %l1
5388 bne,pn %xcc, _t2_fail
5389 nop
5390
5391 rdhpr %halt, %g7
5392 wrpr %g0, 37, %pstate
5393
5394 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5395 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5396
5397_t2_loop_32:
5398 wrpr %g0, 0x90, %pstate
5399 !# write NPRIME
5400 setx 0x678570e57f2e3967, %g7, %l2
5401 stxa %l2, [%g0 + 0x98] %asi
5402
5403 setx _t2_ma_operations, %g6, %l7
5404#ifdef SPU_8
5405#if (MAX_THREADS > 8)
5406 ! Add core ID offset
5407 or %l7, %o1, %l7
5408#endif
5409#endif
5410 or %g0, 12, %i0 !# OPSELECT
5411 umul %i0, 16, %i0
5412 add %i0, %g2, %i0
5413
5414 !# write MA_ADDR
5415 ldx [%l7 + %i0], %l1
5416 stxa %l1, [%g0 + 0x90] %asi
5417
5418 !# write MA_CTL
5419 add %i0, 8, %i0
5420 ldx [%l7 + %i0], %l1
5421 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5422
5423 !# setup mask to check for INVOP
5424 or %g0, 0x1, %l2
5425 sllx %l2, 21, %l2
5426
5427 #! Try MA_SYNC operation...
5428_t2_wait2_32:
5429 ldxa [%g0 + 0xA0] %asi, %l1
5430 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5431 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5432 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5433 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5434 andcc %l1, %l2, %l1
5435 bne,pn %xcc, _t2_fail
5436 nop
5437
5438 rdhpr %halt, %g7
5439 wrpr %g0, 0xe4, %pstate
5440
5441 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5442 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5443
5444_t2_loop_33:
5445 wrpr %g0, 4, %pstate
5446 !# write NPRIME
5447 setx 0x0c9f1b94cf7287e2, %g7, %l2
5448 stxa %l2, [%g0 + 0x98] %asi
5449
5450 setx _t2_ma_operations, %g6, %l7
5451#ifdef SPU_8
5452#if (MAX_THREADS > 8)
5453 ! Add core ID offset
5454 or %l7, %o1, %l7
5455#endif
5456#endif
5457 or %g0, 16, %i0 !# OPSELECT
5458 umul %i0, 16, %i0
5459 add %i0, %g2, %i0
5460
5461 !# write MA_ADDR
5462 ldx [%l7 + %i0], %l1
5463 stxa %l1, [%g0 + 0x90] %asi
5464
5465 !# write MA_CTL
5466 add %i0, 8, %i0
5467 ldx [%l7 + %i0], %l1
5468 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5469
5470 !# setup mask to check for INVOP
5471 or %g0, 0x1, %l2
5472 sllx %l2, 21, %l2
5473
5474 #! Try MA_SYNC operation...
5475_t2_wait2_33:
5476 ldxa [%g0 + 0xA0] %asi, %l1
5477 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5478 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5479 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5480 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5481 andcc %l1, %l2, %l1
5482 bne,pn %xcc, _t2_fail
5483 nop
5484
5485 rdhpr %halt, %g7
5486 wrpr %g0, 37, %pstate
5487
5488 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5489 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5490
5491_t2_loop_34:
5492 wrpr %g0, 0xa1, %pstate
5493 !# write NPRIME
5494 setx 0x5c81ee1e62e9a27e, %g7, %l2
5495 stxa %l2, [%g0 + 0x98] %asi
5496
5497 setx _t2_ma_operations, %g6, %l7
5498#ifdef SPU_8
5499#if (MAX_THREADS > 8)
5500 ! Add core ID offset
5501 or %l7, %o1, %l7
5502#endif
5503#endif
5504 or %g0, 6, %i0 !# OPSELECT
5505 umul %i0, 16, %i0
5506 add %i0, %g2, %i0
5507
5508 !# write MA_ADDR
5509 ldx [%l7 + %i0], %l1
5510 stxa %l1, [%g0 + 0x90] %asi
5511
5512 !# write MA_CTL
5513 add %i0, 8, %i0
5514 ldx [%l7 + %i0], %l1
5515 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5516
5517 !# setup mask to check for INVOP
5518 or %g0, 0x1, %l2
5519 sllx %l2, 21, %l2
5520
5521 #! Try MA_SYNC operation...
5522_t2_wait2_34:
5523 ldxa [%g0 + 0xA0] %asi, %l1
5524 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5525 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5526 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5527 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5528 andcc %l1, %l2, %l1
5529 bne,pn %xcc, _t2_fail
5530 nop
5531
5532 rdhpr %halt, %g7
5533 wrpr %g0, 0xf2, %pstate
5534
5535 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5536 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5537
5538_t2_loop_35:
5539 wrpr %g0, 0xe0, %pstate
5540 !# write NPRIME
5541 setx 0x642204f3ce2812de, %g7, %l2
5542 stxa %l2, [%g0 + 0x98] %asi
5543
5544 setx _t2_ma_operations, %g6, %l7
5545#ifdef SPU_8
5546#if (MAX_THREADS > 8)
5547 ! Add core ID offset
5548 or %l7, %o1, %l7
5549#endif
5550#endif
5551 or %g0, 17, %i0 !# OPSELECT
5552 umul %i0, 16, %i0
5553 add %i0, %g2, %i0
5554
5555 !# write MA_ADDR
5556 ldx [%l7 + %i0], %l1
5557 stxa %l1, [%g0 + 0x90] %asi
5558
5559 !# write MA_CTL
5560 add %i0, 8, %i0
5561 ldx [%l7 + %i0], %l1
5562 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5563
5564 !# setup mask to check for INVOP
5565 or %g0, 0x1, %l2
5566 sllx %l2, 21, %l2
5567
5568 #! Try MA_SYNC operation...
5569_t2_wait2_35:
5570 ldxa [%g0 + 0xA0] %asi, %l1
5571 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5572 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5573 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5574 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5575 andcc %l1, %l2, %l1
5576 bne,pn %xcc, _t2_fail
5577 nop
5578
5579 rdhpr %halt, %g7
5580 wrpr %g0, 16, %pstate
5581
5582 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5583 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5584
5585_t2_loop_36:
5586 wrpr %g0, 0xa1, %pstate
5587 !# write NPRIME
5588 setx 0x2a031d5233afe708, %g7, %l2
5589 stxa %l2, [%g0 + 0x98] %asi
5590
5591 setx _t2_ma_operations, %g6, %l7
5592#ifdef SPU_8
5593#if (MAX_THREADS > 8)
5594 ! Add core ID offset
5595 or %l7, %o1, %l7
5596#endif
5597#endif
5598 or %g0, 14, %i0 !# OPSELECT
5599 umul %i0, 16, %i0
5600 add %i0, %g2, %i0
5601
5602 !# write MA_ADDR
5603 ldx [%l7 + %i0], %l1
5604 stxa %l1, [%g0 + 0x90] %asi
5605
5606 !# write MA_CTL
5607 add %i0, 8, %i0
5608 ldx [%l7 + %i0], %l1
5609 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5610
5611 !# setup mask to check for INVOP
5612 or %g0, 0x1, %l2
5613 sllx %l2, 21, %l2
5614
5615 #! Try MA_SYNC operation...
5616_t2_wait2_36:
5617 ldxa [%g0 + 0xA0] %asi, %l1
5618 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5619 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5620 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5621 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5622 andcc %l1, %l2, %l1
5623 bne,pn %xcc, _t2_fail
5624 nop
5625
5626 rdhpr %halt, %g7
5627 wrpr %g0, 0xe7, %pstate
5628
5629 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5630 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5631
5632_t2_loop_37:
5633 wrpr %g0, 0xe3, %pstate
5634 !# write NPRIME
5635 setx 0xf6c2fc3d01a53def, %g7, %l2
5636 stxa %l2, [%g0 + 0x98] %asi
5637
5638 setx _t2_ma_operations, %g6, %l7
5639#ifdef SPU_8
5640#if (MAX_THREADS > 8)
5641 ! Add core ID offset
5642 or %l7, %o1, %l7
5643#endif
5644#endif
5645 or %g0, 16, %i0 !# OPSELECT
5646 umul %i0, 16, %i0
5647 add %i0, %g2, %i0
5648
5649 !# write MA_ADDR
5650 ldx [%l7 + %i0], %l1
5651 stxa %l1, [%g0 + 0x90] %asi
5652
5653 !# write MA_CTL
5654 add %i0, 8, %i0
5655 ldx [%l7 + %i0], %l1
5656 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5657
5658 !# setup mask to check for INVOP
5659 or %g0, 0x1, %l2
5660 sllx %l2, 21, %l2
5661
5662 #! Try MA_SYNC operation...
5663_t2_wait2_37:
5664 ldxa [%g0 + 0xA0] %asi, %l1
5665 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5666 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5667 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5668 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5669 andcc %l1, %l2, %l1
5670 bne,pn %xcc, _t2_fail
5671 nop
5672
5673 rdhpr %halt, %g7
5674 wrpr %g0, 54, %pstate
5675
5676 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5677 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5678
5679_t2_loop_38:
5680 wrpr %g0, 48, %pstate
5681 !# write NPRIME
5682 setx 0x22e3952a9e52db0f, %g7, %l2
5683 stxa %l2, [%g0 + 0x98] %asi
5684
5685 setx _t2_ma_operations, %g6, %l7
5686#ifdef SPU_8
5687#if (MAX_THREADS > 8)
5688 ! Add core ID offset
5689 or %l7, %o1, %l7
5690#endif
5691#endif
5692 or %g0, 7, %i0 !# OPSELECT
5693 umul %i0, 16, %i0
5694 add %i0, %g2, %i0
5695
5696 !# write MA_ADDR
5697 ldx [%l7 + %i0], %l1
5698 stxa %l1, [%g0 + 0x90] %asi
5699
5700 !# write MA_CTL
5701 add %i0, 8, %i0
5702 ldx [%l7 + %i0], %l1
5703 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5704
5705 !# setup mask to check for INVOP
5706 or %g0, 0x1, %l2
5707 sllx %l2, 21, %l2
5708
5709 #! Try MA_SYNC operation...
5710_t2_wait2_38:
5711 ldxa [%g0 + 0xA0] %asi, %l1
5712 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5713 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5714 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5715 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5716 andcc %l1, %l2, %l1
5717 bne,pn %xcc, _t2_fail
5718 nop
5719
5720 rdhpr %halt, %g7
5721 wrpr %g0, 0xb7, %pstate
5722
5723 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5724 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5725
5726_t2_loop_39:
5727 wrpr %g0, 52, %pstate
5728 !# write NPRIME
5729 setx 0xb00b09c8db61d3ce, %g7, %l2
5730 stxa %l2, [%g0 + 0x98] %asi
5731
5732 setx _t2_ma_operations, %g6, %l7
5733#ifdef SPU_8
5734#if (MAX_THREADS > 8)
5735 ! Add core ID offset
5736 or %l7, %o1, %l7
5737#endif
5738#endif
5739 or %g0, 1, %i0 !# OPSELECT
5740 umul %i0, 16, %i0
5741 add %i0, %g2, %i0
5742
5743 !# write MA_ADDR
5744 ldx [%l7 + %i0], %l1
5745 stxa %l1, [%g0 + 0x90] %asi
5746
5747 !# write MA_CTL
5748 add %i0, 8, %i0
5749 ldx [%l7 + %i0], %l1
5750 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5751
5752 !# setup mask to check for INVOP
5753 or %g0, 0x1, %l2
5754 sllx %l2, 21, %l2
5755
5756 #! Try MA_SYNC operation...
5757_t2_wait2_39:
5758 ldxa [%g0 + 0xA0] %asi, %l1
5759 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5760 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5761 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5762 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5763 andcc %l1, %l2, %l1
5764 bne,pn %xcc, _t2_fail
5765 nop
5766
5767 rdhpr %halt, %g7
5768 wrpr %g0, 0x86, %pstate
5769
5770 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5771 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5772
5773_t2_loop_40:
5774 wrpr %g0, 0x44, %pstate
5775 !# write NPRIME
5776 setx 0xd0b7e87014bed03c, %g7, %l2
5777 stxa %l2, [%g0 + 0x98] %asi
5778
5779 setx _t2_ma_operations, %g6, %l7
5780#ifdef SPU_8
5781#if (MAX_THREADS > 8)
5782 ! Add core ID offset
5783 or %l7, %o1, %l7
5784#endif
5785#endif
5786 or %g0, 4, %i0 !# OPSELECT
5787 umul %i0, 16, %i0
5788 add %i0, %g2, %i0
5789
5790 !# write MA_ADDR
5791 ldx [%l7 + %i0], %l1
5792 stxa %l1, [%g0 + 0x90] %asi
5793
5794 !# write MA_CTL
5795 add %i0, 8, %i0
5796 ldx [%l7 + %i0], %l1
5797 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5798
5799 !# setup mask to check for INVOP
5800 or %g0, 0x1, %l2
5801 sllx %l2, 21, %l2
5802
5803 #! Try MA_SYNC operation...
5804_t2_wait2_40:
5805 ldxa [%g0 + 0xA0] %asi, %l1
5806 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5807 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5808 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5809 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5810 andcc %l1, %l2, %l1
5811 bne,pn %xcc, _t2_fail
5812 nop
5813
5814 rdhpr %halt, %g7
5815 wrpr %g0, 0xa7, %pstate
5816
5817 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5818 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5819
5820_t2_loop_41:
5821 wrpr %g0, 23, %pstate
5822 !# write NPRIME
5823 setx 0x1d515651e734f0c9, %g7, %l2
5824 stxa %l2, [%g0 + 0x98] %asi
5825
5826 setx _t2_ma_operations, %g6, %l7
5827#ifdef SPU_8
5828#if (MAX_THREADS > 8)
5829 ! Add core ID offset
5830 or %l7, %o1, %l7
5831#endif
5832#endif
5833 or %g0, 12, %i0 !# OPSELECT
5834 umul %i0, 16, %i0
5835 add %i0, %g2, %i0
5836
5837 !# write MA_ADDR
5838 ldx [%l7 + %i0], %l1
5839 stxa %l1, [%g0 + 0x90] %asi
5840
5841 !# write MA_CTL
5842 add %i0, 8, %i0
5843 ldx [%l7 + %i0], %l1
5844 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5845
5846 !# setup mask to check for INVOP
5847 or %g0, 0x1, %l2
5848 sllx %l2, 21, %l2
5849
5850 #! Try MA_SYNC operation...
5851_t2_wait2_41:
5852 ldxa [%g0 + 0xA0] %asi, %l1
5853 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5854 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5855 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5856 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5857 andcc %l1, %l2, %l1
5858 bne,pn %xcc, _t2_fail
5859 nop
5860
5861 rdhpr %halt, %g7
5862 wrpr %g0, 0xd7, %pstate
5863
5864 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5865 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5866
5867_t2_loop_42:
5868 wrpr %g0, 0x95, %pstate
5869 !# write NPRIME
5870 setx 0x39db248270ce5910, %g7, %l2
5871 stxa %l2, [%g0 + 0x98] %asi
5872
5873 setx _t2_ma_operations, %g6, %l7
5874#ifdef SPU_8
5875#if (MAX_THREADS > 8)
5876 ! Add core ID offset
5877 or %l7, %o1, %l7
5878#endif
5879#endif
5880 or %g0, 11, %i0 !# OPSELECT
5881 umul %i0, 16, %i0
5882 add %i0, %g2, %i0
5883
5884 !# write MA_ADDR
5885 ldx [%l7 + %i0], %l1
5886 stxa %l1, [%g0 + 0x90] %asi
5887
5888 !# write MA_CTL
5889 add %i0, 8, %i0
5890 ldx [%l7 + %i0], %l1
5891 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5892
5893 !# setup mask to check for INVOP
5894 or %g0, 0x1, %l2
5895 sllx %l2, 21, %l2
5896
5897 #! Try MA_SYNC operation...
5898_t2_wait2_42:
5899 ldxa [%g0 + 0xA0] %asi, %l1
5900 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5901 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5902 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5903 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5904 andcc %l1, %l2, %l1
5905 bne,pn %xcc, _t2_fail
5906 nop
5907
5908 rdhpr %halt, %g7
5909 wrpr %g0, 0x55, %pstate
5910
5911 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5912 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5913
5914_t2_loop_43:
5915 wrpr %g0, 0x61, %pstate
5916 !# write NPRIME
5917 setx 0x9834a8d0ac51fed2, %g7, %l2
5918 stxa %l2, [%g0 + 0x98] %asi
5919
5920 setx _t2_ma_operations, %g6, %l7
5921#ifdef SPU_8
5922#if (MAX_THREADS > 8)
5923 ! Add core ID offset
5924 or %l7, %o1, %l7
5925#endif
5926#endif
5927 or %g0, 14, %i0 !# OPSELECT
5928 umul %i0, 16, %i0
5929 add %i0, %g2, %i0
5930
5931 !# write MA_ADDR
5932 ldx [%l7 + %i0], %l1
5933 stxa %l1, [%g0 + 0x90] %asi
5934
5935 !# write MA_CTL
5936 add %i0, 8, %i0
5937 ldx [%l7 + %i0], %l1
5938 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5939
5940 !# setup mask to check for INVOP
5941 or %g0, 0x1, %l2
5942 sllx %l2, 21, %l2
5943
5944 #! Try MA_SYNC operation...
5945_t2_wait2_43:
5946 ldxa [%g0 + 0xA0] %asi, %l1
5947 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5948 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5949 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5950 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5951 andcc %l1, %l2, %l1
5952 bne,pn %xcc, _t2_fail
5953 nop
5954
5955 rdhpr %halt, %g7
5956 wrpr %g0, 0xe1, %pstate
5957
5958 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
5959 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
5960
5961_t2_loop_44:
5962 wrpr %g0, 19, %pstate
5963 !# write NPRIME
5964 setx 0x887607de1f78128d, %g7, %l2
5965 stxa %l2, [%g0 + 0x98] %asi
5966
5967 setx _t2_ma_operations, %g6, %l7
5968#ifdef SPU_8
5969#if (MAX_THREADS > 8)
5970 ! Add core ID offset
5971 or %l7, %o1, %l7
5972#endif
5973#endif
5974 or %g0, 6, %i0 !# OPSELECT
5975 umul %i0, 16, %i0
5976 add %i0, %g2, %i0
5977
5978 !# write MA_ADDR
5979 ldx [%l7 + %i0], %l1
5980 stxa %l1, [%g0 + 0x90] %asi
5981
5982 !# write MA_CTL
5983 add %i0, 8, %i0
5984 ldx [%l7 + %i0], %l1
5985 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
5986
5987 !# setup mask to check for INVOP
5988 or %g0, 0x1, %l2
5989 sllx %l2, 21, %l2
5990
5991 #! Try MA_SYNC operation...
5992_t2_wait2_44:
5993 ldxa [%g0 + 0xA0] %asi, %l1
5994 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
5995 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
5996 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
5997 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
5998 andcc %l1, %l2, %l1
5999 bne,pn %xcc, _t2_fail
6000 nop
6001
6002 rdhpr %halt, %g7
6003 wrpr %g0, 0x55, %pstate
6004
6005 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6006 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6007
6008_t2_loop_45:
6009 wrpr %g0, 0xb6, %pstate
6010 !# write NPRIME
6011 setx 0x7678467fa0e09215, %g7, %l2
6012 stxa %l2, [%g0 + 0x98] %asi
6013
6014 setx _t2_ma_operations, %g6, %l7
6015#ifdef SPU_8
6016#if (MAX_THREADS > 8)
6017 ! Add core ID offset
6018 or %l7, %o1, %l7
6019#endif
6020#endif
6021 or %g0, 15, %i0 !# OPSELECT
6022 umul %i0, 16, %i0
6023 add %i0, %g2, %i0
6024
6025 !# write MA_ADDR
6026 ldx [%l7 + %i0], %l1
6027 stxa %l1, [%g0 + 0x90] %asi
6028
6029 !# write MA_CTL
6030 add %i0, 8, %i0
6031 ldx [%l7 + %i0], %l1
6032 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6033
6034 !# setup mask to check for INVOP
6035 or %g0, 0x1, %l2
6036 sllx %l2, 21, %l2
6037
6038 #! Try MA_SYNC operation...
6039_t2_wait2_45:
6040 ldxa [%g0 + 0xA0] %asi, %l1
6041 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6042 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6043 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6044 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6045 andcc %l1, %l2, %l1
6046 bne,pn %xcc, _t2_fail
6047 nop
6048
6049 rdhpr %halt, %g7
6050 wrpr %g0, 0xd4, %pstate
6051
6052 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6053 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6054
6055_t2_loop_46:
6056 wrpr %g0, 0xd2, %pstate
6057 !# write NPRIME
6058 setx 0x050381919bcf2cda, %g7, %l2
6059 stxa %l2, [%g0 + 0x98] %asi
6060
6061 setx _t2_ma_operations, %g6, %l7
6062#ifdef SPU_8
6063#if (MAX_THREADS > 8)
6064 ! Add core ID offset
6065 or %l7, %o1, %l7
6066#endif
6067#endif
6068 or %g0, 14, %i0 !# OPSELECT
6069 umul %i0, 16, %i0
6070 add %i0, %g2, %i0
6071
6072 !# write MA_ADDR
6073 ldx [%l7 + %i0], %l1
6074 stxa %l1, [%g0 + 0x90] %asi
6075
6076 !# write MA_CTL
6077 add %i0, 8, %i0
6078 ldx [%l7 + %i0], %l1
6079 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6080
6081 !# setup mask to check for INVOP
6082 or %g0, 0x1, %l2
6083 sllx %l2, 21, %l2
6084
6085 #! Try MA_SYNC operation...
6086_t2_wait2_46:
6087 ldxa [%g0 + 0xA0] %asi, %l1
6088 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6089 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6090 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6091 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6092 andcc %l1, %l2, %l1
6093 bne,pn %xcc, _t2_fail
6094 nop
6095
6096 rdhpr %halt, %g7
6097 wrpr %g0, 0x43, %pstate
6098
6099 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6100 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6101
6102_t2_loop_47:
6103 wrpr %g0, 0x63, %pstate
6104 !# write NPRIME
6105 setx 0x5ef60b3f4322ef46, %g7, %l2
6106 stxa %l2, [%g0 + 0x98] %asi
6107
6108 setx _t2_ma_operations, %g6, %l7
6109#ifdef SPU_8
6110#if (MAX_THREADS > 8)
6111 ! Add core ID offset
6112 or %l7, %o1, %l7
6113#endif
6114#endif
6115 or %g0, 6, %i0 !# OPSELECT
6116 umul %i0, 16, %i0
6117 add %i0, %g2, %i0
6118
6119 !# write MA_ADDR
6120 ldx [%l7 + %i0], %l1
6121 stxa %l1, [%g0 + 0x90] %asi
6122
6123 !# write MA_CTL
6124 add %i0, 8, %i0
6125 ldx [%l7 + %i0], %l1
6126 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6127
6128 !# setup mask to check for INVOP
6129 or %g0, 0x1, %l2
6130 sllx %l2, 21, %l2
6131
6132 #! Try MA_SYNC operation...
6133_t2_wait2_47:
6134 ldxa [%g0 + 0xA0] %asi, %l1
6135 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6136 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6137 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6138 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6139 andcc %l1, %l2, %l1
6140 bne,pn %xcc, _t2_fail
6141 nop
6142
6143 rdhpr %halt, %g7
6144 wrpr %g0, 0x67, %pstate
6145
6146 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6147 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6148
6149_t2_loop_48:
6150 wrpr %g0, 0xf2, %pstate
6151 !# write NPRIME
6152 setx 0x5442204387b9da30, %g7, %l2
6153 stxa %l2, [%g0 + 0x98] %asi
6154
6155 setx _t2_ma_operations, %g6, %l7
6156#ifdef SPU_8
6157#if (MAX_THREADS > 8)
6158 ! Add core ID offset
6159 or %l7, %o1, %l7
6160#endif
6161#endif
6162 or %g0, 5, %i0 !# OPSELECT
6163 umul %i0, 16, %i0
6164 add %i0, %g2, %i0
6165
6166 !# write MA_ADDR
6167 ldx [%l7 + %i0], %l1
6168 stxa %l1, [%g0 + 0x90] %asi
6169
6170 !# write MA_CTL
6171 add %i0, 8, %i0
6172 ldx [%l7 + %i0], %l1
6173 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6174
6175 !# setup mask to check for INVOP
6176 or %g0, 0x1, %l2
6177 sllx %l2, 21, %l2
6178
6179 #! Try MA_SYNC operation...
6180_t2_wait2_48:
6181 ldxa [%g0 + 0xA0] %asi, %l1
6182 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6183 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6184 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6185 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6186 andcc %l1, %l2, %l1
6187 bne,pn %xcc, _t2_fail
6188 nop
6189
6190 rdhpr %halt, %g7
6191 wrpr %g0, 0x52, %pstate
6192
6193 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6194 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6195
6196_t2_loop_49:
6197 wrpr %g0, 5, %pstate
6198 !# write NPRIME
6199 setx 0x6fe667d83107ed42, %g7, %l2
6200 stxa %l2, [%g0 + 0x98] %asi
6201
6202 setx _t2_ma_operations, %g6, %l7
6203#ifdef SPU_8
6204#if (MAX_THREADS > 8)
6205 ! Add core ID offset
6206 or %l7, %o1, %l7
6207#endif
6208#endif
6209 or %g0, 9, %i0 !# OPSELECT
6210 umul %i0, 16, %i0
6211 add %i0, %g2, %i0
6212
6213 !# write MA_ADDR
6214 ldx [%l7 + %i0], %l1
6215 stxa %l1, [%g0 + 0x90] %asi
6216
6217 !# write MA_CTL
6218 add %i0, 8, %i0
6219 ldx [%l7 + %i0], %l1
6220 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6221
6222 !# setup mask to check for INVOP
6223 or %g0, 0x1, %l2
6224 sllx %l2, 21, %l2
6225
6226 #! Try MA_SYNC operation...
6227_t2_wait2_49:
6228 ldxa [%g0 + 0xA0] %asi, %l1
6229 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6230 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6231 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6232 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6233 andcc %l1, %l2, %l1
6234 bne,pn %xcc, _t2_fail
6235 nop
6236
6237 rdhpr %halt, %g7
6238 wrpr %g0, 0xe4, %pstate
6239
6240 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6241 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6242
6243_t2_loop_50:
6244 wrpr %g0, 0x77, %pstate
6245 !# write NPRIME
6246 setx 0xe50902048941ae97, %g7, %l2
6247 stxa %l2, [%g0 + 0x98] %asi
6248
6249 setx _t2_ma_operations, %g6, %l7
6250#ifdef SPU_8
6251#if (MAX_THREADS > 8)
6252 ! Add core ID offset
6253 or %l7, %o1, %l7
6254#endif
6255#endif
6256 or %g0, 14, %i0 !# OPSELECT
6257 umul %i0, 16, %i0
6258 add %i0, %g2, %i0
6259
6260 !# write MA_ADDR
6261 ldx [%l7 + %i0], %l1
6262 stxa %l1, [%g0 + 0x90] %asi
6263
6264 !# write MA_CTL
6265 add %i0, 8, %i0
6266 ldx [%l7 + %i0], %l1
6267 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6268
6269 !# setup mask to check for INVOP
6270 or %g0, 0x1, %l2
6271 sllx %l2, 21, %l2
6272
6273 #! Try MA_SYNC operation...
6274_t2_wait2_50:
6275 ldxa [%g0 + 0xA0] %asi, %l1
6276 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6277 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6278 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6279 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6280 andcc %l1, %l2, %l1
6281 bne,pn %xcc, _t2_fail
6282 nop
6283
6284 rdhpr %halt, %g7
6285 wrpr %g0, 0xc5, %pstate
6286
6287 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6288 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6289
6290_t2_loop_51:
6291 wrpr %g0, 0x75, %pstate
6292 !# write NPRIME
6293 setx 0x2c42fd26dc67fc25, %g7, %l2
6294 stxa %l2, [%g0 + 0x98] %asi
6295
6296 setx _t2_ma_operations, %g6, %l7
6297#ifdef SPU_8
6298#if (MAX_THREADS > 8)
6299 ! Add core ID offset
6300 or %l7, %o1, %l7
6301#endif
6302#endif
6303 or %g0, 6, %i0 !# OPSELECT
6304 umul %i0, 16, %i0
6305 add %i0, %g2, %i0
6306
6307 !# write MA_ADDR
6308 ldx [%l7 + %i0], %l1
6309 stxa %l1, [%g0 + 0x90] %asi
6310
6311 !# write MA_CTL
6312 add %i0, 8, %i0
6313 ldx [%l7 + %i0], %l1
6314 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6315
6316 !# setup mask to check for INVOP
6317 or %g0, 0x1, %l2
6318 sllx %l2, 21, %l2
6319
6320 #! Try MA_SYNC operation...
6321_t2_wait2_51:
6322 ldxa [%g0 + 0xA0] %asi, %l1
6323 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6324 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6325 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6326 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6327 andcc %l1, %l2, %l1
6328 bne,pn %xcc, _t2_fail
6329 nop
6330
6331 rdhpr %halt, %g7
6332 wrpr %g0, 0x93, %pstate
6333
6334 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6335 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6336
6337_t2_loop_52:
6338 wrpr %g0, 0xb1, %pstate
6339 !# write NPRIME
6340 setx 0x33601efc743fe1b7, %g7, %l2
6341 stxa %l2, [%g0 + 0x98] %asi
6342
6343 setx _t2_ma_operations, %g6, %l7
6344#ifdef SPU_8
6345#if (MAX_THREADS > 8)
6346 ! Add core ID offset
6347 or %l7, %o1, %l7
6348#endif
6349#endif
6350 or %g0, 4, %i0 !# OPSELECT
6351 umul %i0, 16, %i0
6352 add %i0, %g2, %i0
6353
6354 !# write MA_ADDR
6355 ldx [%l7 + %i0], %l1
6356 stxa %l1, [%g0 + 0x90] %asi
6357
6358 !# write MA_CTL
6359 add %i0, 8, %i0
6360 ldx [%l7 + %i0], %l1
6361 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6362
6363 !# setup mask to check for INVOP
6364 or %g0, 0x1, %l2
6365 sllx %l2, 21, %l2
6366
6367 #! Try MA_SYNC operation...
6368_t2_wait2_52:
6369 ldxa [%g0 + 0xA0] %asi, %l1
6370 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6371 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6372 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6373 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6374 andcc %l1, %l2, %l1
6375 bne,pn %xcc, _t2_fail
6376 nop
6377
6378 rdhpr %halt, %g7
6379 wrpr %g0, 0x52, %pstate
6380
6381 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6382 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6383
6384_t2_loop_53:
6385 wrpr %g0, 0x87, %pstate
6386 !# write NPRIME
6387 setx 0x87be5c649bf88420, %g7, %l2
6388 stxa %l2, [%g0 + 0x98] %asi
6389
6390 setx _t2_ma_operations, %g6, %l7
6391#ifdef SPU_8
6392#if (MAX_THREADS > 8)
6393 ! Add core ID offset
6394 or %l7, %o1, %l7
6395#endif
6396#endif
6397 or %g0, 7, %i0 !# OPSELECT
6398 umul %i0, 16, %i0
6399 add %i0, %g2, %i0
6400
6401 !# write MA_ADDR
6402 ldx [%l7 + %i0], %l1
6403 stxa %l1, [%g0 + 0x90] %asi
6404
6405 !# write MA_CTL
6406 add %i0, 8, %i0
6407 ldx [%l7 + %i0], %l1
6408 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6409
6410 !# setup mask to check for INVOP
6411 or %g0, 0x1, %l2
6412 sllx %l2, 21, %l2
6413
6414 #! Try MA_SYNC operation...
6415_t2_wait2_53:
6416 ldxa [%g0 + 0xA0] %asi, %l1
6417 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6418 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6419 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6420 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6421 andcc %l1, %l2, %l1
6422 bne,pn %xcc, _t2_fail
6423 nop
6424
6425 rdhpr %halt, %g7
6426 wrpr %g0, 0xe4, %pstate
6427
6428 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6429 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6430
6431_t2_loop_54:
6432 wrpr %g0, 0xa6, %pstate
6433 !# write NPRIME
6434 setx 0x8554b275d13c9618, %g7, %l2
6435 stxa %l2, [%g0 + 0x98] %asi
6436
6437 setx _t2_ma_operations, %g6, %l7
6438#ifdef SPU_8
6439#if (MAX_THREADS > 8)
6440 ! Add core ID offset
6441 or %l7, %o1, %l7
6442#endif
6443#endif
6444 or %g0, 12, %i0 !# OPSELECT
6445 umul %i0, 16, %i0
6446 add %i0, %g2, %i0
6447
6448 !# write MA_ADDR
6449 ldx [%l7 + %i0], %l1
6450 stxa %l1, [%g0 + 0x90] %asi
6451
6452 !# write MA_CTL
6453 add %i0, 8, %i0
6454 ldx [%l7 + %i0], %l1
6455 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6456
6457 !# setup mask to check for INVOP
6458 or %g0, 0x1, %l2
6459 sllx %l2, 21, %l2
6460
6461 #! Try MA_SYNC operation...
6462_t2_wait2_54:
6463 ldxa [%g0 + 0xA0] %asi, %l1
6464 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6465 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6466 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6467 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6468 andcc %l1, %l2, %l1
6469 bne,pn %xcc, _t2_fail
6470 nop
6471
6472 rdhpr %halt, %g7
6473 wrpr %g0, 0x62, %pstate
6474
6475 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6476 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6477
6478_t2_loop_55:
6479 wrpr %g0, 50, %pstate
6480 !# write NPRIME
6481 setx 0xc840f053aef9fe57, %g7, %l2
6482 stxa %l2, [%g0 + 0x98] %asi
6483
6484 setx _t2_ma_operations, %g6, %l7
6485#ifdef SPU_8
6486#if (MAX_THREADS > 8)
6487 ! Add core ID offset
6488 or %l7, %o1, %l7
6489#endif
6490#endif
6491 or %g0, 8, %i0 !# OPSELECT
6492 umul %i0, 16, %i0
6493 add %i0, %g2, %i0
6494
6495 !# write MA_ADDR
6496 ldx [%l7 + %i0], %l1
6497 stxa %l1, [%g0 + 0x90] %asi
6498
6499 !# write MA_CTL
6500 add %i0, 8, %i0
6501 ldx [%l7 + %i0], %l1
6502 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6503
6504 !# setup mask to check for INVOP
6505 or %g0, 0x1, %l2
6506 sllx %l2, 21, %l2
6507
6508 #! Try MA_SYNC operation...
6509_t2_wait2_55:
6510 ldxa [%g0 + 0xA0] %asi, %l1
6511 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6512 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6513 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6514 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6515 andcc %l1, %l2, %l1
6516 bne,pn %xcc, _t2_fail
6517 nop
6518
6519 rdhpr %halt, %g7
6520 wrpr %g0, 0xd7, %pstate
6521
6522 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6523 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6524
6525_t2_loop_56:
6526 wrpr %g0, 1, %pstate
6527 !# write NPRIME
6528 setx 0x63f0735883956eac, %g7, %l2
6529 stxa %l2, [%g0 + 0x98] %asi
6530
6531 setx _t2_ma_operations, %g6, %l7
6532#ifdef SPU_8
6533#if (MAX_THREADS > 8)
6534 ! Add core ID offset
6535 or %l7, %o1, %l7
6536#endif
6537#endif
6538 or %g0, 1, %i0 !# OPSELECT
6539 umul %i0, 16, %i0
6540 add %i0, %g2, %i0
6541
6542 !# write MA_ADDR
6543 ldx [%l7 + %i0], %l1
6544 stxa %l1, [%g0 + 0x90] %asi
6545
6546 !# write MA_CTL
6547 add %i0, 8, %i0
6548 ldx [%l7 + %i0], %l1
6549 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6550
6551 !# setup mask to check for INVOP
6552 or %g0, 0x1, %l2
6553 sllx %l2, 21, %l2
6554
6555 #! Try MA_SYNC operation...
6556_t2_wait2_56:
6557 ldxa [%g0 + 0xA0] %asi, %l1
6558 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6559 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6560 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6561 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6562 andcc %l1, %l2, %l1
6563 bne,pn %xcc, _t2_fail
6564 nop
6565
6566 rdhpr %halt, %g7
6567 wrpr %g0, 2, %pstate
6568
6569 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6570 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6571
6572_t2_loop_57:
6573 wrpr %g0, 0xb7, %pstate
6574 !# write NPRIME
6575 setx 0x7abc0fb545e2730d, %g7, %l2
6576 stxa %l2, [%g0 + 0x98] %asi
6577
6578 setx _t2_ma_operations, %g6, %l7
6579#ifdef SPU_8
6580#if (MAX_THREADS > 8)
6581 ! Add core ID offset
6582 or %l7, %o1, %l7
6583#endif
6584#endif
6585 or %g0, 5, %i0 !# OPSELECT
6586 umul %i0, 16, %i0
6587 add %i0, %g2, %i0
6588
6589 !# write MA_ADDR
6590 ldx [%l7 + %i0], %l1
6591 stxa %l1, [%g0 + 0x90] %asi
6592
6593 !# write MA_CTL
6594 add %i0, 8, %i0
6595 ldx [%l7 + %i0], %l1
6596 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6597
6598 !# setup mask to check for INVOP
6599 or %g0, 0x1, %l2
6600 sllx %l2, 21, %l2
6601
6602 #! Try MA_SYNC operation...
6603_t2_wait2_57:
6604 ldxa [%g0 + 0xA0] %asi, %l1
6605 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6606 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6607 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6608 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6609 andcc %l1, %l2, %l1
6610 bne,pn %xcc, _t2_fail
6611 nop
6612
6613 rdhpr %halt, %g7
6614 wrpr %g0, 0x67, %pstate
6615
6616 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6617 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6618
6619_t2_loop_58:
6620 wrpr %g0, 0x83, %pstate
6621 !# write NPRIME
6622 setx 0xaa34ebf5ebeb55dd, %g7, %l2
6623 stxa %l2, [%g0 + 0x98] %asi
6624
6625 setx _t2_ma_operations, %g6, %l7
6626#ifdef SPU_8
6627#if (MAX_THREADS > 8)
6628 ! Add core ID offset
6629 or %l7, %o1, %l7
6630#endif
6631#endif
6632 or %g0, 12, %i0 !# OPSELECT
6633 umul %i0, 16, %i0
6634 add %i0, %g2, %i0
6635
6636 !# write MA_ADDR
6637 ldx [%l7 + %i0], %l1
6638 stxa %l1, [%g0 + 0x90] %asi
6639
6640 !# write MA_CTL
6641 add %i0, 8, %i0
6642 ldx [%l7 + %i0], %l1
6643 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
6644
6645 !# setup mask to check for INVOP
6646 or %g0, 0x1, %l2
6647 sllx %l2, 21, %l2
6648
6649 #! Try MA_SYNC operation...
6650_t2_wait2_58:
6651 ldxa [%g0 + 0xA0] %asi, %l1
6652 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
6653 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
6654 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
6655 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
6656 andcc %l1, %l2, %l1
6657 bne,pn %xcc, _t2_fail
6658 nop
6659
6660 rdhpr %halt, %g7
6661 wrpr %g0, 0x90, %pstate
6662
6663 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
6664 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
6665
6666_t2_ma_store:
6667
6668 !# write MA_ADDR
6669 or %g0, 0x00, %l2
6670 stxa %l2, [%g0 + 0x90] %asi
6671 !# Write result address into MA_PA reg
6672
6673 setx _t2_ma_results, %g7, %l6
6674#ifdef SPU_8
6675#if (MAX_THREADS > 8)
6676 ! Add core ID offset
6677 or %l6, %o1, %l6
6678#endif
6679#endif
6680 add %l6, 3, %l6 !# _t2_WARNING : Misaligned _t2_address
6681 stxa %l6, [%g0 + 0x88] %asi
6682
6683 !# write MA_CTL
6684 set 0x00020142, %l1
6685 mov 6, %g7
6686 sllx %g7, 18, %g7
6687 or %l1, %g7, %l1
6688 stxa %l1, [%g0 + 0x80] %asi !# STORE
6689
6690 !# setup mask to check for INVOP
6691 or %g0, 0x1, %l2
6692 sllx %l2, 21, %l2
6693
6694 #! Try MA_SYNC operation...
6695_t2_wait3:
6696 ldxa [%g0 + 0xA0] %asi, %l1
6697 ldxa [%g0 + 0x80] %asi, %l1
6698 andcc %l1, %l2, %l1
6699 bne,pn %xcc, _t2_fail
6700 nop
6701
6702_t2_idle3:
6703#ifndef NO_MA_CHECK
6704 !# do dummy loads into %g1
6705
6706 setx _t2_ma_results, %g7, %l7
6707#ifdef SPU_8
6708#if (MAX_THREADS > 8)
6709 ! Add core ID offset
6710 or %l7, %o1, %l7
6711#endif
6712#endif
6713 or %g0, %g0, %l3
6714 !# setup loop count
6715 or %g0, 164, %i0
6716_t2_more:
6717 ldx [%l7+%l3], %l0 !# a[_t2_i]
6718 addcc %i0, -1, %i0
6719 bgt _t2_more
6720 add %l3, 0x8, %l3 !# i++
6721#endif
6722 b _t2_done_spu_ma_rand5
6723
6724_t2_fail:
6725 EXIT_BAD
6726
6727 nop
6728 nop
6729_t2_done_spu_ma_rand5:
6730 nop
6731 nop
6732 ta T_CHANGE_PRIV
6733 wrpr %g0, %g0, %gl
6734 nop
6735 nop
6736.text
6737 setx join_lbl_0_0, %g1, %g2
6738 jmp %g2
6739 nop
6740fork_lbl_0_6:
6741 wrhpr %g0, 1, %hpstate ! ta T_CHANGE_NONHPRIV
6742 nop
6743 nop
6744 ta T_CHANGE_HPRIV ! macro
6745donret_20_0:
6746 rd %pc, %r12
6747 mov HIGHVA_HIGHNUM, %r10
6748 sllx %r10, 32, %r10
6749 or %r12, %r10, %r12
6750 add %r12, (donretarg_20_0-donret_20_0+4), %r12
6751 add %r12, 0x4, %r11 ! seq tnpc
6752 wrpr %g0, 0x2, %tl
6753 wrpr %g0, %r12, %tpc
6754 wrpr %g0, %r11, %tnpc
6755 set (0x000da200 | (4 << 24)), %r13
6756 and %r12, 0xfff, %r14
6757 sllx %r14, 32, %r14
6758 or %r13, %r14, %r20
6759 wrpr %r20, %g0, %tstate
6760 wrhpr %g0, 0x1596, %htstate
6761 best_set_reg(0xe4b, %g1, %g2)
6762 wrpr %g0, %g2, %pstate ! rand=0 (20)
6763 ldx [%r12+%r0], %g1
6764 retry
6765donretarg_20_0:
6766brcommon3_20_1:
6767 nop
6768 nop
6769 setx common_target, %r12, %r27
6770 lduw [%r27-4], %r12 ! Load common dest into dcache ..
6771 stuw %r12, [%r27-0] ! Load common dest into dcache ..
6772 ba,a .+12
6773 .word 0xe66fe110 ! 1: LDSTUB_I ldstub %r19, [%r31 + 0x0110]
6774 ba,a .+8
6775 jmpl %r27-4, %r27
6776 .word 0xe69fc600 ! 1: LDDA_R ldda [%r31, %r0] 0x30, %r19
6777jmptr_20_3:
6778 nop
6779 nop
6780 best_set_reg(0xe1200000, %r20, %r27)
6781 .word 0xb7c6c000 ! 5: JMPL_R jmpl %r27 + %r0, %r27
6782splash_lsu_20_5:
6783 nop
6784 nop
6785 ta T_CHANGE_HPRIV
6786 set 0xc1a40010, %r2
6787 mov 0x2, %r1
6788 sllx %r1, 32, %r1
6789 or %r1, %r2, %r2
6790 stxa %r2, [%r0] ASI_LSU_CONTROL
6791 .word 0x3d400002 ! 9: FBPULE fbule,a,pn %fcc0, <label_0x2>
6792 nop
6793 nop
6794 set 0x245034c8, %r28 !TTID : 4 (mask2tid(0x20))
6795#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6796 sethi %hi(0x3800), %r27
6797 andn %r28, %r27, %r28
6798#ifdef PORTABLE_CORE
6799 ! Add CID to vector
6800 ta T_CHANGE_HPRIV
6801 ldxa [%g0]0x63, %r27
6802 sllx %r27, 8, %r27
6803 or %r27, %r28, %r28
6804#endif
6805#else
6806 ! Add CID IF tid matches
6807ifelse(4,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
6808#endif
6809 sethi %hi(0x30000), %r27
6810 andn %r28, %r27, %r28
6811 ta T_CHANGE_HPRIV
6812ifelse(4,mask2tid(0x20),`.align 16')
6813 stxa %r28, [%g0] 0x73
6814intvec_20_7:
6815 .word 0x19400001 ! 13: FBPUGE fbuge
6816 .word 0xdb3fe008 ! 17: STDF_I std %f13, [0x0008, %r31]
6817 .word 0x0f400001 ! 1: FBPU fbu
6818 .word 0x8d902487 ! 21: WRPR_PSTATE_I wrpr %r0, 0x0487, %pstate
6819pmu_20_13:
6820 nop
6821 nop
6822 ta T_CHANGE_PRIV
6823 setx 0xffffffb3ffffffa9, %g1, %g7
6824 .word 0xa3800007 ! 25: WR_PERF_COUNTER_R wr %r0, %r7, %-
6825 .word 0x8980000a ! 29: WRTICK_R wr %r0, %r10, %tick
6826memptr_20_17:
6827 set 0x60140000, %r31
6828 .word 0x8584f6eb ! 33: WRCCR_I wr %r19, 0x16eb, %ccr
6829#if (defined SPC || defined CMP1)
6830!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_20_20) , 16, 16)) -> intp(5,0,23,*,720,*,29,1)
6831!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_20_20)&0xffffffff) , 16, 16)) -> intp(7,0,15,*,648,*,29,1)
6832#else
6833 nop
6834 nop
6835 set 0x98e09df1, %r28 !TTID : 5 (mask2tid(0x20))
6836#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6837 sethi %hi(0x3800), %r27
6838 andn %r28, %r27, %r28
6839#ifdef PORTABLE_CORE
6840 ! Add CID to vector
6841 ta T_CHANGE_HPRIV
6842 ldxa [%g0]0x63, %r27
6843 sllx %r27, 8, %r27
6844 or %r27, %r28, %r28
6845#endif
6846#else
6847 ! Add CID IF tid matches
6848ifelse(5,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
6849#endif
6850ifelse(5,mask2tid(0x20),`.align 16')
6851 stxa %r28, [%g0] 0x73
6852#endif
6853intvec_20_20:
6854 .word 0x19400001 ! 37: FBPUGE fbuge
6855 nop
6856 nop
6857 ta T_CHANGE_HPRIV
6858 mov 0x1, %r11
6859splash_cmpr_20_23:
6860 sllx %r11, 63, %r11
6861 not %r11, %r11
6862 rd %tick, %r10
6863#if (defined SPC || defined CMP1)
6864 add %r10, 0x150, %r10
6865#else
6866 add %r10, 0x900, %r10
6867#endif
6868 and %r10, %r11, %r10
6869 wrhpr %r10, %g0, %hsys_tick_cmpr
6870 wrhpr %g0, 0x0, %halt ! HALT
6871 rd %tick, %r10
6872#if (defined SPC || defined CMP1)
6873 add %r10, 0x150, %r10
6874#else
6875 add %r10, 0xc00, %r10
6876#endif
6877 and %r10, %r11, %r10
6878 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
6879#if (defined SPC || defined CMP1)
6880!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_23)+8 , 16, 16)) -> intp(5,0,3,*,712,*,75,1)
6881!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_23)&0xffffffff)+8 , 16, 16)) -> intp(0,0,10,*,896,*,75,1)
6882#endif
6883 wrhpr %g0, 0x0, %halt ! HALT
6884 .word 0x819825c4 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x05c4, %hpstate
6885 .word 0x81b01021 ! 41: SIAM siam 1
6886 bpos skip_20_24
6887 stxa %r8, [%r0] ASI_LSU_CONTROL
6888 ble,a skip_20_24
6889 stxa %r16, [%r0] ASI_LSU_CONTROL
6890.align 1024
6891skip_20_24:
6892 .word 0xd5e7d060 ! 45: CASA_I casa [%r31] 0x83, %r0, %r10
6893 .word 0xd477e071 ! 49: STX_I stx %r10, [%r31 + 0x0071]
6894 .word 0x91942910 ! 53: WRPR_PIL_I wrpr %r16, 0x0910, %pil
6895mondo_20_30:
6896 nop
6897 nop
6898 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
6899 ta T_CHANGE_PRIV
6900 stxa %r19, [%r0+0x3c0] %asi
6901 stxa %r2, [%r0+0x3d0] %asi
6902 .word 0x8780201c ! 1: WRASI_I wr %r0, 0x001c, %asi
6903 .word 0x9d944002 ! 57: WRPR_WSTATE_R wrpr %r17, %r2, %wstate
6904jmptr_20_32:
6905 nop
6906 nop
6907 best_set_reg(0xe0200000, %r20, %r27)
6908 .word 0xb7c6c000 ! 61: JMPL_R jmpl %r27 + %r0, %r27
6909brcommon1_20_33:
6910 nop
6911 nop
6912 setx common_target, %r12, %r27
6913 lduw [%r27-0], %r12 ! Load common dest into dcache ..
6914 stuw %r12, [%r27-4] ! Load common dest into dcache ..
6915 ba,a .+12
6916 .word 0xc32fe110 ! 1: STXFSR_I st-sfr %f1, [0x0110, %r31]
6917 ba,a .+8
6918 jmpl %r27-4, %r27
6919 .word 0x91a489d1 ! 65: FDIVd fdivd %f18, %f48, %f8
6920demap_20_35:
6921 nop
6922 mov 0x80, %g3
6923 ta T_CHANGE_HPRIV
6924 .word 0x2cc9c002 ! 1: BRGZ brgz,a,pt %r7,<label_0x9c002>
6925 stxa %g3, [%g3] 0x5f
6926 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
6927 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
6928 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
6929 stxa %g3, [%g3] 0x5f
6930 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
6931 stxa %g3, [%g3] 0x57
6932 stxa %g3, [%g3] 0x57
6933 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
6934 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
6935 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
6936 stxa %g3, [%g3] 0x5f
6937 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
6938 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
6939 stxa %g3, [%g3] 0x5f
6940 stxa %g3, [%g3] 0x5f
6941 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
6942 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
6943 stxa %g3, [%g3] 0x5f
6944 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
6945 wrhpr %g0, 0x908, %hpstate ! ta T_CHANGE_NONHPRIV
6946 .word 0xd41fe188 ! 69: LDD_I ldd [%r31 + 0x0188], %r10
6947splash_hpstate_20_36:
6948 ta T_CHANGE_NONHPRIV
6949 .word 0x2f400001 ! 1: FBPU fbu,a,pn %fcc0, <label_0x1>
6950 .word 0x81982e59 ! 73: WRHPR_HPSTATE_I wrhpr %r0, 0x0e59, %hpstate
6951 nop
6952 nop
6953 ta T_CHANGE_HPRIV ! macro
6954donret_20_38:
6955 rd %pc, %r12
6956 mov HIGHVA_HIGHNUM, %r10
6957 sllx %r10, 32, %r10
6958 or %r12, %r10, %r12
6959 add %r12, (donretarg_20_38-donret_20_38), %r12
6960 add %r12, 0x8, %r11 ! nonseq tnpc
6961 wrpr %g0, 0x1, %tl
6962 wrpr %g0, %r12, %tpc
6963 wrpr %g0, %r11, %tnpc
6964 set (0x002d1600 | (4 << 24)), %r13
6965 and %r12, 0xfff, %r14
6966 sllx %r14, 32, %r14
6967 or %r13, %r14, %r20
6968 wrpr %r20, %g0, %tstate
6969 wrhpr %g0, 0x1146, %htstate
6970 wrhpr %g0, 0x80b, %hpstate ! rand=1 (20)
6971 ldx [%r12+%r0], %g1
6972 retry
6973donretarg_20_38:
6974 .word 0x26cac001 ! 77: BRLZ brlz,a,pt %r11,<label_0xac001>
6975 .word 0xc19fdd40 ! 81: LDDFA_R ldda [%r31, %r0], %f0
6976memptr_20_41:
6977 set 0x60740000, %r31
6978 .word 0x85823fd3 ! 85: WRCCR_I wr %r8, 0x1fd3, %ccr
6979 .word 0xa78163e2 ! 89: WR_GRAPHICS_STATUS_REG_I wr %r5, 0x03e2, %-
6980brcommon3_20_45:
6981 nop
6982 nop
6983 setx common_target, %r12, %r27
6984 lduw [%r27], %r12 ! Load common dest into dcache ..
6985 stuw %r12, [%r27] ! Load common dest into dcache ..
6986 ba,a .+12
6987 .word 0xd5e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r10
6988 ba,a .+8
6989 jmpl %r27+0, %r27
6990 stxa %r9, [%r0] ASI_LSU_CONTROL
6991 .word 0xa3aac827 ! 93: FMOVGE fmovs %fcc1, %f7, %f17
6992 .word 0xd03fe030 ! 97: STD_I std %r8, [%r31 + 0x0030]
6993 .word 0x2f400001 ! 1: FBPU fbu,a,pn %fcc0, <label_0x1>
6994 .word 0x8d903a28 ! 101: WRPR_PSTATE_I wrpr %r0, 0x1a28, %pstate
6995 .word 0xa190200a ! 105: WRPR_GL_I wrpr %r0, 0x000a, %-
6996 .word 0xd0800c20 ! 109: LDUWA_R lduwa [%r0, %r0] 0x61, %r8
6997memptr_20_58:
6998 set 0x60140000, %r31
6999 .word 0x85816ba2 ! 113: WRCCR_I wr %r5, 0x0ba2, %ccr
7000 .word 0x91d020b3 ! 117: Tcc_I ta icc_or_xcc, %r0 + 179
7001demap_20_62:
7002 nop
7003 mov 0x80, %g3
7004 ta T_CHANGE_HPRIV
7005 .word 0x1c800001 ! 1: BPOS bpos <label_0x1>
7006 stxa %g3, [%g3] 0x57
7007 stxa %g3, [%g3] 0x57
7008 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7009 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7010 stxa %g3, [%g3] 0x57
7011 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7012 wrhpr %g0, 0x52, %hpstate ! ta T_CHANGE_NONHPRIV
7013 .word 0xd01fe190 ! 121: LDD_I ldd [%r31 + 0x0190], %r8
7014 .word 0x91944013 ! 125: WRPR_PIL_R wrpr %r17, %r19, %pil
7015 .word 0xe1bfe020 ! 129: STDFA_I stda %f16, [0x0020, %r31]
7016 .word 0xd08fd140 ! 133: LDUBA_R lduba [%r31, %r0] 0x8a, %r8
7017intveclr_20_71:
7018 nop
7019 nop
7020 ta T_CHANGE_HPRIV
7021 setx 0xed21a36f009894b9, %r1, %r28
7022 stxa %r28, [%g0] 0x72
7023 .word 0x25400002 ! 137: FBPLG fblg,a,pn %fcc0, <label_0x2>
7024 brgez,pn %r3, skip_20_72
7025 stxa %r16, [%r0] ASI_LSU_CONTROL
7026 fbuge,a,pn %fcc0, skip_20_72
7027 stxa %r19, [%r0] ASI_LSU_CONTROL
7028.align 2048
7029skip_20_72:
7030 .word 0xc30fc000 ! 141: LDXFSR_R ld-fsr [%r31, %r0], %f1
7031demap_20_74:
7032 nop
7033 mov 0x80, %g3
7034 ta T_CHANGE_HPRIV
7035 .word 0x0c800001 ! 1: BNEG bneg <label_0x1>
7036 stxa %g3, [%g3] 0x5f
7037 stxa %g3, [%g3] 0x57
7038 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7039 stxa %g3, [%g3] 0x57
7040 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7041 stxa %g3, [%g3] 0x57
7042 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7043 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7044 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7045 stxa %g3, [%g3] 0x5f
7046 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7047 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7048 wrhpr %g0, 0x119, %hpstate ! ta T_CHANGE_NONHPRIV
7049 .word 0xd01fe018 ! 145: LDD_I ldd [%r31 + 0x0018], %r8
7050brcommon3_20_78:
7051 nop
7052 nop
7053 setx common_target, %r12, %r27
7054 lduw [%r27-0], %r12 ! Load common dest into dcache ..
7055 stuw %r12, [%r27-4] ! Load common dest into dcache ..
7056 ba,a .+12
7057 .word 0xd1e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r8
7058 ba,a .+8
7059 jmpl %r27+0, %r27
7060 .word 0x819833df ! 149: WRHPR_HPSTATE_I wrhpr %r0, 0x13df, %hpstate
7061splash_tba_20_81:
7062 nop
7063 ta T_CHANGE_PRIV
7064 set 0x120000, %r12
7065 .word 0x8b90000c ! 153: WRPR_TBA_R wrpr %r0, %r12, %tba
7066splash_tba_20_84:
7067 nop
7068 ta T_CHANGE_PRIV
7069 setx 0x0000000400380000, %r11, %r12
7070 .word 0x8b90000c ! 157: WRPR_TBA_R wrpr %r0, %r12, %tba
7071splash_hpstate_20_87:
7072 .word 0x819826dd ! 161: WRHPR_HPSTATE_I wrhpr %r0, 0x06dd, %hpstate
7073 .word 0xc19fe000 ! 165: LDDFA_I ldda [%r31, 0x0000], %f0
7074 .word 0xe1bfda00 ! 169: STDFA_R stda %f16, [%r0, %r31]
7075memptr_20_94:
7076 set 0x60340000, %r31
7077 .word 0x85832b2f ! 173: WRCCR_I wr %r12, 0x0b2f, %ccr
7078 ble,a skip_20_95
7079 stxa %r19, [%r0] ASI_LSU_CONTROL
7080 fbuge,a,pn %fcc0, skip_20_95
7081 stxa %r6, [%r0] ASI_LSU_CONTROL
7082.align 512
7083skip_20_95:
7084 .word 0xd03fe012 ! 177: STD_I std %r8, [%r31 + 0x0012]
7085 .word 0xe1bfdb20 ! 181: STDFA_R stda %f16, [%r0, %r31]
7086intveclr_20_99:
7087 nop
7088 nop
7089 ta T_CHANGE_HPRIV
7090 setx 0x7557fca15c1400d9, %r1, %r28
7091 stxa %r28, [%g0] 0x72
7092 wrhpr %g0, 0x15b, %hpstate ! ta T_CHANGE_NONHPRIV
7093 .word 0x05400001 ! 185: FBPLG fblg
7094demap_20_101:
7095 nop
7096 mov 0x80, %g3
7097 ta T_CHANGE_HPRIV
7098 .word 0x3d400001 ! 1: FBPULE fbule,a,pn %fcc0, <label_0x1>
7099 stxa %g3, [%g3] 0x5f
7100 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7101 stxa %g3, [%g3] 0x5f
7102 stxa %g3, [%g3] 0x5f
7103 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7104 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7105 stxa %g3, [%g3] 0x5f
7106 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7107 wrhpr %g0, 0xd19, %hpstate ! ta T_CHANGE_NONHPRIV
7108 .word 0xd01fe180 ! 189: LDD_I ldd [%r31 + 0x0180], %r8
7109intveclr_20_102:
7110 nop
7111 nop
7112 ta T_CHANGE_HPRIV
7113 setx 0x4724e91c6121d802, %r1, %r28
7114 stxa %r28, [%g0] 0x72
7115 wrhpr %g0, 0x75b, %hpstate ! ta T_CHANGE_NONHPRIV
7116 .word 0x05400002 ! 193: FBPLG fblg
7117 nop
7118 nop
7119 ta T_CHANGE_HPRIV ! macro
7120donret_20_104:
7121 rd %pc, %r12
7122 mov HIGHVA_HIGHNUM, %r10
7123 sllx %r10, 32, %r10
7124 or %r12, %r10, %r12
7125 add %r12, (donretarg_20_104-donret_20_104+4), %r12
7126 add %r12, 0x4, %r11 ! seq tnpc
7127 wrpr %g0, 0x1, %tl
7128 wrpr %g0, %r12, %tpc
7129 wrpr %g0, %r11, %tnpc
7130 set (0x00212300 | (0x88 << 24)), %r13
7131 and %r12, 0xfff, %r14
7132 sllx %r14, 32, %r14
7133 or %r13, %r14, %r20
7134 wrpr %r20, %g0, %tstate
7135 wrhpr %g0, 0x686, %htstate
7136 best_set_reg(0x1c3, %g1, %g2)
7137 wrpr %g0, %g2, %pstate ! rand=0 (20)
7138 retry
7139donretarg_20_104:
7140 .word 0xd0ffd060 ! 197: SWAPA_R swapa %r8, [%r31 + %r0] 0x83
7141 fbul,a,pn %fcc0, skip_20_106
7142 brlez,a,pt %r8, skip_20_106
7143.align 4096
7144skip_20_106:
7145 .word 0x24c94001 ! 201: BRLEZ brlez,a,pt %r5,<label_0x94001>
7146 .word 0x8980000a ! 205: WRTICK_R wr %r0, %r10, %tick
7147iaw_20_111:
7148 nop
7149 nop
7150 ta T_CHANGE_HPRIV
7151 mov 8, %r18
7152 rd %asi, %r12
7153 wr %r0, 0x41, %asi
7154 set sync_thr_counter4, %r23
7155#ifndef SPC
7156 ldxa [%g0]0x63, %r8
7157 and %r8, 0x38, %r8 ! Core ID
7158#ifndef PORTABLE_CORE
7159 add %r8, %r23, %r23
7160#endif
7161#else
7162 mov 0, %r8
7163#endif
7164 mov 0x20, %r16
7165iaw_startwait20_111:
7166 cas [%r23],%g0,%r16 !lock
7167 brz,a %r16, continue_iaw_20_111
7168 mov (~0x20&0xf0), %r16
7169 ld [%r23], %r16
7170iaw_wait20_111:
7171 brnz %r16, iaw_wait20_111
7172 ld [%r23], %r16
7173 ba iaw_startwait20_111
7174 mov 0x20, %r16
7175continue_iaw_20_111:
7176 sllx %r16, %r8, %r16 !Mask for my core only
7177 ldxa [0x58]%asi, %r17 !Running_status
7178wait_for_stat_20_111:
7179 ldxa [0x50]%asi, %r13 !Running_rw
7180 cmp %r13, %r17
7181 bne,a %xcc, wait_for_stat_20_111
7182 ldxa [0x58]%asi, %r17 !Running_status
7183 stxa %r16, [0x68]%asi !Park (W1C)
7184 ldxa [0x50]%asi, %r14 !Running_rw
7185wait_for_iaw_20_111:
7186 ldxa [0x58]%asi, %r17 !Running_status
7187 cmp %r14, %r17
7188 bne,a %xcc, wait_for_iaw_20_111
7189 ldxa [0x50]%asi, %r14 !Running_rw
7190iaw_doit20_111:
7191 mov 0x38, %r18
7192iaw4_20_111:
7193 setx common_target, %r20, %r19
7194 or %r19, 0x1, %r19
7195 stxa %r19, [%r18]0x50
7196 stxa %r16, [0x60] %asi ! Unpark (W1S)
7197 st %g0, [%r23] !clear lock
7198 wr %r0, %r12, %asi ! restore %asi
7199 wrhpr %g0, 0x5d1, %hpstate ! ta T_CHANGE_NONHPRIV
7200 .word 0xe5e7d040 ! 209: CASA_I casa [%r31] 0x82, %r0, %r18
7201 .word 0x8980000a ! 213: WRTICK_R wr %r0, %r10, %tick
7202 .word 0x10800001 ! 1: BA ba <label_0x1>
7203 .word 0x8d903f67 ! 217: WRPR_PSTATE_I wrpr %r0, 0x1f67, %pstate
7204frzptr_20_119:
7205 nop
7206 nop
7207 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
7208 jmpl %r27, %r27
7209 .word 0x00800001 ! 221: BN bn <label_0x1>
7210 fbne,a,pn %fcc0, skip_20_122
7211 bgu,a skip_20_122
7212.align 2048
7213skip_20_122:
7214 .word 0xa7b2c4cb ! 225: FCMPNE32 fcmpne32 %d42, %d42, %r19
7215memptr_20_124:
7216 set 0x60340000, %r31
7217 .word 0x8581728a ! 229: WRCCR_I wr %r5, 0x128a, %ccr
7218demap_20_127:
7219 nop
7220 mov 0x80, %g3
7221 ta T_CHANGE_HPRIV
7222 stxa %r9, [%r0] ASI_LSU_CONTROL
7223 stxa %g3, [%g3] 0x57
7224 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7225 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7226 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7227 wrhpr %g0, 0xcc3, %hpstate ! ta T_CHANGE_NONHPRIV
7228 .word 0xd01fe030 ! 233: LDD_I ldd [%r31 + 0x0030], %r8
7229 nop
7230 nop
7231 mov 0x1, %r11
7232splash_cmpr_20_129:
7233 sllx %r11, 63, %r11
7234 not %r11, %r11
7235 rd %tick, %r10
7236#if (defined SPC || defined CMP1)
7237 add %r10, 0x200, %r10
7238#else
7239 add %r10, 0xc00, %r10
7240#endif
7241 and %r10, %r11, %r10
7242 ta T_CHANGE_PRIV
7243 rd %tick, %r10
7244#if (defined SPC || defined CMP1)
7245 add %r10, 0x200, %r10
7246#else
7247 add %r10, 0x900, %r10
7248#endif
7249 and %r10, %r11, %r10
7250 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
7251#if (defined SPC || defined CMP1)
7252!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_129)+8 , 16, 16)) -> intp(0,0,29,*,952,*,e6,1)
7253!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_129)&0xffffffff)+8 , 16, 16)) -> intp(5,0,4,*,1016,*,e6,1)
7254#endif
7255 wrhpr %g0, 0x0, %halt ! HALT
7256 .word 0x81b01021 ! 237: SIAM siam 1
7257 .word 0xc19fdf20 ! 241: LDDFA_R ldda [%r31, %r0], %f0
7258splash_lsu_20_132:
7259 nop
7260 nop
7261 ta T_CHANGE_HPRIV
7262 set 0x80c10c3a, %r2
7263 mov 0x7, %r1
7264 sllx %r1, 32, %r1
7265 or %r1, %r2, %r2
7266 .word 0x2c800001 ! 1: BNEG bneg,a <label_0x1>
7267 stxa %r2, [%r0] ASI_LSU_CONTROL
7268 ta T_CHANGE_NONHPRIV
7269 .word 0x1d400001 ! 245: FBPULE fbule
7270demap_20_133:
7271 nop
7272 mov 0x80, %g3
7273 ta T_CHANGE_HPRIV
7274 stxa %r17, [%r0] ASI_LSU_CONTROL
7275 stxa %g3, [%g3] 0x5f
7276 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7277 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7278 stxa %g3, [%g3] 0x57
7279 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7280 stxa %g3, [%g3] 0x57
7281 stxa %g3, [%g3] 0x57
7282 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7283 stxa %g3, [%g3] 0x5f
7284 stxa %g3, [%g3] 0x5f
7285 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7286 stxa %g3, [%g3] 0x5f
7287 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7288 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7289 stxa %g3, [%g3] 0x57
7290 wrhpr %g0, 0x1d2, %hpstate ! ta T_CHANGE_NONHPRIV
7291 .word 0xd01fe002 ! 249: LDD_I ldd [%r31 + 0x0002], %r8
7292ibp_20_135:
7293 nop
7294 nop
7295 .word 0x95a189a7 ! 253: FDIVs fdivs %f6, %f7, %f10
7296 .word 0x87802082 ! 257: WRASI_I wr %r0, 0x0082, %asi
7297splash_tba_20_139:
7298 nop
7299 ta T_CHANGE_PRIV
7300 set 0x120000, %r12
7301 .word 0x8b90000c ! 261: WRPR_TBA_R wrpr %r0, %r12, %tba
7302splash_hpstate_20_141:
7303 ta T_CHANGE_NONHPRIV
7304 .word 0x06c94001 ! 1: BRLZ brlz,pt %r5,<label_0x94001>
7305 .word 0x81982ac1 ! 265: WRHPR_HPSTATE_I wrhpr %r0, 0x0ac1, %hpstate
7306 .word 0xc19fde00 ! 269: LDDFA_R ldda [%r31, %r0], %f0
7307dvapa_20_144:
7308 nop
7309 nop
7310 ta T_CHANGE_HPRIV
7311 mov 0xea8, %r20
7312 mov 0x3, %r19
7313 sllx %r20, 23, %r20
7314 or %r19, %r20, %r19
7315 stxa %r19, [%g0] ASI_LSU_CONTROL
7316 mov 0x38, %r18
7317 stxa %r31, [%r18]0x58
7318 wrhpr %g0, 0xe80, %hpstate ! ta T_CHANGE_NONHPRIV
7319 .word 0xdb3fe1d0 ! 273: STDF_I std %f13, [0x01d0, %r31]
7320 .word 0xc19fc3e0 ! 277: LDDFA_R ldda [%r31, %r0], %f0
7321brcommon3_20_148:
7322 nop
7323 nop
7324 setx common_target, %r12, %r27
7325 lduw [%r27], %r12 ! Load common dest into dcache ..
7326 stuw %r12, [%r27] ! Load common dest into dcache ..
7327 ba,a .+12
7328 .word 0xdbe7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r13
7329 ba,a .+8
7330 jmpl %r27+0, %r27
7331 stxa %r10, [%r0] ASI_LSU_CONTROL
7332 .word 0xa9aac831 ! 281: FMOVGE fmovs %fcc1, %f17, %f20
7333 nop
7334 nop
7335 ta T_CHANGE_HPRIV ! macro
7336donret_20_150:
7337 rd %pc, %r12
7338 mov HIGHVA_HIGHNUM, %r10
7339 sllx %r10, 32, %r10
7340 or %r12, %r10, %r12
7341 add %r12, (donretarg_20_150-donret_20_150), %r12
7342 add %r12, 0x4, %r11 ! seq tnpc
7343 andn %r12, %r10, %r12 ! low VA tpc
7344 wrpr %g0, 0x1, %tl
7345 wrpr %g0, %r12, %tpc
7346 wrpr %g0, %r11, %tnpc
7347 set (0x005a7e00 | (0x58 << 24)), %r13
7348 and %r12, 0xfff, %r14
7349 sllx %r14, 32, %r14
7350 or %r13, %r14, %r20
7351 wrpr %r20, %g0, %tstate
7352 wrhpr %g0, 0x1b9b, %htstate
7353 best_set_reg(0x7d0, %g1, %g2)
7354 wrpr %g0, %g2, %pstate ! rand=0 (20)
7355 ldx [%r12+%r0], %g1
7356 retry
7357donretarg_20_150:
7358 .word 0xa5a509d0 ! 285: FDIVd fdivd %f20, %f16, %f18
7359jmptr_20_152:
7360 nop
7361 nop
7362 best_set_reg(0xe1200000, %r20, %r27)
7363 .word 0xb7c6c000 ! 289: JMPL_R jmpl %r27 + %r0, %r27
7364cancelint_20_156:
7365 rdhpr %halt, %r19
7366 .word 0x85880000 ! 293: ALLCLEAN <illegal instruction>
7367 nop
7368 nop
7369 ta T_CHANGE_HPRIV ! macro
7370donret_20_159:
7371 rd %pc, %r12
7372 mov HIGHVA_HIGHNUM, %r10
7373 sllx %r10, 32, %r10
7374 or %r12, %r10, %r12
7375 add %r12, (donretarg_20_159-donret_20_159+4), %r12
7376 add %r12, 0x4, %r11 ! seq tnpc
7377 andn %r12, %r10, %r12 ! low VA tpc
7378 wrpr %g0, 0x1, %tl
7379 wrpr %g0, %r12, %tpc
7380 wrpr %g0, %r11, %tnpc
7381 set (0x005bea00 | (32 << 24)), %r13
7382 and %r12, 0xfff, %r14
7383 sllx %r14, 32, %r14
7384 or %r13, %r14, %r20
7385 wrpr %r20, %g0, %tstate
7386 wrhpr %g0, 0x16cd, %htstate
7387 wrhpr %g0, 0x1da, %hpstate ! rand=1 (20)
7388 done
7389.align 128
7390donretarg_20_159:
7391 .word 0xd2ffd060 ! 297: SWAPA_R swapa %r9, [%r31 + %r0] 0x83
7392splash_lsu_20_162:
7393 nop
7394 nop
7395 ta T_CHANGE_HPRIV
7396 set 0xf6f3497f, %r2
7397 mov 0x6, %r1
7398 sllx %r1, 32, %r1
7399 or %r1, %r2, %r2
7400 stxa %r2, [%r0] ASI_LSU_CONTROL
7401 ta T_CHANGE_NONHPRIV
7402 .word 0x3d400001 ! 301: FBPULE fbule,a,pn %fcc0, <label_0x1>
7403demap_20_163:
7404 nop
7405 mov 0x80, %g3
7406 ta T_CHANGE_HPRIV
7407 .word 0x06800001 ! 1: BL bl <label_0x1>
7408 stxa %g3, [%g3] 0x5f
7409 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7410 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7411 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7412 stxa %g3, [%g3] 0x57
7413 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7414 wrhpr %g0, 0x21b, %hpstate ! ta T_CHANGE_NONHPRIV
7415 .word 0xd21fe145 ! 305: LDD_I ldd [%r31 + 0x0145], %r9
7416cancelint_20_164:
7417 rdhpr %halt, %r8
7418 .word 0x85880000 ! 309: ALLCLEAN <illegal instruction>
7419splash_lsu_20_166:
7420 nop
7421 nop
7422 ta T_CHANGE_HPRIV
7423 set 0x71d0787f, %r2
7424 mov 0x6, %r1
7425 sllx %r1, 32, %r1
7426 or %r1, %r2, %r2
7427 stxa %r2, [%r0] ASI_LSU_CONTROL
7428 ta T_CHANGE_NONHPRIV
7429 .word 0x3d400001 ! 313: FBPULE fbule,a,pn %fcc0, <label_0x1>
7430 .word 0xc32fc000 ! 317: STXFSR_R st-sfr %f1, [%r0, %r31]
7431 .word 0xd647e198 ! 321: LDSW_I ldsw [%r31 + 0x0198], %r11
7432 .word 0xe1bfe0e0 ! 325: STDFA_I stda %f16, [0x00e0, %r31]
7433brcommon3_20_173:
7434 nop
7435 nop
7436 setx common_target, %r12, %r27
7437 lduw [%r27], %r12 ! Load common dest into dcache ..
7438 stuw %r12, [%r27] ! Load common dest into dcache ..
7439 ba,a .+12
7440 .word 0xd737e040 ! 1: STQF_I - %f11, [0x0040, %r31]
7441 ba,a .+8
7442 jmpl %r27+0, %r27
7443 stxa %r6, [%r0] ASI_LSU_CONTROL
7444 .word 0x97aac831 ! 329: FMOVGE fmovs %fcc1, %f17, %f11
7445splash_lsu_20_175:
7446 nop
7447 nop
7448 ta T_CHANGE_HPRIV
7449 set 0x0e6fe81a, %r2
7450 mov 0x4, %r1
7451 sllx %r1, 32, %r1
7452 or %r1, %r2, %r2
7453 stxa %r2, [%r0] ASI_LSU_CONTROL
7454 ta T_CHANGE_NONHPRIV
7455 .word 0x3d400002 ! 333: FBPULE fbule,a,pn %fcc0, <label_0x2>
7456splash_lsu_20_178:
7457 nop
7458 nop
7459 ta T_CHANGE_HPRIV
7460 set 0x9fdec718, %r2
7461 mov 0x1, %r1
7462 sllx %r1, 32, %r1
7463 or %r1, %r2, %r2
7464 stxa %r2, [%r0] ASI_LSU_CONTROL
7465 ta T_CHANGE_NONHPRIV
7466 .word 0x1d400001 ! 337: FBPULE fbule
7467 .word 0xe63fe0b0 ! 341: STD_I std %r19, [%r31 + 0x00b0]
7468jmptr_20_184:
7469 nop
7470 nop
7471 best_set_reg(0xe0200000, %r20, %r27)
7472 .word 0xb7c6c000 ! 345: JMPL_R jmpl %r27 + %r0, %r27
7473 .word 0x91d02033 ! 349: Tcc_I ta icc_or_xcc, %r0 + 51
7474splash_lsu_20_188:
7475 nop
7476 nop
7477 ta T_CHANGE_HPRIV
7478 set 0x8aa88cc3, %r2
7479 mov 0x7, %r1
7480 sllx %r1, 32, %r1
7481 or %r1, %r2, %r2
7482 .word 0x2acb4001 ! 1: BRNZ brnz,a,pt %r13,<label_0xb4001>
7483 stxa %r2, [%r0] ASI_LSU_CONTROL
7484 ta T_CHANGE_NONHPRIV
7485 .word 0x1d400001 ! 353: FBPULE fbule
7486memptr_20_191:
7487 set 0x60140000, %r31
7488 .word 0x8584b349 ! 357: WRCCR_I wr %r18, 0x1349, %ccr
7489 .word 0xe69fe010 ! 361: LDDA_I ldda [%r31, + 0x0010] %asi, %r19
7490 .word 0xe677e0c0 ! 365: STX_I stx %r19, [%r31 + 0x00c0]
7491 .word 0x2a800001 ! 369: BCS bcs,a <label_0x1>
7492 fbuge,a,pn %fcc0, skip_20_198
7493 stxa %r16, [%r0] ASI_LSU_CONTROL
7494 .word 0x87ac4a53 ! 1: FCMPd fcmpd %fcc<n>, %f48, %f50
7495 stxa %r7, [%r0] ASI_LSU_CONTROL
7496.align 2048
7497skip_20_198:
7498 .word 0xf16fe0fc ! 373: PREFETCH_I prefetch [%r31 + 0x00fc], #24
7499cancelint_20_200:
7500 rdhpr %halt, %r17
7501 .word 0x85880000 ! 377: ALLCLEAN <illegal instruction>
7502 .word 0xd537e070 ! 381: STQF_I - %f10, [0x0070, %r31]
7503 .word 0x8980000a ! 385: WRTICK_R wr %r0, %r10, %tick
7504 nop
7505 nop
7506 set 0xadd05771, %r28 !TTID : 7 (mask2tid(0x20))
7507#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7508 sethi %hi(0x3800), %r27
7509 andn %r28, %r27, %r28
7510#ifdef PORTABLE_CORE
7511 ! Add CID to vector
7512 ta T_CHANGE_HPRIV
7513 ldxa [%g0]0x63, %r27
7514 sllx %r27, 8, %r27
7515 or %r27, %r28, %r28
7516#endif
7517#else
7518 ! Add CID IF tid matches
7519ifelse(7,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
7520#endif
7521 sethi %hi(0x30000), %r27
7522 andn %r28, %r27, %r28
7523 ta T_CHANGE_HPRIV
7524ifelse(7,mask2tid(0x20),`.align 16')
7525 stxa %r28, [%g0] 0x73
7526intvec_20_207:
7527 .word 0x9bb404d4 ! 389: FCMPNE32 fcmpne32 %d16, %d20, %r13
7528intveclr_20_209:
7529 nop
7530 nop
7531 ta T_CHANGE_HPRIV
7532 setx 0xd01126b8c0298ac1, %r1, %r28
7533 stxa %r28, [%g0] 0x72
7534 wrhpr %g0, 0xa08, %hpstate ! ta T_CHANGE_NONHPRIV
7535 .word 0x05400001 ! 393: FBPLG fblg
7536 ble,a skip_20_212
7537 stxa %r17, [%r0] ASI_LSU_CONTROL
7538 fbule skip_20_212
7539 stxa %r10, [%r0] ASI_LSU_CONTROL
7540.align 1024
7541skip_20_212:
7542 .word 0xe1e7d060 ! 397: CASA_I casa [%r31] 0x83, %r0, %r16
7543brcommon3_20_215:
7544 nop
7545 nop
7546 setx common_target, %r12, %r27
7547 lduw [%r27-0], %r12 ! Load common dest into dcache ..
7548 stuw %r12, [%r27-4] ! Load common dest into dcache ..
7549 ba,a .+12
7550 .word 0xe1e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r16
7551 ba,a .+8
7552 jmpl %r27+0, %r27
7553 .word 0x819828cd ! 401: WRHPR_HPSTATE_I wrhpr %r0, 0x08cd, %hpstate
7554 .word 0xe03fe1a0 ! 405: STD_I std %r16, [%r31 + 0x01a0]
7555 .word 0xa5b24592 ! 409: FCMPGT32 fcmpgt32 %d40, %d18, %r18
7556 nop
7557 nop
7558 ta T_CHANGE_HPRIV
7559 mov 0x1, %r11
7560splash_cmpr_20_220:
7561 sllx %r11, 63, %r11
7562 not %r11, %r11
7563 rd %tick, %r10
7564#if (defined SPC || defined CMP1)
7565 add %r10, 0x150, %r10
7566#else
7567 add %r10, 0x550, %r10
7568#endif
7569 and %r10, %r11, %r10
7570 wrhpr %r10, %g0, %hsys_tick_cmpr
7571 wrhpr %g0, 0x0, %halt ! HALT
7572 ta T_CHANGE_PRIV
7573 rd %tick, %r10
7574#if (defined SPC || defined CMP1)
7575 add %r10, 0x200, %r10
7576#else
7577 add %r10, 0x550, %r10
7578#endif
7579 and %r10, %r11, %r10
7580 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
7581#if (defined SPC || defined CMP1)
7582!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_220)+8 , 16, 16)) -> intp(5,0,29,*,952,*,23,1)
7583!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_220)&0xffffffff)+8 , 16, 16)) -> intp(6,0,6,*,752,*,23,1)
7584#endif
7585 wrhpr %g0, 0x0, %halt ! HALT
7586 .word 0x81983ac7 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1ac7, %hpstate
7587 .word 0x81b01021 ! 413: SIAM siam 1
7588intveclr_20_222:
7589 nop
7590 nop
7591 ta T_CHANGE_HPRIV
7592 setx 0xf873a504f8075b03, %r1, %r28
7593 stxa %r28, [%g0] 0x72
7594 .word 0x25400002 ! 417: FBPLG fblg,a,pn %fcc0, <label_0x2>
7595#if (defined SPC || defined CMP1)
7596!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_20_226) , 16, 16)) -> intp(1,0,14,*,736,*,67,1)
7597!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_20_226)&0xffffffff) , 16, 16)) -> intp(5,0,31,*,984,*,67,1)
7598#else
7599 nop
7600 nop
7601 set 0xd240cadf, %r28 !TTID : 2 (mask2tid(0x20))
7602#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7603 sethi %hi(0x3800), %r27
7604 andn %r28, %r27, %r28
7605#ifdef PORTABLE_CORE
7606 ! Add CID to vector
7607 ta T_CHANGE_HPRIV
7608 ldxa [%g0]0x63, %r27
7609 sllx %r27, 8, %r27
7610 or %r27, %r28, %r28
7611#endif
7612#else
7613 ! Add CID IF tid matches
7614ifelse(2,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
7615#endif
7616ifelse(2,mask2tid(0x20),`.align 16')
7617 stxa %r28, [%g0] 0x73
7618#endif
7619intvec_20_226:
7620 .word 0x93b104c2 ! 421: FCMPNE32 fcmpne32 %d4, %d2, %r9
7621cancelint_20_228:
7622 rdhpr %halt, %r9
7623 .word 0x85880000 ! 425: ALLCLEAN <illegal instruction>
7624 nop
7625 nop
7626 ta T_CHANGE_HPRIV ! macro
7627donret_20_231:
7628 rd %pc, %r12
7629 mov HIGHVA_HIGHNUM, %r10
7630 sllx %r10, 32, %r10
7631 or %r12, %r10, %r12
7632 add %r12, (donretarg_20_231-donret_20_231+4), %r12
7633 add %r12, 0x4, %r11 ! seq tnpc
7634 andn %r11, %r10, %r11 ! low VA tnpc
7635 wrpr %g0, 0x1, %tl
7636 wrpr %g0, %r12, %tpc
7637 wrpr %g0, %r11, %tnpc
7638 set (0x00cd4400 | (54 << 24)), %r13
7639 and %r12, 0xfff, %r14
7640 sllx %r14, 32, %r14
7641 or %r13, %r14, %r20
7642 wrpr %r20, %g0, %tstate
7643 wrhpr %g0, 0x154f, %htstate
7644 best_set_reg(0x1342, %g1, %g2)
7645 wrpr %g0, %g2, %pstate ! rand=0 (20)
7646 done
7647.align 128
7648donretarg_20_231:
7649 .word 0x8d90270b ! 429: WRPR_PSTATE_I wrpr %r0, 0x070b, %pstate
7650 .word 0xc19fc3e0 ! 433: LDDFA_R ldda [%r31, %r0], %f0
7651 .word 0xe917c000 ! 437: LDQF_R - [%r31, %r0], %f20
7652 .word 0xe827e1c4 ! 441: STW_I stw %r20, [%r31 + 0x01c4]
7653 .word 0x91698014 ! 445: SDIVX_R sdivx %r6, %r20, %r8
7654 .word 0x8980000a ! 449: WRTICK_R wr %r0, %r10, %tick
7655 nop
7656 nop
7657 ta T_CHANGE_HPRIV ! macro
7658donret_20_240:
7659 rd %pc, %r12
7660 mov HIGHVA_HIGHNUM, %r10
7661 sllx %r10, 32, %r10
7662 or %r12, %r10, %r12
7663 add %r12, (donretarg_20_240-donret_20_240), %r12
7664 add %r12, 0x8, %r11 ! nonseq tnpc
7665 wrpr %g0, 0x2, %tl
7666 wrpr %g0, %r12, %tpc
7667 wrpr %g0, %r11, %tnpc
7668 set (0x00a26d00 | (0x83 << 24)), %r13
7669 and %r12, 0xfff, %r14
7670 sllx %r14, 32, %r14
7671 or %r13, %r14, %r20
7672 wrpr %r20, %g0, %tstate
7673 wrhpr %g0, 0x1f4d, %htstate
7674 best_set_reg(0x292, %g1, %g2)
7675 wrpr %g0, %g2, %pstate ! rand=0 (20)
7676 .word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
7677 ldx [%r12+%r0], %g1
7678 retry
7679.align 128
7680donretarg_20_240:
7681 .word 0x819837cd ! 453: WRHPR_HPSTATE_I wrhpr %r0, 0x17cd, %hpstate
7682 nop
7683 nop
7684 ta T_CHANGE_HPRIV ! macro
7685donret_20_243:
7686 rd %pc, %r12
7687 mov HIGHVA_HIGHNUM, %r10
7688 sllx %r10, 32, %r10
7689 or %r12, %r10, %r12
7690 add %r12, (donretarg_20_243-donret_20_243+4), %r12
7691 add %r12, 0x4, %r11 ! seq tnpc
7692 andn %r12, %r10, %r12 ! low VA tpc
7693 wrpr %g0, 0x2, %tl
7694 wrpr %g0, %r12, %tpc
7695 wrpr %g0, %r11, %tnpc
7696 set (0x00e48d00 | (0x55 << 24)), %r13
7697 and %r12, 0xfff, %r14
7698 sllx %r14, 32, %r14
7699 or %r13, %r14, %r20
7700 wrpr %r20, %g0, %tstate
7701 wrhpr %g0, 0x1785, %htstate
7702 wrhpr %g0, 0x698, %hpstate ! rand=1 (20)
7703 ldx [%r11+%r0], %g1
7704 done
7705donretarg_20_243:
7706 .word 0xe86fe1fd ! 457: LDSTUB_I ldstub %r20, [%r31 + 0x01fd]
7707splash_hpstate_20_246:
7708 .word 0x8198228b ! 461: WRHPR_HPSTATE_I wrhpr %r0, 0x028b, %hpstate
7709 fbul skip_20_249
7710 fbuge,a,pn %fcc0, skip_20_249
7711.align 2048
7712skip_20_249:
7713 .word 0x39400001 ! 465: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7714 .word 0xe1bfc3e0 ! 469: STDFA_R stda %f16, [%r0, %r31]
7715intveclr_20_250:
7716 nop
7717 nop
7718 ta T_CHANGE_HPRIV
7719 setx 0xb0d24ff98591792b, %r1, %r28
7720 stxa %r28, [%g0] 0x72
7721 .word 0x25400001 ! 473: FBPLG fblg,a,pn %fcc0, <label_0x1>
7722intveclr_20_253:
7723 nop
7724 nop
7725 ta T_CHANGE_HPRIV
7726 setx 0x331fbab1480f459f, %r1, %r28
7727 stxa %r28, [%g0] 0x72
7728 .word 0x05400001 ! 477: FBPLG fblg
7729 fblg,a,pn %fcc0, skip_20_254
7730 stxa %r18, [%r0] ASI_LSU_CONTROL
7731 fble skip_20_254
7732 stxa %r12, [%r0] ASI_LSU_CONTROL
7733.align 128
7734skip_20_254:
7735 .word 0xf16fe131 ! 481: PREFETCH_I prefetch [%r31 + 0x0131], #24
7736cancelint_20_256:
7737 rdhpr %halt, %r20
7738 .word 0x85880000 ! 485: ALLCLEAN <illegal instruction>
7739demap_20_258:
7740 nop
7741 mov 0x80, %g3
7742 ta T_CHANGE_HPRIV
7743 stxa %r12, [%r0] ASI_LSU_CONTROL
7744 stxa %g3, [%g3] 0x5f
7745 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7746 stxa %g3, [%g3] 0x57
7747 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7748 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7749 stxa %g3, [%g3] 0x57
7750 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7751 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7752 stxa %g3, [%g3] 0x5f
7753 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7754 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7755 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7756 stxa %g3, [%g3] 0x57
7757 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7758 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7759 stxa %g3, [%g3] 0x5f
7760 stxa %g3, [%g3] 0x57
7761 wrhpr %g0, 0x5d9, %hpstate ! ta T_CHANGE_NONHPRIV
7762 .word 0xe01fe169 ! 489: LDD_I ldd [%r31 + 0x0169], %r16
7763splash_lsu_20_260:
7764 nop
7765 nop
7766 ta T_CHANGE_HPRIV
7767 set 0xb3f77637, %r2
7768 mov 0x2, %r1
7769 sllx %r1, 32, %r1
7770 or %r1, %r2, %r2
7771 stxa %r2, [%r0] ASI_LSU_CONTROL
7772 .word 0x1d400001 ! 493: FBPULE fbule
7773dvapa_20_263:
7774 nop
7775 nop
7776 ta T_CHANGE_HPRIV
7777 mov 0xd8c, %r20
7778 mov 0x3, %r19
7779 sllx %r20, 23, %r20
7780 or %r19, %r20, %r19
7781 stxa %r19, [%g0] ASI_LSU_CONTROL
7782 mov 0x38, %r18
7783 stxa %r31, [%r18]0x58
7784 wrhpr %g0, 0xe53, %hpstate ! ta T_CHANGE_NONHPRIV
7785 .word 0xe0dfc380 ! 497: LDXA_R ldxa [%r31, %r0] 0x1c, %r16
7786 brlz,a,pt %r4, skip_20_265
7787 bn skip_20_265
7788.align 512
7789skip_20_265:
7790 .word 0x39400001 ! 501: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7791 .word 0xe897c3c0 ! 505: LDUHA_R lduha [%r31, %r0] 0x1e, %r20
7792 .word 0x8980000a ! 509: WRTICK_R wr %r0, %r10, %tick
7793splash_lsu_20_273:
7794 nop
7795 nop
7796 ta T_CHANGE_HPRIV
7797 set 0xd6475f6c, %r2
7798 mov 0x7, %r1
7799 sllx %r1, 32, %r1
7800 or %r1, %r2, %r2
7801 stxa %r2, [%r0] ASI_LSU_CONTROL
7802 .word 0x3d400001 ! 513: FBPULE fbule,a,pn %fcc0, <label_0x1>
7803splash_tba_20_275:
7804 nop
7805 ta T_CHANGE_PRIV
7806 setx 0x0000000000380000, %r11, %r12
7807 .word 0x8b90000c ! 517: WRPR_TBA_R wrpr %r0, %r12, %tba
7808 .word 0x8d902965 ! 521: WRPR_PSTATE_I wrpr %r0, 0x0965, %pstate
7809demap_20_278:
7810 nop
7811 mov 0x80, %g3
7812 ta T_CHANGE_HPRIV
7813 .word 0x06800002 ! 1: BL bl <label_0x2>
7814 stxa %g3, [%g3] 0x5f
7815 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7816 stxa %g3, [%g3] 0x5f
7817 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7818 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7819 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7820 stxa %g3, [%g3] 0x57
7821 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7822 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
7823 stxa %g3, [%g3] 0x5f
7824 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
7825 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
7826 wrhpr %g0, 0xa4b, %hpstate ! ta T_CHANGE_NONHPRIV
7827 .word 0xe81fe094 ! 525: LDD_I ldd [%r31 + 0x0094], %r20
7828 .word 0xc32fc000 ! 1: STXFSR_R st-sfr %f1, [%r0, %r31]
7829 .word 0xa9a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f20
7830 mov 0xb0, %r30
7831 .word 0x93d0001e ! 529: Tcc_R tne icc_or_xcc, %r0 + %r30
7832splash_htba_20_280:
7833 nop
7834 ta T_CHANGE_HPRIV
7835 setx 0x0000000200280000, %r11, %r12
7836 .word 0x8b98000c ! 533: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
7837 fbge,a,pn %fcc0, skip_20_281
7838 fble skip_20_281
7839.align 4096
7840skip_20_281:
7841 .word 0x24c8c001 ! 537: BRLEZ brlez,a,pt %r3,<label_0x8c001>
7842 .word 0xd4800b00 ! 541: LDUWA_R lduwa [%r0, %r0] 0x58, %r10
7843memptr_20_283:
7844 set 0x60340000, %r31
7845 .word 0x8584a782 ! 545: WRCCR_I wr %r18, 0x0782, %ccr
7846 .word 0xd407c000 ! 549: LDUW_R lduw [%r31 + %r0], %r10
7847 .word 0x36800001 ! 553: BGE bge,a <label_0x1>
7848 .word 0x91950010 ! 557: WRPR_PIL_R wrpr %r20, %r16, %pil
7849memptr_20_292:
7850 set 0x60540000, %r31
7851 .word 0x85847396 ! 561: WRCCR_I wr %r17, 0x1396, %ccr
7852 .word 0xd48008a0 ! 565: LDUWA_R lduwa [%r0, %r0] 0x45, %r10
7853 bge,a skip_20_297
7854 bl,a skip_20_297
7855.align 1024
7856skip_20_297:
7857 .word 0x19400002 ! 569: FBPUGE fbuge
7858 brlez,pt %r18, skip_20_299
7859 stxa %r11, [%r0] ASI_LSU_CONTROL
7860 brlez,pn %r18, skip_20_299
7861 stxa %r20, [%r0] ASI_LSU_CONTROL
7862.align 128
7863skip_20_299:
7864 .word 0xe23fe198 ! 573: STD_I std %r17, [%r31 + 0x0198]
7865jmptr_20_302:
7866 nop
7867 nop
7868 best_set_reg(0xe1200000, %r20, %r27)
7869 .word 0xb7c6c000 ! 577: JMPL_R jmpl %r27 + %r0, %r27
7870memptr_20_303:
7871 set user_data_start, %r31
7872 .word 0x8582ace9 ! 581: WRCCR_I wr %r10, 0x0ce9, %ccr
7873splash_hpstate_20_306:
7874 .word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
7875 .word 0x81983717 ! 585: WRHPR_HPSTATE_I wrhpr %r0, 0x1717, %hpstate
7876 .word 0xa190200d ! 589: WRPR_GL_I wrpr %r0, 0x000d, %-
7877#if (defined SPC || defined CMP1)
7878!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_20_312) , 16, 16)) -> intp(4,0,7,*,696,*,f3,1)
7879!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_20_312)&0xffffffff) , 16, 16)) -> intp(2,0,27,*,904,*,f3,1)
7880#else
7881 nop
7882 nop
7883 set 0xe0206605, %r28 !TTID : 6 (mask2tid(0x20))
7884#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7885 sethi %hi(0x3800), %r27
7886 andn %r28, %r27, %r28
7887#ifdef PORTABLE_CORE
7888 ! Add CID to vector
7889 ta T_CHANGE_HPRIV
7890 ldxa [%g0]0x63, %r27
7891 sllx %r27, 8, %r27
7892 or %r27, %r28, %r28
7893#endif
7894#else
7895 ! Add CID IF tid matches
7896ifelse(6,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
7897#endif
7898ifelse(6,mask2tid(0x20),`.align 16')
7899 stxa %r28, [%g0] 0x73
7900#endif
7901intvec_20_312:
7902 .word 0x95b404d3 ! 593: FCMPNE32 fcmpne32 %d16, %d50, %r10
7903 .word 0xc19fe020 ! 597: LDDFA_I ldda [%r31, 0x0020], %f0
7904brcommon3_20_318:
7905 nop
7906 nop
7907 setx common_target, %r12, %r27
7908 lduw [%r27-0], %r12 ! Load common dest into dcache ..
7909 stuw %r12, [%r27-4] ! Load common dest into dcache ..
7910 ba,a .+12
7911 .word 0xda6fe180 ! 1: LDSTUB_I ldstub %r13, [%r31 + 0x0180]
7912 ba,a .+8
7913 jmpl %r27+0, %r27
7914 .word 0x8d90240a ! 601: WRPR_PSTATE_I wrpr %r0, 0x040a, %pstate
7915splash_htba_20_320:
7916 nop
7917 ta T_CHANGE_HPRIV
7918 setx 0x0000000000280000, %r11, %r12
7919 .word 0x8b98000c ! 605: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
7920 brlz,pt %r20, skip_20_322
7921 bge,a skip_20_322
7922.align 512
7923skip_20_322:
7924 .word 0x19400001 ! 609: FBPUGE fbuge
7925 fbn skip_20_324
7926 .word 0x93a489ca ! 1: FDIVd fdivd %f18, %f10, %f40
7927.align 2048
7928skip_20_324:
7929 .word 0x87aa8a43 ! 613: FCMPd fcmpd %fcc<n>, %f10, %f34
7930 .word 0xc19fc2c0 ! 617: LDDFA_R ldda [%r31, %r0], %f0
7931memptr_20_329:
7932 set 0x60140000, %r31
7933 .word 0x858473ac ! 621: WRCCR_I wr %r17, 0x13ac, %ccr
7934frzptr_20_330:
7935 nop
7936 nop
7937 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
7938 ldx [%r27+0xc], %r20
7939 jmpl %r27+4, %r27
7940 .word 0xf16fe130 ! 1: PREFETCH_I prefetch [%r31 + 0x0130], #24
7941 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
7942 jmpl %r27, %r27
7943 .word 0x20800001 ! 625: BN bn,a <label_0x1>
7944brcommon1_20_333:
7945 nop
7946 nop
7947 setx common_target, %r12, %r27
7948 lduw [%r27-0], %r12 ! Load common dest into dcache ..
7949 stuw %r12, [%r27-0] ! Load common dest into dcache ..
7950 ba,a .+12
7951 .word 0xd9e7c200 ! 1: CASA_I casa [%r31] 0x10, %r0, %r12
7952 ba,a .+8
7953 jmpl %r27-4, %r27
7954 .word 0xa3a409a8 ! 629: FDIVs fdivs %f16, %f8, %f17
7955 .word 0xe63fc000 ! 633: STD_R std %r19, [%r31 + %r0]
7956brcommon3_20_338:
7957 nop
7958 nop
7959 setx common_target, %r12, %r27
7960 lduw [%r27-0], %r12 ! Load common dest into dcache ..
7961 stuw %r12, [%r27-0] ! Load common dest into dcache ..
7962 ba,a .+12
7963 .word 0xe66fe180 ! 1: LDSTUB_I ldstub %r19, [%r31 + 0x0180]
7964 ba,a .+8
7965 jmpl %r27-0, %r27
7966 .word 0xe71fe180 ! 637: LDDF_I ldd [%r31, 0x0180], %f19
7967#if (defined SPC || defined CMP1)
7968!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_20_340) , 16, 16)) -> intp(6,0,24,*,672,*,b5,1)
7969!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_20_340)&0xffffffff) , 16, 16)) -> intp(4,0,26,*,712,*,b5,1)
7970#else
7971 nop
7972 nop
7973 set 0xeb7002c2, %r28 !TTID : 2 (mask2tid(0x20))
7974#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7975 sethi %hi(0x3800), %r27
7976 andn %r28, %r27, %r28
7977#ifdef PORTABLE_CORE
7978 ! Add CID to vector
7979 ta T_CHANGE_HPRIV
7980 ldxa [%g0]0x63, %r27
7981 sllx %r27, 8, %r27
7982 or %r27, %r28, %r28
7983#endif
7984#else
7985 ! Add CID IF tid matches
7986ifelse(2,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
7987#endif
7988ifelse(2,mask2tid(0x20),`.align 16')
7989 stxa %r28, [%g0] 0x73
7990#endif
7991intvec_20_340:
7992#if (defined SPC || defined CMP1)
7993 wrhpr %g0, 0x0, %halt ! HALT
7994#else
7995ifelse(2,mask2tid(0x20),`wrhpr %g0, 0x0, %halt ! HALT')
7996#endif
7997 .word 0xa1b504d4 ! 641: FCMPNE32 fcmpne32 %d20, %d20, %r16
7998 .word 0xe0d7c3c0 ! 645: LDSHA_R ldsha [%r31, %r0] 0x1e, %r16
7999 .word 0xc30fc000 ! 649: LDXFSR_R ld-fsr [%r31, %r0], %f1
8000cancelint_20_343:
8001 rdhpr %halt, %r17
8002 .word 0x85880000 ! 653: ALLCLEAN <illegal instruction>
8003 nop
8004 nop
8005 ta T_CHANGE_HPRIV ! macro
8006donret_20_346:
8007 rd %pc, %r12
8008 mov HIGHVA_HIGHNUM, %r10
8009 sllx %r10, 32, %r10
8010 or %r12, %r10, %r12
8011 add %r12, (donretarg_20_346-donret_20_346), %r12
8012 add %r12, 0x4, %r11 ! seq tnpc
8013 andn %r12, %r10, %r12 ! low VA tpc
8014 wrpr %g0, 0x1, %tl
8015 wrpr %g0, %r12, %tpc
8016 wrpr %g0, %r11, %tnpc
8017 set (0x00a7c800 | (4 << 24)), %r13
8018 and %r12, 0xfff, %r14
8019 sllx %r14, 32, %r14
8020 or %r13, %r14, %r20
8021 wrpr %r20, %g0, %tstate
8022 wrhpr %g0, 0x64d, %htstate
8023 best_set_reg(0x1558, %g1, %g2)
8024 wrpr %g0, %g2, %pstate ! rand=0 (20)
8025 ldx [%r12+%r0], %g1
8026 retry
8027.align 128
8028donretarg_20_346:
8029 .word 0xd06fe0ae ! 657: LDSTUB_I ldstub %r8, [%r31 + 0x00ae]
8030 nop
8031 nop
8032 set 0xb0e093d2, %r28 !TTID : 3 (mask2tid(0x20))
8033#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8034 sethi %hi(0x3800), %r27
8035 andn %r28, %r27, %r28
8036#ifdef PORTABLE_CORE
8037 ! Add CID to vector
8038 ta T_CHANGE_HPRIV
8039 ldxa [%g0]0x63, %r27
8040 sllx %r27, 8, %r27
8041 or %r27, %r28, %r28
8042#endif
8043#else
8044 ! Add CID IF tid matches
8045ifelse(3,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
8046#endif
8047 sethi %hi(0x30000), %r27
8048 andn %r28, %r27, %r28
8049 ta T_CHANGE_HPRIV
8050ifelse(3,mask2tid(0x20),`.align 16')
8051 stxa %r28, [%g0] 0x73
8052intvec_20_349:
8053 .word 0x19400002 ! 661: FBPUGE fbuge
8054demap_20_352:
8055 nop
8056 mov 0x80, %g3
8057 ta T_CHANGE_HPRIV
8058 .word 0x2b400001 ! 1: FBPUG fbug,a,pn %fcc0, <label_0x1>
8059 stxa %g3, [%g3] 0x5f
8060 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8061 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8062 stxa %g3, [%g3] 0x57
8063 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8064 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8065 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8066 stxa %g3, [%g3] 0x5f
8067 stxa %g3, [%g3] 0x57
8068 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8069 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8070 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8071 wrhpr %g0, 0x40, %hpstate ! ta T_CHANGE_NONHPRIV
8072 .word 0xe01fe1ec ! 665: LDD_I ldd [%r31 + 0x01ec], %r16
8073 .word 0xc32fc000 ! 669: STXFSR_R st-sfr %f1, [%r0, %r31]
8074 .word 0x2f400002 ! 1: FBPU fbu,a,pn %fcc0, <label_0x2>
8075 .word 0x8d9022f5 ! 673: WRPR_PSTATE_I wrpr %r0, 0x02f5, %pstate
8076 .word 0xe1bfdf00 ! 677: STDFA_R stda %f16, [%r0, %r31]
8077splash_lsu_20_359:
8078 nop
8079 nop
8080 ta T_CHANGE_HPRIV
8081 set 0x8006d02f, %r2
8082 mov 0x2, %r1
8083 sllx %r1, 32, %r1
8084 or %r1, %r2, %r2
8085 .word 0x27400001 ! 1: FBPUL fbul,a,pn %fcc0, <label_0x1>
8086 stxa %r2, [%r0] ASI_LSU_CONTROL
8087 ta T_CHANGE_NONHPRIV
8088 .word 0x1d400001 ! 681: FBPULE fbule
8089 bvs skip_20_362
8090 stxa %r15, [%r0] ASI_LSU_CONTROL
8091 .word 0x95b444d0 ! 1: FCMPNE32 fcmpne32 %d48, %d16, %r10
8092 stxa %r19, [%r0] ASI_LSU_CONTROL
8093.align 128
8094skip_20_362:
8095 .word 0xf16fe103 ! 685: PREFETCH_I prefetch [%r31 + 0x0103], #24
8096demap_20_364:
8097 nop
8098 mov 0x80, %g3
8099 ta T_CHANGE_HPRIV
8100 stxa %r17, [%r0] ASI_LSU_CONTROL
8101 stxa %g3, [%g3] 0x57
8102 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8103 stxa %g3, [%g3] 0x5f
8104 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8105 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8106 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8107 stxa %g3, [%g3] 0x57
8108 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8109 wrhpr %g0, 0xd50, %hpstate ! ta T_CHANGE_NONHPRIV
8110 .word 0xe01fe0c1 ! 689: LDD_I ldd [%r31 + 0x00c1], %r16
8111 .word 0xe027e188 ! 693: STW_I stw %r16, [%r31 + 0x0188]
8112dvapa_20_368:
8113 nop
8114 nop
8115 ta T_CHANGE_HPRIV
8116 mov 0xaff, %r20
8117 mov 0x1, %r19
8118 sllx %r20, 23, %r20
8119 or %r19, %r20, %r19
8120 stxa %r19, [%g0] ASI_LSU_CONTROL
8121 mov 0x38, %r18
8122 stxa %r31, [%r18]0x58
8123 wrhpr %g0, 0xc89, %hpstate ! ta T_CHANGE_NONHPRIV
8124 .word 0xe11fe0f0 ! 697: LDDF_I ldd [%r31, 0x00f0], %f16
8125splash_hpstate_20_372:
8126 ta T_CHANGE_NONHPRIV
8127 .word 0x81982d1f ! 701: WRHPR_HPSTATE_I wrhpr %r0, 0x0d1f, %hpstate
8128memptr_20_374:
8129 set 0x60340000, %r31
8130 .word 0x85807f3f ! 705: WRCCR_I wr %r1, 0x1f3f, %ccr
8131splash_tba_20_375:
8132 nop
8133 ta T_CHANGE_PRIV
8134 set 0x120000, %r12
8135 .word 0x8b90000c ! 709: WRPR_TBA_R wrpr %r0, %r12, %tba
8136 nop
8137 nop
8138 set 0x72700649, %r28 !TTID : 6 (mask2tid(0x20))
8139#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8140 sethi %hi(0x3800), %r27
8141 andn %r28, %r27, %r28
8142#ifdef PORTABLE_CORE
8143 ! Add CID to vector
8144 ta T_CHANGE_HPRIV
8145 ldxa [%g0]0x63, %r27
8146 sllx %r27, 8, %r27
8147 or %r27, %r28, %r28
8148#endif
8149#else
8150 ! Add CID IF tid matches
8151ifelse(6,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
8152#endif
8153 sethi %hi(0x30000), %r27
8154 andn %r28, %r27, %r28
8155 ta T_CHANGE_HPRIV
8156ifelse(6,mask2tid(0x20),`.align 16')
8157 stxa %r28, [%g0] 0x73
8158intvec_20_377:
8159 .word 0x91a2c9c1 ! 713: FDIVd fdivd %f42, %f32, %f8
8160 .word 0xe80fe038 ! 717: LDUB_I ldub [%r31 + 0x0038], %r20
8161demap_20_381:
8162 nop
8163 mov 0x80, %g3
8164 ta T_CHANGE_HPRIV
8165 stxa %r16, [%r0] ASI_LSU_CONTROL
8166 stxa %g3, [%g3] 0x57
8167 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8168 stxa %g3, [%g3] 0x57
8169 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8170 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8171 stxa %g3, [%g3] 0x5f
8172 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8173 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8174 stxa %g3, [%g3] 0x5f
8175 stxa %g3, [%g3] 0x57
8176 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8177 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8178 wrhpr %g0, 0x401, %hpstate ! ta T_CHANGE_NONHPRIV
8179 .word 0xe81fe000 ! 721: LDD_I ldd [%r31 + 0x0000], %r20
8180 nop
8181 nop
8182 mov 0x1, %r11
8183splash_cmpr_20_383:
8184 sllx %r11, 63, %r11
8185 not %r11, %r11
8186 rd %tick, %r10
8187#if (defined SPC || defined CMP1)
8188 add %r10, 0x200, %r10
8189#else
8190 add %r10, 0x700, %r10
8191#endif
8192 and %r10, %r11, %r10
8193 rd %tick, %r10
8194#if (defined SPC || defined CMP1)
8195 add %r10, 0x150, %r10
8196#else
8197 add %r10, 0x550, %r10
8198#endif
8199 and %r10, %r11, %r10
8200 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
8201#if (defined SPC || defined CMP1)
8202!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_383)+8 , 16, 16)) -> intp(3,0,6,*,984,*,6e,1)
8203!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_383)&0xffffffff)+8 , 16, 16)) -> intp(5,0,13,*,912,*,6e,1)
8204#endif
8205 wrhpr %g0, 0x0, %halt ! HALT
8206 .word 0x81b01021 ! 725: SIAM siam 1
8207 nop
8208 nop
8209 ta T_CHANGE_HPRIV
8210 mov 0x1, %r11
8211splash_cmpr_20_385:
8212 sllx %r11, 63, %r11
8213 not %r11, %r11
8214 rd %tick, %r10
8215#if (defined SPC || defined CMP1)
8216 add %r10, 0x150, %r10
8217#else
8218 add %r10, 0x550, %r10
8219#endif
8220 and %r10, %r11, %r10
8221 wrhpr %r10, %g0, %hsys_tick_cmpr
8222 wrhpr %g0, 0x0, %halt ! HALT
8223 rd %tick, %r10
8224#if (defined SPC || defined CMP1)
8225 add %r10, 0x200, %r10
8226#else
8227 add %r10, 0x380, %r10
8228#endif
8229 and %r10, %r11, %r10
8230 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
8231#if (defined SPC || defined CMP1)
8232!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_385)+8 , 16, 16)) -> intp(6,0,2,*,760,*,a4,1)
8233!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_385)&0xffffffff)+8 , 16, 16)) -> intp(3,0,27,*,1008,*,a4,1)
8234#endif
8235 wrhpr %g0, 0x0, %halt ! HALT
8236 .word 0x81982ed1 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0ed1, %hpstate
8237 .word 0x81b01021 ! 729: SIAM siam 1
8238demap_20_387:
8239 nop
8240 mov 0x80, %g3
8241 ta T_CHANGE_HPRIV
8242 .word 0x27400001 ! 1: FBPUL fbul,a,pn %fcc0, <label_0x1>
8243 stxa %g3, [%g3] 0x5f
8244 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8245 stxa %g3, [%g3] 0x57
8246 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8247 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8248 stxa %g3, [%g3] 0x5f
8249 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8250 stxa %g3, [%g3] 0x5f
8251 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8252 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8253 stxa %g3, [%g3] 0x5f
8254 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8255 stxa %g3, [%g3] 0x57
8256 stxa %g3, [%g3] 0x5f
8257 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8258 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8259 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8260 stxa %g3, [%g3] 0x5f
8261 wrhpr %g0, 0xe93, %hpstate ! ta T_CHANGE_NONHPRIV
8262 .word 0xe81fe17c ! 733: LDD_I ldd [%r31 + 0x017c], %r20
8263 .word 0xe83fe002 ! 737: STD_I std %r20, [%r31 + 0x0002]
8264iaw_20_393:
8265 nop
8266 nop
8267 ta T_CHANGE_HPRIV
8268 mov 8, %r18
8269 rd %asi, %r12
8270 wr %r0, 0x41, %asi
8271 set sync_thr_counter4, %r23
8272#ifndef SPC
8273 ldxa [%g0]0x63, %r8
8274 and %r8, 0x38, %r8 ! Core ID
8275#ifndef PORTABLE_CORE
8276 add %r8, %r23, %r23
8277#endif
8278#else
8279 mov 0, %r8
8280#endif
8281 mov 0x20, %r16
8282iaw_startwait20_393:
8283 cas [%r23],%g0,%r16 !lock
8284 brz,a %r16, continue_iaw_20_393
8285 mov (~0x20&0xf0), %r16
8286 ld [%r23], %r16
8287iaw_wait20_393:
8288 brnz %r16, iaw_wait20_393
8289 ld [%r23], %r16
8290 ba iaw_startwait20_393
8291 mov 0x20, %r16
8292continue_iaw_20_393:
8293 sllx %r16, %r8, %r16 !Mask for my core only
8294 ldxa [0x58]%asi, %r17 !Running_status
8295wait_for_stat_20_393:
8296 ldxa [0x50]%asi, %r13 !Running_rw
8297 cmp %r13, %r17
8298 bne,a %xcc, wait_for_stat_20_393
8299 ldxa [0x58]%asi, %r17 !Running_status
8300 stxa %r16, [0x68]%asi !Park (W1C)
8301 ldxa [0x50]%asi, %r14 !Running_rw
8302wait_for_iaw_20_393:
8303 ldxa [0x58]%asi, %r17 !Running_status
8304 cmp %r14, %r17
8305 bne,a %xcc, wait_for_iaw_20_393
8306 ldxa [0x50]%asi, %r14 !Running_rw
8307iaw_doit20_393:
8308 mov 0x38, %r18
8309iaw1_20_393:
8310 best_set_reg(0x00000000e1a00000, %r20, %r19)
8311 or %r19, 0x1, %r19
8312 stxa %r19, [%r18]0x50
8313 stxa %r16, [0x60] %asi ! Unpark (W1S)
8314 st %g0, [%r23] !clear lock
8315 wr %r0, %r12, %asi ! restore %asi
8316 wrhpr %g0, 0x75a, %hpstate ! ta T_CHANGE_NONHPRIV
8317 .word 0xe897c600 ! 741: LDUHA_R lduha [%r31, %r0] 0x30, %r20
8318brcommon3_20_397:
8319 nop
8320 nop
8321 setx common_target, %r12, %r27
8322 lduw [%r27], %r12 ! Load common dest into dcache ..
8323 stuw %r12, [%r27] ! Load common dest into dcache ..
8324 ba,a .+12
8325 .word 0xe86fe020 ! 1: LDSTUB_I ldstub %r20, [%r31 + 0x0020]
8326 ba,a .+8
8327 jmpl %r27+0, %r27
8328 stxa %r9, [%r0] ASI_LSU_CONTROL
8329 .word 0x93aac825 ! 745: FMOVGE fmovs %fcc1, %f5, %f9
8330 nop
8331 nop
8332 ta T_CHANGE_HPRIV
8333 mov 0x1, %r11
8334splash_cmpr_20_399:
8335 sllx %r11, 63, %r11
8336 not %r11, %r11
8337 rd %tick, %r10
8338#if (defined SPC || defined CMP1)
8339 add %r10, 0x200, %r10
8340#else
8341 add %r10, 0x700, %r10
8342#endif
8343 and %r10, %r11, %r10
8344 wrhpr %r10, %g0, %hsys_tick_cmpr
8345 wrhpr %g0, 0x0, %halt ! HALT
8346 rd %tick, %r10
8347#if (defined SPC || defined CMP1)
8348 add %r10, 0x250, %r10
8349#else
8350 add %r10, 0x700, %r10
8351#endif
8352 and %r10, %r11, %r10
8353 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
8354#if (defined SPC || defined CMP1)
8355!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_399)+8 , 16, 16)) -> intp(7,0,11,*,736,*,b2,1)
8356!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_399)&0xffffffff)+8 , 16, 16)) -> intp(4,0,5,*,696,*,b2,1)
8357#endif
8358 wrhpr %g0, 0x0, %halt ! HALT
8359 .word 0x81983487 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1487, %hpstate
8360 .word 0x81b01021 ! 749: SIAM siam 1
8361jmptr_20_401:
8362 nop
8363 nop
8364 best_set_reg(0xe1200000, %r20, %r27)
8365 .word 0xb7c6c000 ! 753: JMPL_R jmpl %r27 + %r0, %r27
8366cancelint_20_402:
8367 rdhpr %halt, %r12
8368 .word 0x85880000 ! 757: ALLCLEAN <illegal instruction>
8369 nop
8370 nop
8371 ta T_CHANGE_HPRIV ! macro
8372donret_20_405:
8373 rd %pc, %r12
8374 mov HIGHVA_HIGHNUM, %r10
8375 sllx %r10, 32, %r10
8376 or %r12, %r10, %r12
8377 add %r12, (donretarg_20_405-donret_20_405+4), %r12
8378 add %r12, 0x4, %r11 ! seq tnpc
8379 wrpr %g0, 0x1, %tl
8380 wrpr %g0, %r12, %tpc
8381 wrpr %g0, %r11, %tnpc
8382 set (0x00cefb00 | (32 << 24)), %r13
8383 and %r12, 0xfff, %r14
8384 sllx %r14, 32, %r14
8385 or %r13, %r14, %r20
8386 wrpr %r20, %g0, %tstate
8387 wrhpr %g0, 0x158d, %htstate
8388 wrhpr %g0, 0xa01, %hpstate ! rand=1 (20)
8389 ldx [%r11+%r0], %g1
8390 done
8391.align 128
8392donretarg_20_405:
8393 .word 0x8d9034c3 ! 761: WRPR_PSTATE_I wrpr %r0, 0x14c3, %pstate
8394 .word 0x8980000a ! 765: WRTICK_R wr %r0, %r10, %tick
8395 .word 0xe4800c40 ! 769: LDUWA_R lduwa [%r0, %r0] 0x62, %r18
8396demap_20_412:
8397 nop
8398 mov 0x80, %g3
8399 ta T_CHANGE_HPRIV
8400 .word 0x0e800001 ! 1: BVS bvs <label_0x1>
8401 stxa %g3, [%g3] 0x57
8402 stxa %g3, [%g3] 0x5f
8403 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8404 stxa %g3, [%g3] 0x5f
8405 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8406 stxa %g3, [%g3] 0x57
8407 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8408 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8409 stxa %g3, [%g3] 0x57
8410 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8411 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8412 wrhpr %g0, 0x480, %hpstate ! ta T_CHANGE_NONHPRIV
8413 .word 0xe41fe03c ! 773: LDD_I ldd [%r31 + 0x003c], %r18
8414 .word 0xe19fde20 ! 777: LDDFA_R ldda [%r31, %r0], %f16
8415 .word 0xe4800c80 ! 781: LDUWA_R lduwa [%r0, %r0] 0x64, %r18
8416 nop
8417 nop
8418 set 0xd210273b, %r28 !TTID : 7 (mask2tid(0x20))
8419#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8420 sethi %hi(0x3800), %r27
8421 andn %r28, %r27, %r28
8422#ifdef PORTABLE_CORE
8423 ! Add CID to vector
8424 ta T_CHANGE_HPRIV
8425 ldxa [%g0]0x63, %r27
8426 sllx %r27, 8, %r27
8427 or %r27, %r28, %r28
8428#endif
8429#else
8430 ! Add CID IF tid matches
8431ifelse(7,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
8432#endif
8433 sethi %hi(0x30000), %r27
8434 andn %r28, %r27, %r28
8435 ta T_CHANGE_HPRIV
8436ifelse(7,mask2tid(0x20),`.align 16')
8437 stxa %r28, [%g0] 0x73
8438intvec_20_417:
8439 .word 0x39400002 ! 785: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
8440cancelint_20_418:
8441 rdhpr %halt, %r9
8442 .word 0x85880000 ! 789: ALLCLEAN <illegal instruction>
8443pmu_20_419:
8444 nop
8445 nop
8446 ta T_CHANGE_PRIV
8447 setx 0xffffffb2ffffffae, %g1, %g7
8448 .word 0xa3800007 ! 793: WR_PERF_COUNTER_R wr %r0, %r7, %-
8449 fbg,a,pn %fcc0, skip_20_422
8450 .word 0x87aa4a51 ! 1: FCMPd fcmpd %fcc<n>, %f40, %f48
8451.align 128
8452skip_20_422:
8453 .word 0x04cc4001 ! 797: BRLEZ brlez,pt %r17,<label_0xc4001>
8454 nop
8455 nop
8456 ta T_CHANGE_PRIV
8457 wrpr %g0, %g0, %gl
8458 nop
8459 nop
8460.text
8461 setx join_lbl_0_0, %g1, %g2
8462 jmp %g2
8463 nop
8464fork_lbl_0_5:
8465 wrhpr %g0, 0x50a, %hpstate ! ta T_CHANGE_NONHPRIV
8466 nop
8467 nop
8468 ta T_CHANGE_HPRIV ! macro
8469donret_10_0:
8470 rd %pc, %r12
8471 mov HIGHVA_HIGHNUM, %r10
8472 sllx %r10, 32, %r10
8473 or %r12, %r10, %r12
8474 add %r12, (donretarg_10_0-donret_10_0+4), %r12
8475 add %r12, 0x4, %r11 ! seq tnpc
8476 wrpr %g0, 0x1, %tl
8477 wrpr %g0, %r12, %tpc
8478 wrpr %g0, %r11, %tnpc
8479 set (0x0085ac00 | (32 << 24)), %r13
8480 and %r12, 0xfff, %r14
8481 sllx %r14, 32, %r14
8482 or %r13, %r14, %r20
8483 wrpr %r20, %g0, %tstate
8484 wrhpr %g0, 0xd01, %htstate
8485 best_set_reg(0x1460, %g1, %g2)
8486 wrpr %g0, %g2, %pstate ! rand=0 (10)
8487 ldx [%r12+%r0], %g1
8488 retry
8489donretarg_10_0:
8490brcommon3_10_1:
8491 nop
8492 nop
8493 setx common_target, %r12, %r27
8494 lduw [%r27-4], %r12 ! Load common dest into dcache ..
8495 stuw %r12, [%r27-0] ! Load common dest into dcache ..
8496 ba,a .+12
8497 .word 0xe66fe130 ! 1: LDSTUB_I ldstub %r19, [%r31 + 0x0130]
8498 ba,a .+8
8499 jmpl %r27-0, %r27
8500 .word 0xc32fe100 ! 1: STXFSR_I st-sfr %f1, [0x0100, %r31]
8501jmptr_10_3:
8502 nop
8503 nop
8504 best_set_reg(0xe1a00000, %r20, %r27)
8505 .word 0xb7c6c000 ! 5: JMPL_R jmpl %r27 + %r0, %r27
8506splash_lsu_10_5:
8507 nop
8508 nop
8509 ta T_CHANGE_HPRIV
8510 set 0xd2e20616, %r2
8511 mov 0x3, %r1
8512 sllx %r1, 32, %r1
8513 or %r1, %r2, %r2
8514 stxa %r2, [%r0] ASI_LSU_CONTROL
8515 .word 0x3d400002 ! 9: FBPULE fbule,a,pn %fcc0, <label_0x2>
8516 nop
8517 nop
8518 set 0x3d00efed, %r28 !TTID : 7 (mask2tid(0x10))
8519#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8520 sethi %hi(0x3800), %r27
8521 andn %r28, %r27, %r28
8522#ifdef PORTABLE_CORE
8523 ! Add CID to vector
8524 ta T_CHANGE_HPRIV
8525 ldxa [%g0]0x63, %r27
8526 sllx %r27, 8, %r27
8527 or %r27, %r28, %r28
8528#endif
8529#else
8530 ! Add CID IF tid matches
8531ifelse(7,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
8532#endif
8533 sethi %hi(0x30000), %r27
8534 andn %r28, %r27, %r28
8535 ta T_CHANGE_HPRIV
8536ifelse(7,mask2tid(0x10),`.align 16')
8537 stxa %r28, [%g0] 0x73
8538intvec_10_7:
8539 .word 0x39400001 ! 13: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8540 .word 0xdb3fe070 ! 17: STDF_I std %f13, [0x0070, %r31]
8541 .word 0x2eca4001 ! 1: BRGEZ brgez,a,pt %r9,<label_0xa4001>
8542 .word 0x8d903515 ! 21: WRPR_PSTATE_I wrpr %r0, 0x1515, %pstate
8543pmu_10_13:
8544 nop
8545 nop
8546 ta T_CHANGE_PRIV
8547 setx 0xffffffb9ffffffa1, %g1, %g7
8548 .word 0xa3800007 ! 25: WR_PERF_COUNTER_R wr %r0, %r7, %-
8549 .word 0x8980000a ! 29: WRTICK_R wr %r0, %r10, %tick
8550memptr_10_17:
8551 set 0x60140000, %r31
8552 .word 0x8584ebb3 ! 33: WRCCR_I wr %r19, 0x0bb3, %ccr
8553#if (defined SPC || defined CMP1)
8554!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_20) , 16, 16)) -> intp(1,0,0,*,944,*,7b,1)
8555!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_20)&0xffffffff) , 16, 16)) -> intp(1,0,0,*,928,*,7b,1)
8556#else
8557 nop
8558 nop
8559 set 0xbd0568c, %r28 !TTID : 6 (mask2tid(0x10))
8560#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8561 sethi %hi(0x3800), %r27
8562 andn %r28, %r27, %r28
8563#ifdef PORTABLE_CORE
8564 ! Add CID to vector
8565 ta T_CHANGE_HPRIV
8566 ldxa [%g0]0x63, %r27
8567 sllx %r27, 8, %r27
8568 or %r27, %r28, %r28
8569#endif
8570#else
8571 ! Add CID IF tid matches
8572ifelse(6,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
8573#endif
8574ifelse(6,mask2tid(0x10),`.align 16')
8575 stxa %r28, [%g0] 0x73
8576#endif
8577intvec_10_20:
8578 .word 0x97b084c8 ! 37: FCMPNE32 fcmpne32 %d2, %d8, %r11
8579 nop
8580 nop
8581 ta T_CHANGE_HPRIV
8582 mov 0x0, %r11
8583splash_cmpr_10_23:
8584 sllx %r11, 63, %r11
8585 not %r11, %r11
8586 rd %tick, %r10
8587#if (defined SPC || defined CMP1)
8588 add %r10, 0x100, %r10
8589#else
8590 add %r10, 0x900, %r10
8591#endif
8592 and %r10, %r11, %r10
8593 wrhpr %r10, %g0, %hsys_tick_cmpr
8594 rd %tick, %r10
8595#if (defined SPC || defined CMP1)
8596 add %r10, 0x200, %r10
8597#else
8598 add %r10, 0x550, %r10
8599#endif
8600 and %r10, %r11, %r10
8601 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
8602 .word 0x81982c50 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0c50, %hpstate
8603 .word 0x81b01021 ! 41: SIAM siam 1
8604 fbe,a,pn %fcc0, skip_10_24
8605 stxa %r9, [%r0] ASI_LSU_CONTROL
8606 fbule,a,pn %fcc0, skip_10_24
8607 stxa %r15, [%r0] ASI_LSU_CONTROL
8608.align 1024
8609skip_10_24:
8610 .word 0xc30fc000 ! 45: LDXFSR_R ld-fsr [%r31, %r0], %f1
8611 .word 0xd477e1e8 ! 49: STX_I stx %r10, [%r31 + 0x01e8]
8612 .word 0x9190711c ! 53: WRPR_PIL_I wrpr %r1, 0x111c, %pil
8613mondo_10_30:
8614 nop
8615 nop
8616 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
8617 ta T_CHANGE_PRIV
8618 stxa %r12, [%r0+0x3c0] %asi
8619 stxa %r17, [%r0+0x3d0] %asi
8620 .word 0x87802089 ! 1: WRASI_I wr %r0, 0x0089, %asi
8621 .word 0x9d90c00c ! 57: WRPR_WSTATE_R wrpr %r3, %r12, %wstate
8622jmptr_10_32:
8623 nop
8624 nop
8625 best_set_reg(0xe0a00000, %r20, %r27)
8626 .word 0xb7c6c000 ! 61: JMPL_R jmpl %r27 + %r0, %r27
8627brcommon1_10_33:
8628 nop
8629 nop
8630 setx common_target, %r12, %r27
8631 lduw [%r27-0], %r12 ! Load common dest into dcache ..
8632 stuw %r12, [%r27-4] ! Load common dest into dcache ..
8633 ba,a .+12
8634 .word 0xc32fe1b0 ! 1: STXFSR_I st-sfr %f1, [0x01b0, %r31]
8635 ba,a .+8
8636 jmpl %r27-4, %r27
8637 .word 0x99a089a7 ! 65: FDIVs fdivs %f2, %f7, %f12
8638demap_10_35:
8639 nop
8640 mov 0x80, %g3
8641 ta T_CHANGE_HPRIV
8642 .word 0x2c800001 ! 1: BNEG bneg,a <label_0x1>
8643 stxa %g3, [%g3] 0x5f
8644 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8645 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8646 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8647 stxa %g3, [%g3] 0x5f
8648 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8649 stxa %g3, [%g3] 0x57
8650 stxa %g3, [%g3] 0x5f
8651 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8652 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8653 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8654 stxa %g3, [%g3] 0x5f
8655 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8656 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8657 stxa %g3, [%g3] 0x5f
8658 stxa %g3, [%g3] 0x5f
8659 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8660 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8661 stxa %g3, [%g3] 0x57
8662 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8663 wrhpr %g0, 0xe9a, %hpstate ! ta T_CHANGE_NONHPRIV
8664 .word 0xd41fe148 ! 69: LDD_I ldd [%r31 + 0x0148], %r10
8665splash_hpstate_10_36:
8666 ta T_CHANGE_NONHPRIV
8667 .word 0x03400001 ! 1: FBPNE fbne
8668 .word 0x81982285 ! 73: WRHPR_HPSTATE_I wrhpr %r0, 0x0285, %hpstate
8669 nop
8670 nop
8671 ta T_CHANGE_HPRIV ! macro
8672donret_10_38:
8673 rd %pc, %r12
8674 mov HIGHVA_HIGHNUM, %r10
8675 sllx %r10, 32, %r10
8676 or %r12, %r10, %r12
8677 add %r12, (donretarg_10_38-donret_10_38), %r12
8678 add %r12, 0x8, %r11 ! nonseq tnpc
8679 wrpr %g0, 0x1, %tl
8680 wrpr %g0, %r12, %tpc
8681 wrpr %g0, %r11, %tnpc
8682 set (0x00c53500 | (57 << 24)), %r13
8683 and %r12, 0xfff, %r14
8684 sllx %r14, 32, %r14
8685 or %r13, %r14, %r20
8686 wrpr %r20, %g0, %tstate
8687 wrhpr %g0, 0xe93, %htstate
8688 wrhpr %g0, 0x61b, %hpstate ! rand=1 (10)
8689 ldx [%r12+%r0], %g1
8690 retry
8691donretarg_10_38:
8692 .word 0x2ecc8001 ! 77: BRGEZ brgez,a,pt %r18,<label_0xc8001>
8693 .word 0xc19fde00 ! 81: LDDFA_R ldda [%r31, %r0], %f0
8694memptr_10_41:
8695 set 0x60340000, %r31
8696 .word 0x85842b57 ! 85: WRCCR_I wr %r16, 0x0b57, %ccr
8697 .word 0xa784b514 ! 89: WR_GRAPHICS_STATUS_REG_I wr %r18, 0x1514, %-
8698brcommon3_10_45:
8699 nop
8700 nop
8701 setx common_target, %r12, %r27
8702 lduw [%r27], %r12 ! Load common dest into dcache ..
8703 stuw %r12, [%r27] ! Load common dest into dcache ..
8704 ba,a .+12
8705 .word 0xd5e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r10
8706 ba,a .+8
8707 jmpl %r27+0, %r27
8708 stxa %r15, [%r0] ASI_LSU_CONTROL
8709 .word 0x97aac830 ! 93: FMOVGE fmovs %fcc1, %f16, %f11
8710 .word 0x91a00160 ! 97: FABSq dis not found
8711
8712 .word 0x03400001 ! 1: FBPNE fbne
8713 .word 0x8d903c4d ! 101: WRPR_PSTATE_I wrpr %r0, 0x1c4d, %pstate
8714 .word 0xa1902002 ! 105: WRPR_GL_I wrpr %r0, 0x0002, %-
8715 .word 0xd0800c80 ! 109: LDUWA_R lduwa [%r0, %r0] 0x64, %r8
8716memptr_10_58:
8717 set 0x60340000, %r31
8718 .word 0x8581e28b ! 113: WRCCR_I wr %r7, 0x028b, %ccr
8719 .word 0x83d020b3 ! 117: Tcc_I te icc_or_xcc, %r0 + 179
8720demap_10_62:
8721 nop
8722 mov 0x80, %g3
8723 ta T_CHANGE_HPRIV
8724 .word 0x33400001 ! 1: FBPE fbe,a,pn %fcc0, <label_0x1>
8725 stxa %g3, [%g3] 0x57
8726 stxa %g3, [%g3] 0x57
8727 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8728 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8729 stxa %g3, [%g3] 0x57
8730 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8731 wrhpr %g0, 0x84b, %hpstate ! ta T_CHANGE_NONHPRIV
8732 .word 0xd01fe136 ! 121: LDD_I ldd [%r31 + 0x0136], %r8
8733 .word 0x91948009 ! 125: WRPR_PIL_R wrpr %r18, %r9, %pil
8734 .word 0xe1bfe0e0 ! 129: STDFA_I stda %f16, [0x00e0, %r31]
8735 .word 0xd08fd100 ! 133: LDUBA_R lduba [%r31, %r0] 0x88, %r8
8736intveclr_10_71:
8737 nop
8738 nop
8739 ta T_CHANGE_HPRIV
8740 setx 0x8270e04e79d0dba5, %r1, %r28
8741 stxa %r28, [%g0] 0x72
8742 .word 0x25400001 ! 137: FBPLG fblg,a,pn %fcc0, <label_0x1>
8743 fbne skip_10_72
8744 stxa %r20, [%r0] ASI_LSU_CONTROL
8745 brlez,pn %r5, skip_10_72
8746 stxa %r15, [%r0] ASI_LSU_CONTROL
8747.align 2048
8748skip_10_72:
8749 .word 0xd03fe138 ! 141: STD_I std %r8, [%r31 + 0x0138]
8750demap_10_74:
8751 nop
8752 mov 0x80, %g3
8753 ta T_CHANGE_HPRIV
8754 .word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
8755 stxa %g3, [%g3] 0x5f
8756 stxa %g3, [%g3] 0x57
8757 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8758 stxa %g3, [%g3] 0x5f
8759 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8760 stxa %g3, [%g3] 0x5f
8761 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8762 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8763 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8764 stxa %g3, [%g3] 0x5f
8765 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8766 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8767 wrhpr %g0, 0x50, %hpstate ! ta T_CHANGE_NONHPRIV
8768 .word 0xd01fe0b1 ! 145: LDD_I ldd [%r31 + 0x00b1], %r8
8769brcommon3_10_78:
8770 nop
8771 nop
8772 setx common_target, %r12, %r27
8773 lduw [%r27-0], %r12 ! Load common dest into dcache ..
8774 stuw %r12, [%r27-4] ! Load common dest into dcache ..
8775 ba,a .+12
8776 .word 0xd1e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r8
8777 ba,a .+8
8778 jmpl %r27+0, %r27
8779 .word 0x8d902546 ! 149: WRPR_PSTATE_I wrpr %r0, 0x0546, %pstate
8780splash_tba_10_81:
8781 nop
8782 ta T_CHANGE_PRIV
8783 set 0x120000, %r12
8784 .word 0x8b90000c ! 153: WRPR_TBA_R wrpr %r0, %r12, %tba
8785splash_tba_10_84:
8786 nop
8787 ta T_CHANGE_PRIV
8788 setx 0x00000004003a0000, %r11, %r12
8789 .word 0x8b90000c ! 157: WRPR_TBA_R wrpr %r0, %r12, %tba
8790splash_hpstate_10_87:
8791 .word 0x81983f95 ! 161: WRHPR_HPSTATE_I wrhpr %r0, 0x1f95, %hpstate
8792 .word 0xc19fe180 ! 165: LDDFA_I ldda [%r31, 0x0180], %f0
8793 .word 0xc1bfc2c0 ! 169: STDFA_R stda %f0, [%r0, %r31]
8794memptr_10_94:
8795 set 0x60740000, %r31
8796 .word 0x85846e71 ! 173: WRCCR_I wr %r17, 0x0e71, %ccr
8797 brlz,pn %r17, skip_10_95
8798 stxa %r12, [%r0] ASI_LSU_CONTROL
8799 bge skip_10_95
8800 stxa %r7, [%r0] ASI_LSU_CONTROL
8801.align 512
8802skip_10_95:
8803 .word 0xd03fe1c0 ! 177: STD_I std %r8, [%r31 + 0x01c0]
8804 .word 0xe1bfdf20 ! 181: STDFA_R stda %f16, [%r0, %r31]
8805intveclr_10_99:
8806 nop
8807 nop
8808 ta T_CHANGE_HPRIV
8809 setx 0xe9cc0ea24a5cf1b2, %r1, %r28
8810 stxa %r28, [%g0] 0x72
8811 wrhpr %g0, 0x3d2, %hpstate ! ta T_CHANGE_NONHPRIV
8812 .word 0x25400001 ! 185: FBPLG fblg,a,pn %fcc0, <label_0x1>
8813demap_10_101:
8814 nop
8815 mov 0x80, %g3
8816 ta T_CHANGE_HPRIV
8817 .word 0x1b400002 ! 1: FBPLE fble
8818 stxa %g3, [%g3] 0x57
8819 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8820 stxa %g3, [%g3] 0x57
8821 stxa %g3, [%g3] 0x5f
8822 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8823 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8824 stxa %g3, [%g3] 0x5f
8825 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8826 wrhpr %g0, 0xb88, %hpstate ! ta T_CHANGE_NONHPRIV
8827 .word 0xd01fe040 ! 189: LDD_I ldd [%r31 + 0x0040], %r8
8828intveclr_10_102:
8829 nop
8830 nop
8831 ta T_CHANGE_HPRIV
8832 setx 0xdca85fd68f354613, %r1, %r28
8833 stxa %r28, [%g0] 0x72
8834 wrhpr %g0, 0x250, %hpstate ! ta T_CHANGE_NONHPRIV
8835 .word 0x05400002 ! 193: FBPLG fblg
8836 nop
8837 nop
8838 ta T_CHANGE_HPRIV ! macro
8839donret_10_104:
8840 rd %pc, %r12
8841 mov HIGHVA_HIGHNUM, %r10
8842 sllx %r10, 32, %r10
8843 or %r12, %r10, %r12
8844 add %r12, (donretarg_10_104-donret_10_104+4), %r12
8845 add %r12, 0x4, %r11 ! seq tnpc
8846 wrpr %g0, 0x1, %tl
8847 wrpr %g0, %r12, %tpc
8848 wrpr %g0, %r11, %tnpc
8849 set (0x00ef9100 | (0x8a << 24)), %r13
8850 and %r12, 0xfff, %r14
8851 sllx %r14, 32, %r14
8852 or %r13, %r14, %r20
8853 wrpr %r20, %g0, %tstate
8854 wrhpr %g0, 0x696, %htstate
8855 best_set_reg(0xed1, %g1, %g2)
8856 wrpr %g0, %g2, %pstate ! rand=0 (10)
8857 retry
8858donretarg_10_104:
8859 .word 0xd0ffd060 ! 197: SWAPA_R swapa %r8, [%r31 + %r0] 0x83
8860 fbn,a,pn %fcc0, skip_10_106
8861 fbuge skip_10_106
8862.align 4096
8863skip_10_106:
8864 .word 0x93b444d0 ! 201: FCMPNE32 fcmpne32 %d48, %d16, %r9
8865 .word 0x8980000a ! 205: WRTICK_R wr %r0, %r10, %tick
8866 .word 0xe5e7c3c0 ! 209: CASA_I casa [%r31] 0x1e, %r0, %r18
8867 .word 0x8980000a ! 213: WRTICK_R wr %r0, %r10, %tick
8868 .word 0x06ccc001 ! 1: BRLZ brlz,pt %r19,<label_0xcc001>
8869 .word 0x8d903463 ! 217: WRPR_PSTATE_I wrpr %r0, 0x1463, %pstate
8870frzptr_10_119:
8871 nop
8872 nop
8873 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
8874 jmpl %r27, %r27
8875 .word 0x00800001 ! 221: BN bn <label_0x1>
8876 brgz,pt %r8, skip_10_122
8877 fbl skip_10_122
8878.align 2048
8879skip_10_122:
8880 .word 0x87aa4a54 ! 225: FCMPd fcmpd %fcc<n>, %f40, %f20
8881memptr_10_124:
8882 set 0x60340000, %r31
8883 .word 0x858478f7 ! 229: WRCCR_I wr %r17, 0x18f7, %ccr
8884demap_10_127:
8885 nop
8886 mov 0x80, %g3
8887 ta T_CHANGE_HPRIV
8888 stxa %r9, [%r0] ASI_LSU_CONTROL
8889 stxa %g3, [%g3] 0x5f
8890 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8891 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8892 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8893 wrhpr %g0, 0x75b, %hpstate ! ta T_CHANGE_NONHPRIV
8894 .word 0xd01fe0b5 ! 233: LDD_I ldd [%r31 + 0x00b5], %r8
8895 nop
8896 nop
8897 mov 0x0, %r11
8898splash_cmpr_10_129:
8899 sllx %r11, 63, %r11
8900 not %r11, %r11
8901 rd %tick, %r10
8902#if (defined SPC || defined CMP1)
8903 add %r10, 0x300, %r10
8904#else
8905 add %r10, 0x380, %r10
8906#endif
8907 and %r10, %r11, %r10
8908 rd %tick, %r10
8909#if (defined SPC || defined CMP1)
8910 add %r10, 0x150, %r10
8911#else
8912 add %r10, 0x550, %r10
8913#endif
8914 and %r10, %r11, %r10
8915 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
8916 .word 0x81b01021 ! 237: SIAM siam 1
8917 .word 0xe19fdc40 ! 241: LDDFA_R ldda [%r31, %r0], %f16
8918splash_lsu_10_132:
8919 nop
8920 nop
8921 ta T_CHANGE_HPRIV
8922 set 0xf52c7484, %r2
8923 mov 0x2, %r1
8924 sllx %r1, 32, %r1
8925 or %r1, %r2, %r2
8926 .word 0x2ac8c001 ! 1: BRNZ brnz,a,pt %r3,<label_0x8c001>
8927 stxa %r2, [%r0] ASI_LSU_CONTROL
8928 ta T_CHANGE_NONHPRIV
8929 .word 0x1d400001 ! 245: FBPULE fbule
8930demap_10_133:
8931 nop
8932 mov 0x80, %g3
8933 ta T_CHANGE_HPRIV
8934 stxa %r9, [%r0] ASI_LSU_CONTROL
8935 stxa %g3, [%g3] 0x57
8936 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8937 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
8938 stxa %g3, [%g3] 0x57
8939 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8940 stxa %g3, [%g3] 0x5f
8941 stxa %g3, [%g3] 0x5f
8942 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8943 stxa %g3, [%g3] 0x57
8944 stxa %g3, [%g3] 0x57
8945 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
8946 stxa %g3, [%g3] 0x5f
8947 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8948 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
8949 stxa %g3, [%g3] 0x57
8950 wrhpr %g0, 0xc08, %hpstate ! ta T_CHANGE_NONHPRIV
8951 .word 0xd01fe19c ! 249: LDD_I ldd [%r31 + 0x019c], %r8
8952ibp_10_135:
8953 nop
8954 nop
8955 .word 0xa1702bc8 ! 253: POPC_I popc 0x0bc8, %r16
8956 .word 0x87802055 ! 257: WRASI_I wr %r0, 0x0055, %asi
8957splash_tba_10_139:
8958 nop
8959 ta T_CHANGE_PRIV
8960 set 0x120000, %r12
8961 .word 0x8b90000c ! 261: WRPR_TBA_R wrpr %r0, %r12, %tba
8962splash_hpstate_10_141:
8963 ta T_CHANGE_NONHPRIV
8964 .word 0x22cc4002 ! 1: BRZ brz,a,pt %r17,<label_0xc4002>
8965 .word 0x819838c5 ! 265: WRHPR_HPSTATE_I wrhpr %r0, 0x18c5, %hpstate
8966 .word 0xe19fdb40 ! 269: LDDFA_R ldda [%r31, %r0], %f16
8967dvapa_10_144:
8968 nop
8969 nop
8970 ta T_CHANGE_HPRIV
8971 mov 0xc6b, %r20
8972 mov 0x12, %r19
8973 sllx %r20, 23, %r20
8974 or %r19, %r20, %r19
8975 stxa %r19, [%g0] ASI_LSU_CONTROL
8976 mov 0x38, %r18
8977 stxa %r31, [%r18]0x58
8978 wrhpr %g0, 0x1ca, %hpstate ! ta T_CHANGE_NONHPRIV
8979 .word 0xdabfd160 ! 273: STDA_R stda %r13, [%r31 + %r0] 0x8b
8980 .word 0xc19fda60 ! 277: LDDFA_R ldda [%r31, %r0], %f0
8981brcommon3_10_148:
8982 nop
8983 nop
8984 setx common_target, %r12, %r27
8985 lduw [%r27], %r12 ! Load common dest into dcache ..
8986 stuw %r12, [%r27] ! Load common dest into dcache ..
8987 ba,a .+12
8988 .word 0xdbe7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r13
8989 ba,a .+8
8990 jmpl %r27+0, %r27
8991 stxa %r9, [%r0] ASI_LSU_CONTROL
8992 .word 0xa1aac834 ! 281: FMOVGE fmovs %fcc1, %f20, %f16
8993 nop
8994 nop
8995 ta T_CHANGE_HPRIV ! macro
8996donret_10_150:
8997 rd %pc, %r12
8998 mov HIGHVA_HIGHNUM, %r10
8999 sllx %r10, 32, %r10
9000 or %r12, %r10, %r12
9001 add %r12, (donretarg_10_150-donret_10_150), %r12
9002 add %r12, 0x4, %r11 ! seq tnpc
9003 andn %r12, %r10, %r12 ! low VA tpc
9004 wrpr %g0, 0x1, %tl
9005 wrpr %g0, %r12, %tpc
9006 wrpr %g0, %r11, %tnpc
9007 set (0x00667600 | (0x58 << 24)), %r13
9008 and %r12, 0xfff, %r14
9009 sllx %r14, 32, %r14
9010 or %r13, %r14, %r20
9011 wrpr %r20, %g0, %tstate
9012 wrhpr %g0, 0x1637, %htstate
9013 best_set_reg(0x157b, %g1, %g2)
9014 wrpr %g0, %g2, %pstate ! rand=0 (10)
9015 ldx [%r12+%r0], %g1
9016 retry
9017donretarg_10_150:
9018 .word 0xa7a109d1 ! 285: FDIVd fdivd %f4, %f48, %f50
9019jmptr_10_152:
9020 nop
9021 nop
9022 best_set_reg(0xe1a00000, %r20, %r27)
9023 .word 0xb7c6c000 ! 289: JMPL_R jmpl %r27 + %r0, %r27
9024cancelint_10_156:
9025 rdhpr %halt, %r13
9026 .word 0x85880000 ! 293: ALLCLEAN <illegal instruction>
9027 nop
9028 nop
9029 ta T_CHANGE_HPRIV ! macro
9030donret_10_159:
9031 rd %pc, %r12
9032 mov HIGHVA_HIGHNUM, %r10
9033 sllx %r10, 32, %r10
9034 or %r12, %r10, %r12
9035 add %r12, (donretarg_10_159-donret_10_159+4), %r12
9036 add %r12, 0x4, %r11 ! seq tnpc
9037 andn %r12, %r10, %r12 ! low VA tpc
9038 wrpr %g0, 0x1, %tl
9039 wrpr %g0, %r12, %tpc
9040 wrpr %g0, %r11, %tnpc
9041 set (0x0037f600 | (0x88 << 24)), %r13
9042 and %r12, 0xfff, %r14
9043 sllx %r14, 32, %r14
9044 or %r13, %r14, %r20
9045 wrpr %r20, %g0, %tstate
9046 wrhpr %g0, 0x69e, %htstate
9047 wrhpr %g0, 0xc0, %hpstate ! rand=1 (10)
9048 done
9049.align 128
9050donretarg_10_159:
9051 .word 0xd2ffd060 ! 297: SWAPA_R swapa %r9, [%r31 + %r0] 0x83
9052splash_lsu_10_162:
9053 nop
9054 nop
9055 ta T_CHANGE_HPRIV
9056 set 0x60a87d68, %r2
9057 mov 0x4, %r1
9058 sllx %r1, 32, %r1
9059 or %r1, %r2, %r2
9060 stxa %r2, [%r0] ASI_LSU_CONTROL
9061 ta T_CHANGE_NONHPRIV
9062 .word 0x3d400001 ! 301: FBPULE fbule,a,pn %fcc0, <label_0x1>
9063demap_10_163:
9064 nop
9065 mov 0x80, %g3
9066 ta T_CHANGE_HPRIV
9067 .word 0x10800001 ! 1: BA ba <label_0x1>
9068 stxa %g3, [%g3] 0x5f
9069 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9070 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9071 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9072 stxa %g3, [%g3] 0x57
9073 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9074 wrhpr %g0, 0x159, %hpstate ! ta T_CHANGE_NONHPRIV
9075 .word 0xd21fe192 ! 305: LDD_I ldd [%r31 + 0x0192], %r9
9076cancelint_10_164:
9077 rdhpr %halt, %r11
9078 .word 0x85880000 ! 309: ALLCLEAN <illegal instruction>
9079splash_lsu_10_166:
9080 nop
9081 nop
9082 ta T_CHANGE_HPRIV
9083 set 0x056b4445, %r2
9084 mov 0x6, %r1
9085 sllx %r1, 32, %r1
9086 or %r1, %r2, %r2
9087 stxa %r2, [%r0] ASI_LSU_CONTROL
9088 ta T_CHANGE_NONHPRIV
9089 .word 0x3d400001 ! 313: FBPULE fbule,a,pn %fcc0, <label_0x1>
9090 .word 0xc32fc000 ! 317: STXFSR_R st-sfr %f1, [%r0, %r31]
9091 .word 0xd647e040 ! 321: LDSW_I ldsw [%r31 + 0x0040], %r11
9092 .word 0xc1bfe0e0 ! 325: STDFA_I stda %f0, [0x00e0, %r31]
9093brcommon3_10_173:
9094 nop
9095 nop
9096 setx common_target, %r12, %r27
9097 lduw [%r27], %r12 ! Load common dest into dcache ..
9098 stuw %r12, [%r27] ! Load common dest into dcache ..
9099 ba,a .+12
9100 .word 0xd737e070 ! 1: STQF_I - %f11, [0x0070, %r31]
9101 ba,a .+8
9102 jmpl %r27+0, %r27
9103 stxa %r16, [%r0] ASI_LSU_CONTROL
9104 .word 0xa3aac821 ! 329: FMOVGE fmovs %fcc1, %f1, %f17
9105splash_lsu_10_175:
9106 nop
9107 nop
9108 ta T_CHANGE_HPRIV
9109 set 0xdda60bf1, %r2
9110 mov 0x1, %r1
9111 sllx %r1, 32, %r1
9112 or %r1, %r2, %r2
9113 stxa %r2, [%r0] ASI_LSU_CONTROL
9114 ta T_CHANGE_NONHPRIV
9115 .word 0x3d400001 ! 333: FBPULE fbule,a,pn %fcc0, <label_0x1>
9116splash_lsu_10_178:
9117 nop
9118 nop
9119 ta T_CHANGE_HPRIV
9120 set 0x55bd06e4, %r2
9121 mov 0x4, %r1
9122 sllx %r1, 32, %r1
9123 or %r1, %r2, %r2
9124 stxa %r2, [%r0] ASI_LSU_CONTROL
9125 ta T_CHANGE_NONHPRIV
9126 .word 0x3d400001 ! 337: FBPULE fbule,a,pn %fcc0, <label_0x1>
9127 .word 0xe697c280 ! 341: LDUHA_R lduha [%r31, %r0] 0x14, %r19
9128jmptr_10_184:
9129 nop
9130 nop
9131 best_set_reg(0xe0a00000, %r20, %r27)
9132 .word 0xb7c6c000 ! 345: JMPL_R jmpl %r27 + %r0, %r27
9133 .word 0x91d020b5 ! 349: Tcc_I ta icc_or_xcc, %r0 + 181
9134splash_lsu_10_188:
9135 nop
9136 nop
9137 ta T_CHANGE_HPRIV
9138 set 0x2f54aa90, %r2
9139 mov 0x7, %r1
9140 sllx %r1, 32, %r1
9141 or %r1, %r2, %r2
9142 .word 0x14800002 ! 1: BG bg <label_0x2>
9143 stxa %r2, [%r0] ASI_LSU_CONTROL
9144 ta T_CHANGE_NONHPRIV
9145 .word 0x3d400001 ! 353: FBPULE fbule,a,pn %fcc0, <label_0x1>
9146memptr_10_191:
9147 set 0x60340000, %r31
9148 .word 0x85822210 ! 357: WRCCR_I wr %r8, 0x0210, %ccr
9149 .word 0xe69fe070 ! 361: LDDA_I ldda [%r31, + 0x0070] %asi, %r19
9150 .word 0xe677e092 ! 365: STX_I stx %r19, [%r31 + 0x0092]
9151 .word 0x2a800001 ! 369: BCS bcs,a <label_0x1>
9152 fbge skip_10_198
9153 stxa %r7, [%r0] ASI_LSU_CONTROL
9154 brlez,pt %r19, skip_10_198
9155 stxa %r17, [%r0] ASI_LSU_CONTROL
9156.align 2048
9157skip_10_198:
9158 .word 0xc30fc000 ! 373: LDXFSR_R ld-fsr [%r31, %r0], %f1
9159cancelint_10_200:
9160 rdhpr %halt, %r19
9161 .word 0x85880000 ! 377: ALLCLEAN <illegal instruction>
9162 .word 0xd537e14d ! 381: STQF_I - %f10, [0x014d, %r31]
9163 .word 0x8980000a ! 385: WRTICK_R wr %r0, %r10, %tick
9164 nop
9165 nop
9166 set 0x352019e9, %r28 !TTID : 1 (mask2tid(0x10))
9167#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9168 sethi %hi(0x3800), %r27
9169 andn %r28, %r27, %r28
9170#ifdef PORTABLE_CORE
9171 ! Add CID to vector
9172 ta T_CHANGE_HPRIV
9173 ldxa [%g0]0x63, %r27
9174 sllx %r27, 8, %r27
9175 or %r27, %r28, %r28
9176#endif
9177#else
9178 ! Add CID IF tid matches
9179ifelse(1,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9180#endif
9181 sethi %hi(0x30000), %r27
9182 andn %r28, %r27, %r28
9183 ta T_CHANGE_HPRIV
9184ifelse(1,mask2tid(0x10),`.align 16')
9185 stxa %r28, [%g0] 0x73
9186intvec_10_207:
9187 .word 0xa9b404d2 ! 389: FCMPNE32 fcmpne32 %d16, %d18, %r20
9188intveclr_10_209:
9189 nop
9190 nop
9191 ta T_CHANGE_HPRIV
9192 setx 0x6ed1c7998bda7ad2, %r1, %r28
9193 stxa %r28, [%g0] 0x72
9194 wrhpr %g0, 0xf03, %hpstate ! ta T_CHANGE_NONHPRIV
9195 .word 0x05400001 ! 393: FBPLG fblg
9196 brlez,a,pn %r17, skip_10_212
9197 stxa %r15, [%r0] ASI_LSU_CONTROL
9198 fbu skip_10_212
9199 stxa %r11, [%r0] ASI_LSU_CONTROL
9200.align 1024
9201skip_10_212:
9202 .word 0xe03fe178 ! 397: STD_I std %r16, [%r31 + 0x0178]
9203brcommon3_10_215:
9204 nop
9205 nop
9206 setx common_target, %r12, %r27
9207 lduw [%r27-4], %r12 ! Load common dest into dcache ..
9208 stuw %r12, [%r27-0] ! Load common dest into dcache ..
9209 ba,a .+12
9210 .word 0xe1e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r16
9211 ba,a .+8
9212 jmpl %r27+0, %r27
9213 .word 0x8198255f ! 401: WRHPR_HPSTATE_I wrhpr %r0, 0x055f, %hpstate
9214 .word 0xe01fe050 ! 405: LDD_I ldd [%r31 + 0x0050], %r16
9215 .word 0xa5b4c586 ! 409: FCMPGT32 fcmpgt32 %d50, %d6, %r18
9216 nop
9217 nop
9218 ta T_CHANGE_HPRIV
9219 mov 0x1, %r11
9220splash_cmpr_10_220:
9221 sllx %r11, 63, %r11
9222 not %r11, %r11
9223 rd %tick, %r10
9224#if (defined SPC || defined CMP1)
9225 add %r10, 0x200, %r10
9226#else
9227 add %r10, 0x380, %r10
9228#endif
9229 and %r10, %r11, %r10
9230 wrhpr %r10, %g0, %hsys_tick_cmpr
9231 wrhpr %g0, 0x0, %halt ! HALT
9232 rd %tick, %r10
9233#if (defined SPC || defined CMP1)
9234 add %r10, 0x200, %r10
9235#else
9236 add %r10, 0x700, %r10
9237#endif
9238 and %r10, %r11, %r10
9239 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
9240#if (defined SPC || defined CMP1)
9241!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_220)+8 , 16, 16)) -> intp(3,0,30,*,968,*,5c,1)
9242!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_220)&0xffffffff)+8 , 16, 16)) -> intp(5,0,0,*,992,*,5c,1)
9243#endif
9244 wrhpr %g0, 0x0, %halt ! HALT
9245 .word 0x819838c7 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x18c7, %hpstate
9246 .word 0x81b01021 ! 413: SIAM siam 1
9247intveclr_10_222:
9248 nop
9249 nop
9250 ta T_CHANGE_HPRIV
9251 setx 0xf68da1558236bac9, %r1, %r28
9252 stxa %r28, [%g0] 0x72
9253 .word 0x25400001 ! 417: FBPLG fblg,a,pn %fcc0, <label_0x1>
9254#if (defined SPC || defined CMP1)
9255!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_226) , 16, 16)) -> intp(4,0,6,*,944,*,7f,1)
9256!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_226)&0xffffffff) , 16, 16)) -> intp(3,0,24,*,928,*,7f,1)
9257#else
9258 nop
9259 nop
9260 set 0xafc0648d, %r28 !TTID : 4 (mask2tid(0x10))
9261#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9262 sethi %hi(0x3800), %r27
9263 andn %r28, %r27, %r28
9264#ifdef PORTABLE_CORE
9265 ! Add CID to vector
9266 ta T_CHANGE_HPRIV
9267 ldxa [%g0]0x63, %r27
9268 sllx %r27, 8, %r27
9269 or %r27, %r28, %r28
9270#endif
9271#else
9272 ! Add CID IF tid matches
9273ifelse(4,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9274#endif
9275ifelse(4,mask2tid(0x10),`.align 16')
9276 stxa %r28, [%g0] 0x73
9277#endif
9278intvec_10_226:
9279#if (defined SPC || defined CMP1)
9280 wrhpr %g0, 0x0, %halt ! HALT
9281#else
9282ifelse(4,mask2tid(0x10),`wrhpr %g0, 0x0, %halt ! HALT')
9283#endif
9284 .word 0x39400001 ! 421: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
9285cancelint_10_228:
9286 rdhpr %halt, %r16
9287 .word 0x85880000 ! 425: ALLCLEAN <illegal instruction>
9288 nop
9289 nop
9290 ta T_CHANGE_HPRIV ! macro
9291donret_10_231:
9292 rd %pc, %r12
9293 mov HIGHVA_HIGHNUM, %r10
9294 sllx %r10, 32, %r10
9295 or %r12, %r10, %r12
9296 add %r12, (donretarg_10_231-donret_10_231+4), %r12
9297 add %r12, 0x4, %r11 ! seq tnpc
9298 andn %r11, %r10, %r11 ! low VA tnpc
9299 wrpr %g0, 0x1, %tl
9300 wrpr %g0, %r12, %tpc
9301 wrpr %g0, %r11, %tnpc
9302 set (0x00269e00 | (0x82 << 24)), %r13
9303 and %r12, 0xfff, %r14
9304 sllx %r14, 32, %r14
9305 or %r13, %r14, %r20
9306 wrpr %r20, %g0, %tstate
9307 wrhpr %g0, 0x1b17, %htstate
9308 best_set_reg(0x13a1, %g1, %g2)
9309 wrpr %g0, %g2, %pstate ! rand=0 (10)
9310 done
9311.align 128
9312donretarg_10_231:
9313 .word 0x81983f14 ! 429: WRHPR_HPSTATE_I wrhpr %r0, 0x1f14, %hpstate
9314 .word 0xc19fdd40 ! 433: LDDFA_R ldda [%r31, %r0], %f0
9315 .word 0xe917c000 ! 437: LDQF_R - [%r31, %r0], %f20
9316 .word 0xe827e034 ! 441: STW_I stw %r20, [%r31 + 0x0034]
9317 .word 0x9568c010 ! 445: SDIVX_R sdivx %r3, %r16, %r10
9318 .word 0x8980000a ! 449: WRTICK_R wr %r0, %r10, %tick
9319 nop
9320 nop
9321 ta T_CHANGE_HPRIV ! macro
9322donret_10_240:
9323 rd %pc, %r12
9324 mov HIGHVA_HIGHNUM, %r10
9325 sllx %r10, 32, %r10
9326 or %r12, %r10, %r12
9327 add %r12, (donretarg_10_240-donret_10_240), %r12
9328 add %r12, 0x8, %r11 ! nonseq tnpc
9329 wrpr %g0, 0x2, %tl
9330 wrpr %g0, %r12, %tpc
9331 wrpr %g0, %r11, %tnpc
9332 set (0x005e2b00 | (22 << 24)), %r13
9333 and %r12, 0xfff, %r14
9334 sllx %r14, 32, %r14
9335 or %r13, %r14, %r20
9336 wrpr %r20, %g0, %tstate
9337 wrhpr %g0, 0x101, %htstate
9338 best_set_reg(0x789, %g1, %g2)
9339 wrpr %g0, %g2, %pstate ! rand=0 (10)
9340 .word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
9341 ldx [%r12+%r0], %g1
9342 retry
9343.align 128
9344donretarg_10_240:
9345 .word 0x8198315f ! 453: WRHPR_HPSTATE_I wrhpr %r0, 0x115f, %hpstate
9346 nop
9347 nop
9348 ta T_CHANGE_HPRIV ! macro
9349donret_10_243:
9350 rd %pc, %r12
9351 mov HIGHVA_HIGHNUM, %r10
9352 sllx %r10, 32, %r10
9353 or %r12, %r10, %r12
9354 add %r12, (donretarg_10_243-donret_10_243+4), %r12
9355 add %r12, 0x4, %r11 ! seq tnpc
9356 andn %r12, %r10, %r12 ! low VA tpc
9357 wrpr %g0, 0x2, %tl
9358 wrpr %g0, %r12, %tpc
9359 wrpr %g0, %r11, %tnpc
9360 set (0x00774c00 | (28 << 24)), %r13
9361 and %r12, 0xfff, %r14
9362 sllx %r14, 32, %r14
9363 or %r13, %r14, %r20
9364 wrpr %r20, %g0, %tstate
9365 wrhpr %g0, 0x170f, %htstate
9366 wrhpr %g0, 0x102, %hpstate ! rand=1 (10)
9367 ldx [%r11+%r0], %g1
9368 done
9369donretarg_10_243:
9370 .word 0xe86fe19f ! 457: LDSTUB_I ldstub %r20, [%r31 + 0x019f]
9371splash_hpstate_10_246:
9372 .word 0x81983a17 ! 461: WRHPR_HPSTATE_I wrhpr %r0, 0x1a17, %hpstate
9373 bpos skip_10_249
9374 brlez,a,pn %r9, skip_10_249
9375.align 2048
9376skip_10_249:
9377 .word 0x91a1c9cb ! 465: FDIVd fdivd %f38, %f42, %f8
9378 .word 0xe1bfc2c0 ! 469: STDFA_R stda %f16, [%r0, %r31]
9379intveclr_10_250:
9380 nop
9381 nop
9382 ta T_CHANGE_HPRIV
9383 setx 0xc00ce4b5ab06d2a6, %r1, %r28
9384 stxa %r28, [%g0] 0x72
9385 .word 0x25400001 ! 473: FBPLG fblg,a,pn %fcc0, <label_0x1>
9386intveclr_10_253:
9387 nop
9388 nop
9389 ta T_CHANGE_HPRIV
9390 setx 0x63b55754e99ab753, %r1, %r28
9391 stxa %r28, [%g0] 0x72
9392 .word 0x25400001 ! 477: FBPLG fblg,a,pn %fcc0, <label_0x1>
9393 fbu,a,pn %fcc0, skip_10_254
9394 stxa %r7, [%r0] ASI_LSU_CONTROL
9395 bg skip_10_254
9396 stxa %r15, [%r0] ASI_LSU_CONTROL
9397.align 128
9398skip_10_254:
9399 .word 0xc30fc000 ! 481: LDXFSR_R ld-fsr [%r31, %r0], %f1
9400cancelint_10_256:
9401 rdhpr %halt, %r10
9402 .word 0x85880000 ! 485: ALLCLEAN <illegal instruction>
9403demap_10_258:
9404 nop
9405 mov 0x80, %g3
9406 ta T_CHANGE_HPRIV
9407 stxa %r7, [%r0] ASI_LSU_CONTROL
9408 stxa %g3, [%g3] 0x5f
9409 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9410 stxa %g3, [%g3] 0x57
9411 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9412 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9413 stxa %g3, [%g3] 0x5f
9414 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9415 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9416 stxa %g3, [%g3] 0x5f
9417 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9418 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9419 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9420 stxa %g3, [%g3] 0x57
9421 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9422 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9423 stxa %g3, [%g3] 0x57
9424 stxa %g3, [%g3] 0x5f
9425 wrhpr %g0, 0xfc8, %hpstate ! ta T_CHANGE_NONHPRIV
9426 .word 0xe01fe1a8 ! 489: LDD_I ldd [%r31 + 0x01a8], %r16
9427splash_lsu_10_260:
9428 nop
9429 nop
9430 ta T_CHANGE_HPRIV
9431 set 0xd841a9d2, %r2
9432 mov 0x7, %r1
9433 sllx %r1, 32, %r1
9434 or %r1, %r2, %r2
9435 stxa %r2, [%r0] ASI_LSU_CONTROL
9436 .word 0x1d400001 ! 493: FBPULE fbule
9437dvapa_10_263:
9438 nop
9439 nop
9440 ta T_CHANGE_HPRIV
9441 mov 0x8df, %r20
9442 mov 0x1b, %r19
9443 sllx %r20, 23, %r20
9444 or %r19, %r20, %r19
9445 stxa %r19, [%g0] ASI_LSU_CONTROL
9446 mov 0x38, %r18
9447 stxa %r31, [%r18]0x58
9448 wrhpr %g0, 0x29a, %hpstate ! ta T_CHANGE_NONHPRIV
9449 .word 0xe0dfd160 ! 497: LDXA_R ldxa [%r31, %r0] 0x8b, %r16
9450 brlz,pn %r11, skip_10_265
9451 fblg skip_10_265
9452.align 512
9453skip_10_265:
9454 .word 0x93a309d2 ! 501: FDIVd fdivd %f12, %f18, %f40
9455 .word 0xc32fe130 ! 505: STXFSR_I st-sfr %f1, [0x0130, %r31]
9456 .word 0x8980000a ! 509: WRTICK_R wr %r0, %r10, %tick
9457splash_lsu_10_273:
9458 nop
9459 nop
9460 ta T_CHANGE_HPRIV
9461 set 0x308c8caf, %r2
9462 mov 0x7, %r1
9463 sllx %r1, 32, %r1
9464 or %r1, %r2, %r2
9465 stxa %r2, [%r0] ASI_LSU_CONTROL
9466 .word 0x3d400001 ! 513: FBPULE fbule,a,pn %fcc0, <label_0x1>
9467splash_tba_10_275:
9468 nop
9469 ta T_CHANGE_PRIV
9470 setx 0x00000000003a0000, %r11, %r12
9471 .word 0x8b90000c ! 517: WRPR_TBA_R wrpr %r0, %r12, %tba
9472 .word 0x8d903477 ! 521: WRPR_PSTATE_I wrpr %r0, 0x1477, %pstate
9473demap_10_278:
9474 nop
9475 mov 0x80, %g3
9476 ta T_CHANGE_HPRIV
9477 .word 0x2a800001 ! 1: BCS bcs,a <label_0x1>
9478 stxa %g3, [%g3] 0x57
9479 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9480 stxa %g3, [%g3] 0x5f
9481 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9482 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9483 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9484 stxa %g3, [%g3] 0x57
9485 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9486 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9487 stxa %g3, [%g3] 0x5f
9488 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9489 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9490 wrhpr %g0, 0x1b, %hpstate ! ta T_CHANGE_NONHPRIV
9491 .word 0xe81fe0b8 ! 525: LDD_I ldd [%r31 + 0x00b8], %r20
9492 .word 0xe9e7d920 ! 1: CASA_I casa [%r31] 0xc9, %r0, %r20
9493 .word 0xa9a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f20
9494 mov 0xb3, %r30
9495 .word 0x91d0001e ! 529: Tcc_R ta icc_or_xcc, %r0 + %r30
9496splash_htba_10_280:
9497 nop
9498 ta T_CHANGE_HPRIV
9499 setx 0x00000002002a0000, %r11, %r12
9500 .word 0x8b98000c ! 533: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
9501 brz,pt %r13, skip_10_281
9502 bg skip_10_281
9503.align 4096
9504skip_10_281:
9505 .word 0x39400001 ! 537: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
9506 .word 0xd4800b00 ! 541: LDUWA_R lduwa [%r0, %r0] 0x58, %r10
9507memptr_10_283:
9508 set 0x60140000, %r31
9509 .word 0x85846335 ! 545: WRCCR_I wr %r17, 0x0335, %ccr
9510 .word 0xd407c000 ! 549: LDUW_R lduw [%r31 + %r0], %r10
9511 .word 0x16800001 ! 553: BGE bge <label_0x1>
9512 .word 0x91950012 ! 557: WRPR_PIL_R wrpr %r20, %r18, %pil
9513memptr_10_292:
9514 set 0x60540000, %r31
9515 .word 0x858532f1 ! 561: WRCCR_I wr %r20, 0x12f1, %ccr
9516 .word 0xd48008a0 ! 565: LDUWA_R lduwa [%r0, %r0] 0x45, %r10
9517 brgez,pt %r7, skip_10_297
9518 fbl skip_10_297
9519.align 1024
9520skip_10_297:
9521 .word 0x87aa0a53 ! 569: FCMPd fcmpd %fcc<n>, %f8, %f50
9522 fblg,a,pn %fcc0, skip_10_299
9523 stxa %r20, [%r0] ASI_LSU_CONTROL
9524 fbug skip_10_299
9525 stxa %r9, [%r0] ASI_LSU_CONTROL
9526.align 128
9527skip_10_299:
9528 .word 0xf16fe0c9 ! 573: PREFETCH_I prefetch [%r31 + 0x00c9], #24
9529jmptr_10_302:
9530 nop
9531 nop
9532 best_set_reg(0xe1a00000, %r20, %r27)
9533 .word 0xb7c6c000 ! 577: JMPL_R jmpl %r27 + %r0, %r27
9534memptr_10_303:
9535 set user_data_start, %r31
9536 .word 0x85817737 ! 581: WRCCR_I wr %r5, 0x1737, %ccr
9537splash_hpstate_10_306:
9538 .word 0x35400001 ! 1: FBPUE fbue,a,pn %fcc0, <label_0x1>
9539 .word 0x8198249d ! 585: WRHPR_HPSTATE_I wrhpr %r0, 0x049d, %hpstate
9540 .word 0xa190200c ! 589: WRPR_GL_I wrpr %r0, 0x000c, %-
9541#if (defined SPC || defined CMP1)
9542!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_312) , 16, 16)) -> intp(7,0,10,*,664,*,3e,1)
9543!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_312)&0xffffffff) , 16, 16)) -> intp(6,0,14,*,728,*,3e,1)
9544#else
9545 nop
9546 nop
9547 set 0x50806951, %r28 !TTID : 1 (mask2tid(0x10))
9548#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9549 sethi %hi(0x3800), %r27
9550 andn %r28, %r27, %r28
9551#ifdef PORTABLE_CORE
9552 ! Add CID to vector
9553 ta T_CHANGE_HPRIV
9554 ldxa [%g0]0x63, %r27
9555 sllx %r27, 8, %r27
9556 or %r27, %r28, %r28
9557#endif
9558#else
9559 ! Add CID IF tid matches
9560ifelse(1,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9561#endif
9562ifelse(1,mask2tid(0x10),`.align 16')
9563 stxa %r28, [%g0] 0x73
9564#endif
9565intvec_10_312:
9566#if (defined SPC || defined CMP1)
9567 wrhpr %g0, 0x0, %halt ! HALT
9568#else
9569ifelse(1,mask2tid(0x10),`wrhpr %g0, 0x0, %halt ! HALT')
9570#endif
9571 .word 0x97b304cc ! 593: FCMPNE32 fcmpne32 %d12, %d12, %r11
9572 .word 0xc19fe100 ! 597: LDDFA_I ldda [%r31, 0x0100], %f0
9573brcommon3_10_318:
9574 nop
9575 nop
9576 setx common_target, %r12, %r27
9577 lduw [%r27-0], %r12 ! Load common dest into dcache ..
9578 stuw %r12, [%r27-0] ! Load common dest into dcache ..
9579 ba,a .+12
9580 .word 0xda6fe050 ! 1: LDSTUB_I ldstub %r13, [%r31 + 0x0050]
9581 ba,a .+8
9582 jmpl %r27+0, %r27
9583 .word 0x81982adf ! 601: WRHPR_HPSTATE_I wrhpr %r0, 0x0adf, %hpstate
9584splash_htba_10_320:
9585 nop
9586 ta T_CHANGE_HPRIV
9587 setx 0x00000000002a0000, %r11, %r12
9588 .word 0x8b98000c ! 605: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
9589 brgz,pt %r20, skip_10_322
9590 bg,a skip_10_322
9591.align 512
9592skip_10_322:
9593 .word 0x39400001 ! 609: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
9594 bl skip_10_324
9595 .word 0x87ab0a51 ! 1: FCMPd fcmpd %fcc<n>, %f12, %f48
9596.align 2048
9597skip_10_324:
9598 .word 0x97a189c5 ! 613: FDIVd fdivd %f6, %f36, %f42
9599 .word 0xc19fdd40 ! 617: LDDFA_R ldda [%r31, %r0], %f0
9600memptr_10_329:
9601 set 0x60340000, %r31
9602 .word 0x85852f7c ! 621: WRCCR_I wr %r20, 0x0f7c, %ccr
9603frzptr_10_330:
9604 nop
9605 nop
9606 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
9607 ldx [%r27+0xc], %r20
9608 jmpl %r27+4, %r27
9609 .word 0x99a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f12
9610 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
9611 jmpl %r27, %r27
9612 .word 0xe19fdd40 ! 625: LDDFA_R ldda [%r31, %r0], %f16
9613brcommon1_10_333:
9614 nop
9615 nop
9616 setx common_target, %r12, %r27
9617 lduw [%r27-4], %r12 ! Load common dest into dcache ..
9618 stuw %r12, [%r27-4] ! Load common dest into dcache ..
9619 ba,a .+12
9620 .word 0xd9e7c240 ! 1: CASA_I casa [%r31] 0x12, %r0, %r12
9621 ba,a .+8
9622 jmpl %r27-0, %r27
9623 .word 0xa3b4c481 ! 629: FCMPLE32 fcmple32 %d50, %d32, %r17
9624 .word 0xe63fc000 ! 633: STD_R std %r19, [%r31 + %r0]
9625brcommon3_10_338:
9626 nop
9627 nop
9628 setx common_target, %r12, %r27
9629 lduw [%r27-4], %r12 ! Load common dest into dcache ..
9630 stuw %r12, [%r27-4] ! Load common dest into dcache ..
9631 ba,a .+12
9632 .word 0xe66fe140 ! 1: LDSTUB_I ldstub %r19, [%r31 + 0x0140]
9633 ba,a .+8
9634 jmpl %r27-4, %r27
9635 .word 0xe697df00 ! 637: LDUHA_R lduha [%r31, %r0] 0xf8, %r19
9636#if (defined SPC || defined CMP1)
9637!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_340) , 16, 16)) -> intp(1,0,16,*,968,*,f3,1)
9638!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_340)&0xffffffff) , 16, 16)) -> intp(3,0,3,*,688,*,f3,1)
9639#else
9640 nop
9641 nop
9642 set 0xf0505df8, %r28 !TTID : 5 (mask2tid(0x10))
9643#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9644 sethi %hi(0x3800), %r27
9645 andn %r28, %r27, %r28
9646#ifdef PORTABLE_CORE
9647 ! Add CID to vector
9648 ta T_CHANGE_HPRIV
9649 ldxa [%g0]0x63, %r27
9650 sllx %r27, 8, %r27
9651 or %r27, %r28, %r28
9652#endif
9653#else
9654 ! Add CID IF tid matches
9655ifelse(5,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9656#endif
9657ifelse(5,mask2tid(0x10),`.align 16')
9658 stxa %r28, [%g0] 0x73
9659#endif
9660intvec_10_340:
9661 .word 0xa1a089c7 ! 641: FDIVd fdivd %f2, %f38, %f16
9662 .word 0xe0d7d000 ! 645: LDSHA_R ldsha [%r31, %r0] 0x80, %r16
9663 .word 0xc30fc000 ! 649: LDXFSR_R ld-fsr [%r31, %r0], %f1
9664cancelint_10_343:
9665 rdhpr %halt, %r9
9666 .word 0x85880000 ! 653: ALLCLEAN <illegal instruction>
9667 nop
9668 nop
9669 ta T_CHANGE_HPRIV ! macro
9670donret_10_346:
9671 rd %pc, %r12
9672 mov HIGHVA_HIGHNUM, %r10
9673 sllx %r10, 32, %r10
9674 or %r12, %r10, %r12
9675 add %r12, (donretarg_10_346-donret_10_346), %r12
9676 add %r12, 0x4, %r11 ! seq tnpc
9677 andn %r12, %r10, %r12 ! low VA tpc
9678 wrpr %g0, 0x2, %tl
9679 wrpr %g0, %r12, %tpc
9680 wrpr %g0, %r11, %tnpc
9681 set (0x004a6400 | (0x8a << 24)), %r13
9682 and %r12, 0xfff, %r14
9683 sllx %r14, 32, %r14
9684 or %r13, %r14, %r20
9685 wrpr %r20, %g0, %tstate
9686 wrhpr %g0, 0x15cf, %htstate
9687 best_set_reg(0xcc9, %g1, %g2)
9688 wrpr %g0, %g2, %pstate ! rand=0 (10)
9689 ldx [%r12+%r0], %g1
9690 retry
9691.align 128
9692donretarg_10_346:
9693 .word 0xd06fe068 ! 657: LDSTUB_I ldstub %r8, [%r31 + 0x0068]
9694 nop
9695 nop
9696 set 0xca0bef8, %r28 !TTID : 6 (mask2tid(0x10))
9697#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9698 sethi %hi(0x3800), %r27
9699 andn %r28, %r27, %r28
9700#ifdef PORTABLE_CORE
9701 ! Add CID to vector
9702 ta T_CHANGE_HPRIV
9703 ldxa [%g0]0x63, %r27
9704 sllx %r27, 8, %r27
9705 or %r27, %r28, %r28
9706#endif
9707#else
9708 ! Add CID IF tid matches
9709ifelse(6,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9710#endif
9711 sethi %hi(0x30000), %r27
9712 andn %r28, %r27, %r28
9713 ta T_CHANGE_HPRIV
9714ifelse(6,mask2tid(0x10),`.align 16')
9715 stxa %r28, [%g0] 0x73
9716intvec_10_349:
9717 .word 0x39400002 ! 661: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
9718demap_10_352:
9719 nop
9720 mov 0x80, %g3
9721 ta T_CHANGE_HPRIV
9722 .word 0x23400002 ! 1: FBPNE fbne,a,pn %fcc0, <label_0x2>
9723 stxa %g3, [%g3] 0x57
9724 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9725 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9726 stxa %g3, [%g3] 0x57
9727 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9728 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9729 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9730 stxa %g3, [%g3] 0x5f
9731 stxa %g3, [%g3] 0x5f
9732 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9733 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9734 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9735 wrhpr %g0, 0x9c2, %hpstate ! ta T_CHANGE_NONHPRIV
9736 .word 0xe01fe1d7 ! 665: LDD_I ldd [%r31 + 0x01d7], %r16
9737 .word 0xc32fc000 ! 669: STXFSR_R st-sfr %f1, [%r0, %r31]
9738 .word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
9739 .word 0x8d902763 ! 673: WRPR_PSTATE_I wrpr %r0, 0x0763, %pstate
9740 .word 0xc1bfdb20 ! 677: STDFA_R stda %f0, [%r0, %r31]
9741splash_lsu_10_359:
9742 nop
9743 nop
9744 ta T_CHANGE_HPRIV
9745 set 0x522ed318, %r2
9746 mov 0x4, %r1
9747 sllx %r1, 32, %r1
9748 or %r1, %r2, %r2
9749 .word 0x24c88002 ! 1: BRLEZ brlez,a,pt %r2,<label_0x88002>
9750 stxa %r2, [%r0] ASI_LSU_CONTROL
9751 ta T_CHANGE_NONHPRIV
9752 .word 0x3d400001 ! 681: FBPULE fbule,a,pn %fcc0, <label_0x1>
9753 brgz,pt %r6, skip_10_362
9754 stxa %r8, [%r0] ASI_LSU_CONTROL
9755 .word 0x91a0c9d1 ! 1: FDIVd fdivd %f34, %f48, %f8
9756 stxa %r14, [%r0] ASI_LSU_CONTROL
9757.align 128
9758skip_10_362:
9759 .word 0xe03fe0e0 ! 685: STD_I std %r16, [%r31 + 0x00e0]
9760demap_10_364:
9761 nop
9762 mov 0x80, %g3
9763 ta T_CHANGE_HPRIV
9764 stxa %r10, [%r0] ASI_LSU_CONTROL
9765 stxa %g3, [%g3] 0x5f
9766 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9767 stxa %g3, [%g3] 0x5f
9768 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9769 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9770 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9771 stxa %g3, [%g3] 0x57
9772 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9773 wrhpr %g0, 0xbc8, %hpstate ! ta T_CHANGE_NONHPRIV
9774 .word 0xe01fe168 ! 689: LDD_I ldd [%r31 + 0x0168], %r16
9775 .word 0xe027e1e0 ! 693: STW_I stw %r16, [%r31 + 0x01e0]
9776dvapa_10_368:
9777 nop
9778 nop
9779 ta T_CHANGE_HPRIV
9780 mov 0xff3, %r20
9781 mov 0x1d, %r19
9782 sllx %r20, 23, %r20
9783 or %r19, %r20, %r19
9784 stxa %r19, [%g0] ASI_LSU_CONTROL
9785 mov 0x38, %r18
9786 stxa %r31, [%r18]0x58
9787 wrhpr %g0, 0x4b, %hpstate ! ta T_CHANGE_NONHPRIV
9788 .word 0xe13fe030 ! 697: STDF_I std %f16, [0x0030, %r31]
9789splash_hpstate_10_372:
9790 ta T_CHANGE_NONHPRIV
9791 .word 0x8198261d ! 701: WRHPR_HPSTATE_I wrhpr %r0, 0x061d, %hpstate
9792memptr_10_374:
9793 set 0x60340000, %r31
9794 .word 0x8584248f ! 705: WRCCR_I wr %r16, 0x048f, %ccr
9795splash_tba_10_375:
9796 nop
9797 ta T_CHANGE_PRIV
9798 set 0x120000, %r12
9799 .word 0x8b90000c ! 709: WRPR_TBA_R wrpr %r0, %r12, %tba
9800 nop
9801 nop
9802 set 0xb9c05971, %r28 !TTID : 1 (mask2tid(0x10))
9803#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9804 sethi %hi(0x3800), %r27
9805 andn %r28, %r27, %r28
9806#ifdef PORTABLE_CORE
9807 ! Add CID to vector
9808 ta T_CHANGE_HPRIV
9809 ldxa [%g0]0x63, %r27
9810 sllx %r27, 8, %r27
9811 or %r27, %r28, %r28
9812#endif
9813#else
9814 ! Add CID IF tid matches
9815ifelse(1,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9816#endif
9817 sethi %hi(0x30000), %r27
9818 andn %r28, %r27, %r28
9819 ta T_CHANGE_HPRIV
9820ifelse(1,mask2tid(0x10),`.align 16')
9821 stxa %r28, [%g0] 0x73
9822intvec_10_377:
9823 .word 0x99b504d0 ! 713: FCMPNE32 fcmpne32 %d20, %d16, %r12
9824 .word 0xe80fe1b0 ! 717: LDUB_I ldub [%r31 + 0x01b0], %r20
9825demap_10_381:
9826 nop
9827 mov 0x80, %g3
9828 ta T_CHANGE_HPRIV
9829 stxa %r12, [%r0] ASI_LSU_CONTROL
9830 stxa %g3, [%g3] 0x57
9831 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9832 stxa %g3, [%g3] 0x57
9833 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9834 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9835 stxa %g3, [%g3] 0x57
9836 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9837 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9838 stxa %g3, [%g3] 0x5f
9839 stxa %g3, [%g3] 0x5f
9840 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9841 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9842 wrhpr %g0, 0x288, %hpstate ! ta T_CHANGE_NONHPRIV
9843 .word 0xe81fe0f8 ! 721: LDD_I ldd [%r31 + 0x00f8], %r20
9844 nop
9845 nop
9846 mov 0x1, %r11
9847splash_cmpr_10_383:
9848 sllx %r11, 63, %r11
9849 not %r11, %r11
9850 rd %tick, %r10
9851#if (defined SPC || defined CMP1)
9852 add %r10, 0x250, %r10
9853#else
9854 add %r10, 0x700, %r10
9855#endif
9856 and %r10, %r11, %r10
9857 rd %tick, %r10
9858#if (defined SPC || defined CMP1)
9859 add %r10, 0x100, %r10
9860#else
9861 add %r10, 0x550, %r10
9862#endif
9863 and %r10, %r11, %r10
9864 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
9865#if (defined SPC || defined CMP1)
9866!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_383)+8 , 16, 16)) -> intp(0,0,16,*,712,*,38,1)
9867!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_383)&0xffffffff)+8 , 16, 16)) -> intp(2,0,14,*,936,*,38,1)
9868#endif
9869 wrhpr %g0, 0x0, %halt ! HALT
9870 .word 0x81b01021 ! 725: SIAM siam 1
9871 nop
9872 nop
9873 ta T_CHANGE_HPRIV
9874 mov 0x1, %r11
9875splash_cmpr_10_385:
9876 sllx %r11, 63, %r11
9877 not %r11, %r11
9878 rd %tick, %r10
9879#if (defined SPC || defined CMP1)
9880 add %r10, 0x200, %r10
9881#else
9882 add %r10, 0x700, %r10
9883#endif
9884 and %r10, %r11, %r10
9885 wrhpr %r10, %g0, %hsys_tick_cmpr
9886 wrhpr %g0, 0x0, %halt ! HALT
9887 ta T_CHANGE_PRIV
9888 rd %tick, %r10
9889#if (defined SPC || defined CMP1)
9890 add %r10, 0x100, %r10
9891#else
9892 add %r10, 0x900, %r10
9893#endif
9894 and %r10, %r11, %r10
9895 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
9896#if (defined SPC || defined CMP1)
9897!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_385)+8 , 16, 16)) -> intp(2,0,19,*,968,*,d9,1)
9898!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_385)&0xffffffff)+8 , 16, 16)) -> intp(2,0,27,*,688,*,d9,1)
9899#endif
9900 wrhpr %g0, 0x0, %halt ! HALT
9901 .word 0x8198279c ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x079c, %hpstate
9902 .word 0x81b01021 ! 729: SIAM siam 1
9903demap_10_387:
9904 nop
9905 mov 0x80, %g3
9906 ta T_CHANGE_HPRIV
9907 .word 0x22cd0001 ! 1: BRZ brz,a,pt %r20,<label_0xd0001>
9908 stxa %g3, [%g3] 0x57
9909 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9910 stxa %g3, [%g3] 0x5f
9911 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9912 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9913 stxa %g3, [%g3] 0x5f
9914 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9915 stxa %g3, [%g3] 0x57
9916 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9917 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9918 stxa %g3, [%g3] 0x57
9919 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9920 stxa %g3, [%g3] 0x5f
9921 stxa %g3, [%g3] 0x5f
9922 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9923 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9924 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9925 stxa %g3, [%g3] 0x5f
9926 wrhpr %g0, 0x458, %hpstate ! ta T_CHANGE_NONHPRIV
9927 .word 0xe81fe0d4 ! 733: LDD_I ldd [%r31 + 0x00d4], %r20
9928 .word 0xe83fe045 ! 737: STD_I std %r20, [%r31 + 0x0045]
9929 .word 0xe93fe0d0 ! 741: STDF_I std %f20, [0x00d0, %r31]
9930brcommon3_10_397:
9931 nop
9932 nop
9933 setx common_target, %r12, %r27
9934 lduw [%r27], %r12 ! Load common dest into dcache ..
9935 stuw %r12, [%r27] ! Load common dest into dcache ..
9936 ba,a .+12
9937 .word 0xe86fe1b0 ! 1: LDSTUB_I ldstub %r20, [%r31 + 0x01b0]
9938 ba,a .+8
9939 jmpl %r27+0, %r27
9940 stxa %r9, [%r0] ASI_LSU_CONTROL
9941 .word 0x99aac823 ! 745: FMOVGE fmovs %fcc1, %f3, %f12
9942 nop
9943 nop
9944 ta T_CHANGE_HPRIV
9945 mov 0x1, %r11
9946splash_cmpr_10_399:
9947 sllx %r11, 63, %r11
9948 not %r11, %r11
9949 rd %tick, %r10
9950#if (defined SPC || defined CMP1)
9951 add %r10, 0x250, %r10
9952#else
9953 add %r10, 0x550, %r10
9954#endif
9955 and %r10, %r11, %r10
9956 wrhpr %r10, %g0, %hsys_tick_cmpr
9957 wrhpr %g0, 0x0, %halt ! HALT
9958 rd %tick, %r10
9959#if (defined SPC || defined CMP1)
9960 add %r10, 0x250, %r10
9961#else
9962 add %r10, 0x380, %r10
9963#endif
9964 and %r10, %r11, %r10
9965 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
9966#if (defined SPC || defined CMP1)
9967!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_399)+8 , 16, 16)) -> intp(7,0,24,*,960,*,36,1)
9968!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_399)&0xffffffff)+8 , 16, 16)) -> intp(0,0,5,*,736,*,36,1)
9969#endif
9970 wrhpr %g0, 0x0, %halt ! HALT
9971 .word 0x8198324d ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x124d, %hpstate
9972 .word 0x81b01021 ! 749: SIAM siam 1
9973jmptr_10_401:
9974 nop
9975 nop
9976 best_set_reg(0xe1a00000, %r20, %r27)
9977 .word 0xb7c6c000 ! 753: JMPL_R jmpl %r27 + %r0, %r27
9978cancelint_10_402:
9979 rdhpr %halt, %r9
9980 .word 0x85880000 ! 757: ALLCLEAN <illegal instruction>
9981 nop
9982 nop
9983 ta T_CHANGE_HPRIV ! macro
9984donret_10_405:
9985 rd %pc, %r12
9986 mov HIGHVA_HIGHNUM, %r10
9987 sllx %r10, 32, %r10
9988 or %r12, %r10, %r12
9989 add %r12, (donretarg_10_405-donret_10_405+4), %r12
9990 add %r12, 0x4, %r11 ! seq tnpc
9991 wrpr %g0, 0x2, %tl
9992 wrpr %g0, %r12, %tpc
9993 wrpr %g0, %r11, %tnpc
9994 set (0x007cc200 | (20 << 24)), %r13
9995 and %r12, 0xfff, %r14
9996 sllx %r14, 32, %r14
9997 or %r13, %r14, %r20
9998 wrpr %r20, %g0, %tstate
9999 wrhpr %g0, 0xdcd, %htstate
10000 wrhpr %g0, 0xdc3, %hpstate ! rand=1 (10)
10001 ldx [%r11+%r0], %g1
10002 done
10003.align 128
10004donretarg_10_405:
10005 .word 0x8d9024dd ! 761: WRPR_PSTATE_I wrpr %r0, 0x04dd, %pstate
10006 .word 0x8980000a ! 765: WRTICK_R wr %r0, %r10, %tick
10007 .word 0xe4800b20 ! 769: LDUWA_R lduwa [%r0, %r0] 0x59, %r18
10008demap_10_412:
10009 nop
10010 mov 0x80, %g3
10011 ta T_CHANGE_HPRIV
10012 .word 0x2e800001 ! 1: BVS bvs,a <label_0x1>
10013 stxa %g3, [%g3] 0x5f
10014 stxa %g3, [%g3] 0x5f
10015 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10016 stxa %g3, [%g3] 0x57
10017 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10018 stxa %g3, [%g3] 0x57
10019 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10020 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10021 stxa %g3, [%g3] 0x5f
10022 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10023 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10024 wrhpr %g0, 0x393, %hpstate ! ta T_CHANGE_NONHPRIV
10025 .word 0xe41fe1ee ! 773: LDD_I ldd [%r31 + 0x01ee], %r18
10026 .word 0xc19fdc40 ! 777: LDDFA_R ldda [%r31, %r0], %f0
10027 .word 0xe4800c00 ! 781: LDUWA_R lduwa [%r0, %r0] 0x60, %r18
10028 nop
10029 nop
10030 set 0xcf0e379, %r28 !TTID : 3 (mask2tid(0x10))
10031#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10032 sethi %hi(0x3800), %r27
10033 andn %r28, %r27, %r28
10034#ifdef PORTABLE_CORE
10035 ! Add CID to vector
10036 ta T_CHANGE_HPRIV
10037 ldxa [%g0]0x63, %r27
10038 sllx %r27, 8, %r27
10039 or %r27, %r28, %r28
10040#endif
10041#else
10042 ! Add CID IF tid matches
10043ifelse(3,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10044#endif
10045 sethi %hi(0x30000), %r27
10046 andn %r28, %r27, %r28
10047 ta T_CHANGE_HPRIV
10048ifelse(3,mask2tid(0x10),`.align 16')
10049 stxa %r28, [%g0] 0x73
10050intvec_10_417:
10051 .word 0x91b0c4d2 ! 785: FCMPNE32 fcmpne32 %d34, %d18, %r8
10052cancelint_10_418:
10053 rdhpr %halt, %r20
10054 .word 0x85880000 ! 789: ALLCLEAN <illegal instruction>
10055pmu_10_419:
10056 nop
10057 nop
10058 ta T_CHANGE_PRIV
10059 setx 0xffffffb8ffffffa5, %g1, %g7
10060 .word 0xa3800007 ! 793: WR_PERF_COUNTER_R wr %r0, %r7, %-
10061 fbge skip_10_422
10062 .word 0xa1b484cd ! 1: FCMPNE32 fcmpne32 %d18, %d44, %r16
10063.align 128
10064skip_10_422:
10065 .word 0x87acca47 ! 797: FCMPd fcmpd %fcc<n>, %f50, %f38
10066 nop
10067 nop
10068 ta T_CHANGE_PRIV
10069 wrpr %g0, %g0, %gl
10070 nop
10071 nop
10072.text
10073 setx join_lbl_0_0, %g1, %g2
10074 jmp %g2
10075 nop
10076fork_lbl_0_4:
10077! Code for Template instance: _t1_
10078#ifndef PORTABLE_CORE
10079#define SPU_8
10080#endif
10081.text
10082.global _t1_main
10083
10084_t1_main:
10085 !# Switch to hpriv mode
10086 ta T_CHANGE_HPRIV
10087
10088 !# trap counter
10089 mov 0, %i6
10090
10091 set 0x2c46, %g3
10092 stxa %g3, [%g0] ASI_SPARC_PWR_MGMT
10093
10094 ! Get core ID & offset
10095
10096#ifndef PORTABLE_CORE
10097 ldxa [%g0]0x63, %o1
10098 srlx %o1, 3, %o1
10099 sllx %o1, 20, %o1 !! %o1 has core ID offset
10100#else
10101 mov %g0, %o1
10102#endif
10103
10104 ! Set up for PMU
10105 set 0x2038c3ba, %g2
10106 wr %g2, %g0, %pcr
10107 setx 0xffffffb5ffffffa9, %g2, %g7
10108 wr %g7, %g0, %pic
10109
10110 !# setup ASI register to point to SPU
10111 wr %g0, 0x40, %asi
10112
10113 !# Make sure CWQ is currently disabled, not busy, not terminated, no protocol error; else fail
10114 ldxa [%g0 + ASI_SPU_CWQ_CSR] %asi, %l1
10115 and %l1, 0xf, %l2
10116 cmp %g0, %l2
10117 bne,pn %xcc, _t1_fail
10118 nop
10119
10120 !# allocate control word queue (e.g., setup head/tail/first/last registers)
10121 setx _t1_cwq_base, %g1, %l6
10122#ifdef SPU_8
10123#if (MAX_THREADS > 8)
10124 ! Add core ID offset
10125 or %l6, %o1, %l6
10126#endif
10127#endif
10128
10129 !# write base addr to first, head, and tail ptr
10130 !# first store to first
10131 stxa %l6, [%g0 + ASI_SPU_CWQ_FIRST] %asi
10132 ldxa [%g0 + ASI_SPU_CWQ_FIRST] %asi, %l1
10133 !# Mask off upper 16 bits
10134 setx 0x0000ffffffffffff, %l5, %l0
10135 and %l0, %l6, %l2
10136 cmp %l1, %l2
10137 bne,pn %xcc, _t1_fail
10138 nop
10139
10140 !# then to head
10141 stxa %l6, [%g0 + ASI_SPU_CWQ_HEAD] %asi
10142 ldxa [%g0 + ASI_SPU_CWQ_HEAD] %asi, %l1
10143 cmp %l1, %l2
10144 bne,pn %xcc, _t1_fail
10145 nop
10146
10147 !# then to tail
10148 stxa %l6, [%g0 + ASI_SPU_CWQ_TAIL] %asi
10149 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
10150 cmp %l1, %l2
10151 bne,pn %xcc, _t1_fail
10152 nop
10153
10154 !# then end of CWQ region to LAST
10155 setx _t1_cwq_last, %g1, %l5
10156#ifdef SPU_8
10157#if (MAX_THREADS > 8)
10158 ! Add core ID offset
10159 or %l5, %o1, %l5
10160#endif
10161#endif
10162 stxa %l5, [%g0 + ASI_SPU_CWQ_LAST] %asi
10163 ldxa [%g0 + ASI_SPU_CWQ_LAST] %asi, %l1
10164 !# Mask off upper 16 bits
10165 and %l0, %l5, %l2
10166 cmp %l1, %l2
10167 bne,pn %xcc, _t1_fail
10168 nop
10169
10170 or %g0, 0x0, %i4 !# _t1_counter : Increment _t1_by 1 _t1_to _t1_step _t1_over CWs
10171 or %g0, 0x0, %i5 !# _t1_offset : Increment _t1_by 8 _t1_to _t1_step _t1_over CWs
10172
10173_t1_main_loop:
10174 setx _t1_spu_op_array, %l1, %l2
10175#ifdef SPU_8
10176#if (MAX_THREADS > 8)
10177 ! Add core ID offset
10178 or %l2, %o1, %l2
10179#endif
10180#endif
10181 ldx [%l2 + %i5], %i1
10182 cmp %i1, 7
10183 bne _t1_not_ssl
10184 mov %i5, %g5 !# Save _t1_real _t1_offset - _t1_if _t1_sslkey _t1_it _t1_has _t1_to _t1_be 0x10 _t1_aligned
10185 btst 8, %i5
10186 bz _t1_not_ssl
10187 nop
10188 add %i5, 8, %i5
10189
10190_t1_not_ssl:
10191 cmp %i1, 8
10192 bg _t1_fail
10193 mulx %i1, 8, %i1 !# Calc _t1_index _t1_into _t1_toc
10194
10195 setx _t1_table_of_context, %l1, %l2
10196#ifdef SPU_8
10197#if (MAX_THREADS > 8)
10198 ! Add core ID offset
10199 or %l2, %o1, %l2
10200#endif
10201#endif
10202 ldx [%l2 + %i1], %l3 !# l3 = _t1_toc _t1_of _t1_current _t1_operation
10203 ldx [%l3 + 0x40], %l4 !# l4 = alignment array
10204
10205 !# set CWQ data
10206 ldx [%l3], %l2
10207 ldx [%l2 + %i5], %l2 !# Get Control Word _t1_from _t1_array
10208 mov %l2, %i7 !# Save _t1_it _t1_for _t1_later
10209 srlx %i7, 48, %l1
10210 and %l1, 1, %l1
10211 cmp %l1, 1
10212 bne,pn %xcc, _t1_write_cwq
10213 nop
10214 inc %i6 !# increase _t1_interrupt _t1_counter
10215
10216_t1_write_cwq:
10217 !# write CWQ entry (%l6 points to CWQ)
10218 stx %l2, [%l6 + 0x0]
10219
10220 !# source address
10221 ldx [%l3 + 0x8], %l2
10222 ldx [%l4 + 0x8], %l1
10223 add %l2, %i5, %l2
10224 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
10225 stx %l2, [%l6 + 0x8]
10226
10227 !# Authentication Key Address (40-bit)
10228 ldx [%l3 + 0x10], %l2
10229 ldx [%l4 + 0x10], %l1
10230 add %l2, %i5, %l2
10231 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
10232 stx %l2, [%l6 + 0x10]
10233
10234 !# Authentication IV Address (40-bit)
10235 ldx [%l3 + 0x18], %l2
10236 ldx [%l4 + 0x18], %l1
10237 add %l2, %i5, %l2
10238 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
10239 stx %l2, [%l6 + 0x18]
10240
10241 !# Authentication FSAS Address (40-bit)
10242 ldx [%l3 + 0x20], %l2
10243 ldx [%l4 + 0x20], %l1
10244 add %l2, %i5, %l2
10245 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
10246 stx %l2, [%l6 + 0x20]
10247
10248 !# Encryption Key Address (40-bit)
10249 ldx [%l3 + 0x28], %l2
10250 ldx [%l4 + 0x28], %l1
10251 add %l2, %i5, %l2
10252 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
10253 stx %l2, [%l6 + 0x28]
10254
10255 !# Encryption Initialization Vector Address (40-bit)
10256 ldx [%l3 + 0x30], %l2
10257 ldx [%l4 + 0x30], %l1
10258 add %l2, %i5, %l2
10259 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
10260 stx %l2, [%l6 + 0x30]
10261
10262 !# Destination Address (40-bit)
10263 ldx [%l3 + 0x38], %l2
10264 ldx [%l4 + 0x38], %l1
10265 add %l2, %i5, %l2
10266 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
10267 stx %l2, [%l6 + 0x38]
10268
10269 !# Make sure all these stores get to memory before we start
10270 membar #Sync
10271 ldx [%l6 + 0x20], %l2
10272 ldx [%l6 + 0x28], %l2
10273 ldx [%l6 + 0x30], %l2
10274 ldx [%l6 + 0x38], %l2
10275 membar #Sync
10276
10277 wrpr %g0, 0xe5, %pstate
10278
10279 !# Set the enabled bit and reset the other bits
10280 or %g0, 0x1, %g1
10281 stxa %g1, [%g0 + ASI_SPU_CWQ_CSR] %asi
10282
10283 !# Kick off the CWQ operation by writing to the CWQ_TAIL
10284 !# Now add 1 (actually 8*8B) to tail pointer
10285 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l2
10286 add %l2, 0x40, %l2
10287 stxa %l2, [%g0 + ASI_SPU_CWQ_TAIL] %asi
10288 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
10289 cmp %l1, %l2
10290 bne,pn %xcc, _t1_fail
10291 nop
10292
10293 !# CWQ_SYNC operation...
10294 ldxa [%g0 + 0x30] %asi, %l1
10295
10296 rdhpr %halt, %g7
10297 wrpr %g0, 0x63, %pstate
10298
10299 andn %l1, 0x10, %l1 !# clear interrupt request bit
10300 addcc %l1, -1, %i0
10301 bne _t1_fail !# test for unexpected protocal error
10302 nop
10303 ldxa [%g0 + ASI_SPU_CWQ_HEAD] %asi, %l1
10304 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
10305 !# I want to check all the data
10306 or %g0, 260, %i0
10307 or %g0, %g0, %g3
10308_t1_check_msg:
10309 ldx [%l3 + 0x8], %l5 !# Needed _t1_for Inplace
10310 add %l5, %i5, %l5
10311 ldx [%l5 + %g3], %l1
10312 add %g3, 0x8, %g3 !# i++
10313 addcc %i0, -1, %i0
10314 bgt _t1_check_msg
10315 nop
10316 !# I want to check all the data
10317 or %g0, 260, %i0
10318 or %g0, %g0, %g3
10319_t1_check_results:
10320 ldx [%l3 + 0x38], %l5 !# Needed _t1_for Copy
10321 add %l5, %i5, %l5
10322 ldx [%l5 + %g3], %l1
10323 add %g3, 0x8, %g3 !# i++
10324 addcc %i0, -1, %i0
10325 bgt _t1_check_results
10326 nop
10327
10328 or %g0, 0x8, %i0
10329 or %g0, %g0, %g3
10330_t1_check_sfas:
10331 ldx [%l3 + 0x20], %l5
10332 ldx [%l5 + %g3], %l1
10333 add %g3, 0x8, %g3 !# i++
10334 addcc %i0, -1, %i0
10335 bgt _t1_check_sfas
10336 nop
10337
10338 !# I want to check all the State 32 words + 2 bytes XY
10339 or %g0, 0x23, %i0
10340 or %g0, %g0, %g3
10341_t1_check_state:
10342 ldx [%l3 + 0x28], %l5 !# Needed _t1_for Streamout
10343 ldx [%l5 + %g3], %l1
10344 add %g3, 0x8, %g3 !# i++
10345 addcc %i0, -1, %i0
10346 bgt _t1_check_state
10347 nop
10348
10349 mov %g5, %i5
10350 add %l6, 0x40, %l6 !# next CWQ address
10351 add %i5, 8, %i5 !# next _t1_offset
10352 add %i4, 1, %i4 !# loop _t1_counter
10353 cmp %i4, 8
10354 bl _t1_main_loop
10355 nop
10356
10357 !call check_int_cnt !# Check #ints (assuming all have happened at this time!)
10358 nop
10359
10360 EXIT_GOOD
10361
10362_t1_fail:
10363 EXIT_BAD
10364
10365_t1_check_int_cnt:
10366 cmp %g0, %i6
10367 bne,pn %xcc, _t1_fail
10368 nop
10369 retl
10370 nop
10371
10372
10373! diag source
10374
10375 nop
10376 nop
10377 ta T_CHANGE_PRIV
10378 wrpr %g0, %g0, %gl
10379 nop
10380 nop
10381.text
10382 setx join_lbl_0_0, %g1, %g2
10383 jmp %g2
10384 nop
10385fork_lbl_0_3:
10386 wrhpr %g0, 0xf99, %hpstate ! ta T_CHANGE_NONHPRIV
10387 nop
10388 nop
10389 ta T_CHANGE_HPRIV ! macro
10390donret_4_0:
10391 rd %pc, %r12
10392 mov HIGHVA_HIGHNUM, %r10
10393 sllx %r10, 32, %r10
10394 or %r12, %r10, %r12
10395 add %r12, (donretarg_4_0-donret_4_0+4), %r12
10396 add %r12, 0x4, %r11 ! seq tnpc
10397 wrpr %g0, 0x2, %tl
10398 wrpr %g0, %r12, %tpc
10399 wrpr %g0, %r11, %tnpc
10400 set (0x0008e000 | (0x58 << 24)), %r13
10401 and %r12, 0xfff, %r14
10402 sllx %r14, 32, %r14
10403 or %r13, %r14, %r20
10404 wrpr %r20, %g0, %tstate
10405 wrhpr %g0, 0x160f, %htstate
10406 best_set_reg(0x38, %g1, %g2)
10407 wrpr %g0, %g2, %pstate ! rand=0 (4)
10408 ldx [%r12+%r0], %g1
10409 retry
10410donretarg_4_0:
10411brcommon3_4_1:
10412 nop
10413 nop
10414 setx common_target, %r12, %r27
10415 lduw [%r27-4], %r12 ! Load common dest into dcache ..
10416 stuw %r12, [%r27-4] ! Load common dest into dcache ..
10417 ba,a .+12
10418 .word 0xe66fe030 ! 1: LDSTUB_I ldstub %r19, [%r31 + 0x0030]
10419 ba,a .+8
10420 jmpl %r27-0, %r27
10421 .word 0xe6bfc540 ! 1: STDA_R stda %r19, [%r31 + %r0] 0x2a
10422jmptr_4_3:
10423 nop
10424 nop
10425 best_set_reg(0xe0200000, %r20, %r27)
10426 .word 0xb7c6c000 ! 5: JMPL_R jmpl %r27 + %r0, %r27
10427splash_lsu_4_5:
10428 nop
10429 nop
10430 ta T_CHANGE_HPRIV
10431 set 0x1dbc692c, %r2
10432 mov 0x2, %r1
10433 sllx %r1, 32, %r1
10434 or %r1, %r2, %r2
10435 stxa %r2, [%r0] ASI_LSU_CONTROL
10436 .word 0x3d400001 ! 9: FBPULE fbule,a,pn %fcc0, <label_0x1>
10437 nop
10438 nop
10439 set 0x1d60fc8e, %r28 !TTID : 4 (mask2tid(0x4))
10440#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10441 sethi %hi(0x3800), %r27
10442 andn %r28, %r27, %r28
10443#ifdef PORTABLE_CORE
10444 ! Add CID to vector
10445 ta T_CHANGE_HPRIV
10446 ldxa [%g0]0x63, %r27
10447 sllx %r27, 8, %r27
10448 or %r27, %r28, %r28
10449#endif
10450#else
10451 ! Add CID IF tid matches
10452ifelse(4,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10453#endif
10454 sethi %hi(0x30000), %r27
10455 andn %r28, %r27, %r28
10456 ta T_CHANGE_HPRIV
10457ifelse(4,mask2tid(0x4),`.align 16')
10458 stxa %r28, [%g0] 0x73
10459intvec_4_7:
10460 .word 0x91a449d1 ! 13: FDIVd fdivd %f48, %f48, %f8
10461 .word 0xdb3fe1c0 ! 17: STDF_I std %f13, [0x01c0, %r31]
10462 .word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
10463 .word 0x8d903d37 ! 21: WRPR_PSTATE_I wrpr %r0, 0x1d37, %pstate
10464pmu_4_13:
10465 nop
10466 nop
10467 ta T_CHANGE_PRIV
10468 setx 0xffffffb9ffffffad, %g1, %g7
10469 .word 0xa3800007 ! 25: WR_PERF_COUNTER_R wr %r0, %r7, %-
10470 .word 0x8980000a ! 29: WRTICK_R wr %r0, %r10, %tick
10471memptr_4_17:
10472 set 0x60740000, %r31
10473 .word 0x85807fd8 ! 33: WRCCR_I wr %r1, 0x1fd8, %ccr
10474#if (defined SPC || defined CMP1)
10475!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_20) , 16, 16)) -> intp(5,0,8,*,928,*,7c,1)
10476!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_20)&0xffffffff) , 16, 16)) -> intp(2,0,1,*,912,*,7c,1)
10477#else
10478 nop
10479 nop
10480 set 0x3eb0355a, %r28 !TTID : 5 (mask2tid(0x4))
10481#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10482 sethi %hi(0x3800), %r27
10483 andn %r28, %r27, %r28
10484#ifdef PORTABLE_CORE
10485 ! Add CID to vector
10486 ta T_CHANGE_HPRIV
10487 ldxa [%g0]0x63, %r27
10488 sllx %r27, 8, %r27
10489 or %r27, %r28, %r28
10490#endif
10491#else
10492 ! Add CID IF tid matches
10493ifelse(5,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10494#endif
10495ifelse(5,mask2tid(0x4),`.align 16')
10496 stxa %r28, [%g0] 0x73
10497#endif
10498intvec_4_20:
10499 .word 0xa1a509c4 ! 37: FDIVd fdivd %f20, %f4, %f16
10500 nop
10501 nop
10502 ta T_CHANGE_HPRIV
10503 mov 0x0, %r11
10504splash_cmpr_4_23:
10505 sllx %r11, 63, %r11
10506 not %r11, %r11
10507 rd %tick, %r10
10508#if (defined SPC || defined CMP1)
10509 add %r10, 0x100, %r10
10510#else
10511 add %r10, 0x700, %r10
10512#endif
10513 and %r10, %r11, %r10
10514 wrhpr %r10, %g0, %hsys_tick_cmpr
10515 rd %tick, %r10
10516#if (defined SPC || defined CMP1)
10517 add %r10, 0x300, %r10
10518#else
10519 add %r10, 0x380, %r10
10520#endif
10521 and %r10, %r11, %r10
10522 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
10523 .word 0x81983457 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1457, %hpstate
10524 .word 0x81b01021 ! 41: SIAM siam 1
10525 fbule skip_4_24
10526 stxa %r15, [%r0] ASI_LSU_CONTROL
10527 fbe skip_4_24
10528 stxa %r17, [%r0] ASI_LSU_CONTROL
10529.align 1024
10530skip_4_24:
10531 .word 0xc30fc000 ! 45: LDXFSR_R ld-fsr [%r31, %r0], %f1
10532 .word 0xd477e03e ! 49: STX_I stx %r10, [%r31 + 0x003e]
10533 .word 0x9191aaad ! 53: WRPR_PIL_I wrpr %r6, 0x0aad, %pil
10534mondo_4_30:
10535 nop
10536 nop
10537 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
10538 ta T_CHANGE_PRIV
10539 stxa %r19, [%r0+0x3c0] %asi
10540 stxa %r2, [%r0+0x3e0] %asi
10541 .word 0x87802014 ! 1: WRASI_I wr %r0, 0x0014, %asi
10542 .word 0x9d94c006 ! 57: WRPR_WSTATE_R wrpr %r19, %r6, %wstate
10543jmptr_4_32:
10544 nop
10545 nop
10546 best_set_reg(0xe1200000, %r20, %r27)
10547 .word 0xb7c6c000 ! 61: JMPL_R jmpl %r27 + %r0, %r27
10548brcommon1_4_33:
10549 nop
10550 nop
10551 setx common_target, %r12, %r27
10552 lduw [%r27-0], %r12 ! Load common dest into dcache ..
10553 stuw %r12, [%r27-4] ! Load common dest into dcache ..
10554 ba,a .+12
10555 .word 0xc32fe0f0 ! 1: STXFSR_I st-sfr %f1, [0x00f0, %r31]
10556 ba,a .+8
10557 jmpl %r27-0, %r27
10558 .word 0xa3a109d4 ! 65: FDIVd fdivd %f4, %f20, %f48
10559demap_4_35:
10560 nop
10561 mov 0x80, %g3
10562 ta T_CHANGE_HPRIV
10563 .word 0x28800001 ! 1: BLEU bleu,a <label_0x1>
10564 stxa %g3, [%g3] 0x5f
10565 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10566 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10567 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10568 stxa %g3, [%g3] 0x57
10569 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10570 stxa %g3, [%g3] 0x5f
10571 stxa %g3, [%g3] 0x57
10572 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10573 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10574 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10575 stxa %g3, [%g3] 0x57
10576 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10577 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10578 stxa %g3, [%g3] 0x57
10579 stxa %g3, [%g3] 0x57
10580 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10581 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10582 stxa %g3, [%g3] 0x57
10583 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10584 wrhpr %g0, 0x1da, %hpstate ! ta T_CHANGE_NONHPRIV
10585 .word 0xd41fe072 ! 69: LDD_I ldd [%r31 + 0x0072], %r10
10586splash_hpstate_4_36:
10587 ta T_CHANGE_NONHPRIV
10588 .word 0x22800001 ! 1: BE be,a <label_0x1>
10589 .word 0x81982750 ! 73: WRHPR_HPSTATE_I wrhpr %r0, 0x0750, %hpstate
10590 nop
10591 nop
10592 ta T_CHANGE_HPRIV ! macro
10593donret_4_38:
10594 rd %pc, %r12
10595 mov HIGHVA_HIGHNUM, %r10
10596 sllx %r10, 32, %r10
10597 or %r12, %r10, %r12
10598 add %r12, (donretarg_4_38-donret_4_38), %r12
10599 add %r12, 0x8, %r11 ! nonseq tnpc
10600 wrpr %g0, 0x2, %tl
10601 wrpr %g0, %r12, %tpc
10602 wrpr %g0, %r11, %tnpc
10603 set (0x004cf000 | (0x80 << 24)), %r13
10604 and %r12, 0xfff, %r14
10605 sllx %r14, 32, %r14
10606 or %r13, %r14, %r20
10607 wrpr %r20, %g0, %tstate
10608 wrhpr %g0, 0x71d, %htstate
10609 wrhpr %g0, 0xe42, %hpstate ! rand=1 (4)
10610 ldx [%r12+%r0], %g1
10611 retry
10612donretarg_4_38:
10613 .word 0x09400001 ! 77: FBPL fbl
10614 .word 0xc19fc2c0 ! 81: LDDFA_R ldda [%r31, %r0], %f0
10615memptr_4_41:
10616 set 0x60540000, %r31
10617 .word 0x85826d54 ! 85: WRCCR_I wr %r9, 0x0d54, %ccr
10618 .word 0xa782e884 ! 89: WR_GRAPHICS_STATUS_REG_I wr %r11, 0x0884, %-
10619brcommon3_4_45:
10620 nop
10621 nop
10622 setx common_target, %r12, %r27
10623 lduw [%r27], %r12 ! Load common dest into dcache ..
10624 stuw %r12, [%r27] ! Load common dest into dcache ..
10625 ba,a .+12
10626 .word 0xd5e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r10
10627 ba,a .+8
10628 jmpl %r27+0, %r27
10629 stxa %r15, [%r0] ASI_LSU_CONTROL
10630 .word 0x9baac834 ! 93: FMOVGE fmovs %fcc1, %f20, %f13
10631 .word 0xd03fe1e0 ! 97: STD_I std %r8, [%r31 + 0x01e0]
10632 .word 0x23400001 ! 1: FBPNE fbne,a,pn %fcc0, <label_0x1>
10633 .word 0x8d902b62 ! 101: WRPR_PSTATE_I wrpr %r0, 0x0b62, %pstate
10634 .word 0xa1902008 ! 105: WRPR_GL_I wrpr %r0, 0x0008, %-
10635 .word 0xd08008a0 ! 109: LDUWA_R lduwa [%r0, %r0] 0x45, %r8
10636memptr_4_58:
10637 set 0x60740000, %r31
10638 .word 0x85852b9f ! 113: WRCCR_I wr %r20, 0x0b9f, %ccr
10639 .word 0x91d02033 ! 117: Tcc_I ta icc_or_xcc, %r0 + 51
10640demap_4_62:
10641 nop
10642 mov 0x80, %g3
10643 ta T_CHANGE_HPRIV
10644 .word 0x01400001 ! 1: FBPN fbn
10645 stxa %g3, [%g3] 0x5f
10646 stxa %g3, [%g3] 0x5f
10647 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10648 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10649 stxa %g3, [%g3] 0x5f
10650 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10651 wrhpr %g0, 0x740, %hpstate ! ta T_CHANGE_NONHPRIV
10652 .word 0xd01fe018 ! 121: LDD_I ldd [%r31 + 0x0018], %r8
10653 .word 0x91950014 ! 125: WRPR_PIL_R wrpr %r20, %r20, %pil
10654 .word 0xc1bfe1c0 ! 129: STDFA_I stda %f0, [0x01c0, %r31]
10655 .word 0xd08fc540 ! 133: LDUBA_R lduba [%r31, %r0] 0x2a, %r8
10656intveclr_4_71:
10657 nop
10658 nop
10659 ta T_CHANGE_HPRIV
10660 setx 0x7e02d73078fb87a2, %r1, %r28
10661 stxa %r28, [%g0] 0x72
10662 .word 0x25400001 ! 137: FBPLG fblg,a,pn %fcc0, <label_0x1>
10663 fbl skip_4_72
10664 stxa %r15, [%r0] ASI_LSU_CONTROL
10665 .word 0x91b144cc ! 1: FCMPNE32 fcmpne32 %d36, %d12, %r8
10666 stxa %r18, [%r0] ASI_LSU_CONTROL
10667.align 2048
10668skip_4_72:
10669 .word 0xd03fe058 ! 141: STD_I std %r8, [%r31 + 0x0058]
10670demap_4_74:
10671 nop
10672 mov 0x80, %g3
10673 ta T_CHANGE_HPRIV
10674 .word 0x23400001 ! 1: FBPNE fbne,a,pn %fcc0, <label_0x1>
10675 stxa %g3, [%g3] 0x5f
10676 stxa %g3, [%g3] 0x57
10677 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10678 stxa %g3, [%g3] 0x5f
10679 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10680 stxa %g3, [%g3] 0x5f
10681 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10682 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10683 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10684 stxa %g3, [%g3] 0x5f
10685 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10686 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10687 wrhpr %g0, 0x9, %hpstate ! ta T_CHANGE_NONHPRIV
10688 .word 0xd01fe05c ! 145: LDD_I ldd [%r31 + 0x005c], %r8
10689brcommon3_4_78:
10690 nop
10691 nop
10692 setx common_target, %r12, %r27
10693 lduw [%r27-0], %r12 ! Load common dest into dcache ..
10694 stuw %r12, [%r27-0] ! Load common dest into dcache ..
10695 ba,a .+12
10696 .word 0xd1e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r8
10697 ba,a .+8
10698 jmpl %r27+0, %r27
10699 .word 0x8d902c0d ! 149: WRPR_PSTATE_I wrpr %r0, 0x0c0d, %pstate
10700splash_tba_4_81:
10701 nop
10702 ta T_CHANGE_PRIV
10703 set 0x120000, %r12
10704 .word 0x8b90000c ! 153: WRPR_TBA_R wrpr %r0, %r12, %tba
10705splash_tba_4_84:
10706 nop
10707 ta T_CHANGE_PRIV
10708 setx 0x0000000000380000, %r11, %r12
10709 .word 0x8b90000c ! 157: WRPR_TBA_R wrpr %r0, %r12, %tba
10710splash_hpstate_4_87:
10711 .word 0x8198361f ! 161: WRHPR_HPSTATE_I wrhpr %r0, 0x161f, %hpstate
10712 .word 0xe19fe1e0 ! 165: LDDFA_I ldda [%r31, 0x01e0], %f16
10713 .word 0xe1bfdb40 ! 169: STDFA_R stda %f16, [%r0, %r31]
10714memptr_4_94:
10715 set 0x60740000, %r31
10716 .word 0x85826b78 ! 173: WRCCR_I wr %r9, 0x0b78, %ccr
10717 ba skip_4_95
10718 stxa %r9, [%r0] ASI_LSU_CONTROL
10719 bneg skip_4_95
10720 stxa %r17, [%r0] ASI_LSU_CONTROL
10721.align 512
10722skip_4_95:
10723 .word 0xf16fe10e ! 177: PREFETCH_I prefetch [%r31 + 0x010e], #24
10724 .word 0xc1bfdd40 ! 181: STDFA_R stda %f0, [%r0, %r31]
10725intveclr_4_99:
10726 nop
10727 nop
10728 ta T_CHANGE_HPRIV
10729 setx 0xb38ff8962eafcc19, %r1, %r28
10730 stxa %r28, [%g0] 0x72
10731 wrhpr %g0, 0x700, %hpstate ! ta T_CHANGE_NONHPRIV
10732 .word 0x05400001 ! 185: FBPLG fblg
10733demap_4_101:
10734 nop
10735 mov 0x80, %g3
10736 ta T_CHANGE_HPRIV
10737 .word 0x2d400002 ! 1: FBPG fbg,a,pn %fcc0, <label_0x2>
10738 stxa %g3, [%g3] 0x57
10739 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10740 stxa %g3, [%g3] 0x5f
10741 stxa %g3, [%g3] 0x5f
10742 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10743 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10744 stxa %g3, [%g3] 0x5f
10745 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10746 wrhpr %g0, 0x152, %hpstate ! ta T_CHANGE_NONHPRIV
10747 .word 0xd01fe104 ! 189: LDD_I ldd [%r31 + 0x0104], %r8
10748intveclr_4_102:
10749 nop
10750 nop
10751 ta T_CHANGE_HPRIV
10752 setx 0xe3849d3c73eae18e, %r1, %r28
10753 stxa %r28, [%g0] 0x72
10754 wrhpr %g0, 0x21a, %hpstate ! ta T_CHANGE_NONHPRIV
10755 .word 0x05400002 ! 193: FBPLG fblg
10756 nop
10757 nop
10758 ta T_CHANGE_HPRIV ! macro
10759donret_4_104:
10760 rd %pc, %r12
10761 mov HIGHVA_HIGHNUM, %r10
10762 sllx %r10, 32, %r10
10763 or %r12, %r10, %r12
10764 add %r12, (donretarg_4_104-donret_4_104+4), %r12
10765 add %r12, 0x4, %r11 ! seq tnpc
10766 wrpr %g0, 0x1, %tl
10767 wrpr %g0, %r12, %tpc
10768 wrpr %g0, %r11, %tnpc
10769 set (0x0092a800 | (0x4f << 24)), %r13
10770 and %r12, 0xfff, %r14
10771 sllx %r14, 32, %r14
10772 or %r13, %r14, %r20
10773 wrpr %r20, %g0, %tstate
10774 wrhpr %g0, 0xa17, %htstate
10775 best_set_reg(0x1acb, %g1, %g2)
10776 wrpr %g0, %g2, %pstate ! rand=0 (4)
10777 retry
10778donretarg_4_104:
10779 .word 0xd0ffd060 ! 197: SWAPA_R swapa %r8, [%r31 + %r0] 0x83
10780 bne,a skip_4_106
10781 .word 0x87ac0a43 ! 1: FCMPd fcmpd %fcc<n>, %f16, %f34
10782.align 4096
10783skip_4_106:
10784 .word 0x19400001 ! 201: FBPUGE fbuge
10785 .word 0x8980000a ! 205: WRTICK_R wr %r0, %r10, %tick
10786iaw_4_111:
10787 nop
10788 nop
10789 ta T_CHANGE_HPRIV
10790 mov 8, %r18
10791 rd %asi, %r12
10792 wr %r0, 0x41, %asi
10793 set sync_thr_counter4, %r23
10794#ifndef SPC
10795 ldxa [%g0]0x63, %r8
10796 and %r8, 0x38, %r8 ! Core ID
10797#ifndef PORTABLE_CORE
10798 add %r8, %r23, %r23
10799#endif
10800#else
10801 mov 0, %r8
10802#endif
10803 mov 0x4, %r16
10804iaw_startwait4_111:
10805 cas [%r23],%g0,%r16 !lock
10806 brz,a %r16, continue_iaw_4_111
10807 mov (~0x4&0xf), %r16
10808 ld [%r23], %r16
10809iaw_wait4_111:
10810 brnz %r16, iaw_wait4_111
10811 ld [%r23], %r16
10812 ba iaw_startwait4_111
10813 mov 0x4, %r16
10814continue_iaw_4_111:
10815 sllx %r16, %r8, %r16 !Mask for my core only
10816 ldxa [0x58]%asi, %r17 !Running_status
10817wait_for_stat_4_111:
10818 ldxa [0x50]%asi, %r13 !Running_rw
10819 cmp %r13, %r17
10820 bne,a %xcc, wait_for_stat_4_111
10821 ldxa [0x58]%asi, %r17 !Running_status
10822 stxa %r16, [0x68]%asi !Park (W1C)
10823 ldxa [0x50]%asi, %r14 !Running_rw
10824wait_for_iaw_4_111:
10825 ldxa [0x58]%asi, %r17 !Running_status
10826 cmp %r14, %r17
10827 bne,a %xcc, wait_for_iaw_4_111
10828 ldxa [0x50]%asi, %r14 !Running_rw
10829iaw_doit4_111:
10830 mov 0x38, %r18
10831iaw4_4_111:
10832 setx common_target, %r20, %r19
10833 or %r19, 0x1, %r19
10834 stxa %r19, [%r18]0x50
10835 stxa %r16, [0x60] %asi ! Unpark (W1S)
10836 st %g0, [%r23] !clear lock
10837 wr %r0, %r12, %asi ! restore %asi
10838 wrhpr %g0, 0x750, %hpstate ! ta T_CHANGE_NONHPRIV
10839 .word 0xe43fe0a0 ! 209: STD_I std %r18, [%r31 + 0x00a0]
10840 .word 0x8980000a ! 213: WRTICK_R wr %r0, %r10, %tick
10841 .word 0x2b400001 ! 1: FBPUG fbug,a,pn %fcc0, <label_0x1>
10842 .word 0x8d9035ba ! 217: WRPR_PSTATE_I wrpr %r0, 0x15ba, %pstate
10843frzptr_4_119:
10844 nop
10845 nop
10846 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
10847 jmpl %r27, %r27
10848 .word 0x00800001 ! 221: BN bn <label_0x1>
10849 fbg skip_4_122
10850 bgu skip_4_122
10851.align 2048
10852skip_4_122:
10853 .word 0x39400001 ! 225: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
10854memptr_4_124:
10855 set 0x60540000, %r31
10856 .word 0x8581a383 ! 229: WRCCR_I wr %r6, 0x0383, %ccr
10857demap_4_127:
10858 nop
10859 mov 0x80, %g3
10860 ta T_CHANGE_HPRIV
10861 stxa %r6, [%r0] ASI_LSU_CONTROL
10862 stxa %g3, [%g3] 0x57
10863 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10864 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10865 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10866 wrhpr %g0, 0x9d9, %hpstate ! ta T_CHANGE_NONHPRIV
10867 .word 0xd01fe0e3 ! 233: LDD_I ldd [%r31 + 0x00e3], %r8
10868 nop
10869 nop
10870 mov 0x0, %r11
10871splash_cmpr_4_129:
10872 sllx %r11, 63, %r11
10873 not %r11, %r11
10874 rd %tick, %r10
10875#if (defined SPC || defined CMP1)
10876 add %r10, 0x300, %r10
10877#else
10878 add %r10, 0xc00, %r10
10879#endif
10880 and %r10, %r11, %r10
10881 rd %tick, %r10
10882#if (defined SPC || defined CMP1)
10883 add %r10, 0x250, %r10
10884#else
10885 add %r10, 0xc00, %r10
10886#endif
10887 and %r10, %r11, %r10
10888 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
10889 .word 0x81b01021 ! 237: SIAM siam 1
10890 .word 0xc19fdf00 ! 241: LDDFA_R ldda [%r31, %r0], %f0
10891splash_lsu_4_132:
10892 nop
10893 nop
10894 ta T_CHANGE_HPRIV
10895 set 0xa88f1b8f, %r2
10896 mov 0x7, %r1
10897 sllx %r1, 32, %r1
10898 or %r1, %r2, %r2
10899 .word 0x2cc84001 ! 1: BRGZ brgz,a,pt %r1,<label_0x84001>
10900 stxa %r2, [%r0] ASI_LSU_CONTROL
10901 ta T_CHANGE_NONHPRIV
10902 .word 0x3d400001 ! 245: FBPULE fbule,a,pn %fcc0, <label_0x1>
10903demap_4_133:
10904 nop
10905 mov 0x80, %g3
10906 ta T_CHANGE_HPRIV
10907 stxa %r19, [%r0] ASI_LSU_CONTROL
10908 stxa %g3, [%g3] 0x57
10909 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10910 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10911 stxa %g3, [%g3] 0x57
10912 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10913 stxa %g3, [%g3] 0x5f
10914 stxa %g3, [%g3] 0x5f
10915 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10916 stxa %g3, [%g3] 0x57
10917 stxa %g3, [%g3] 0x57
10918 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10919 stxa %g3, [%g3] 0x57
10920 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10921 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10922 stxa %g3, [%g3] 0x5f
10923 wrhpr %g0, 0x48, %hpstate ! ta T_CHANGE_NONHPRIV
10924 .word 0xd01fe017 ! 249: LDD_I ldd [%r31 + 0x0017], %r8
10925ibp_4_135:
10926 nop
10927 nop
10928 ta T_CHANGE_HPRIV
10929 mov 8, %r18
10930 rd %asi, %r12
10931 wr %r0, 0x41, %asi
10932 set sync_thr_counter4, %r23
10933#ifndef SPC
10934 ldxa [%g0]0x63, %r8
10935 and %r8, 0x38, %r8 ! Core ID
10936#ifndef PORTABLE_CORE
10937 add %r8, %r23, %r23
10938#endif
10939#else
10940 mov 0, %r8
10941#endif
10942 mov 0x4, %r16
10943ibp_startwait4_135:
10944 cas [%r23],%g0,%r16 !lock
10945 brz,a %r16, continue_ibp_4_135
10946 mov (~0x4&0xf), %r16
10947 ld [%r23], %r16
10948ibp_wait4_135:
10949 brnz %r16, ibp_wait4_135
10950 ld [%r23], %r16
10951 ba ibp_startwait4_135
10952 mov 0x4, %r16
10953continue_ibp_4_135:
10954 sllx %r16, %r8, %r16 !Mask for my core only
10955 ldxa [0x58]%asi, %r17 !Running_status
10956wait_for_stat_4_135:
10957 ldxa [0x50]%asi, %r13 !Running_rw
10958 cmp %r13, %r17
10959 bne,a %xcc, wait_for_stat_4_135
10960 ldxa [0x58]%asi, %r17 !Running_status
10961 stxa %r16, [0x68]%asi !Park (W1C)
10962 ldxa [0x50]%asi, %r14 !Running_rw
10963wait_for_ibp_4_135:
10964 ldxa [0x58]%asi, %r17 !Running_status
10965 cmp %r14, %r17
10966 bne,a %xcc, wait_for_ibp_4_135
10967 ldxa [0x50]%asi, %r14 !Running_rw
10968ibp_doit4_135:
10969 best_set_reg(0x0000003916bb0b48,%r19, %r20)
10970 stxa %r20, [%r18]0x42
10971 stxa %r16, [0x60] %asi !Unpark (W1S)
10972 st %g0, [%r23] !clear lock
10973 wr %r0, %r12, %asi !restore %asi
10974 .word 0x957038c9 ! 253: POPC_I popc 0x18c9, %r10
10975 .word 0x87802030 ! 257: WRASI_I wr %r0, 0x0030, %asi
10976splash_tba_4_139:
10977 nop
10978 ta T_CHANGE_PRIV
10979 set 0x120000, %r12
10980 .word 0x8b90000c ! 261: WRPR_TBA_R wrpr %r0, %r12, %tba
10981splash_hpstate_4_141:
10982 ta T_CHANGE_NONHPRIV
10983 .word 0x25400001 ! 1: FBPLG fblg,a,pn %fcc0, <label_0x1>
10984 .word 0x81982a5f ! 265: WRHPR_HPSTATE_I wrhpr %r0, 0x0a5f, %hpstate
10985 .word 0xe19fdb20 ! 269: LDDFA_R ldda [%r31, %r0], %f16
10986dvapa_4_144:
10987 nop
10988 nop
10989 ta T_CHANGE_HPRIV
10990 mov 0xebd, %r20
10991 mov 0x17, %r19
10992 sllx %r20, 23, %r20
10993 or %r19, %r20, %r19
10994 stxa %r19, [%g0] ASI_LSU_CONTROL
10995 mov 0x38, %r18
10996 stxa %r31, [%r18]0x58
10997 wrhpr %g0, 0x1c1, %hpstate ! ta T_CHANGE_NONHPRIV
10998 .word 0xdabfc600 ! 273: STDA_R stda %r13, [%r31 + %r0] 0x30
10999 .word 0xe19fdb40 ! 277: LDDFA_R ldda [%r31, %r0], %f16
11000brcommon3_4_148:
11001 nop
11002 nop
11003 setx common_target, %r12, %r27
11004 lduw [%r27], %r12 ! Load common dest into dcache ..
11005 stuw %r12, [%r27] ! Load common dest into dcache ..
11006 ba,a .+12
11007 .word 0xdbe7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r13
11008 ba,a .+8
11009 jmpl %r27+0, %r27
11010 stxa %r20, [%r0] ASI_LSU_CONTROL
11011 .word 0xa7aac831 ! 281: FMOVGE fmovs %fcc1, %f17, %f19
11012 nop
11013 nop
11014 ta T_CHANGE_HPRIV ! macro
11015donret_4_150:
11016 rd %pc, %r12
11017 mov HIGHVA_HIGHNUM, %r10
11018 sllx %r10, 32, %r10
11019 or %r12, %r10, %r12
11020 add %r12, (donretarg_4_150-donret_4_150), %r12
11021 add %r12, 0x4, %r11 ! seq tnpc
11022 andn %r12, %r10, %r12 ! low VA tpc
11023 wrpr %g0, 0x2, %tl
11024 wrpr %g0, %r12, %tpc
11025 wrpr %g0, %r11, %tnpc
11026 set (0x00088e00 | (0x83 << 24)), %r13
11027 and %r12, 0xfff, %r14
11028 sllx %r14, 32, %r14
11029 or %r13, %r14, %r20
11030 wrpr %r20, %g0, %tstate
11031 wrhpr %g0, 0x4c6, %htstate
11032 best_set_reg(0x14c3, %g1, %g2)
11033 wrpr %g0, %g2, %pstate ! rand=0 (4)
11034 ldx [%r12+%r0], %g1
11035 retry
11036donretarg_4_150:
11037 .word 0x95a149ca ! 285: FDIVd fdivd %f36, %f10, %f10
11038jmptr_4_152:
11039 nop
11040 nop
11041 best_set_reg(0xe0200000, %r20, %r27)
11042 .word 0xb7c6c000 ! 289: JMPL_R jmpl %r27 + %r0, %r27
11043cancelint_4_156:
11044 rdhpr %halt, %r16
11045 .word 0x85880000 ! 293: ALLCLEAN <illegal instruction>
11046 nop
11047 nop
11048 ta T_CHANGE_HPRIV ! macro
11049donret_4_159:
11050 rd %pc, %r12
11051 mov HIGHVA_HIGHNUM, %r10
11052 sllx %r10, 32, %r10
11053 or %r12, %r10, %r12
11054 add %r12, (donretarg_4_159-donret_4_159+4), %r12
11055 add %r12, 0x4, %r11 ! seq tnpc
11056 andn %r12, %r10, %r12 ! low VA tpc
11057 wrpr %g0, 0x2, %tl
11058 wrpr %g0, %r12, %tpc
11059 wrpr %g0, %r11, %tnpc
11060 set (0x00f66b00 | (22 << 24)), %r13
11061 and %r12, 0xfff, %r14
11062 sllx %r14, 32, %r14
11063 or %r13, %r14, %r20
11064 wrpr %r20, %g0, %tstate
11065 wrhpr %g0, 0x1555, %htstate
11066 wrhpr %g0, 0xf88, %hpstate ! rand=1 (4)
11067 done
11068.align 128
11069donretarg_4_159:
11070 .word 0xd2ffd060 ! 297: SWAPA_R swapa %r9, [%r31 + %r0] 0x83
11071splash_lsu_4_162:
11072 nop
11073 nop
11074 ta T_CHANGE_HPRIV
11075 set 0x396002b0, %r2
11076 mov 0x4, %r1
11077 sllx %r1, 32, %r1
11078 or %r1, %r2, %r2
11079 stxa %r2, [%r0] ASI_LSU_CONTROL
11080 ta T_CHANGE_NONHPRIV
11081 .word 0x3d400001 ! 301: FBPULE fbule,a,pn %fcc0, <label_0x1>
11082demap_4_163:
11083 nop
11084 mov 0x80, %g3
11085 ta T_CHANGE_HPRIV
11086 .word 0x0cc94001 ! 1: BRGZ brgz,pt %r5,<label_0x94001>
11087 stxa %g3, [%g3] 0x57
11088 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11089 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11090 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11091 stxa %g3, [%g3] 0x57
11092 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11093 wrhpr %g0, 0xd81, %hpstate ! ta T_CHANGE_NONHPRIV
11094 .word 0xd21fe0f8 ! 305: LDD_I ldd [%r31 + 0x00f8], %r9
11095cancelint_4_164:
11096 rdhpr %halt, %r17
11097 .word 0x85880000 ! 309: ALLCLEAN <illegal instruction>
11098splash_lsu_4_166:
11099 nop
11100 nop
11101 ta T_CHANGE_HPRIV
11102 set 0x92dd77f6, %r2
11103 mov 0x4, %r1
11104 sllx %r1, 32, %r1
11105 or %r1, %r2, %r2
11106 stxa %r2, [%r0] ASI_LSU_CONTROL
11107 ta T_CHANGE_NONHPRIV
11108 .word 0x3d400001 ! 313: FBPULE fbule,a,pn %fcc0, <label_0x1>
11109 .word 0xc32fc000 ! 317: STXFSR_R st-sfr %f1, [%r0, %r31]
11110 .word 0xd647e038 ! 321: LDSW_I ldsw [%r31 + 0x0038], %r11
11111 .word 0xe1bfe0a0 ! 325: STDFA_I stda %f16, [0x00a0, %r31]
11112brcommon3_4_173:
11113 nop
11114 nop
11115 setx common_target, %r12, %r27
11116 lduw [%r27], %r12 ! Load common dest into dcache ..
11117 stuw %r12, [%r27] ! Load common dest into dcache ..
11118 ba,a .+12
11119 .word 0xd737e120 ! 1: STQF_I - %f11, [0x0120, %r31]
11120 ba,a .+8
11121 jmpl %r27+0, %r27
11122 stxa %r19, [%r0] ASI_LSU_CONTROL
11123 .word 0xa3aac82d ! 329: FMOVGE fmovs %fcc1, %f13, %f17
11124splash_lsu_4_175:
11125 nop
11126 nop
11127 ta T_CHANGE_HPRIV
11128 set 0x99c6d274, %r2
11129 mov 0x4, %r1
11130 sllx %r1, 32, %r1
11131 or %r1, %r2, %r2
11132 stxa %r2, [%r0] ASI_LSU_CONTROL
11133 ta T_CHANGE_NONHPRIV
11134 .word 0x1d400001 ! 333: FBPULE fbule
11135splash_lsu_4_178:
11136 nop
11137 nop
11138 ta T_CHANGE_HPRIV
11139 set 0xb459e14e, %r2
11140 mov 0x7, %r1
11141 sllx %r1, 32, %r1
11142 or %r1, %r2, %r2
11143 stxa %r2, [%r0] ASI_LSU_CONTROL
11144 ta T_CHANGE_NONHPRIV
11145 .word 0x3d400001 ! 337: FBPULE fbule,a,pn %fcc0, <label_0x1>
11146 .word 0xe7e7c180 ! 341: CASA_I casa [%r31] 0x c, %r0, %r19
11147jmptr_4_184:
11148 nop
11149 nop
11150 best_set_reg(0xe1200000, %r20, %r27)
11151 .word 0xb7c6c000 ! 345: JMPL_R jmpl %r27 + %r0, %r27
11152 .word 0x91d02033 ! 349: Tcc_I ta icc_or_xcc, %r0 + 51
11153splash_lsu_4_188:
11154 nop
11155 nop
11156 ta T_CHANGE_HPRIV
11157 set 0x3c3111c5, %r2
11158 mov 0x6, %r1
11159 sllx %r1, 32, %r1
11160 or %r1, %r2, %r2
11161 .word 0x22c98001 ! 1: BRZ brz,a,pt %r6,<label_0x98001>
11162 stxa %r2, [%r0] ASI_LSU_CONTROL
11163 ta T_CHANGE_NONHPRIV
11164 .word 0x3d400001 ! 353: FBPULE fbule,a,pn %fcc0, <label_0x1>
11165memptr_4_191:
11166 set 0x60540000, %r31
11167 .word 0x858361a9 ! 357: WRCCR_I wr %r13, 0x01a9, %ccr
11168 .word 0xe69fe090 ! 361: LDDA_I ldda [%r31, + 0x0090] %asi, %r19
11169 .word 0xe677e10a ! 365: STX_I stx %r19, [%r31 + 0x010a]
11170 .word 0x2a800001 ! 369: BCS bcs,a <label_0x1>
11171 bcs,a skip_4_198
11172 stxa %r9, [%r0] ASI_LSU_CONTROL
11173 .word 0x91b444c3 ! 1: FCMPNE32 fcmpne32 %d48, %d34, %r8
11174 stxa %r15, [%r0] ASI_LSU_CONTROL
11175.align 2048
11176skip_4_198:
11177 .word 0xf16fe009 ! 373: PREFETCH_I prefetch [%r31 + 0x0009], #24
11178cancelint_4_200:
11179 rdhpr %halt, %r17
11180 .word 0x85880000 ! 377: ALLCLEAN <illegal instruction>
11181 .word 0xd537e17c ! 381: STQF_I - %f10, [0x017c, %r31]
11182 .word 0x8980000a ! 385: WRTICK_R wr %r0, %r10, %tick
11183 nop
11184 nop
11185 set 0x8b30e6be, %r28 !TTID : 6 (mask2tid(0x4))
11186#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11187 sethi %hi(0x3800), %r27
11188 andn %r28, %r27, %r28
11189#ifdef PORTABLE_CORE
11190 ! Add CID to vector
11191 ta T_CHANGE_HPRIV
11192 ldxa [%g0]0x63, %r27
11193 sllx %r27, 8, %r27
11194 or %r27, %r28, %r28
11195#endif
11196#else
11197 ! Add CID IF tid matches
11198ifelse(6,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11199#endif
11200 sethi %hi(0x30000), %r27
11201 andn %r28, %r27, %r28
11202 ta T_CHANGE_HPRIV
11203ifelse(6,mask2tid(0x4),`.align 16')
11204 stxa %r28, [%g0] 0x73
11205intvec_4_207:
11206 .word 0x97a4c9cb ! 389: FDIVd fdivd %f50, %f42, %f42
11207intveclr_4_209:
11208 nop
11209 nop
11210 ta T_CHANGE_HPRIV
11211 setx 0x6188a018dce847b5, %r1, %r28
11212 stxa %r28, [%g0] 0x72
11213 wrhpr %g0, 0x819, %hpstate ! ta T_CHANGE_NONHPRIV
11214 .word 0x25400002 ! 393: FBPLG fblg,a,pn %fcc0, <label_0x2>
11215 brz,pt %r20, skip_4_212
11216 stxa %r17, [%r0] ASI_LSU_CONTROL
11217 brz,pt %r16, skip_4_212
11218 stxa %r10, [%r0] ASI_LSU_CONTROL
11219.align 1024
11220skip_4_212:
11221 .word 0xe03fe1a0 ! 397: STD_I std %r16, [%r31 + 0x01a0]
11222brcommon3_4_215:
11223 nop
11224 nop
11225 setx common_target, %r12, %r27
11226 lduw [%r27-4], %r12 ! Load common dest into dcache ..
11227 stuw %r12, [%r27-0] ! Load common dest into dcache ..
11228 ba,a .+12
11229 .word 0xe1e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r16
11230 ba,a .+8
11231 jmpl %r27+0, %r27
11232 .word 0x00800001 ! 401: BN bn <label_0x1>
11233 .word 0xe0dfd040 ! 405: LDXA_R ldxa [%r31, %r0] 0x82, %r16
11234 .word 0x97b08582 ! 409: FCMPGT32 fcmpgt32 %d2, %d2, %r11
11235 nop
11236 nop
11237 ta T_CHANGE_HPRIV
11238 mov 0x0, %r11
11239splash_cmpr_4_220:
11240 sllx %r11, 63, %r11
11241 not %r11, %r11
11242 rd %tick, %r10
11243#if (defined SPC || defined CMP1)
11244 add %r10, 0x250, %r10
11245#else
11246 add %r10, 0x900, %r10
11247#endif
11248 and %r10, %r11, %r10
11249 wrhpr %r10, %g0, %hsys_tick_cmpr
11250 rd %tick, %r10
11251#if (defined SPC || defined CMP1)
11252 add %r10, 0x200, %r10
11253#else
11254 add %r10, 0x380, %r10
11255#endif
11256 and %r10, %r11, %r10
11257 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
11258 .word 0x81982d0d ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0d0d, %hpstate
11259 .word 0x81b01021 ! 413: SIAM siam 1
11260intveclr_4_222:
11261 nop
11262 nop
11263 ta T_CHANGE_HPRIV
11264 setx 0xa0bcebcf8bd863d2, %r1, %r28
11265 stxa %r28, [%g0] 0x72
11266 .word 0x25400001 ! 417: FBPLG fblg,a,pn %fcc0, <label_0x1>
11267#if (defined SPC || defined CMP1)
11268!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_226) , 16, 16)) -> intp(0,0,21,*,904,*,74,1)
11269!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_226)&0xffffffff) , 16, 16)) -> intp(6,0,23,*,1000,*,74,1)
11270#else
11271 nop
11272 nop
11273 set 0x20e027ca, %r28 !TTID : 7 (mask2tid(0x4))
11274#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11275 sethi %hi(0x3800), %r27
11276 andn %r28, %r27, %r28
11277#ifdef PORTABLE_CORE
11278 ! Add CID to vector
11279 ta T_CHANGE_HPRIV
11280 ldxa [%g0]0x63, %r27
11281 sllx %r27, 8, %r27
11282 or %r27, %r28, %r28
11283#endif
11284#else
11285 ! Add CID IF tid matches
11286ifelse(7,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11287#endif
11288ifelse(7,mask2tid(0x4),`.align 16')
11289 stxa %r28, [%g0] 0x73
11290#endif
11291intvec_4_226:
11292 .word 0x95a349d0 ! 421: FDIVd fdivd %f44, %f16, %f10
11293cancelint_4_228:
11294 rdhpr %halt, %r8
11295 .word 0x85880000 ! 425: ALLCLEAN <illegal instruction>
11296 nop
11297 nop
11298 ta T_CHANGE_HPRIV ! macro
11299donret_4_231:
11300 rd %pc, %r12
11301 mov HIGHVA_HIGHNUM, %r10
11302 sllx %r10, 32, %r10
11303 or %r12, %r10, %r12
11304 add %r12, (donretarg_4_231-donret_4_231+4), %r12
11305 add %r12, 0x4, %r11 ! seq tnpc
11306 andn %r11, %r10, %r11 ! low VA tnpc
11307 wrpr %g0, 0x2, %tl
11308 wrpr %g0, %r12, %tpc
11309 wrpr %g0, %r11, %tnpc
11310 set (0x00f66e00 | (4 << 24)), %r13
11311 and %r12, 0xfff, %r14
11312 sllx %r14, 32, %r14
11313 or %r13, %r14, %r20
11314 wrpr %r20, %g0, %tstate
11315 wrhpr %g0, 0x1f9d, %htstate
11316 best_set_reg(0x6cb, %g1, %g2)
11317 wrpr %g0, %g2, %pstate ! rand=0 (4)
11318 done
11319.align 128
11320donretarg_4_231:
11321 .word 0x8d903995 ! 429: WRPR_PSTATE_I wrpr %r0, 0x1995, %pstate
11322 .word 0xe19fde20 ! 433: LDDFA_R ldda [%r31, %r0], %f16
11323 .word 0xe917c000 ! 437: LDQF_R - [%r31, %r0], %f20
11324 .word 0xe827e062 ! 441: STW_I stw %r20, [%r31 + 0x0062]
11325 .word 0xa36a400a ! 445: SDIVX_R sdivx %r9, %r10, %r17
11326 .word 0x8980000a ! 449: WRTICK_R wr %r0, %r10, %tick
11327 nop
11328 nop
11329 ta T_CHANGE_HPRIV ! macro
11330donret_4_240:
11331 rd %pc, %r12
11332 mov HIGHVA_HIGHNUM, %r10
11333 sllx %r10, 32, %r10
11334 or %r12, %r10, %r12
11335 add %r12, (donretarg_4_240-donret_4_240), %r12
11336 add %r12, 0x8, %r11 ! nonseq tnpc
11337 wrpr %g0, 0x2, %tl
11338 wrpr %g0, %r12, %tpc
11339 wrpr %g0, %r11, %tnpc
11340 set (0x00764300 | (16 << 24)), %r13
11341 and %r12, 0xfff, %r14
11342 sllx %r14, 32, %r14
11343 or %r13, %r14, %r20
11344 wrpr %r20, %g0, %tstate
11345 wrhpr %g0, 0x120f, %htstate
11346 best_set_reg(0x44b, %g1, %g2)
11347 wrpr %g0, %g2, %pstate ! rand=0 (4)
11348 .word 0x0cc9c001 ! 1: BRGZ brgz,pt %r7,<label_0x9c001>
11349 ldx [%r12+%r0], %g1
11350 retry
11351.align 128
11352donretarg_4_240:
11353 .word 0x8d90205c ! 453: WRPR_PSTATE_I wrpr %r0, 0x005c, %pstate
11354 nop
11355 nop
11356 ta T_CHANGE_HPRIV ! macro
11357donret_4_243:
11358 rd %pc, %r12
11359 mov HIGHVA_HIGHNUM, %r10
11360 sllx %r10, 32, %r10
11361 or %r12, %r10, %r12
11362 add %r12, (donretarg_4_243-donret_4_243+4), %r12
11363 add %r12, 0x4, %r11 ! seq tnpc
11364 andn %r12, %r10, %r12 ! low VA tpc
11365 wrpr %g0, 0x2, %tl
11366 wrpr %g0, %r12, %tpc
11367 wrpr %g0, %r11, %tnpc
11368 set (0x00bace00 | (20 << 24)), %r13
11369 and %r12, 0xfff, %r14
11370 sllx %r14, 32, %r14
11371 or %r13, %r14, %r20
11372 wrpr %r20, %g0, %tstate
11373 wrhpr %g0, 0xe97, %htstate
11374 wrhpr %g0, 0x7c3, %hpstate ! rand=1 (4)
11375 ldx [%r11+%r0], %g1
11376 done
11377donretarg_4_243:
11378 .word 0xe86fe0a9 ! 457: LDSTUB_I ldstub %r20, [%r31 + 0x00a9]
11379splash_hpstate_4_246:
11380 .word 0x81983e47 ! 461: WRHPR_HPSTATE_I wrhpr %r0, 0x1e47, %hpstate
11381 bcc,a skip_4_249
11382 .word 0xa1b504c9 ! 1: FCMPNE32 fcmpne32 %d20, %d40, %r16
11383.align 2048
11384skip_4_249:
11385 .word 0x24cac001 ! 465: BRLEZ brlez,a,pt %r11,<label_0xac001>
11386 .word 0xe1bfdb40 ! 469: STDFA_R stda %f16, [%r0, %r31]
11387intveclr_4_250:
11388 nop
11389 nop
11390 ta T_CHANGE_HPRIV
11391 setx 0x1ea345267488ab06, %r1, %r28
11392 stxa %r28, [%g0] 0x72
11393 .word 0x25400002 ! 473: FBPLG fblg,a,pn %fcc0, <label_0x2>
11394intveclr_4_253:
11395 nop
11396 nop
11397 ta T_CHANGE_HPRIV
11398 setx 0x5715b7e1a98139cd, %r1, %r28
11399 stxa %r28, [%g0] 0x72
11400 .word 0x05400001 ! 477: FBPLG fblg
11401 brnz,a,pt %r17, skip_4_254
11402 stxa %r12, [%r0] ASI_LSU_CONTROL
11403 be skip_4_254
11404 stxa %r14, [%r0] ASI_LSU_CONTROL
11405.align 128
11406skip_4_254:
11407 .word 0xe9e7d060 ! 481: CASA_I casa [%r31] 0x83, %r0, %r20
11408cancelint_4_256:
11409 rdhpr %halt, %r13
11410 .word 0x85880000 ! 485: ALLCLEAN <illegal instruction>
11411demap_4_258:
11412 nop
11413 mov 0x80, %g3
11414 ta T_CHANGE_HPRIV
11415 stxa %r13, [%r0] ASI_LSU_CONTROL
11416 stxa %g3, [%g3] 0x5f
11417 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11418 stxa %g3, [%g3] 0x57
11419 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11420 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11421 stxa %g3, [%g3] 0x5f
11422 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11423 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11424 stxa %g3, [%g3] 0x5f
11425 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11426 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11427 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11428 stxa %g3, [%g3] 0x57
11429 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11430 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11431 stxa %g3, [%g3] 0x57
11432 stxa %g3, [%g3] 0x57
11433 wrhpr %g0, 0xa40, %hpstate ! ta T_CHANGE_NONHPRIV
11434 .word 0xe01fe109 ! 489: LDD_I ldd [%r31 + 0x0109], %r16
11435splash_lsu_4_260:
11436 nop
11437 nop
11438 ta T_CHANGE_HPRIV
11439 set 0x6c02e90c, %r2
11440 mov 0x7, %r1
11441 sllx %r1, 32, %r1
11442 or %r1, %r2, %r2
11443 stxa %r2, [%r0] ASI_LSU_CONTROL
11444 .word 0x1d400001 ! 493: FBPULE fbule
11445dvapa_4_263:
11446 nop
11447 nop
11448 ta T_CHANGE_HPRIV
11449 mov 0xc7d, %r20
11450 mov 0x1c, %r19
11451 sllx %r20, 23, %r20
11452 or %r19, %r20, %r19
11453 stxa %r19, [%g0] ASI_LSU_CONTROL
11454 mov 0x38, %r18
11455 stxa %r31, [%r18]0x58
11456 wrhpr %g0, 0x8d9, %hpstate ! ta T_CHANGE_NONHPRIV
11457 .word 0xe1e7e000 ! 497: CASA_R casa [%r31] %asi, %r0, %r16
11458 fbue,a,pn %fcc0, skip_4_265
11459 ble,a skip_4_265
11460.align 512
11461skip_4_265:
11462 .word 0xa5b204c3 ! 501: FCMPNE32 fcmpne32 %d8, %d34, %r18
11463 .word 0xe91fe070 ! 505: LDDF_I ldd [%r31, 0x0070], %f20
11464 .word 0x8980000a ! 509: WRTICK_R wr %r0, %r10, %tick
11465splash_lsu_4_273:
11466 nop
11467 nop
11468 ta T_CHANGE_HPRIV
11469 set 0xfcde38f8, %r2
11470 mov 0x3, %r1
11471 sllx %r1, 32, %r1
11472 or %r1, %r2, %r2
11473 stxa %r2, [%r0] ASI_LSU_CONTROL
11474 .word 0x1d400001 ! 513: FBPULE fbule
11475splash_tba_4_275:
11476 nop
11477 ta T_CHANGE_PRIV
11478 setx 0x0000000400380000, %r11, %r12
11479 .word 0x8b90000c ! 517: WRPR_TBA_R wrpr %r0, %r12, %tba
11480 .word 0x8d9022f7 ! 521: WRPR_PSTATE_I wrpr %r0, 0x02f7, %pstate
11481demap_4_278:
11482 nop
11483 mov 0x80, %g3
11484 ta T_CHANGE_HPRIV
11485 .word 0x2ccd0001 ! 1: BRGZ brgz,a,pt %r20,<label_0xd0001>
11486 stxa %g3, [%g3] 0x5f
11487 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11488 stxa %g3, [%g3] 0x5f
11489 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11490 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11491 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11492 stxa %g3, [%g3] 0x57
11493 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11494 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11495 stxa %g3, [%g3] 0x57
11496 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11497 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11498 wrhpr %g0, 0xb5b, %hpstate ! ta T_CHANGE_NONHPRIV
11499 .word 0xe81fe110 ! 525: LDD_I ldd [%r31 + 0x0110], %r20
11500 .word 0xc30fc000 ! 1: LDXFSR_R ld-fsr [%r31, %r0], %f1
11501 .word 0xa9a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f20
11502 mov 0x30, %r30
11503 .word 0x91d0001e ! 529: Tcc_R ta icc_or_xcc, %r0 + %r30
11504splash_htba_4_280:
11505 nop
11506 ta T_CHANGE_HPRIV
11507 setx 0x0000000000280000, %r11, %r12
11508 .word 0x8b98000c ! 533: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
11509 ble skip_4_281
11510 fbug,a,pn %fcc0, skip_4_281
11511.align 4096
11512skip_4_281:
11513 .word 0x24ca8001 ! 537: BRLEZ brlez,a,pt %r10,<label_0xa8001>
11514 .word 0xd4800b60 ! 541: LDUWA_R lduwa [%r0, %r0] 0x5b, %r10
11515memptr_4_283:
11516 set 0x60540000, %r31
11517 .word 0x858260c8 ! 545: WRCCR_I wr %r9, 0x00c8, %ccr
11518 .word 0xd407c000 ! 549: LDUW_R lduw [%r31 + %r0], %r10
11519 .word 0x36800001 ! 553: BGE bge,a <label_0x1>
11520 .word 0x91928001 ! 557: WRPR_PIL_R wrpr %r10, %r1, %pil
11521memptr_4_292:
11522 set 0x60740000, %r31
11523 .word 0x85843aac ! 561: WRCCR_I wr %r16, 0x1aac, %ccr
11524 .word 0xd4800c60 ! 565: LDUWA_R lduwa [%r0, %r0] 0x63, %r10
11525 fbuge skip_4_297
11526 fbuge skip_4_297
11527.align 1024
11528skip_4_297:
11529 .word 0xa3a449cd ! 569: FDIVd fdivd %f48, %f44, %f48
11530 fbue,a,pn %fcc0, skip_4_299
11531 stxa %r16, [%r0] ASI_LSU_CONTROL
11532 brlez,pt %r20, skip_4_299
11533 stxa %r12, [%r0] ASI_LSU_CONTROL
11534.align 128
11535skip_4_299:
11536 .word 0xc32fc000 ! 573: STXFSR_R st-sfr %f1, [%r0, %r31]
11537jmptr_4_302:
11538 nop
11539 nop
11540 best_set_reg(0xe0200000, %r20, %r27)
11541 .word 0xb7c6c000 ! 577: JMPL_R jmpl %r27 + %r0, %r27
11542memptr_4_303:
11543 set user_data_start, %r31
11544 .word 0x8582ba8e ! 581: WRCCR_I wr %r10, 0x1a8e, %ccr
11545splash_hpstate_4_306:
11546 .word 0x13400001 ! 1: FBPE fbe
11547 .word 0x81982287 ! 585: WRHPR_HPSTATE_I wrhpr %r0, 0x0287, %hpstate
11548 .word 0xa190200c ! 589: WRPR_GL_I wrpr %r0, 0x000c, %-
11549#if (defined SPC || defined CMP1)
11550!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_312) , 16, 16)) -> intp(3,0,7,*,720,*,e5,1)
11551!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_312)&0xffffffff) , 16, 16)) -> intp(3,0,7,*,680,*,e5,1)
11552#else
11553 nop
11554 nop
11555 set 0x7980ef30, %r28 !TTID : 7 (mask2tid(0x4))
11556#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11557 sethi %hi(0x3800), %r27
11558 andn %r28, %r27, %r28
11559#ifdef PORTABLE_CORE
11560 ! Add CID to vector
11561 ta T_CHANGE_HPRIV
11562 ldxa [%g0]0x63, %r27
11563 sllx %r27, 8, %r27
11564 or %r27, %r28, %r28
11565#endif
11566#else
11567 ! Add CID IF tid matches
11568ifelse(7,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11569#endif
11570ifelse(7,mask2tid(0x4),`.align 16')
11571 stxa %r28, [%g0] 0x73
11572#endif
11573intvec_4_312:
11574 .word 0xa1a1c9cb ! 593: FDIVd fdivd %f38, %f42, %f16
11575 .word 0xc19fe060 ! 597: LDDFA_I ldda [%r31, 0x0060], %f0
11576brcommon3_4_318:
11577 nop
11578 nop
11579 setx common_target, %r12, %r27
11580 lduw [%r27-4], %r12 ! Load common dest into dcache ..
11581 stuw %r12, [%r27-0] ! Load common dest into dcache ..
11582 ba,a .+12
11583 .word 0xda6fe1d0 ! 1: LDSTUB_I ldstub %r13, [%r31 + 0x01d0]
11584 ba,a .+8
11585 jmpl %r27+0, %r27
11586 .word 0x00800001 ! 601: BN bn <label_0x1>
11587splash_htba_4_320:
11588 nop
11589 ta T_CHANGE_HPRIV
11590 setx 0x0000000200280000, %r11, %r12
11591 .word 0x8b98000c ! 605: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
11592 fbul skip_4_322
11593 bvs skip_4_322
11594.align 512
11595skip_4_322:
11596 .word 0x87a98a50 ! 609: FCMPd fcmpd %fcc<n>, %f6, %f16
11597 bg,a skip_4_324
11598 .word 0xa5b404d0 ! 1: FCMPNE32 fcmpne32 %d16, %d16, %r18
11599.align 2048
11600skip_4_324:
11601 .word 0x39400001 ! 613: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
11602 .word 0xe19fde00 ! 617: LDDFA_R ldda [%r31, %r0], %f16
11603memptr_4_329:
11604 set 0x60140000, %r31
11605 .word 0x8581309c ! 621: WRCCR_I wr %r4, 0x109c, %ccr
11606frzptr_4_330:
11607 nop
11608 nop
11609 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
11610 ldx [%r27+0xc], %r20
11611 jmpl %r27+4, %r27
11612 .word 0xf16fe020 ! 1: PREFETCH_I prefetch [%r31 + 0x0020], #24
11613 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
11614 jmpl %r27, %r27
11615 .word 0xc19fde20 ! 625: LDDFA_R ldda [%r31, %r0], %f0
11616brcommon1_4_333:
11617 nop
11618 nop
11619 setx common_target, %r12, %r27
11620 lduw [%r27-4], %r12 ! Load common dest into dcache ..
11621 stuw %r12, [%r27-4] ! Load common dest into dcache ..
11622 ba,a .+12
11623 .word 0xd9e7c2c0 ! 1: CASA_I casa [%r31] 0x16, %r0, %r12
11624 ba,a .+8
11625 jmpl %r27-4, %r27
11626 .word 0x99702084 ! 629: POPC_I popc 0x0084, %r12
11627 .word 0xe63fc000 ! 633: STD_R std %r19, [%r31 + %r0]
11628brcommon3_4_338:
11629 nop
11630 nop
11631 setx common_target, %r12, %r27
11632 lduw [%r27-0], %r12 ! Load common dest into dcache ..
11633 stuw %r12, [%r27-4] ! Load common dest into dcache ..
11634 ba,a .+12
11635 .word 0xe66fe130 ! 1: LDSTUB_I ldstub %r19, [%r31 + 0x0130]
11636 ba,a .+8
11637 jmpl %r27-0, %r27
11638 .word 0xa7b7c7c0 ! 637: PDIST pdistn %d62, %d0, %d50
11639#if (defined SPC || defined CMP1)
11640!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_340) , 16, 16)) -> intp(2,0,30,*,896,*,fc,1)
11641!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_340)&0xffffffff) , 16, 16)) -> intp(0,0,30,*,928,*,fc,1)
11642#else
11643 nop
11644 nop
11645 set 0xc1200c02, %r28 !TTID : 4 (mask2tid(0x4))
11646#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11647 sethi %hi(0x3800), %r27
11648 andn %r28, %r27, %r28
11649#ifdef PORTABLE_CORE
11650 ! Add CID to vector
11651 ta T_CHANGE_HPRIV
11652 ldxa [%g0]0x63, %r27
11653 sllx %r27, 8, %r27
11654 or %r27, %r28, %r28
11655#endif
11656#else
11657 ! Add CID IF tid matches
11658ifelse(4,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11659#endif
11660ifelse(4,mask2tid(0x4),`.align 16')
11661 stxa %r28, [%g0] 0x73
11662#endif
11663intvec_4_340:
11664#if (defined SPC || defined CMP1)
11665 wrhpr %g0, 0x0, %halt ! HALT
11666#else
11667ifelse(4,mask2tid(0x4),`wrhpr %g0, 0x0, %halt ! HALT')
11668#endif
11669 .word 0xa5b184c4 ! 641: FCMPNE32 fcmpne32 %d6, %d4, %r18
11670 .word 0xe0d7c2c0 ! 645: LDSHA_R ldsha [%r31, %r0] 0x16, %r16
11671 .word 0xc30fc000 ! 649: LDXFSR_R ld-fsr [%r31, %r0], %f1
11672cancelint_4_343:
11673 rdhpr %halt, %r8
11674 .word 0x85880000 ! 653: ALLCLEAN <illegal instruction>
11675 nop
11676 nop
11677 ta T_CHANGE_HPRIV ! macro
11678donret_4_346:
11679 rd %pc, %r12
11680 mov HIGHVA_HIGHNUM, %r10
11681 sllx %r10, 32, %r10
11682 or %r12, %r10, %r12
11683 add %r12, (donretarg_4_346-donret_4_346), %r12
11684 add %r12, 0x4, %r11 ! seq tnpc
11685 andn %r12, %r10, %r12 ! low VA tpc
11686 wrpr %g0, 0x2, %tl
11687 wrpr %g0, %r12, %tpc
11688 wrpr %g0, %r11, %tnpc
11689 set (0x00e88e00 | (4 << 24)), %r13
11690 and %r12, 0xfff, %r14
11691 sllx %r14, 32, %r14
11692 or %r13, %r14, %r20
11693 wrpr %r20, %g0, %tstate
11694 wrhpr %g0, 0x79d, %htstate
11695 best_set_reg(0x1798, %g1, %g2)
11696 wrpr %g0, %g2, %pstate ! rand=0 (4)
11697 ldx [%r12+%r0], %g1
11698 retry
11699.align 128
11700donretarg_4_346:
11701 .word 0xd06fe114 ! 657: LDSTUB_I ldstub %r8, [%r31 + 0x0114]
11702 nop
11703 nop
11704 set 0x3340dba4, %r28 !TTID : 3 (mask2tid(0x4))
11705#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11706 sethi %hi(0x3800), %r27
11707 andn %r28, %r27, %r28
11708#ifdef PORTABLE_CORE
11709 ! Add CID to vector
11710 ta T_CHANGE_HPRIV
11711 ldxa [%g0]0x63, %r27
11712 sllx %r27, 8, %r27
11713 or %r27, %r28, %r28
11714#endif
11715#else
11716 ! Add CID IF tid matches
11717ifelse(3,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11718#endif
11719 sethi %hi(0x30000), %r27
11720 andn %r28, %r27, %r28
11721 ta T_CHANGE_HPRIV
11722ifelse(3,mask2tid(0x4),`.align 16')
11723 stxa %r28, [%g0] 0x73
11724intvec_4_349:
11725 .word 0x39400001 ! 661: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
11726demap_4_352:
11727 nop
11728 mov 0x80, %g3
11729 ta T_CHANGE_HPRIV
11730 .word 0x1a800001 ! 1: BCC bcc <label_0x1>
11731 stxa %g3, [%g3] 0x57
11732 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11733 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11734 stxa %g3, [%g3] 0x5f
11735 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11736 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11737 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11738 stxa %g3, [%g3] 0x5f
11739 stxa %g3, [%g3] 0x57
11740 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11741 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11742 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11743 wrhpr %g0, 0xfd1, %hpstate ! ta T_CHANGE_NONHPRIV
11744 .word 0xe01fe000 ! 665: LDD_I ldd [%r31 + 0x0000], %r16
11745 .word 0xc32fc000 ! 669: STXFSR_R st-sfr %f1, [%r0, %r31]
11746 .word 0x0ccac001 ! 1: BRGZ brgz,pt %r11,<label_0xac001>
11747 .word 0x8d902ae5 ! 673: WRPR_PSTATE_I wrpr %r0, 0x0ae5, %pstate
11748 .word 0xc1bfda00 ! 677: STDFA_R stda %f0, [%r0, %r31]
11749splash_lsu_4_359:
11750 nop
11751 nop
11752 ta T_CHANGE_HPRIV
11753 set 0x02e51638, %r2
11754 mov 0x5, %r1
11755 sllx %r1, 32, %r1
11756 or %r1, %r2, %r2
11757 .word 0x10800001 ! 1: BA ba <label_0x1>
11758 stxa %r2, [%r0] ASI_LSU_CONTROL
11759 ta T_CHANGE_NONHPRIV
11760 .word 0x3d400002 ! 681: FBPULE fbule,a,pn %fcc0, <label_0x2>
11761 bneg,a skip_4_362
11762 stxa %r6, [%r0] ASI_LSU_CONTROL
11763 .word 0x91b444d2 ! 1: FCMPNE32 fcmpne32 %d48, %d18, %r8
11764 stxa %r10, [%r0] ASI_LSU_CONTROL
11765.align 128
11766skip_4_362:
11767 .word 0xe03fe11a ! 685: STD_I std %r16, [%r31 + 0x011a]
11768demap_4_364:
11769 nop
11770 mov 0x80, %g3
11771 ta T_CHANGE_HPRIV
11772 stxa %r18, [%r0] ASI_LSU_CONTROL
11773 stxa %g3, [%g3] 0x57
11774 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11775 stxa %g3, [%g3] 0x57
11776 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11777 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11778 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11779 stxa %g3, [%g3] 0x5f
11780 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11781 wrhpr %g0, 0xd03, %hpstate ! ta T_CHANGE_NONHPRIV
11782 .word 0xe01fe068 ! 689: LDD_I ldd [%r31 + 0x0068], %r16
11783 .word 0xe027e195 ! 693: STW_I stw %r16, [%r31 + 0x0195]
11784dvapa_4_368:
11785 nop
11786 nop
11787 ta T_CHANGE_HPRIV
11788 mov 0xc2b, %r20
11789 mov 0x1a, %r19
11790 sllx %r20, 23, %r20
11791 or %r19, %r20, %r19
11792 stxa %r19, [%g0] ASI_LSU_CONTROL
11793 mov 0x38, %r18
11794 stxa %r31, [%r18]0x58
11795 wrhpr %g0, 0xd5b, %hpstate ! ta T_CHANGE_NONHPRIV
11796 .word 0xe03fe1c0 ! 697: STD_I std %r16, [%r31 + 0x01c0]
11797splash_hpstate_4_372:
11798 ta T_CHANGE_NONHPRIV
11799 .word 0x8198359e ! 701: WRHPR_HPSTATE_I wrhpr %r0, 0x159e, %hpstate
11800memptr_4_374:
11801 set 0x60540000, %r31
11802 .word 0x8581787c ! 705: WRCCR_I wr %r5, 0x187c, %ccr
11803splash_tba_4_375:
11804 nop
11805 ta T_CHANGE_PRIV
11806 set 0x120000, %r12
11807 .word 0x8b90000c ! 709: WRPR_TBA_R wrpr %r0, %r12, %tba
11808 nop
11809 nop
11810 set 0x735029e5, %r28 !TTID : 1 (mask2tid(0x4))
11811#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11812 sethi %hi(0x3800), %r27
11813 andn %r28, %r27, %r28
11814#ifdef PORTABLE_CORE
11815 ! Add CID to vector
11816 ta T_CHANGE_HPRIV
11817 ldxa [%g0]0x63, %r27
11818 sllx %r27, 8, %r27
11819 or %r27, %r28, %r28
11820#endif
11821#else
11822 ! Add CID IF tid matches
11823ifelse(1,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11824#endif
11825 sethi %hi(0x30000), %r27
11826 andn %r28, %r27, %r28
11827 ta T_CHANGE_HPRIV
11828ifelse(1,mask2tid(0x4),`.align 16')
11829 stxa %r28, [%g0] 0x73
11830intvec_4_377:
11831 .word 0x95a449d4 ! 713: FDIVd fdivd %f48, %f20, %f10
11832 .word 0xe80fe130 ! 717: LDUB_I ldub [%r31 + 0x0130], %r20
11833demap_4_381:
11834 nop
11835 mov 0x80, %g3
11836 ta T_CHANGE_HPRIV
11837 stxa %r18, [%r0] ASI_LSU_CONTROL
11838 stxa %g3, [%g3] 0x57
11839 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11840 stxa %g3, [%g3] 0x5f
11841 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11842 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11843 stxa %g3, [%g3] 0x5f
11844 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11845 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11846 stxa %g3, [%g3] 0x5f
11847 stxa %g3, [%g3] 0x5f
11848 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11849 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11850 wrhpr %g0, 0xb88, %hpstate ! ta T_CHANGE_NONHPRIV
11851 .word 0xe81fe108 ! 721: LDD_I ldd [%r31 + 0x0108], %r20
11852 nop
11853 nop
11854 mov 0x0, %r11
11855splash_cmpr_4_383:
11856 sllx %r11, 63, %r11
11857 not %r11, %r11
11858 rd %tick, %r10
11859#if (defined SPC || defined CMP1)
11860 add %r10, 0x150, %r10
11861#else
11862 add %r10, 0xc00, %r10
11863#endif
11864 and %r10, %r11, %r10
11865 ta T_CHANGE_PRIV
11866 rd %tick, %r10
11867#if (defined SPC || defined CMP1)
11868 add %r10, 0x200, %r10
11869#else
11870 add %r10, 0x700, %r10
11871#endif
11872 and %r10, %r11, %r10
11873 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
11874 .word 0x81b01021 ! 725: SIAM siam 1
11875 nop
11876 nop
11877 ta T_CHANGE_HPRIV
11878 mov 0x1, %r11
11879splash_cmpr_4_385:
11880 sllx %r11, 63, %r11
11881 not %r11, %r11
11882 rd %tick, %r10
11883#if (defined SPC || defined CMP1)
11884 add %r10, 0x200, %r10
11885#else
11886 add %r10, 0xc00, %r10
11887#endif
11888 and %r10, %r11, %r10
11889 wrhpr %r10, %g0, %hsys_tick_cmpr
11890 wrhpr %g0, 0x0, %halt ! HALT
11891 rd %tick, %r10
11892#if (defined SPC || defined CMP1)
11893 add %r10, 0x300, %r10
11894#else
11895 add %r10, 0x380, %r10
11896#endif
11897 and %r10, %r11, %r10
11898 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
11899#if (defined SPC || defined CMP1)
11900!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_4_385)+8 , 16, 16)) -> intp(6,0,1,*,944,*,5c,1)
11901!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_4_385)&0xffffffff)+8 , 16, 16)) -> intp(2,0,18,*,928,*,5c,1)
11902#endif
11903 wrhpr %g0, 0x0, %halt ! HALT
11904 .word 0x81983adf ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1adf, %hpstate
11905 .word 0x81b01021 ! 729: SIAM siam 1
11906demap_4_387:
11907 nop
11908 mov 0x80, %g3
11909 ta T_CHANGE_HPRIV
11910 .word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
11911 stxa %g3, [%g3] 0x57
11912 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11913 stxa %g3, [%g3] 0x57
11914 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11915 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11916 stxa %g3, [%g3] 0x5f
11917 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11918 stxa %g3, [%g3] 0x5f
11919 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11920 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11921 stxa %g3, [%g3] 0x57
11922 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11923 stxa %g3, [%g3] 0x57
11924 stxa %g3, [%g3] 0x57
11925 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11926 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11927 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11928 stxa %g3, [%g3] 0x5f
11929 wrhpr %g0, 0xc9b, %hpstate ! ta T_CHANGE_NONHPRIV
11930 .word 0xe81fe1e0 ! 733: LDD_I ldd [%r31 + 0x01e0], %r20
11931 .word 0xe83fe09a ! 737: STD_I std %r20, [%r31 + 0x009a]
11932iaw_4_393:
11933 nop
11934 nop
11935 ta T_CHANGE_HPRIV
11936 mov 8, %r18
11937 rd %asi, %r12
11938 wr %r0, 0x41, %asi
11939 set sync_thr_counter4, %r23
11940#ifndef SPC
11941 ldxa [%g0]0x63, %r8
11942 and %r8, 0x38, %r8 ! Core ID
11943#ifndef PORTABLE_CORE
11944 add %r8, %r23, %r23
11945#endif
11946#else
11947 mov 0, %r8
11948#endif
11949 mov 0x4, %r16
11950iaw_startwait4_393:
11951 cas [%r23],%g0,%r16 !lock
11952 brz,a %r16, continue_iaw_4_393
11953 mov (~0x4&0xf), %r16
11954 ld [%r23], %r16
11955iaw_wait4_393:
11956 brnz %r16, iaw_wait4_393
11957 ld [%r23], %r16
11958 ba iaw_startwait4_393
11959 mov 0x4, %r16
11960continue_iaw_4_393:
11961 sllx %r16, %r8, %r16 !Mask for my core only
11962 ldxa [0x58]%asi, %r17 !Running_status
11963wait_for_stat_4_393:
11964 ldxa [0x50]%asi, %r13 !Running_rw
11965 cmp %r13, %r17
11966 bne,a %xcc, wait_for_stat_4_393
11967 ldxa [0x58]%asi, %r17 !Running_status
11968 stxa %r16, [0x68]%asi !Park (W1C)
11969 ldxa [0x50]%asi, %r14 !Running_rw
11970wait_for_iaw_4_393:
11971 ldxa [0x58]%asi, %r17 !Running_status
11972 cmp %r14, %r17
11973 bne,a %xcc, wait_for_iaw_4_393
11974 ldxa [0x50]%asi, %r14 !Running_rw
11975iaw_doit4_393:
11976 mov 0x38, %r18
11977iaw1_4_393:
11978 best_set_reg(0x00000000e0200000, %r20, %r19)
11979 or %r19, 0x1, %r19
11980 stxa %r19, [%r18]0x50
11981 stxa %r16, [0x60] %asi ! Unpark (W1S)
11982 st %g0, [%r23] !clear lock
11983 wr %r0, %r12, %asi ! restore %asi
11984 wrhpr %g0, 0x943, %hpstate ! ta T_CHANGE_NONHPRIV
11985 .word 0xe8dfc280 ! 741: LDXA_R ldxa [%r31, %r0] 0x14, %r20
11986brcommon3_4_397:
11987 nop
11988 nop
11989 setx common_target, %r12, %r27
11990 lduw [%r27], %r12 ! Load common dest into dcache ..
11991 stuw %r12, [%r27] ! Load common dest into dcache ..
11992 ba,a .+12
11993 .word 0xe86fe150 ! 1: LDSTUB_I ldstub %r20, [%r31 + 0x0150]
11994 ba,a .+8
11995 jmpl %r27+0, %r27
11996 stxa %r13, [%r0] ASI_LSU_CONTROL
11997 .word 0x9baac830 ! 745: FMOVGE fmovs %fcc1, %f16, %f13
11998 nop
11999 nop
12000 ta T_CHANGE_HPRIV
12001 mov 0x1, %r11
12002splash_cmpr_4_399:
12003 sllx %r11, 63, %r11
12004 not %r11, %r11
12005 rd %tick, %r10
12006#if (defined SPC || defined CMP1)
12007 add %r10, 0x200, %r10
12008#else
12009 add %r10, 0x700, %r10
12010#endif
12011 and %r10, %r11, %r10
12012 wrhpr %r10, %g0, %hsys_tick_cmpr
12013 wrhpr %g0, 0x0, %halt ! HALT
12014 rd %tick, %r10
12015#if (defined SPC || defined CMP1)
12016 add %r10, 0x100, %r10
12017#else
12018 add %r10, 0x700, %r10
12019#endif
12020 and %r10, %r11, %r10
12021 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
12022#if (defined SPC || defined CMP1)
12023!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_4_399)+8 , 16, 16)) -> intp(5,0,22,*,904,*,af,1)
12024!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_4_399)&0xffffffff)+8 , 16, 16)) -> intp(4,0,19,*,952,*,af,1)
12025#endif
12026 wrhpr %g0, 0x0, %halt ! HALT
12027 .word 0x8198364d ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x164d, %hpstate
12028 .word 0x81b01021 ! 749: SIAM siam 1
12029jmptr_4_401:
12030 nop
12031 nop
12032 best_set_reg(0xe0200000, %r20, %r27)
12033 .word 0xb7c6c000 ! 753: JMPL_R jmpl %r27 + %r0, %r27
12034cancelint_4_402:
12035 rdhpr %halt, %r16
12036 .word 0x85880000 ! 757: ALLCLEAN <illegal instruction>
12037 nop
12038 nop
12039 ta T_CHANGE_HPRIV ! macro
12040donret_4_405:
12041 rd %pc, %r12
12042 mov HIGHVA_HIGHNUM, %r10
12043 sllx %r10, 32, %r10
12044 or %r12, %r10, %r12
12045 add %r12, (donretarg_4_405-donret_4_405+4), %r12
12046 add %r12, 0x4, %r11 ! seq tnpc
12047 wrpr %g0, 0x1, %tl
12048 wrpr %g0, %r12, %tpc
12049 wrpr %g0, %r11, %tnpc
12050 set (0x00bd3800 | (32 << 24)), %r13
12051 and %r12, 0xfff, %r14
12052 sllx %r14, 32, %r14
12053 or %r13, %r14, %r20
12054 wrpr %r20, %g0, %tstate
12055 wrhpr %g0, 0x138d, %htstate
12056 wrhpr %g0, 0x981, %hpstate ! rand=1 (4)
12057 ldx [%r11+%r0], %g1
12058 done
12059.align 128
12060donretarg_4_405:
12061 .word 0x8198345d ! 761: WRHPR_HPSTATE_I wrhpr %r0, 0x145d, %hpstate
12062 .word 0x8980000a ! 765: WRTICK_R wr %r0, %r10, %tick
12063 .word 0xe4800be0 ! 769: LDUWA_R lduwa [%r0, %r0] 0x5f, %r18
12064demap_4_412:
12065 nop
12066 mov 0x80, %g3
12067 ta T_CHANGE_HPRIV
12068 .word 0x25400001 ! 1: FBPLG fblg,a,pn %fcc0, <label_0x1>
12069 stxa %g3, [%g3] 0x5f
12070 stxa %g3, [%g3] 0x5f
12071 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
12072 stxa %g3, [%g3] 0x5f
12073 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12074 stxa %g3, [%g3] 0x5f
12075 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
12076 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
12077 stxa %g3, [%g3] 0x5f
12078 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
12079 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
12080 wrhpr %g0, 0x592, %hpstate ! ta T_CHANGE_NONHPRIV
12081 .word 0xe41fe141 ! 773: LDD_I ldd [%r31 + 0x0141], %r18
12082 .word 0xe19fde00 ! 777: LDDFA_R ldda [%r31, %r0], %f16
12083 .word 0xe48008a0 ! 781: LDUWA_R lduwa [%r0, %r0] 0x45, %r18
12084 nop
12085 nop
12086 set 0x5a101ab4, %r28 !TTID : 2 (mask2tid(0x4))
12087#if (defined PORTABLE_CORE || MAX_THREADS == 8)
12088 sethi %hi(0x3800), %r27
12089 andn %r28, %r27, %r28
12090#ifdef PORTABLE_CORE
12091 ! Add CID to vector
12092 ta T_CHANGE_HPRIV
12093 ldxa [%g0]0x63, %r27
12094 sllx %r27, 8, %r27
12095 or %r27, %r28, %r28
12096#endif
12097#else
12098 ! Add CID IF tid matches
12099ifelse(2,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
12100#endif
12101 sethi %hi(0x30000), %r27
12102 andn %r28, %r27, %r28
12103 ta T_CHANGE_HPRIV
12104ifelse(2,mask2tid(0x4),`.align 16')
12105 stxa %r28, [%g0] 0x73
12106intvec_4_417:
12107 .word 0x9bb4c4d4 ! 785: FCMPNE32 fcmpne32 %d50, %d20, %r13
12108cancelint_4_418:
12109 rdhpr %halt, %r13
12110 .word 0x85880000 ! 789: ALLCLEAN <illegal instruction>
12111pmu_4_419:
12112 nop
12113 nop
12114 ta T_CHANGE_PRIV
12115 setx 0xffffffb0ffffffac, %g1, %g7
12116 .word 0xa3800007 ! 793: WR_PERF_COUNTER_R wr %r0, %r7, %-
12117 fble,a,pn %fcc0, skip_4_422
12118 .word 0x95a509d2 ! 1: FDIVd fdivd %f20, %f18, %f10
12119.align 128
12120skip_4_422:
12121 .word 0x39400002 ! 797: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
12122 nop
12123 nop
12124 ta T_CHANGE_PRIV
12125 wrpr %g0, %g0, %gl
12126 nop
12127 nop
12128.text
12129 setx join_lbl_0_0, %g1, %g2
12130 jmp %g2
12131 nop
12132fork_lbl_0_2:
12133master_thread_stuff:
12134
12135setup_tick:
12136 setx 0x1f87266000be4797, %r1, %r17
12137 wrpr %g0, %r17, %tick
12138
12139 rd %asi, %r12
12140#ifdef XIR_RND_CORES
12141setup_xir_2:
12142 setx 0x1d5f9a3f43929612, %r1, %r28
12143 mov 0x30, %r17
12144 stxa %r28, [%r17] 0x41
12145#endif
12146#ifdef SPLASH_HIDECR
12147 mov 8, %r1
12148 set SPLASH_HIDECR, %r2
12149 sllx %r2, 32, %r2
12150 stxa %r2, [%r1] 0x45
12151#endif
12152#if (MULTIPASS > 0)
12153 mov 0x38, %g1
12154 ldxa [%g1]ASI_SCRATCHPAD, %r10
12155 brnz %g1, unlock_sync_thds_2
12156 wrpr %g0, %g0, %pstate
12157#endif
12158#ifndef NO_INTERNAL_SPU
12159setup_spu_2:
12160 wr %g0, 0x40, %asi
12161 !# allocate control word queue (e.g., setup head/tail/first/last registers)
12162 set CWQ_BASE, %l6
12163
12164#ifndef SPC
12165 ldxa [%g0]0x63, %o2
12166 and %o2, 0x38, %o2
12167#ifndef PORTABLE_CORE
12168 sllx %o2, 5, %o2 !(CID*256)
12169 add %l6, %o2, %l6
12170#endif
12171#endif
12172 !# write base addr to first, head, and tail ptr
12173 !# first store to first
12174 stxa %l6, [%g0 + ASI_SPU_CWQ_FIRST] %asi !# first store to first
12175
12176 stxa %l6, [%g0 + ASI_SPU_CWQ_HEAD] %asi !# then to head
12177 stxa %l6, [%g0 + ASI_SPU_CWQ_TAIL] %asi !# then to tail
12178 setx CWQ_LAST, %g1, %l5 !# then end of CWQ region to LAST
12179#ifndef SPC
12180 add %l5, %o2, %l5
12181#endif
12182 stxa %l5, [%g0 + ASI_SPU_CWQ_LAST] %asi
12183
12184 !# set CWQ control word ([39:37] is strand ID ..)
12185 best_set_reg(0x206100d0, %l1, %l2) !# Control Word
12186 sllx %l2, 32, %l2
12187
12188 !# write CWQ entry (%l6 points to CWQ)
12189 stx %l2, [%l6 + 0x0]
12190
12191 setx msg, %g1, %l2
12192 stx %l2, [%l6 + 0x8] !# source address
12193
12194 stx %g0, [%l6 + 0x10] !# Authentication Key Address (40-bit)
12195 stx %g0, [%l6 + 0x18] !# Authentication IV Address (40-bit)
12196 stx %g0, [%l6 + 0x20] !# Authentication FSAS Address (40-bit)
12197 stx %g0, [%l6 + 0x28] !# Encryption Key Address (40-bit)
12198 stx %g0, [%l6 + 0x30] !# Encryption Initialization Vector Address (40-bit)
12199
12200 setx results, %g1, %o3
12201 stx %o3, [%l6 + 0x38] !# Destination Address (40-bit)
12202
12203 membar #Sync
12204
12205 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l2
12206 add %l2, 0x40, %l2
12207 stxa %l2, [%g0 + ASI_SPU_CWQ_TAIL] %asi
12208
12209 !# Kick off the CWQ operation by writing to the CWQ_CSR
12210 !# Set the enabled bit and reset the other bits
12211 or %g0, 0x1, %g1
12212 stxa %g1, [%g0 + ASI_SPU_CWQ_CSR] %asi
12213#endif
12214unlock_sync_thds_2:
12215 set sync_thr_counter6, %r23
12216#if (!defined SPC && ! defined PORTABLE_CORE)
12217 ldxa [%g0]0x63, %o2
12218 and %o2, 0x38, %o2
12219 add %o2, %r23, %r23
12220#endif
12221 st %r0, [%r23] !unlock sync_thr_counter6
12222 sub %r23, 64, %r23
12223 st %r0, [%r23] !unlock sync_thr_counter5
12224 sub %r23, 64, %r23
12225 st %r0, [%r23] !unlock sync_thr_counter4
12226
12227 wr %r0, %r12, %asi
12228 wrhpr %g0, 3, %hpstate ! ta T_CHANGE_NONHPRIV
12229 nop
12230 nop
12231 ta T_CHANGE_HPRIV ! macro
12232donret_2_0:
12233 rd %pc, %r12
12234 mov HIGHVA_HIGHNUM, %r10
12235 sllx %r10, 32, %r10
12236 or %r12, %r10, %r12
12237 add %r12, (donretarg_2_0-donret_2_0+4), %r12
12238 add %r12, 0x4, %r11 ! seq tnpc
12239 wrpr %g0, 0x2, %tl
12240 wrpr %g0, %r12, %tpc
12241 wrpr %g0, %r11, %tnpc
12242 set (0x003f1400 | (22 << 24)), %r13
12243 and %r12, 0xfff, %r14
12244 sllx %r14, 32, %r14
12245 or %r13, %r14, %r20
12246 wrpr %r20, %g0, %tstate
12247 wrhpr %g0, 0xd0c, %htstate
12248 best_set_reg(0x18b2, %g1, %g2)
12249 wrpr %g0, %g2, %pstate ! rand=0 (2)
12250 ldx [%r12+%r0], %g1
12251 retry
12252donretarg_2_0:
12253brcommon3_2_1:
12254 nop
12255 nop
12256 setx common_target, %r12, %r27
12257 lduw [%r27-4], %r12 ! Load common dest into dcache ..
12258 stuw %r12, [%r27-4] ! Load common dest into dcache ..
12259 ba,a .+12
12260 .word 0xe66fe0d0 ! 1: LDSTUB_I ldstub %r19, [%r31 + 0x00d0]
12261 ba,a .+8
12262 jmpl %r27-0, %r27
12263 .word 0xe71fe0b0 ! 1: LDDF_I ldd [%r31, 0x00b0], %f19
12264jmptr_2_3:
12265 nop
12266 nop
12267 best_set_reg(0xe0a00000, %r20, %r27)
12268 .word 0xb7c6c000 ! 5: JMPL_R jmpl %r27 + %r0, %r27
12269splash_lsu_2_5:
12270 nop
12271 nop
12272 ta T_CHANGE_HPRIV
12273 set 0x52f68020, %r2
12274 mov 0x4, %r1
12275 sllx %r1, 32, %r1
12276 or %r1, %r2, %r2
12277 stxa %r2, [%r0] ASI_LSU_CONTROL
12278 .word 0x3d400001 ! 9: FBPULE fbule,a,pn %fcc0, <label_0x1>
12279 nop
12280 nop
12281 set 0x280d08f, %r28 !TTID : 0 (mask2tid(0x2))
12282#if (defined PORTABLE_CORE || MAX_THREADS == 8)
12283 sethi %hi(0x3800), %r27
12284 andn %r28, %r27, %r28
12285#ifdef PORTABLE_CORE
12286 ! Add CID to vector
12287 ta T_CHANGE_HPRIV
12288 ldxa [%g0]0x63, %r27
12289 sllx %r27, 8, %r27
12290 or %r27, %r28, %r28
12291#endif
12292#else
12293 ! Add CID IF tid matches
12294ifelse(0,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
12295#endif
12296 sethi %hi(0x30000), %r27
12297 andn %r28, %r27, %r28
12298 ta T_CHANGE_HPRIV
12299ifelse(0,mask2tid(0x2),`.align 16')
12300 stxa %r28, [%g0] 0x73
12301intvec_2_7:
12302 .word 0x99a349d4 ! 13: FDIVd fdivd %f44, %f20, %f12
12303 .word 0xdb3fe169 ! 17: STDF_I std %f13, [0x0169, %r31]
12304 .word 0x2accc001 ! 1: BRNZ brnz,a,pt %r19,<label_0xcc001>
12305 .word 0x8d902840 ! 21: WRPR_PSTATE_I wrpr %r0, 0x0840, %pstate
12306pmu_2_13:
12307 nop
12308 nop
12309 ta T_CHANGE_PRIV
12310 setx 0xffffffbeffffffa2, %g1, %g7
12311 .word 0xa3800007 ! 25: WR_PERF_COUNTER_R wr %r0, %r7, %-
12312splash_tick_2_15:
12313 nop
12314 nop
12315 ta T_CHANGE_HPRIV
12316 best_set_reg(0xfdc3d717ba7e54c6, %r16, %r17)
12317 .word 0x8980000a ! 29: WRTICK_R wr %r0, %r10, %tick
12318memptr_2_17:
12319 set 0x60740000, %r31
12320 .word 0x85822dc7 ! 33: WRCCR_I wr %r8, 0x0dc7, %ccr
12321#if (defined SPC || defined CMP1)
12322!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_20) , 16, 16)) -> intp(0,0,9,*,984,*,db,1)
12323!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_20)&0xffffffff) , 16, 16)) -> intp(1,0,16,*,672,*,db,1)
12324#else
12325 nop
12326 nop
12327 set 0x6b60ef77, %r28 !TTID : 7 (mask2tid(0x2))
12328#if (defined PORTABLE_CORE || MAX_THREADS == 8)
12329 sethi %hi(0x3800), %r27
12330 andn %r28, %r27, %r28
12331#ifdef PORTABLE_CORE
12332 ! Add CID to vector
12333 ta T_CHANGE_HPRIV
12334 ldxa [%g0]0x63, %r27
12335 sllx %r27, 8, %r27
12336 or %r27, %r28, %r28
12337#endif
12338#else
12339 ! Add CID IF tid matches
12340ifelse(7,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
12341#endif
12342ifelse(7,mask2tid(0x2),`.align 16')
12343 stxa %r28, [%g0] 0x73
12344#endif
12345intvec_2_20:
12346 .word 0xa1a0c9d2 ! 37: FDIVd fdivd %f34, %f18, %f16
12347 nop
12348 nop
12349 ta T_CHANGE_HPRIV
12350 mov 0x1, %r11
12351splash_cmpr_2_23:
12352 sllx %r11, 63, %r11
12353 not %r11, %r11
12354 rd %tick, %r10
12355#if (defined SPC || defined CMP1)
12356 add %r10, 0x250, %r10
12357#else
12358 add %r10, 0xc00, %r10
12359#endif
12360 and %r10, %r11, %r10
12361 wrhpr %r10, %g0, %hsys_tick_cmpr
12362 wrhpr %g0, 0x0, %halt ! HALT
12363 ta T_CHANGE_PRIV
12364 rd %tick, %r10
12365#if (defined SPC || defined CMP1)
12366 add %r10, 0x250, %r10
12367#else
12368 add %r10, 0x900, %r10
12369#endif
12370 and %r10, %r11, %r10
12371 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
12372#if (defined SPC || defined CMP1)
12373!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_23)+8 , 16, 16)) -> intp(0,0,1,*,760,*,46,1)
12374!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_23)&0xffffffff)+8 , 16, 16)) -> intp(6,0,0,*,984,*,46,1)
12375#endif
12376 wrhpr %g0, 0x0, %halt ! HALT
12377 .word 0x8198340a ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x140a, %hpstate
12378 .word 0x81b01021 ! 41: SIAM siam 1
12379 bvc,a skip_2_24
12380 stxa %r19, [%r0] ASI_LSU_CONTROL
12381 fble skip_2_24
12382 stxa %r7, [%r0] ASI_LSU_CONTROL
12383.align 1024
12384skip_2_24:
12385 .word 0xf16fe0b6 ! 45: PREFETCH_I prefetch [%r31 + 0x00b6], #24
12386 .word 0xd477e050 ! 49: STX_I stx %r10, [%r31 + 0x0050]
12387 .word 0x9194fe40 ! 53: WRPR_PIL_I wrpr %r19, 0x1e40, %pil
12388mondo_2_30:
12389 nop
12390 nop
12391 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
12392 ta T_CHANGE_PRIV
12393 stxa %r6, [%r0+0x3c0] %asi
12394 .word 0x8780208b ! 1: WRASI_I wr %r0, 0x008b, %asi
12395 .word 0x9d920013 ! 57: WRPR_WSTATE_R wrpr %r8, %r19, %wstate
12396jmptr_2_32:
12397 nop
12398 nop
12399 best_set_reg(0xe1a00000, %r20, %r27)
12400 .word 0xb7c6c000 ! 61: JMPL_R jmpl %r27 + %r0, %r27
12401brcommon1_2_33:
12402 nop
12403 nop
12404 setx common_target, %r12, %r27
12405 lduw [%r27-0], %r12 ! Load common dest into dcache ..
12406 stuw %r12, [%r27-4] ! Load common dest into dcache ..
12407 ba,a .+12
12408 .word 0xc32fe1c0 ! 1: STXFSR_I st-sfr %f1, [0x01c0, %r31]
12409 ba,a .+8
12410 jmpl %r27-4, %r27
12411 .word 0x9b7035a7 ! 65: POPC_I popc 0x15a7, %r13
12412demap_2_35:
12413 nop
12414 mov 0x80, %g3
12415 ta T_CHANGE_HPRIV
12416 .word 0x33400001 ! 1: FBPE fbe,a,pn %fcc0, <label_0x1>
12417 stxa %g3, [%g3] 0x57
12418 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
12419 .word 0xc1bfdd40 ! 1: STDFA_R stda %f0, [%r0, %r31]
12420 .word 0xc0bfdf00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf8
12421 stxa %g3, [%g3] 0x5f
12422 .word 0xc0bfdb40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xda
12423 stxa %g3, [%g3] 0x5f
12424 stxa %g3, [%g3] 0x5f
12425 .word 0xc09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r0
12426 .word 0xe09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r16
12427 .word 0xe09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r16
12428 stxa %g3, [%g3] 0x5f
12429 .word 0xc09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r0
12430 .word 0xc1bfda60 ! 1: STDFA_R stda %f0, [%r0, %r31]
12431 stxa %g3, [%g3] 0x57
12432 stxa %g3, [%g3] 0x57
12433 .word 0xc1bfdf00 ! 1: STDFA_R stda %f0, [%r0, %r31]
12434 .word 0xc09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r0
12435 stxa %g3, [%g3] 0x5f
12436 .word 0xc1bfdb40 ! 1: STDFA_R stda %f0, [%r0, %r31]
12437 wrhpr %g0, 0xd00, %hpstate ! ta T_CHANGE_NONHPRIV
12438 .word 0xd41fe00a ! 69: LDD_I ldd [%r31 + 0x000a], %r10
12439splash_hpstate_2_36:
12440 ta T_CHANGE_NONHPRIV
12441 .word 0x35400001 ! 1: FBPUE fbue,a,pn %fcc0, <label_0x1>
12442 .word 0x81982685 ! 73: WRHPR_HPSTATE_I wrhpr %r0, 0x0685, %hpstate
12443 nop
12444 nop
12445 ta T_CHANGE_HPRIV ! macro
12446donret_2_38:
12447 rd %pc, %r12
12448 mov HIGHVA_HIGHNUM, %r10
12449 sllx %r10, 32, %r10
12450 or %r12, %r10, %r12
12451 add %r12, (donretarg_2_38-donret_2_38), %r12
12452 add %r12, 0x8, %r11 ! nonseq tnpc
12453 wrpr %g0, 0x2, %tl
12454 wrpr %g0, %r12, %tpc
12455 wrpr %g0, %r11, %tnpc
12456 set (0x00237600 | (20 << 24)), %r13
12457 and %r12, 0xfff, %r14
12458 sllx %r14, 32, %r14
12459 or %r13, %r14, %r20
12460 wrpr %r20, %g0, %tstate
12461 wrhpr %g0, 0x14c2, %htstate
12462 wrhpr %g0, 0x35a, %hpstate ! rand=1 (2)
12463 ldx [%r12+%r0], %g1
12464 retry
12465donretarg_2_38:
12466 .word 0x38800002 ! 77: BGU bgu,a <label_0x2>
12467 .word 0xc19fdb20 ! 81: LDDFA_R ldda [%r31, %r0], %f0
12468memptr_2_41:
12469 set 0x60340000, %r31
12470 .word 0x8584aa8e ! 85: WRCCR_I wr %r18, 0x0a8e, %ccr
12471 .word 0xa782be36 ! 89: WR_GRAPHICS_STATUS_REG_I wr %r10, 0x1e36, %-
12472brcommon3_2_45:
12473 nop
12474 nop
12475 setx common_target, %r12, %r27
12476 lduw [%r27], %r12 ! Load common dest into dcache ..
12477 stuw %r12, [%r27] ! Load common dest into dcache ..
12478 ba,a .+12
12479 .word 0xd5e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r10
12480 ba,a .+8
12481 jmpl %r27+0, %r27
12482 stxa %r13, [%r0] ASI_LSU_CONTROL
12483 .word 0xa9aac82a ! 93: FMOVGE fmovs %fcc1, %f10, %f20
12484cmp_2_48:
12485 nop
12486 nop
12487 ta T_CHANGE_HPRIV
12488 rd %asi, %r12
12489 wr %r0, 0x41, %asi
12490 set sync_thr_counter4, %r23
12491#ifndef SPC
12492 ldxa [%g0]0x63, %r8
12493 and %r8, 0x38, %r8 ! Core ID
12494#ifndef PORTABLE_CORE
12495 add %r8, %r23, %r23
12496#endif
12497 mov 0xff, %r9
12498#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
12499 xor %r9, 0x2, %r9 ! My core mask
12500#else
12501 xor %r9, 0x2, %r9
12502#endif
12503 sllx %r9, %r8, %r9 ! My core mask
12504#else
12505 mov 0, %r8
12506 mov 0xff, %r9
12507 xor %r9, 0x2, %r9 ! My core mask
12508#endif
12509 mov 0x2, %r10
12510cmp_startwait2_48:
12511 cas [%r23],%g0,%r10 !lock
12512 brz,a %r10, continue_cmp_2_48
12513 ldxa [0x50]%asi, %r13 !Running_rw
12514 ld [%r23], %r10
12515cmp_wait2_48:
12516 brnz,a %r10, cmp_wait2_48
12517 ld [%r23], %r10
12518 ba cmp_startwait2_48
12519 mov 0x2, %r10
12520continue_cmp_2_48:
12521 ldxa [0x58]%asi, %r14 !Running_status
12522 xnor %r14, %r13, %r14 !Bits equal
12523#ifndef PORTABLE_CORE
12524 brz,a %r8, cmp_multi_core_2_48
12525#endif
12526 mov 0x3a, %r17
12527#ifndef PORTABLE_CORE
12528 best_set_reg(0x2100396e42256414, %r16, %r17)
12529#else
12530 sllx %r17, %r8, %r17
12531#endif
12532cmp_multi_core_2_48:
12533 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
12534 and %r14, %r9, %r14 !Apply core-mask
12535 stxa %r14, [0x68]%asi
12536 st %g0, [%r23] !clear lock
12537 wr %g0, %r12, %asi
12538 wrhpr %g0, 0x993, %hpstate ! ta T_CHANGE_NONHPRIV
12539 .word 0xd1e7c3c0 ! 97: CASA_I casa [%r31] 0x1e, %r0, %r8
12540 .word 0x27400001 ! 1: FBPUL fbul,a,pn %fcc0, <label_0x1>
12541 .word 0x8d9037cf ! 101: WRPR_PSTATE_I wrpr %r0, 0x17cf, %pstate
12542 .word 0xa1902000 ! 105: WRPR_GL_I wrpr %r0, 0x0000, %-
12543 .word 0xd0800c20 ! 109: LDUWA_R lduwa [%r0, %r0] 0x61, %r8
12544memptr_2_58:
12545 set 0x60540000, %r31
12546 .word 0x8584f8e1 ! 113: WRCCR_I wr %r19, 0x18e1, %ccr
12547 .word 0x91d020b2 ! 117: Tcc_I ta icc_or_xcc, %r0 + 178
12548demap_2_62:
12549 nop
12550 mov 0x80, %g3
12551 ta T_CHANGE_HPRIV
12552 .word 0x26c84001 ! 1: BRLZ brlz,a,pt %r1,<label_0x84001>
12553 stxa %g3, [%g3] 0x57
12554 stxa %g3, [%g3] 0x57
12555 .word 0xe0bfdb20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd9
12556 .word 0xe1bfdf20 ! 1: STDFA_R stda %f16, [%r0, %r31]
12557 stxa %g3, [%g3] 0x57
12558 .word 0xc0bfde20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf1
12559 wrhpr %g0, 0x9d1, %hpstate ! ta T_CHANGE_NONHPRIV
12560 .word 0xd01fe108 ! 121: LDD_I ldd [%r31 + 0x0108], %r8
12561cmp_2_66:
12562 nop
12563 nop
12564 ta T_CHANGE_HPRIV
12565 rd %asi, %r12
12566 wr %r0, 0x41, %asi
12567 set sync_thr_counter4, %r23
12568#ifndef SPC
12569 ldxa [%g0]0x63, %r8
12570 and %r8, 0x38, %r8 ! Core ID
12571#ifndef PORTABLE_CORE
12572 add %r8, %r23, %r23
12573#endif
12574 mov 0xff, %r9
12575#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
12576 xor %r9, 0x2, %r9 ! My core mask
12577#else
12578 xor %r9, 0x2, %r9
12579#endif
12580 sllx %r9, %r8, %r9 ! My core mask
12581#else
12582 mov 0, %r8
12583 mov 0xff, %r9
12584 xor %r9, 0x2, %r9 ! My core mask
12585#endif
12586 mov 0x2, %r10
12587cmp_startwait2_66:
12588 cas [%r23],%g0,%r10 !lock
12589 brz,a %r10, continue_cmp_2_66
12590 ldxa [0x50]%asi, %r13 !Running_rw
12591 ld [%r23], %r10
12592cmp_wait2_66:
12593 brnz,a %r10, cmp_wait2_66
12594 ld [%r23], %r10
12595 ba cmp_startwait2_66
12596 mov 0x2, %r10
12597continue_cmp_2_66:
12598 ldxa [0x58]%asi, %r14 !Running_status
12599 xnor %r14, %r13, %r14 !Bits equal
12600#ifndef PORTABLE_CORE
12601 brz,a %r8, cmp_multi_core_2_66
12602#endif
12603 mov 0x9d, %r17
12604#ifndef PORTABLE_CORE
12605 best_set_reg(0x0d017ab10dd90e9b, %r16, %r17)
12606#else
12607 sllx %r17, %r8, %r17
12608#endif
12609cmp_multi_core_2_66:
12610 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
12611 and %r14, %r9, %r14 !Apply core-mask
12612 stxa %r14, [0x68]%asi
12613 st %g0, [%r23] !clear lock
12614 wr %g0, %r12, %asi
12615 wrhpr %g0, 0x310, %hpstate ! ta T_CHANGE_NONHPRIV
12616 .word 0x91910010 ! 125: WRPR_PIL_R wrpr %r4, %r16, %pil
12617 .word 0xc1bfe040 ! 129: STDFA_I stda %f0, [0x0040, %r31]
12618 .word 0xd08fc380 ! 133: LDUBA_R lduba [%r31, %r0] 0x1c, %r8
12619intveclr_2_71:
12620 nop
12621 nop
12622 ta T_CHANGE_HPRIV
12623 setx 0x4f2498bc3e0ed3d7, %r1, %r28
12624 stxa %r28, [%g0] 0x72
12625 .word 0x05400001 ! 137: FBPLG fblg
12626 bn,a skip_2_72
12627 stxa %r19, [%r0] ASI_LSU_CONTROL
12628 .word 0xa5b184d1 ! 1: FCMPNE32 fcmpne32 %d6, %d48, %r18
12629 stxa %r15, [%r0] ASI_LSU_CONTROL
12630.align 2048
12631skip_2_72:
12632 .word 0xc30fc000 ! 141: LDXFSR_R ld-fsr [%r31, %r0], %f1
12633demap_2_74:
12634 nop
12635 mov 0x80, %g3
12636 ta T_CHANGE_HPRIV
12637 .word 0x1b400001 ! 1: FBPLE fble
12638 stxa %g3, [%g3] 0x5f
12639 stxa %g3, [%g3] 0x57
12640 .word 0xc19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
12641 stxa %g3, [%g3] 0x57
12642 .word 0xc0bfdb20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd9
12643 stxa %g3, [%g3] 0x57
12644 .word 0xc19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
12645 .word 0xc09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r0
12646 .word 0xe09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r16
12647 stxa %g3, [%g3] 0x57
12648 .word 0xe0bfc2c0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x16
12649 .word 0xc19fdc40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
12650 wrhpr %g0, 0xfda, %hpstate ! ta T_CHANGE_NONHPRIV
12651 .word 0xd01fe160 ! 145: LDD_I ldd [%r31 + 0x0160], %r8
12652brcommon3_2_78:
12653 nop
12654 nop
12655 setx common_target, %r12, %r27
12656 lduw [%r27-4], %r12 ! Load common dest into dcache ..
12657 stuw %r12, [%r27-0] ! Load common dest into dcache ..
12658 ba,a .+12
12659 .word 0xd1e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r8
12660 ba,a .+8
12661 jmpl %r27+0, %r27
12662 .word 0x81983dd6 ! 149: WRHPR_HPSTATE_I wrhpr %r0, 0x1dd6, %hpstate
12663splash_tba_2_81:
12664 nop
12665 ta T_CHANGE_PRIV
12666 set 0x120000, %r12
12667 .word 0x8b90000c ! 153: WRPR_TBA_R wrpr %r0, %r12, %tba
12668splash_tba_2_84:
12669 nop
12670 ta T_CHANGE_PRIV
12671 setx 0x00000000003a0000, %r11, %r12
12672 .word 0x8b90000c ! 157: WRPR_TBA_R wrpr %r0, %r12, %tba
12673splash_hpstate_2_87:
12674 .word 0x819836c6 ! 161: WRHPR_HPSTATE_I wrhpr %r0, 0x16c6, %hpstate
12675 .word 0xe19fe020 ! 165: LDDFA_I ldda [%r31, 0x0020], %f16
12676 .word 0xe1bfdd40 ! 169: STDFA_R stda %f16, [%r0, %r31]
12677memptr_2_94:
12678 set 0x60340000, %r31
12679 .word 0x8583657a ! 173: WRCCR_I wr %r13, 0x057a, %ccr
12680 fbg,a,pn %fcc0, skip_2_95
12681 stxa %r6, [%r0] ASI_LSU_CONTROL
12682 bvc,a skip_2_95
12683 stxa %r7, [%r0] ASI_LSU_CONTROL
12684.align 512
12685skip_2_95:
12686 .word 0xd03fe130 ! 177: STD_I std %r8, [%r31 + 0x0130]
12687 .word 0xe1bfc3e0 ! 181: STDFA_R stda %f16, [%r0, %r31]
12688intveclr_2_99:
12689 nop
12690 nop
12691 ta T_CHANGE_HPRIV
12692 setx 0xc56e45a8bc491b26, %r1, %r28
12693 stxa %r28, [%g0] 0x72
12694 wrhpr %g0, 0xd10, %hpstate ! ta T_CHANGE_NONHPRIV
12695 .word 0x05400001 ! 185: FBPLG fblg
12696demap_2_101:
12697 nop
12698 mov 0x80, %g3
12699 ta T_CHANGE_HPRIV
12700 .word 0x2cc84002 ! 1: BRGZ brgz,a,pt %r1,<label_0x84002>
12701 stxa %g3, [%g3] 0x5f
12702 .word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
12703 stxa %g3, [%g3] 0x5f
12704 stxa %g3, [%g3] 0x5f
12705 .word 0xc19fdc40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
12706 .word 0xc19fde00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
12707 stxa %g3, [%g3] 0x5f
12708 .word 0xc09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r0
12709 wrhpr %g0, 0x4ca, %hpstate ! ta T_CHANGE_NONHPRIV
12710 .word 0xd01fe120 ! 189: LDD_I ldd [%r31 + 0x0120], %r8
12711intveclr_2_102:
12712 nop
12713 nop
12714 ta T_CHANGE_HPRIV
12715 setx 0x25972c44d51bf3f6, %r1, %r28
12716 stxa %r28, [%g0] 0x72
12717 wrhpr %g0, 0x250, %hpstate ! ta T_CHANGE_NONHPRIV
12718 .word 0x05400001 ! 193: FBPLG fblg
12719 nop
12720 nop
12721 ta T_CHANGE_HPRIV ! macro
12722donret_2_104:
12723 rd %pc, %r12
12724 mov HIGHVA_HIGHNUM, %r10
12725 sllx %r10, 32, %r10
12726 or %r12, %r10, %r12
12727 add %r12, (donretarg_2_104-donret_2_104+4), %r12
12728 add %r12, 0x4, %r11 ! seq tnpc
12729 wrpr %g0, 0x1, %tl
12730 wrpr %g0, %r12, %tpc
12731 wrpr %g0, %r11, %tnpc
12732 set (0x001af300 | (0x83 << 24)), %r13
12733 and %r12, 0xfff, %r14
12734 sllx %r14, 32, %r14
12735 or %r13, %r14, %r20
12736 wrpr %r20, %g0, %tstate
12737 wrhpr %g0, 0x6dc, %htstate
12738 best_set_reg(0x1143, %g1, %g2)
12739 wrpr %g0, %g2, %pstate ! rand=0 (2)
12740 retry
12741donretarg_2_104:
12742 .word 0xd0ffd060 ! 197: SWAPA_R swapa %r8, [%r31 + %r0] 0x83
12743 brnz,pn %r13, skip_2_106
12744 .word 0x87a90a44 ! 1: FCMPd fcmpd %fcc<n>, %f4, %f4
12745.align 4096
12746skip_2_106:
12747 .word 0x87ab0a52 ! 201: FCMPd fcmpd %fcc<n>, %f12, %f18
12748splash_tick_2_109:
12749 nop
12750 nop
12751 ta T_CHANGE_HPRIV
12752 best_set_reg(0x8eb72af980ee42c7, %r16, %r17)
12753 .word 0x8980000a ! 205: WRTICK_R wr %r0, %r10, %tick
12754 .word 0xe4bfd040 ! 209: STDA_R stda %r18, [%r31 + %r0] 0x82
12755splash_tick_2_114:
12756 nop
12757 nop
12758 ta T_CHANGE_HPRIV
12759 best_set_reg(0x5720d40a9f45c96c, %r16, %r17)
12760 .word 0x8980000a ! 213: WRTICK_R wr %r0, %r10, %tick
12761 .word 0x15400001 ! 1: FBPUE fbue
12762 .word 0x8d903747 ! 217: WRPR_PSTATE_I wrpr %r0, 0x1747, %pstate
12763frzptr_2_119:
12764 nop
12765 nop
12766 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
12767 sethi %hi(0x3cbc0000), %r21
12768 stxa %r27, [%r21]0x57
12769 jmpl %r27, %r27
12770 .word 0x00800001 ! 221: BN bn <label_0x1>
12771 fbg skip_2_122
12772 bn,a skip_2_122
12773.align 2048
12774skip_2_122:
12775 .word 0x87aaca51 ! 225: FCMPd fcmpd %fcc<n>, %f42, %f48
12776memptr_2_124:
12777 set 0x60140000, %r31
12778 .word 0x8580fbac ! 229: WRCCR_I wr %r3, 0x1bac, %ccr
12779demap_2_127:
12780 nop
12781 mov 0x80, %g3
12782 ta T_CHANGE_HPRIV
12783 stxa %r19, [%r0] ASI_LSU_CONTROL
12784 stxa %g3, [%g3] 0x5f
12785 .word 0xe1bfdf00 ! 1: STDFA_R stda %f16, [%r0, %r31]
12786 .word 0xc19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
12787 .word 0xc19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
12788 wrhpr %g0, 0xb80, %hpstate ! ta T_CHANGE_NONHPRIV
12789 .word 0xd01fe040 ! 233: LDD_I ldd [%r31 + 0x0040], %r8
12790 nop
12791 nop
12792 mov 0x1, %r11
12793splash_cmpr_2_129:
12794 sllx %r11, 63, %r11
12795 not %r11, %r11
12796 rd %tick, %r10
12797#if (defined SPC || defined CMP1)
12798 add %r10, 0x100, %r10
12799#else
12800 add %r10, 0x550, %r10
12801#endif
12802 and %r10, %r11, %r10
12803 rd %tick, %r10
12804#if (defined SPC || defined CMP1)
12805 add %r10, 0x200, %r10
12806#else
12807 add %r10, 0x550, %r10
12808#endif
12809 and %r10, %r11, %r10
12810 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
12811#if (defined SPC || defined CMP1)
12812!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_129)+8 , 16, 16)) -> intp(5,0,30,*,960,*,a7,1)
12813!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_129)&0xffffffff)+8 , 16, 16)) -> intp(4,0,19,*,664,*,a7,1)
12814#endif
12815 wrhpr %g0, 0x0, %halt ! HALT
12816 .word 0x81b01021 ! 237: SIAM siam 1
12817 .word 0xc19fdd40 ! 241: LDDFA_R ldda [%r31, %r0], %f0
12818splash_lsu_2_132:
12819 nop
12820 nop
12821 ta T_CHANGE_HPRIV
12822 set 0x345d2592, %r2
12823 mov 0x5, %r1
12824 sllx %r1, 32, %r1
12825 or %r1, %r2, %r2
12826 .word 0x1c800001 ! 1: BPOS bpos <label_0x1>
12827 stxa %r2, [%r0] ASI_LSU_CONTROL
12828 ta T_CHANGE_NONHPRIV
12829 .word 0x3d400002 ! 245: FBPULE fbule,a,pn %fcc0, <label_0x2>
12830demap_2_133:
12831 nop
12832 mov 0x80, %g3
12833 ta T_CHANGE_HPRIV
12834 stxa %r15, [%r0] ASI_LSU_CONTROL
12835 stxa %g3, [%g3] 0x57
12836 .word 0xc0bfde00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf0
12837 .word 0xc0bfdc00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe0
12838 stxa %g3, [%g3] 0x5f
12839 .word 0xc0bfda60 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd3
12840 stxa %g3, [%g3] 0x57
12841 stxa %g3, [%g3] 0x57
12842 .word 0xc0bfde00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf0
12843 stxa %g3, [%g3] 0x57
12844 stxa %g3, [%g3] 0x5f
12845 .word 0xe19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
12846 stxa %g3, [%g3] 0x57
12847 .word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
12848 .word 0xc09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r0
12849 stxa %g3, [%g3] 0x57
12850 wrhpr %g0, 0x140, %hpstate ! ta T_CHANGE_NONHPRIV
12851 .word 0xd01fe0f1 ! 249: LDD_I ldd [%r31 + 0x00f1], %r8
12852ibp_2_135:
12853 nop
12854 nop
12855 .word 0x9bb40492 ! 253: FCMPLE32 fcmple32 %d16, %d18, %r13
12856 .word 0x87802030 ! 257: WRASI_I wr %r0, 0x0030, %asi
12857splash_tba_2_139:
12858 nop
12859 ta T_CHANGE_PRIV
12860 set 0x120000, %r12
12861 .word 0x8b90000c ! 261: WRPR_TBA_R wrpr %r0, %r12, %tba
12862splash_hpstate_2_141:
12863 ta T_CHANGE_NONHPRIV
12864 .word 0x04cc8001 ! 1: BRLEZ brlez,pt %r18,<label_0xc8001>
12865 .word 0x8198278f ! 265: WRHPR_HPSTATE_I wrhpr %r0, 0x078f, %hpstate
12866 .word 0xe19fdb40 ! 269: LDDFA_R ldda [%r31, %r0], %f16
12867dvapa_2_144:
12868 nop
12869 nop
12870 ta T_CHANGE_HPRIV
12871 mov 0xde1, %r20
12872 mov 0x1e, %r19
12873 sllx %r20, 23, %r20
12874 or %r19, %r20, %r19
12875 stxa %r19, [%g0] ASI_LSU_CONTROL
12876 mov 0x38, %r18
12877 stxa %r31, [%r18]0x58
12878 wrhpr %g0, 0x913, %hpstate ! ta T_CHANGE_NONHPRIV
12879 .word 0xdbe7d160 ! 273: CASA_I casa [%r31] 0x8b, %r0, %r13
12880 .word 0xc19fde20 ! 277: LDDFA_R ldda [%r31, %r0], %f0
12881brcommon3_2_148:
12882 nop
12883 nop
12884 setx common_target, %r12, %r27
12885 lduw [%r27], %r12 ! Load common dest into dcache ..
12886 stuw %r12, [%r27] ! Load common dest into dcache ..
12887 ba,a .+12
12888 .word 0xdbe7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r13
12889 ba,a .+8
12890 jmpl %r27+0, %r27
12891 stxa %r20, [%r0] ASI_LSU_CONTROL
12892 .word 0xa5aac833 ! 281: FMOVGE fmovs %fcc1, %f19, %f18
12893 nop
12894 nop
12895 ta T_CHANGE_HPRIV ! macro
12896donret_2_150:
12897 rd %pc, %r12
12898 mov HIGHVA_HIGHNUM, %r10
12899 sllx %r10, 32, %r10
12900 or %r12, %r10, %r12
12901 add %r12, (donretarg_2_150-donret_2_150), %r12
12902 add %r12, 0x4, %r11 ! seq tnpc
12903 andn %r12, %r10, %r12 ! low VA tpc
12904 wrpr %g0, 0x2, %tl
12905 wrpr %g0, %r12, %tpc
12906 wrpr %g0, %r11, %tnpc
12907 set (0x00124200 | (0x8b << 24)), %r13
12908 and %r12, 0xfff, %r14
12909 sllx %r14, 32, %r14
12910 or %r13, %r14, %r20
12911 wrpr %r20, %g0, %tstate
12912 wrhpr %g0, 0x857, %htstate
12913 best_set_reg(0xd6b, %g1, %g2)
12914 wrpr %g0, %g2, %pstate ! rand=0 (2)
12915 ldx [%r12+%r0], %g1
12916 retry
12917donretarg_2_150:
12918 .word 0x93a2c9cb ! 285: FDIVd fdivd %f42, %f42, %f40
12919jmptr_2_152:
12920 nop
12921 nop
12922 best_set_reg(0xe0a00000, %r20, %r27)
12923 .word 0xb7c6c000 ! 289: JMPL_R jmpl %r27 + %r0, %r27
12924cancelint_2_156:
12925 rdhpr %halt, %r9
12926 .word 0x85880000 ! 293: ALLCLEAN <illegal instruction>
12927 nop
12928 nop
12929 ta T_CHANGE_HPRIV ! macro
12930donret_2_159:
12931 rd %pc, %r12
12932 mov HIGHVA_HIGHNUM, %r10
12933 sllx %r10, 32, %r10
12934 or %r12, %r10, %r12
12935 add %r12, (donretarg_2_159-donret_2_159+4), %r12
12936 add %r12, 0x4, %r11 ! seq tnpc
12937 andn %r12, %r10, %r12 ! low VA tpc
12938 wrpr %g0, 0x1, %tl
12939 wrpr %g0, %r12, %tpc
12940 wrpr %g0, %r11, %tnpc
12941 set (0x00b43300 | (16 << 24)), %r13
12942 and %r12, 0xfff, %r14
12943 sllx %r14, 32, %r14
12944 or %r13, %r14, %r20
12945 wrpr %r20, %g0, %tstate
12946 wrhpr %g0, 0xb9f, %htstate
12947 wrhpr %g0, 0xec1, %hpstate ! rand=1 (2)
12948 done
12949.align 128
12950donretarg_2_159:
12951 .word 0xd2ffd060 ! 297: SWAPA_R swapa %r9, [%r31 + %r0] 0x83
12952splash_lsu_2_162:
12953 nop
12954 nop
12955 ta T_CHANGE_HPRIV
12956 set 0x3dcac71d, %r2
12957 mov 0x5, %r1
12958 sllx %r1, 32, %r1
12959 or %r1, %r2, %r2
12960 stxa %r2, [%r0] ASI_LSU_CONTROL
12961 ta T_CHANGE_NONHPRIV
12962 .word 0x1d400001 ! 301: FBPULE fbule
12963demap_2_163:
12964 nop
12965 mov 0x80, %g3
12966 ta T_CHANGE_HPRIV
12967 .word 0x36800002 ! 1: BGE bge,a <label_0x2>
12968 stxa %g3, [%g3] 0x5f
12969 .word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
12970 .word 0xc09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r0
12971 .word 0xe19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
12972 stxa %g3, [%g3] 0x57
12973 .word 0xc0bfc2c0 ! 1: STDA_R stda %r0, [%r31 + %r0] 0x16
12974 wrhpr %g0, 0x7c3, %hpstate ! ta T_CHANGE_NONHPRIV
12975 .word 0xd21fe1e8 ! 305: LDD_I ldd [%r31 + 0x01e8], %r9
12976cancelint_2_164:
12977 rdhpr %halt, %r19
12978 .word 0x85880000 ! 309: ALLCLEAN <illegal instruction>
12979splash_lsu_2_166:
12980 nop
12981 nop
12982 ta T_CHANGE_HPRIV
12983 set 0x42c8892c, %r2
12984 mov 0x6, %r1
12985 sllx %r1, 32, %r1
12986 or %r1, %r2, %r2
12987 stxa %r2, [%r0] ASI_LSU_CONTROL
12988 ta T_CHANGE_NONHPRIV
12989 .word 0x3d400001 ! 313: FBPULE fbule,a,pn %fcc0, <label_0x1>
12990 .word 0xc32fc000 ! 317: STXFSR_R st-sfr %f1, [%r0, %r31]
12991 .word 0xd647e090 ! 321: LDSW_I ldsw [%r31 + 0x0090], %r11
12992 .word 0xc1bfe160 ! 325: STDFA_I stda %f0, [0x0160, %r31]
12993brcommon3_2_173:
12994 nop
12995 nop
12996 setx common_target, %r12, %r27
12997 lduw [%r27], %r12 ! Load common dest into dcache ..
12998 stuw %r12, [%r27] ! Load common dest into dcache ..
12999 ba,a .+12
13000 .word 0xd737e020 ! 1: STQF_I - %f11, [0x0020, %r31]
13001 ba,a .+8
13002 jmpl %r27+0, %r27
13003 stxa %r7, [%r0] ASI_LSU_CONTROL
13004 .word 0xa9aac834 ! 329: FMOVGE fmovs %fcc1, %f20, %f20
13005splash_lsu_2_175:
13006 nop
13007 nop
13008 ta T_CHANGE_HPRIV
13009 set 0x61012670, %r2
13010 mov 0x7, %r1
13011 sllx %r1, 32, %r1
13012 or %r1, %r2, %r2
13013 stxa %r2, [%r0] ASI_LSU_CONTROL
13014 ta T_CHANGE_NONHPRIV
13015 .word 0x3d400001 ! 333: FBPULE fbule,a,pn %fcc0, <label_0x1>
13016splash_lsu_2_178:
13017 nop
13018 nop
13019 ta T_CHANGE_HPRIV
13020 set 0x92bd0030, %r2
13021 mov 0x5, %r1
13022 sllx %r1, 32, %r1
13023 or %r1, %r2, %r2
13024 stxa %r2, [%r0] ASI_LSU_CONTROL
13025 ta T_CHANGE_NONHPRIV
13026 .word 0x3d400001 ! 337: FBPULE fbule,a,pn %fcc0, <label_0x1>
13027cmp_2_182:
13028 nop
13029 nop
13030 ta T_CHANGE_HPRIV
13031 rd %asi, %r12
13032 wr %r0, 0x41, %asi
13033 set sync_thr_counter4, %r23
13034#ifndef SPC
13035 ldxa [%g0]0x63, %r8
13036 and %r8, 0x38, %r8 ! Core ID
13037#ifndef PORTABLE_CORE
13038 add %r8, %r23, %r23
13039#endif
13040 mov 0xff, %r9
13041#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13042 xor %r9, 0x2, %r9 ! My core mask
13043#else
13044 xor %r9, 0x2, %r9
13045#endif
13046 sllx %r9, %r8, %r9 ! My core mask
13047#else
13048 mov 0, %r8
13049 mov 0xff, %r9
13050 xor %r9, 0x2, %r9 ! My core mask
13051#endif
13052 mov 0x2, %r10
13053cmp_startwait2_182:
13054 cas [%r23],%g0,%r10 !lock
13055 brz,a %r10, continue_cmp_2_182
13056 ldxa [0x50]%asi, %r13 !Running_rw
13057 ld [%r23], %r10
13058cmp_wait2_182:
13059 brnz,a %r10, cmp_wait2_182
13060 ld [%r23], %r10
13061 ba cmp_startwait2_182
13062 mov 0x2, %r10
13063continue_cmp_2_182:
13064 ldxa [0x58]%asi, %r14 !Running_status
13065 xnor %r14, %r13, %r14 !Bits equal
13066#ifndef PORTABLE_CORE
13067 brz,a %r8, cmp_multi_core_2_182
13068#endif
13069 mov 0x93, %r17
13070#ifndef PORTABLE_CORE
13071 best_set_reg(0x99fea1f6c13ba5d5, %r16, %r17)
13072#else
13073 sllx %r17, %r8, %r17
13074#endif
13075cmp_multi_core_2_182:
13076 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13077 and %r14, %r9, %r14 !Apply core-mask
13078 stxa %r14, [0x68]%asi
13079 st %g0, [%r23] !clear lock
13080 wr %g0, %r12, %asi
13081 .word 0xe697c2c0 ! 341: LDUHA_R lduha [%r31, %r0] 0x16, %r19
13082jmptr_2_184:
13083 nop
13084 nop
13085 best_set_reg(0xe1a00000, %r20, %r27)
13086 .word 0xb7c6c000 ! 345: JMPL_R jmpl %r27 + %r0, %r27
13087 .word 0x91d020b2 ! 349: Tcc_I ta icc_or_xcc, %r0 + 178
13088splash_lsu_2_188:
13089 nop
13090 nop
13091 ta T_CHANGE_HPRIV
13092 set 0x1b456f1d, %r2
13093 mov 0x7, %r1
13094 sllx %r1, 32, %r1
13095 or %r1, %r2, %r2
13096 .word 0x23400001 ! 1: FBPNE fbne,a,pn %fcc0, <label_0x1>
13097 stxa %r2, [%r0] ASI_LSU_CONTROL
13098 ta T_CHANGE_NONHPRIV
13099 .word 0x1d400001 ! 353: FBPULE fbule
13100memptr_2_191:
13101 set 0x60740000, %r31
13102 .word 0x8581792e ! 357: WRCCR_I wr %r5, 0x192e, %ccr
13103 .word 0xe69fe010 ! 361: LDDA_I ldda [%r31, + 0x0010] %asi, %r19
13104 .word 0xe677e140 ! 365: STX_I stx %r19, [%r31 + 0x0140]
13105 .word 0x2a800001 ! 369: BCS bcs,a <label_0x1>
13106 fbo,a,pn %fcc0, skip_2_198
13107 stxa %r14, [%r0] ASI_LSU_CONTROL
13108 .word 0xa5a449d2 ! 1: FDIVd fdivd %f48, %f18, %f18
13109 stxa %r7, [%r0] ASI_LSU_CONTROL
13110.align 2048
13111skip_2_198:
13112 .word 0xe7e7d060 ! 373: CASA_I casa [%r31] 0x83, %r0, %r19
13113cancelint_2_200:
13114 rdhpr %halt, %r16
13115 .word 0x85880000 ! 377: ALLCLEAN <illegal instruction>
13116 .word 0xd537e1f0 ! 381: STQF_I - %f10, [0x01f0, %r31]
13117splash_tick_2_204:
13118 nop
13119 nop
13120 ta T_CHANGE_HPRIV
13121 best_set_reg(0xe4384c53926d44bf, %r16, %r17)
13122 .word 0x8980000a ! 385: WRTICK_R wr %r0, %r10, %tick
13123 nop
13124 nop
13125 set 0xd7b0c1f0, %r28 !TTID : 1 (mask2tid(0x2))
13126#if (defined PORTABLE_CORE || MAX_THREADS == 8)
13127 sethi %hi(0x3800), %r27
13128 andn %r28, %r27, %r28
13129#ifdef PORTABLE_CORE
13130 ! Add CID to vector
13131 ta T_CHANGE_HPRIV
13132 ldxa [%g0]0x63, %r27
13133 sllx %r27, 8, %r27
13134 or %r27, %r28, %r28
13135#endif
13136#else
13137 ! Add CID IF tid matches
13138ifelse(1,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
13139#endif
13140 sethi %hi(0x30000), %r27
13141 andn %r28, %r27, %r28
13142 ta T_CHANGE_HPRIV
13143ifelse(1,mask2tid(0x2),`.align 16')
13144 stxa %r28, [%g0] 0x73
13145intvec_2_207:
13146 .word 0x91a4c9d2 ! 389: FDIVd fdivd %f50, %f18, %f8
13147intveclr_2_209:
13148 nop
13149 nop
13150 ta T_CHANGE_HPRIV
13151 setx 0x18ed035d655698b2, %r1, %r28
13152 stxa %r28, [%g0] 0x72
13153 wrhpr %g0, 0xf80, %hpstate ! ta T_CHANGE_NONHPRIV
13154 .word 0x25400001 ! 393: FBPLG fblg,a,pn %fcc0, <label_0x1>
13155 bleu,a skip_2_212
13156 stxa %r14, [%r0] ASI_LSU_CONTROL
13157 brgz,pn %r18, skip_2_212
13158 stxa %r6, [%r0] ASI_LSU_CONTROL
13159.align 1024
13160skip_2_212:
13161 .word 0xe1e7d060 ! 397: CASA_I casa [%r31] 0x83, %r0, %r16
13162brcommon3_2_215:
13163 nop
13164 nop
13165 setx common_target, %r12, %r27
13166 lduw [%r27-0], %r12 ! Load common dest into dcache ..
13167 stuw %r12, [%r27-0] ! Load common dest into dcache ..
13168 ba,a .+12
13169 .word 0xe1e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r16
13170 ba,a .+8
13171 jmpl %r27+0, %r27
13172 .word 0x81983515 ! 401: WRHPR_HPSTATE_I wrhpr %r0, 0x1515, %hpstate
13173cmp_2_217:
13174 nop
13175 nop
13176 ta T_CHANGE_HPRIV
13177 rd %asi, %r12
13178 wr %r0, 0x41, %asi
13179 set sync_thr_counter4, %r23
13180#ifndef SPC
13181 ldxa [%g0]0x63, %r8
13182 and %r8, 0x38, %r8 ! Core ID
13183#ifndef PORTABLE_CORE
13184 add %r8, %r23, %r23
13185#endif
13186 mov 0xff, %r9
13187#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13188 xor %r9, 0x2, %r9 ! My core mask
13189#else
13190 xor %r9, 0x2, %r9
13191#endif
13192 sllx %r9, %r8, %r9 ! My core mask
13193#else
13194 mov 0, %r8
13195 mov 0xff, %r9
13196 xor %r9, 0x2, %r9 ! My core mask
13197#endif
13198 mov 0x2, %r10
13199cmp_startwait2_217:
13200 cas [%r23],%g0,%r10 !lock
13201 brz,a %r10, continue_cmp_2_217
13202 ldxa [0x50]%asi, %r13 !Running_rw
13203 ld [%r23], %r10
13204cmp_wait2_217:
13205 brnz,a %r10, cmp_wait2_217
13206 ld [%r23], %r10
13207 ba cmp_startwait2_217
13208 mov 0x2, %r10
13209continue_cmp_2_217:
13210 ldxa [0x58]%asi, %r14 !Running_status
13211 xnor %r14, %r13, %r14 !Bits equal
13212#ifndef PORTABLE_CORE
13213 brz,a %r8, cmp_multi_core_2_217
13214#endif
13215 mov 0xd2, %r17
13216#ifndef PORTABLE_CORE
13217 best_set_reg(0xa605497268560600, %r16, %r17)
13218#else
13219 sllx %r17, %r8, %r17
13220#endif
13221cmp_multi_core_2_217:
13222 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13223 and %r14, %r9, %r14 !Apply core-mask
13224 stxa %r14, [0x60]%asi
13225 st %g0, [%r23] !clear lock
13226 wr %g0, %r12, %asi
13227 .word 0xe1e7d140 ! 405: CASA_I casa [%r31] 0x8a, %r0, %r16
13228 .word 0x91b4458d ! 409: FCMPGT32 fcmpgt32 %d48, %d44, %r8
13229 nop
13230 nop
13231 ta T_CHANGE_HPRIV
13232 mov 0x1, %r11
13233splash_cmpr_2_220:
13234 sllx %r11, 63, %r11
13235 not %r11, %r11
13236 rd %tick, %r10
13237#if (defined SPC || defined CMP1)
13238 add %r10, 0x250, %r10
13239#else
13240 add %r10, 0x550, %r10
13241#endif
13242 and %r10, %r11, %r10
13243 wrhpr %r10, %g0, %hsys_tick_cmpr
13244 wrhpr %g0, 0x0, %halt ! HALT
13245 rd %tick, %r10
13246#if (defined SPC || defined CMP1)
13247 add %r10, 0x200, %r10
13248#else
13249 add %r10, 0x550, %r10
13250#endif
13251 and %r10, %r11, %r10
13252 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
13253#if (defined SPC || defined CMP1)
13254!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_220)+8 , 16, 16)) -> intp(3,0,0,*,712,*,1f,1)
13255!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_220)&0xffffffff)+8 , 16, 16)) -> intp(6,0,9,*,912,*,1f,1)
13256#endif
13257 wrhpr %g0, 0x0, %halt ! HALT
13258 .word 0x81983d17 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1d17, %hpstate
13259 .word 0x81b01021 ! 413: SIAM siam 1
13260intveclr_2_222:
13261 nop
13262 nop
13263 ta T_CHANGE_HPRIV
13264 setx 0x11fa995250c0f032, %r1, %r28
13265 stxa %r28, [%g0] 0x72
13266 .word 0x25400002 ! 417: FBPLG fblg,a,pn %fcc0, <label_0x2>
13267#if (defined SPC || defined CMP1)
13268!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_226) , 16, 16)) -> intp(3,0,29,*,720,*,3e,1)
13269!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_226)&0xffffffff) , 16, 16)) -> intp(1,0,4,*,720,*,3e,1)
13270#else
13271 nop
13272 nop
13273 set 0x1f4066b0, %r28 !TTID : 6 (mask2tid(0x2))
13274#if (defined PORTABLE_CORE || MAX_THREADS == 8)
13275 sethi %hi(0x3800), %r27
13276 andn %r28, %r27, %r28
13277#ifdef PORTABLE_CORE
13278 ! Add CID to vector
13279 ta T_CHANGE_HPRIV
13280 ldxa [%g0]0x63, %r27
13281 sllx %r27, 8, %r27
13282 or %r27, %r28, %r28
13283#endif
13284#else
13285 ! Add CID IF tid matches
13286ifelse(6,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
13287#endif
13288ifelse(6,mask2tid(0x2),`.align 16')
13289 stxa %r28, [%g0] 0x73
13290#endif
13291intvec_2_226:
13292 .word 0x9ba209d1 ! 421: FDIVd fdivd %f8, %f48, %f44
13293cancelint_2_228:
13294 rdhpr %halt, %r8
13295 .word 0x85880000 ! 425: ALLCLEAN <illegal instruction>
13296 nop
13297 nop
13298 ta T_CHANGE_HPRIV ! macro
13299donret_2_231:
13300 rd %pc, %r12
13301 mov HIGHVA_HIGHNUM, %r10
13302 sllx %r10, 32, %r10
13303 or %r12, %r10, %r12
13304 add %r12, (donretarg_2_231-donret_2_231+4), %r12
13305 add %r12, 0x4, %r11 ! seq tnpc
13306 andn %r11, %r10, %r11 ! low VA tnpc
13307 wrpr %g0, 0x1, %tl
13308 wrpr %g0, %r12, %tpc
13309 wrpr %g0, %r11, %tnpc
13310 set (0x00da9f00 | (0x88 << 24)), %r13
13311 and %r12, 0xfff, %r14
13312 sllx %r14, 32, %r14
13313 or %r13, %r14, %r20
13314 wrpr %r20, %g0, %tstate
13315 wrhpr %g0, 0xfce, %htstate
13316 best_set_reg(0x39a, %g1, %g2)
13317 wrpr %g0, %g2, %pstate ! rand=0 (2)
13318 done
13319.align 128
13320donretarg_2_231:
13321 .word 0x819839c7 ! 429: WRHPR_HPSTATE_I wrhpr %r0, 0x19c7, %hpstate
13322 .word 0xe19fdf00 ! 433: LDDFA_R ldda [%r31, %r0], %f16
13323 .word 0xe917c000 ! 437: LDQF_R - [%r31, %r0], %f20
13324 .word 0xe827e17c ! 441: STW_I stw %r20, [%r31 + 0x017c]
13325 .word 0x976c000c ! 445: SDIVX_R sdivx %r16, %r12, %r11
13326splash_tick_2_239:
13327 nop
13328 nop
13329 ta T_CHANGE_HPRIV
13330 best_set_reg(0x4a17014e851a6f8f, %r16, %r17)
13331 .word 0x8980000a ! 449: WRTICK_R wr %r0, %r10, %tick
13332 nop
13333 nop
13334 ta T_CHANGE_HPRIV ! macro
13335donret_2_240:
13336 rd %pc, %r12
13337 mov HIGHVA_HIGHNUM, %r10
13338 sllx %r10, 32, %r10
13339 or %r12, %r10, %r12
13340 add %r12, (donretarg_2_240-donret_2_240), %r12
13341 add %r12, 0x8, %r11 ! nonseq tnpc
13342 wrpr %g0, 0x1, %tl
13343 wrpr %g0, %r12, %tpc
13344 wrpr %g0, %r11, %tnpc
13345 set (0x00687f00 | (0x82 << 24)), %r13
13346 and %r12, 0xfff, %r14
13347 sllx %r14, 32, %r14
13348 or %r13, %r14, %r20
13349 wrpr %r20, %g0, %tstate
13350 wrhpr %g0, 0x604, %htstate
13351 best_set_reg(0x15a9, %g1, %g2)
13352 wrpr %g0, %g2, %pstate ! rand=0 (2)
13353 .word 0x18800001 ! 1: BGU bgu <label_0x1>
13354 ldx [%r12+%r0], %g1
13355 retry
13356.align 128
13357donretarg_2_240:
13358 .word 0x819835c4 ! 453: WRHPR_HPSTATE_I wrhpr %r0, 0x15c4, %hpstate
13359 nop
13360 nop
13361 ta T_CHANGE_HPRIV ! macro
13362donret_2_243:
13363 rd %pc, %r12
13364 mov HIGHVA_HIGHNUM, %r10
13365 sllx %r10, 32, %r10
13366 or %r12, %r10, %r12
13367 add %r12, (donretarg_2_243-donret_2_243+4), %r12
13368 add %r12, 0x4, %r11 ! seq tnpc
13369 andn %r12, %r10, %r12 ! low VA tpc
13370 wrpr %g0, 0x2, %tl
13371 wrpr %g0, %r12, %tpc
13372 wrpr %g0, %r11, %tnpc
13373 set (0x0003b700 | (0x58 << 24)), %r13
13374 and %r12, 0xfff, %r14
13375 sllx %r14, 32, %r14
13376 or %r13, %r14, %r20
13377 wrpr %r20, %g0, %tstate
13378 wrhpr %g0, 0x456, %htstate
13379 wrhpr %g0, 0x3c8, %hpstate ! rand=1 (2)
13380 ldx [%r11+%r0], %g1
13381 done
13382donretarg_2_243:
13383 .word 0xe86fe0eb ! 457: LDSTUB_I ldstub %r20, [%r31 + 0x00eb]
13384splash_hpstate_2_246:
13385 .word 0x81982744 ! 461: WRHPR_HPSTATE_I wrhpr %r0, 0x0744, %hpstate
13386 brnz,pt %r18, skip_2_249
13387 .word 0x95a489c1 ! 1: FDIVd fdivd %f18, %f32, %f10
13388.align 2048
13389skip_2_249:
13390 .word 0xa1a309d1 ! 465: FDIVd fdivd %f12, %f48, %f16
13391 .word 0xc1bfc3e0 ! 469: STDFA_R stda %f0, [%r0, %r31]
13392intveclr_2_250:
13393 nop
13394 nop
13395 ta T_CHANGE_HPRIV
13396 setx 0xe2f9a8032758b8f6, %r1, %r28
13397 stxa %r28, [%g0] 0x72
13398 .word 0x25400001 ! 473: FBPLG fblg,a,pn %fcc0, <label_0x1>
13399intveclr_2_253:
13400 nop
13401 nop
13402 ta T_CHANGE_HPRIV
13403 setx 0xe14035a6f4951dc2, %r1, %r28
13404 stxa %r28, [%g0] 0x72
13405 .word 0x25400001 ! 477: FBPLG fblg,a,pn %fcc0, <label_0x1>
13406 brlez,a,pt %r8, skip_2_254
13407 stxa %r10, [%r0] ASI_LSU_CONTROL
13408 fbe,a,pn %fcc0, skip_2_254
13409 stxa %r7, [%r0] ASI_LSU_CONTROL
13410.align 128
13411skip_2_254:
13412 .word 0xe83fe188 ! 481: STD_I std %r20, [%r31 + 0x0188]
13413cancelint_2_256:
13414 rdhpr %halt, %r10
13415 .word 0x85880000 ! 485: ALLCLEAN <illegal instruction>
13416demap_2_258:
13417 nop
13418 mov 0x80, %g3
13419 ta T_CHANGE_HPRIV
13420 stxa %r7, [%r0] ASI_LSU_CONTROL
13421 stxa %g3, [%g3] 0x5f
13422 .word 0xe09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r16
13423 stxa %g3, [%g3] 0x5f
13424 .word 0xc09fde20 ! 1: LDDA_R ldda [%r31, %r0] 0xf1, %r0
13425 .word 0xc1bfda00 ! 1: STDFA_R stda %f0, [%r0, %r31]
13426 stxa %g3, [%g3] 0x5f
13427 .word 0xe1bfdf00 ! 1: STDFA_R stda %f16, [%r0, %r31]
13428 .word 0xe19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
13429 stxa %g3, [%g3] 0x57
13430 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
13431 .word 0xc19fdb20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
13432 .word 0xe09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r16
13433 stxa %g3, [%g3] 0x5f
13434 .word 0xc1bfdc40 ! 1: STDFA_R stda %f0, [%r0, %r31]
13435 .word 0xe0bfdc00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe0
13436 stxa %g3, [%g3] 0x5f
13437 stxa %g3, [%g3] 0x57
13438 wrhpr %g0, 0x158, %hpstate ! ta T_CHANGE_NONHPRIV
13439 .word 0xe01fe150 ! 489: LDD_I ldd [%r31 + 0x0150], %r16
13440splash_lsu_2_260:
13441 nop
13442 nop
13443 ta T_CHANGE_HPRIV
13444 set 0x421fdddd, %r2
13445 mov 0x2, %r1
13446 sllx %r1, 32, %r1
13447 or %r1, %r2, %r2
13448 stxa %r2, [%r0] ASI_LSU_CONTROL
13449 .word 0x3d400001 ! 493: FBPULE fbule,a,pn %fcc0, <label_0x1>
13450dvapa_2_263:
13451 nop
13452 nop
13453 ta T_CHANGE_HPRIV
13454 mov 0xd9d, %r20
13455 mov 0x1d, %r19
13456 sllx %r20, 23, %r20
13457 or %r19, %r20, %r19
13458 stxa %r19, [%g0] ASI_LSU_CONTROL
13459 mov 0x38, %r18
13460 stxa %r31, [%r18]0x58
13461 wrhpr %g0, 0x8c9, %hpstate ! ta T_CHANGE_NONHPRIV
13462 .word 0xe0bfc3c0 ! 497: STDA_R stda %r16, [%r31 + %r0] 0x1e
13463 fbg,a,pn %fcc0, skip_2_265
13464 fbuge skip_2_265
13465.align 512
13466skip_2_265:
13467 .word 0xa9a4c9cb ! 501: FDIVd fdivd %f50, %f42, %f20
13468cmp_2_267:
13469 nop
13470 nop
13471 ta T_CHANGE_HPRIV
13472 rd %asi, %r12
13473 wr %r0, 0x41, %asi
13474 set sync_thr_counter4, %r23
13475#ifndef SPC
13476 ldxa [%g0]0x63, %r8
13477 and %r8, 0x38, %r8 ! Core ID
13478#ifndef PORTABLE_CORE
13479 add %r8, %r23, %r23
13480#endif
13481 mov 0xff, %r9
13482#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13483 xor %r9, 0x2, %r9 ! My core mask
13484#else
13485 xor %r9, 0x2, %r9
13486#endif
13487 sllx %r9, %r8, %r9 ! My core mask
13488#else
13489 mov 0, %r8
13490 mov 0xff, %r9
13491 xor %r9, 0x2, %r9 ! My core mask
13492#endif
13493 mov 0x2, %r10
13494cmp_startwait2_267:
13495 cas [%r23],%g0,%r10 !lock
13496 brz,a %r10, continue_cmp_2_267
13497 ldxa [0x50]%asi, %r13 !Running_rw
13498 ld [%r23], %r10
13499cmp_wait2_267:
13500 brnz,a %r10, cmp_wait2_267
13501 ld [%r23], %r10
13502 ba cmp_startwait2_267
13503 mov 0x2, %r10
13504continue_cmp_2_267:
13505 ldxa [0x58]%asi, %r14 !Running_status
13506 xnor %r14, %r13, %r14 !Bits equal
13507#ifndef PORTABLE_CORE
13508 brz,a %r8, cmp_multi_core_2_267
13509#endif
13510 mov 0xf4, %r17
13511#ifndef PORTABLE_CORE
13512 best_set_reg(0xe0b0b20ebc4f4e84, %r16, %r17)
13513#else
13514 sllx %r17, %r8, %r17
13515#endif
13516cmp_multi_core_2_267:
13517 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13518 and %r14, %r9, %r14 !Apply core-mask
13519 stxa %r14, [0x60]%asi
13520 st %g0, [%r23] !clear lock
13521 wr %g0, %r12, %asi
13522 wrhpr %g0, 0x69a, %hpstate ! ta T_CHANGE_NONHPRIV
13523 .word 0xa9a00160 ! 505: FABSq dis not found
13524
13525splash_tick_2_270:
13526 nop
13527 nop
13528 ta T_CHANGE_HPRIV
13529 best_set_reg(0x18e43c706d5801e6, %r16, %r17)
13530 .word 0x8980000a ! 509: WRTICK_R wr %r0, %r10, %tick
13531splash_lsu_2_273:
13532 nop
13533 nop
13534 ta T_CHANGE_HPRIV
13535 set 0xda7023c4, %r2
13536 mov 0x7, %r1
13537 sllx %r1, 32, %r1
13538 or %r1, %r2, %r2
13539 stxa %r2, [%r0] ASI_LSU_CONTROL
13540 .word 0x1d400001 ! 513: FBPULE fbule
13541splash_tba_2_275:
13542 nop
13543 ta T_CHANGE_PRIV
13544 setx 0x00000004003a0000, %r11, %r12
13545 .word 0x8b90000c ! 517: WRPR_TBA_R wrpr %r0, %r12, %tba
13546 .word 0x8d902369 ! 521: WRPR_PSTATE_I wrpr %r0, 0x0369, %pstate
13547demap_2_278:
13548 nop
13549 mov 0x80, %g3
13550 ta T_CHANGE_HPRIV
13551 .word 0x16800001 ! 1: BGE bge <label_0x1>
13552 stxa %g3, [%g3] 0x5f
13553 .word 0xc0bfc3e0 ! 1: STDA_R stda %r0, [%r31 + %r0] 0x1f
13554 stxa %g3, [%g3] 0x5f
13555 .word 0xe19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
13556 .word 0xc09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r0
13557 .word 0xe09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r16
13558 stxa %g3, [%g3] 0x5f
13559 .word 0xc0bfdb40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xda
13560 .word 0xe0bfda60 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd3
13561 stxa %g3, [%g3] 0x5f
13562 .word 0xe19fdb20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
13563 .word 0xc1bfdf20 ! 1: STDFA_R stda %f0, [%r0, %r31]
13564 wrhpr %g0, 0x5d3, %hpstate ! ta T_CHANGE_NONHPRIV
13565 .word 0xe81fe17d ! 525: LDD_I ldd [%r31 + 0x017d], %r20
13566 .word 0xc30fc000 ! 1: LDXFSR_R ld-fsr [%r31, %r0], %f1
13567 .word 0xa9a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f20
13568 mov 0xb2, %r30
13569 .word 0x91d0001e ! 529: Tcc_R ta icc_or_xcc, %r0 + %r30
13570splash_htba_2_280:
13571 nop
13572 ta T_CHANGE_HPRIV
13573 setx 0x00000000002a0000, %r11, %r12
13574 .word 0x8b98000c ! 533: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
13575 brlez,pn %r3, skip_2_281
13576 bl,a skip_2_281
13577.align 4096
13578skip_2_281:
13579 .word 0x04ca4001 ! 537: BRLEZ brlez,pt %r9,<label_0xa4001>
13580 .word 0xd48008a0 ! 541: LDUWA_R lduwa [%r0, %r0] 0x45, %r10
13581memptr_2_283:
13582 set 0x60340000, %r31
13583 .word 0x8584b32a ! 545: WRCCR_I wr %r18, 0x132a, %ccr
13584 .word 0xd407c000 ! 549: LDUW_R lduw [%r31 + %r0], %r10
13585 .word 0x36800002 ! 553: BGE bge,a <label_0x2>
13586cmp_2_289:
13587 nop
13588 nop
13589 ta T_CHANGE_HPRIV
13590 rd %asi, %r12
13591 wr %r0, 0x41, %asi
13592 set sync_thr_counter4, %r23
13593#ifndef SPC
13594 ldxa [%g0]0x63, %r8
13595 and %r8, 0x38, %r8 ! Core ID
13596#ifndef PORTABLE_CORE
13597 add %r8, %r23, %r23
13598#endif
13599 mov 0xff, %r9
13600#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
13601 xor %r9, 0x2, %r9 ! My core mask
13602#else
13603 xor %r9, 0x2, %r9
13604#endif
13605 sllx %r9, %r8, %r9 ! My core mask
13606#else
13607 mov 0, %r8
13608 mov 0xff, %r9
13609 xor %r9, 0x2, %r9 ! My core mask
13610#endif
13611 mov 0x2, %r10
13612cmp_startwait2_289:
13613 cas [%r23],%g0,%r10 !lock
13614 brz,a %r10, continue_cmp_2_289
13615 ldxa [0x50]%asi, %r13 !Running_rw
13616 ld [%r23], %r10
13617cmp_wait2_289:
13618 brnz,a %r10, cmp_wait2_289
13619 ld [%r23], %r10
13620 ba cmp_startwait2_289
13621 mov 0x2, %r10
13622continue_cmp_2_289:
13623 ldxa [0x58]%asi, %r14 !Running_status
13624 xnor %r14, %r13, %r14 !Bits equal
13625#ifndef PORTABLE_CORE
13626 brz,a %r8, cmp_multi_core_2_289
13627#endif
13628 mov 0xe0, %r17
13629#ifndef PORTABLE_CORE
13630 best_set_reg(0xade4531627e1e3ec, %r16, %r17)
13631#else
13632 sllx %r17, %r8, %r17
13633#endif
13634cmp_multi_core_2_289:
13635 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
13636 and %r14, %r9, %r14 !Apply core-mask
13637 stxa %r14, [0x60]%asi
13638 st %g0, [%r23] !clear lock
13639 wr %g0, %r12, %asi
13640 wrhpr %g0, 0x3c1, %hpstate ! ta T_CHANGE_NONHPRIV
13641 .word 0x9195000d ! 557: WRPR_PIL_R wrpr %r20, %r13, %pil
13642memptr_2_292:
13643 set 0x60740000, %r31
13644 .word 0x85813293 ! 561: WRCCR_I wr %r4, 0x1293, %ccr
13645 .word 0xd4800c60 ! 565: LDUWA_R lduwa [%r0, %r0] 0x63, %r10
13646 brgz,pn %r18, skip_2_297
13647 fbug,a,pn %fcc0, skip_2_297
13648.align 1024
13649skip_2_297:
13650 .word 0x19400002 ! 569: FBPUGE fbuge
13651 fbge,a,pn %fcc0, skip_2_299
13652 stxa %r15, [%r0] ASI_LSU_CONTROL
13653 fbn,a,pn %fcc0, skip_2_299
13654 stxa %r6, [%r0] ASI_LSU_CONTROL
13655.align 128
13656skip_2_299:
13657 .word 0xc32fc000 ! 573: STXFSR_R st-sfr %f1, [%r0, %r31]
13658jmptr_2_302:
13659 nop
13660 nop
13661 best_set_reg(0xe0a00000, %r20, %r27)
13662 .word 0xb7c6c000 ! 577: JMPL_R jmpl %r27 + %r0, %r27
13663memptr_2_303:
13664 set user_data_start, %r31
13665 .word 0x8584ebaf ! 581: WRCCR_I wr %r19, 0x0baf, %ccr
13666splash_hpstate_2_306:
13667 .word 0x3b400001 ! 1: FBPLE fble,a,pn %fcc0, <label_0x1>
13668 .word 0x81982497 ! 585: WRHPR_HPSTATE_I wrhpr %r0, 0x0497, %hpstate
13669 .word 0xa190200a ! 589: WRPR_GL_I wrpr %r0, 0x000a, %-
13670#if (defined SPC || defined CMP1)
13671!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_312) , 16, 16)) -> intp(2,0,7,*,680,*,9a,1)
13672!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_312)&0xffffffff) , 16, 16)) -> intp(6,0,12,*,696,*,9a,1)
13673#else
13674 nop
13675 nop
13676 set 0xdab0058b, %r28 !TTID : 5 (mask2tid(0x2))
13677#if (defined PORTABLE_CORE || MAX_THREADS == 8)
13678 sethi %hi(0x3800), %r27
13679 andn %r28, %r27, %r28
13680#ifdef PORTABLE_CORE
13681 ! Add CID to vector
13682 ta T_CHANGE_HPRIV
13683 ldxa [%g0]0x63, %r27
13684 sllx %r27, 8, %r27
13685 or %r27, %r28, %r28
13686#endif
13687#else
13688 ! Add CID IF tid matches
13689ifelse(5,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
13690#endif
13691ifelse(5,mask2tid(0x2),`.align 16')
13692 stxa %r28, [%g0] 0x73
13693#endif
13694intvec_2_312:
13695#if (defined SPC || defined CMP1)
13696 wrhpr %g0, 0x0, %halt ! HALT
13697#else
13698ifelse(5,mask2tid(0x2),`wrhpr %g0, 0x0, %halt ! HALT')
13699#endif
13700 .word 0x9ba449cd ! 593: FDIVd fdivd %f48, %f44, %f44
13701 .word 0xe19fe040 ! 597: LDDFA_I ldda [%r31, 0x0040], %f16
13702brcommon3_2_318:
13703 nop
13704 nop
13705 setx common_target, %r12, %r27
13706 lduw [%r27-4], %r12 ! Load common dest into dcache ..
13707 stuw %r12, [%r27-0] ! Load common dest into dcache ..
13708 ba,a .+12
13709 .word 0xda6fe030 ! 1: LDSTUB_I ldstub %r13, [%r31 + 0x0030]
13710 ba,a .+8
13711 jmpl %r27+0, %r27
13712 .word 0x8198295b ! 601: WRHPR_HPSTATE_I wrhpr %r0, 0x095b, %hpstate
13713splash_htba_2_320:
13714 nop
13715 ta T_CHANGE_HPRIV
13716 setx 0x00000002002a0000, %r11, %r12
13717 .word 0x8b98000c ! 605: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
13718 bcs skip_2_322
13719 fbuge skip_2_322
13720.align 512
13721skip_2_322:
13722 .word 0xa3a089d2 ! 609: FDIVd fdivd %f2, %f18, %f48
13723 fbe skip_2_324
13724 .word 0x87a8ca4d ! 1: FCMPd fcmpd %fcc<n>, %f34, %f44
13725.align 2048
13726skip_2_324:
13727 .word 0x99a489d1 ! 613: FDIVd fdivd %f18, %f48, %f12
13728 .word 0xc19fde00 ! 617: LDDFA_R ldda [%r31, %r0], %f0
13729memptr_2_329:
13730 set 0x60140000, %r31
13731 .word 0x8584669f ! 621: WRCCR_I wr %r17, 0x069f, %ccr
13732frzptr_2_330:
13733 nop
13734 nop
13735 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
13736 ldx [%r27+0xc], %r20
13737 jmpl %r27+4, %r27
13738 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
13739 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
13740 jmpl %r27, %r27
13741 .word 0xc1bfdc00 ! 625: STDFA_R stda %f0, [%r0, %r31]
13742brcommon1_2_333:
13743 nop
13744 nop
13745 setx common_target, %r12, %r27
13746 lduw [%r27-0], %r12 ! Load common dest into dcache ..
13747 stuw %r12, [%r27-4] ! Load common dest into dcache ..
13748 ba,a .+12
13749 .word 0xd9e7d060 ! 1: CASA_I casa [%r31] 0x83, %r0, %r12
13750 ba,a .+8
13751 jmpl %r27-0, %r27
13752 .word 0x87ad0a47 ! 629: FCMPd fcmpd %fcc<n>, %f20, %f38
13753 .word 0xe63fc000 ! 633: STD_R std %r19, [%r31 + %r0]
13754brcommon3_2_338:
13755 nop
13756 nop
13757 setx common_target, %r12, %r27
13758 lduw [%r27-4], %r12 ! Load common dest into dcache ..
13759 stuw %r12, [%r27-4] ! Load common dest into dcache ..
13760 ba,a .+12
13761 .word 0xe66fe130 ! 1: LDSTUB_I ldstub %r19, [%r31 + 0x0130]
13762 ba,a .+8
13763 jmpl %r27-4, %r27
13764 .word 0xe69fc380 ! 637: LDDA_R ldda [%r31, %r0] 0x1c, %r19
13765#if (defined SPC || defined CMP1)
13766!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_340) , 16, 16)) -> intp(6,0,4,*,704,*,96,1)
13767!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_340)&0xffffffff) , 16, 16)) -> intp(0,0,12,*,936,*,96,1)
13768#else
13769 nop
13770 nop
13771 set 0xd3002ce3, %r28 !TTID : 4 (mask2tid(0x2))
13772#if (defined PORTABLE_CORE || MAX_THREADS == 8)
13773 sethi %hi(0x3800), %r27
13774 andn %r28, %r27, %r28
13775#ifdef PORTABLE_CORE
13776 ! Add CID to vector
13777 ta T_CHANGE_HPRIV
13778 ldxa [%g0]0x63, %r27
13779 sllx %r27, 8, %r27
13780 or %r27, %r28, %r28
13781#endif
13782#else
13783 ! Add CID IF tid matches
13784ifelse(4,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
13785#endif
13786ifelse(4,mask2tid(0x2),`.align 16')
13787 stxa %r28, [%g0] 0x73
13788#endif
13789intvec_2_340:
13790 .word 0xa1a309ca ! 641: FDIVd fdivd %f12, %f10, %f16
13791 .word 0xe0d7df00 ! 645: LDSHA_R ldsha [%r31, %r0] 0xf8, %r16
13792 .word 0xc30fc000 ! 649: LDXFSR_R ld-fsr [%r31, %r0], %f1
13793cancelint_2_343:
13794 rdhpr %halt, %r19
13795 .word 0x85880000 ! 653: ALLCLEAN <illegal instruction>
13796 nop
13797 nop
13798 ta T_CHANGE_HPRIV ! macro
13799donret_2_346:
13800 rd %pc, %r12
13801 mov HIGHVA_HIGHNUM, %r10
13802 sllx %r10, 32, %r10
13803 or %r12, %r10, %r12
13804 add %r12, (donretarg_2_346-donret_2_346), %r12
13805 add %r12, 0x4, %r11 ! seq tnpc
13806 andn %r12, %r10, %r12 ! low VA tpc
13807 wrpr %g0, 0x2, %tl
13808 wrpr %g0, %r12, %tpc
13809 wrpr %g0, %r11, %tnpc
13810 set (0x00838600 | (0x89 << 24)), %r13
13811 and %r12, 0xfff, %r14
13812 sllx %r14, 32, %r14
13813 or %r13, %r14, %r20
13814 wrpr %r20, %g0, %tstate
13815 wrhpr %g0, 0x17cd, %htstate
13816 best_set_reg(0xf73, %g1, %g2)
13817 wrpr %g0, %g2, %pstate ! rand=0 (2)
13818 ldx [%r12+%r0], %g1
13819 retry
13820.align 128
13821donretarg_2_346:
13822 .word 0xd06fe091 ! 657: LDSTUB_I ldstub %r8, [%r31 + 0x0091]
13823 nop
13824 nop
13825 set 0x98b03c5d, %r28 !TTID : 4 (mask2tid(0x2))
13826#if (defined PORTABLE_CORE || MAX_THREADS == 8)
13827 sethi %hi(0x3800), %r27
13828 andn %r28, %r27, %r28
13829#ifdef PORTABLE_CORE
13830 ! Add CID to vector
13831 ta T_CHANGE_HPRIV
13832 ldxa [%g0]0x63, %r27
13833 sllx %r27, 8, %r27
13834 or %r27, %r28, %r28
13835#endif
13836#else
13837 ! Add CID IF tid matches
13838ifelse(4,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
13839#endif
13840 sethi %hi(0x30000), %r27
13841 andn %r28, %r27, %r28
13842 ta T_CHANGE_HPRIV
13843ifelse(4,mask2tid(0x2),`.align 16')
13844 stxa %r28, [%g0] 0x73
13845intvec_2_349:
13846 .word 0xa1b444c4 ! 661: FCMPNE32 fcmpne32 %d48, %d4, %r16
13847demap_2_352:
13848 nop
13849 mov 0x80, %g3
13850 ta T_CHANGE_HPRIV
13851 .word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
13852 stxa %g3, [%g3] 0x5f
13853 .word 0xc1bfc2c0 ! 1: STDFA_R stda %f0, [%r0, %r31]
13854 .word 0xe0bfdc40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe2
13855 stxa %g3, [%g3] 0x57
13856 .word 0xe09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r16
13857 .word 0xe19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
13858 .word 0xc0bfdc40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe2
13859 stxa %g3, [%g3] 0x57
13860 stxa %g3, [%g3] 0x5f
13861 .word 0xe1bfdc40 ! 1: STDFA_R stda %f16, [%r0, %r31]
13862 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
13863 .word 0xe1bfdb40 ! 1: STDFA_R stda %f16, [%r0, %r31]
13864 wrhpr %g0, 0x509, %hpstate ! ta T_CHANGE_NONHPRIV
13865 .word 0xe01fe064 ! 665: LDD_I ldd [%r31 + 0x0064], %r16
13866 .word 0xc32fc000 ! 669: STXFSR_R st-sfr %f1, [%r0, %r31]
13867 .word 0x20800001 ! 1: BN bn,a <label_0x1>
13868 .word 0x8d902231 ! 673: WRPR_PSTATE_I wrpr %r0, 0x0231, %pstate
13869 .word 0xe1bfde00 ! 677: STDFA_R stda %f16, [%r0, %r31]
13870splash_lsu_2_359:
13871 nop
13872 nop
13873 ta T_CHANGE_HPRIV
13874 set 0x6c6b6a0b, %r2
13875 mov 0x2, %r1
13876 sllx %r1, 32, %r1
13877 or %r1, %r2, %r2
13878 .word 0x0acd0001 ! 1: BRNZ brnz,pt %r20,<label_0xd0001>
13879 stxa %r2, [%r0] ASI_LSU_CONTROL
13880 ta T_CHANGE_NONHPRIV
13881 .word 0x3d400002 ! 681: FBPULE fbule,a,pn %fcc0, <label_0x2>
13882 be skip_2_362
13883 stxa %r16, [%r0] ASI_LSU_CONTROL
13884 fbuge skip_2_362
13885 stxa %r17, [%r0] ASI_LSU_CONTROL
13886.align 128
13887skip_2_362:
13888 .word 0xe1e7d060 ! 685: CASA_I casa [%r31] 0x83, %r0, %r16
13889demap_2_364:
13890 nop
13891 mov 0x80, %g3
13892 ta T_CHANGE_HPRIV
13893 stxa %r9, [%r0] ASI_LSU_CONTROL
13894 stxa %g3, [%g3] 0x5f
13895 .word 0xe19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
13896 stxa %g3, [%g3] 0x57
13897 .word 0xe19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
13898 .word 0xe0bfc2c0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x16
13899 .word 0xc09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r0
13900 stxa %g3, [%g3] 0x5f
13901 .word 0xe0bfda00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd0
13902 wrhpr %g0, 0x753, %hpstate ! ta T_CHANGE_NONHPRIV
13903 .word 0xe01fe130 ! 689: LDD_I ldd [%r31 + 0x0130], %r16
13904 .word 0xe027e06f ! 693: STW_I stw %r16, [%r31 + 0x006f]
13905dvapa_2_368:
13906 nop
13907 nop
13908 ta T_CHANGE_HPRIV
13909 mov 0xec4, %r20
13910 mov 0xc, %r19
13911 sllx %r20, 23, %r20
13912 or %r19, %r20, %r19
13913 stxa %r19, [%g0] ASI_LSU_CONTROL
13914 mov 0x38, %r18
13915 stxa %r31, [%r18]0x58
13916 wrhpr %g0, 0xbc9, %hpstate ! ta T_CHANGE_NONHPRIV
13917 .word 0xe01fe090 ! 697: LDD_I ldd [%r31 + 0x0090], %r16
13918splash_hpstate_2_372:
13919 ta T_CHANGE_NONHPRIV
13920 .word 0x81982e87 ! 701: WRHPR_HPSTATE_I wrhpr %r0, 0x0e87, %hpstate
13921memptr_2_374:
13922 set 0x60740000, %r31
13923 .word 0x8582268b ! 705: WRCCR_I wr %r8, 0x068b, %ccr
13924splash_tba_2_375:
13925 nop
13926 ta T_CHANGE_PRIV
13927 set 0x120000, %r12
13928 .word 0x8b90000c ! 709: WRPR_TBA_R wrpr %r0, %r12, %tba
13929 nop
13930 nop
13931 set 0x760b9fe, %r28 !TTID : 1 (mask2tid(0x2))
13932#if (defined PORTABLE_CORE || MAX_THREADS == 8)
13933 sethi %hi(0x3800), %r27
13934 andn %r28, %r27, %r28
13935#ifdef PORTABLE_CORE
13936 ! Add CID to vector
13937 ta T_CHANGE_HPRIV
13938 ldxa [%g0]0x63, %r27
13939 sllx %r27, 8, %r27
13940 or %r27, %r28, %r28
13941#endif
13942#else
13943 ! Add CID IF tid matches
13944ifelse(1,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
13945#endif
13946 sethi %hi(0x30000), %r27
13947 andn %r28, %r27, %r28
13948 ta T_CHANGE_HPRIV
13949ifelse(1,mask2tid(0x2),`.align 16')
13950 stxa %r28, [%g0] 0x73
13951intvec_2_377:
13952 .word 0xa9a2c9c4 ! 713: FDIVd fdivd %f42, %f4, %f20
13953 .word 0xe80fe120 ! 717: LDUB_I ldub [%r31 + 0x0120], %r20
13954demap_2_381:
13955 nop
13956 mov 0x80, %g3
13957 ta T_CHANGE_HPRIV
13958 stxa %r12, [%r0] ASI_LSU_CONTROL
13959 stxa %g3, [%g3] 0x5f
13960 .word 0xc19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
13961 stxa %g3, [%g3] 0x57
13962 .word 0xe1bfdb20 ! 1: STDFA_R stda %f16, [%r0, %r31]
13963 .word 0xc09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r0
13964 stxa %g3, [%g3] 0x57
13965 .word 0xe0bfdf20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf9
13966 .word 0xc19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
13967 stxa %g3, [%g3] 0x57
13968 stxa %g3, [%g3] 0x57
13969 .word 0xe09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r16
13970 .word 0xe19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
13971 wrhpr %g0, 0x6d2, %hpstate ! ta T_CHANGE_NONHPRIV
13972 .word 0xe81fe0cd ! 721: LDD_I ldd [%r31 + 0x00cd], %r20
13973 nop
13974 nop
13975 mov 0x1, %r11
13976splash_cmpr_2_383:
13977 sllx %r11, 63, %r11
13978 not %r11, %r11
13979 rd %tick, %r10
13980#if (defined SPC || defined CMP1)
13981 add %r10, 0x250, %r10
13982#else
13983 add %r10, 0x700, %r10
13984#endif
13985 and %r10, %r11, %r10
13986 ta T_CHANGE_PRIV
13987 rd %tick, %r10
13988#if (defined SPC || defined CMP1)
13989 add %r10, 0x150, %r10
13990#else
13991 add %r10, 0x550, %r10
13992#endif
13993 and %r10, %r11, %r10
13994 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
13995#if (defined SPC || defined CMP1)
13996!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_383)+8 , 16, 16)) -> intp(2,0,13,*,688,*,67,1)
13997!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_383)&0xffffffff)+8 , 16, 16)) -> intp(5,0,8,*,680,*,67,1)
13998#endif
13999 wrhpr %g0, 0x0, %halt ! HALT
14000 .word 0x81b01021 ! 725: SIAM siam 1
14001 nop
14002 nop
14003 ta T_CHANGE_HPRIV
14004 mov 0x1, %r11
14005splash_cmpr_2_385:
14006 sllx %r11, 63, %r11
14007 not %r11, %r11
14008 rd %tick, %r10
14009#if (defined SPC || defined CMP1)
14010 add %r10, 0x100, %r10
14011#else
14012 add %r10, 0xc00, %r10
14013#endif
14014 and %r10, %r11, %r10
14015 wrhpr %r10, %g0, %hsys_tick_cmpr
14016 wrhpr %g0, 0x0, %halt ! HALT
14017 ta T_CHANGE_PRIV
14018 rd %tick, %r10
14019#if (defined SPC || defined CMP1)
14020 add %r10, 0x250, %r10
14021#else
14022 add %r10, 0x900, %r10
14023#endif
14024 and %r10, %r11, %r10
14025 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
14026#if (defined SPC || defined CMP1)
14027!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_385)+8 , 16, 16)) -> intp(3,0,3,*,968,*,2a,1)
14028!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_385)&0xffffffff)+8 , 16, 16)) -> intp(4,0,19,*,640,*,2a,1)
14029#endif
14030 wrhpr %g0, 0x0, %halt ! HALT
14031 .word 0x81982c77 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0c77, %hpstate
14032 .word 0x81b01021 ! 729: SIAM siam 1
14033demap_2_387:
14034 nop
14035 mov 0x80, %g3
14036 ta T_CHANGE_HPRIV
14037 .word 0x22800002 ! 1: BE be,a <label_0x2>
14038 stxa %g3, [%g3] 0x5f
14039 .word 0xe19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
14040 stxa %g3, [%g3] 0x5f
14041 .word 0xc0bfda60 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd3
14042 .word 0xe09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r16
14043 stxa %g3, [%g3] 0x57
14044 .word 0xc09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r0
14045 stxa %g3, [%g3] 0x57
14046 .word 0xc19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f0
14047 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
14048 stxa %g3, [%g3] 0x5f
14049 .word 0xc19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
14050 stxa %g3, [%g3] 0x57
14051 stxa %g3, [%g3] 0x57
14052 .word 0xc1bfc2c0 ! 1: STDFA_R stda %f0, [%r0, %r31]
14053 .word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
14054 .word 0xc1bfc3e0 ! 1: STDFA_R stda %f0, [%r0, %r31]
14055 stxa %g3, [%g3] 0x5f
14056 wrhpr %g0, 0x692, %hpstate ! ta T_CHANGE_NONHPRIV
14057 .word 0xe81fe140 ! 733: LDD_I ldd [%r31 + 0x0140], %r20
14058 .word 0xe83fe081 ! 737: STD_I std %r20, [%r31 + 0x0081]
14059 .word 0xe8dfc180 ! 741: LDXA_R ldxa [%r31, %r0] 0x0c, %r20
14060brcommon3_2_397:
14061 nop
14062 nop
14063 setx common_target, %r12, %r27
14064 lduw [%r27], %r12 ! Load common dest into dcache ..
14065 stuw %r12, [%r27] ! Load common dest into dcache ..
14066 ba,a .+12
14067 .word 0xe86fe050 ! 1: LDSTUB_I ldstub %r20, [%r31 + 0x0050]
14068 ba,a .+8
14069 jmpl %r27+0, %r27
14070 stxa %r17, [%r0] ASI_LSU_CONTROL
14071 .word 0x99aac832 ! 745: FMOVGE fmovs %fcc1, %f18, %f12
14072 nop
14073 nop
14074 ta T_CHANGE_HPRIV
14075 mov 0x0, %r11
14076splash_cmpr_2_399:
14077 sllx %r11, 63, %r11
14078 not %r11, %r11
14079 rd %tick, %r10
14080#if (defined SPC || defined CMP1)
14081 add %r10, 0x100, %r10
14082#else
14083 add %r10, 0x550, %r10
14084#endif
14085 and %r10, %r11, %r10
14086 wrhpr %r10, %g0, %hsys_tick_cmpr
14087 ta T_CHANGE_PRIV
14088 rd %tick, %r10
14089#if (defined SPC || defined CMP1)
14090 add %r10, 0x250, %r10
14091#else
14092 add %r10, 0x700, %r10
14093#endif
14094 and %r10, %r11, %r10
14095 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
14096 .word 0x8198275f ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x075f, %hpstate
14097 .word 0x81b01021 ! 749: SIAM siam 1
14098jmptr_2_401:
14099 nop
14100 nop
14101 best_set_reg(0xe0a00000, %r20, %r27)
14102 .word 0xb7c6c000 ! 753: JMPL_R jmpl %r27 + %r0, %r27
14103cancelint_2_402:
14104 rdhpr %halt, %r17
14105 .word 0x85880000 ! 757: ALLCLEAN <illegal instruction>
14106 nop
14107 nop
14108 ta T_CHANGE_HPRIV ! macro
14109donret_2_405:
14110 rd %pc, %r12
14111 mov HIGHVA_HIGHNUM, %r10
14112 sllx %r10, 32, %r10
14113 or %r12, %r10, %r12
14114 add %r12, (donretarg_2_405-donret_2_405+4), %r12
14115 add %r12, 0x4, %r11 ! seq tnpc
14116 wrpr %g0, 0x2, %tl
14117 wrpr %g0, %r12, %tpc
14118 wrpr %g0, %r11, %tnpc
14119 set (0x00a41600 | (22 << 24)), %r13
14120 and %r12, 0xfff, %r14
14121 sllx %r14, 32, %r14
14122 or %r13, %r14, %r20
14123 wrpr %r20, %g0, %tstate
14124 wrhpr %g0, 0x14d7, %htstate
14125 wrhpr %g0, 0x741, %hpstate ! rand=1 (2)
14126 ldx [%r11+%r0], %g1
14127 done
14128.align 128
14129donretarg_2_405:
14130 .word 0x81983f95 ! 761: WRHPR_HPSTATE_I wrhpr %r0, 0x1f95, %hpstate
14131splash_tick_2_407:
14132 nop
14133 nop
14134 ta T_CHANGE_HPRIV
14135 best_set_reg(0x4f855acce7684d2f, %r16, %r17)
14136 .word 0x8980000a ! 765: WRTICK_R wr %r0, %r10, %tick
14137 .word 0xe4800c00 ! 769: LDUWA_R lduwa [%r0, %r0] 0x60, %r18
14138demap_2_412:
14139 nop
14140 mov 0x80, %g3
14141 ta T_CHANGE_HPRIV
14142 .word 0x0f400001 ! 1: FBPU fbu
14143 stxa %g3, [%g3] 0x5f
14144 stxa %g3, [%g3] 0x57
14145 .word 0xe1bfda60 ! 1: STDFA_R stda %f16, [%r0, %r31]
14146 stxa %g3, [%g3] 0x5f
14147 .word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
14148 stxa %g3, [%g3] 0x57
14149 .word 0xe1bfdc40 ! 1: STDFA_R stda %f16, [%r0, %r31]
14150 .word 0xc0bfdd40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xea
14151 stxa %g3, [%g3] 0x5f
14152 .word 0xc1bfda60 ! 1: STDFA_R stda %f0, [%r0, %r31]
14153 .word 0xe1bfde00 ! 1: STDFA_R stda %f16, [%r0, %r31]
14154 wrhpr %g0, 0x49a, %hpstate ! ta T_CHANGE_NONHPRIV
14155 .word 0xe41fe0e8 ! 773: LDD_I ldd [%r31 + 0x00e8], %r18
14156 .word 0xe19fdd40 ! 777: LDDFA_R ldda [%r31, %r0], %f16
14157 .word 0xe4800c40 ! 781: LDUWA_R lduwa [%r0, %r0] 0x62, %r18
14158 nop
14159 nop
14160 set 0xa4606196, %r28 !TTID : 1 (mask2tid(0x2))
14161#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14162 sethi %hi(0x3800), %r27
14163 andn %r28, %r27, %r28
14164#ifdef PORTABLE_CORE
14165 ! Add CID to vector
14166 ta T_CHANGE_HPRIV
14167 ldxa [%g0]0x63, %r27
14168 sllx %r27, 8, %r27
14169 or %r27, %r28, %r28
14170#endif
14171#else
14172 ! Add CID IF tid matches
14173ifelse(1,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14174#endif
14175 sethi %hi(0x30000), %r27
14176 andn %r28, %r27, %r28
14177 ta T_CHANGE_HPRIV
14178ifelse(1,mask2tid(0x2),`.align 16')
14179 stxa %r28, [%g0] 0x73
14180intvec_2_417:
14181 .word 0x39400001 ! 785: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
14182cancelint_2_418:
14183 rdhpr %halt, %r12
14184 .word 0x85880000 ! 789: ALLCLEAN <illegal instruction>
14185pmu_2_419:
14186 nop
14187 nop
14188 ta T_CHANGE_PRIV
14189 setx 0xffffffb9ffffffa5, %g1, %g7
14190 .word 0xa3800007 ! 793: WR_PERF_COUNTER_R wr %r0, %r7, %-
14191 bvs,a skip_2_422
14192 .word 0x87ac0a53 ! 1: FCMPd fcmpd %fcc<n>, %f16, %f50
14193.align 128
14194skip_2_422:
14195 .word 0x87a94a52 ! 797: FCMPd fcmpd %fcc<n>, %f36, %f18
14196cmpenall_2_423:
14197 nop
14198 nop
14199 ta T_CHANGE_HPRIV
14200 rd %asi, %r12
14201 wr %r0, 0x41, %asi
14202 set sync_thr_counter4, %r23
14203#ifndef SPC
14204 ldxa [%g0]0x63, %r8
14205 and %r8, 0x38, %r8 ! Core ID
14206#ifndef PORTABLE_CORE
14207 add %r8, %r23, %r23
14208#endif
14209 mov 0xff, %r9
14210 sllx %r9, %r8, %r9 ! My core mask
14211#else
14212 mov 0xff, %r9 ! My core mask
14213#endif
14214cmpenall_startwait2_423:
14215 mov 0x2, %r10
14216 cas [%r23],%g0,%r10 !lock
14217 brz,a %r10, continue_cmpenall_2_423
14218 nop
14219cmpenall_wait2_423:
14220 ld [%r23], %r10
14221 brnz %r10, cmpenall_wait2_423
14222 nop
14223 ba,a cmpenall_startwait2_423
14224continue_cmpenall_2_423:
14225 ldxa [0x58]%asi, %r14 !Running_status
14226wait_for_cmpstat_2_423:
14227 ldxa [0x50]%asi, %r13 !Running_rw
14228 cmp %r13, %r14
14229 bne,a %xcc, wait_for_cmpstat_2_423
14230 ldxa [0x58]%asi, %r14 !Running_status
14231 ldxa [0x10]%asi, %r14 !Get enabled threads
14232 and %r14, %r9, %r14 !My core mask
14233 stxa %r14, [0x60]%asi !W1S
14234 ldxa [0x58]%asi, %r16 !Running_status
14235wait_for_cmpstat2_2_423:
14236 and %r16, %r9, %r16 !My core mask
14237 cmp %r14, %r16
14238 bne,a %xcc, wait_for_cmpstat2_2_423
14239 ldxa [0x58]%asi, %r16 !Running_status
14240 st %g0, [%r23] !clear lock
14241!! Send interrupt to all threads in my core in case of halted threads.
14242intall_mast:
14243 mov 7, %r14
14244#ifdef SPC
14245 mov %g0, %r8
14246#endif
14247intall_loop_mast:
14248 add %r8, %r14, %r16
14249 sllx %r16, 8, %r16
14250 stxa %r16, [%g0]0x73
14251 brnz %r14, intall_loop_mast
14252 dec %r14
14253
14254#if (MULTIPASS > 0)
14255multipass_check_mt:
14256 rd %asi, %r12
14257 wr %g0, ASI_SCRATCHPAD, %asi
14258 ldxa [0x38]%asi, %r10
14259 cmp %r10, MULTIPASS
14260 inc %r10
14261 stxa %r10, [0x38]%asi
14262 be finish_diag
14263 wr %g0, %r12, %asi
14264lock_sync_thds_again:
14265 mov 0xff, %r10
14266 set sync_thr_counter4, %r23
14267#ifndef SPC
14268 add %r23,%r8,%r23 !Core's sync counter
14269#endif
14270 ! st %r10, [%r23] !lock sync_thr_counter4 !! Still locked from cmpenall
14271 add %r23, 64, %r23
14272 st %r10, [%r23] !lock sync_thr_counter5
14273 add %r23, 64, %r23
14274 st %r10, [%r23] !lock sync_thr_counter6
14275 ba fork_threads
14276 wrpr %g0, %g0, %gl
14277#endif
14278 nop
14279 nop
14280 ta T_CHANGE_PRIV
14281 wrpr %g0, %g0, %gl
14282 nop
14283 nop
14284.text
14285 setx join_lbl_0_0, %g1, %g2
14286 jmp %g2
14287 nop
14288fork_lbl_0_1:
14289 wrhpr %g0, 0x85a, %hpstate ! ta T_CHANGE_NONHPRIV
14290 nop
14291 nop
14292 ta T_CHANGE_HPRIV ! macro
14293donret_1_0:
14294 rd %pc, %r12
14295 mov HIGHVA_HIGHNUM, %r10
14296 sllx %r10, 32, %r10
14297 or %r12, %r10, %r12
14298 add %r12, (donretarg_1_0-donret_1_0+4), %r12
14299 add %r12, 0x4, %r11 ! seq tnpc
14300 wrpr %g0, 0x1, %tl
14301 wrpr %g0, %r12, %tpc
14302 wrpr %g0, %r11, %tnpc
14303 set (0x00ec0700 | (32 << 24)), %r13
14304 and %r12, 0xfff, %r14
14305 sllx %r14, 32, %r14
14306 or %r13, %r14, %r20
14307 wrpr %r20, %g0, %tstate
14308 wrhpr %g0, 0xd8d, %htstate
14309 best_set_reg(0x177b, %g1, %g2)
14310 wrpr %g0, %g2, %pstate ! rand=0 (1)
14311 ldx [%r12+%r0], %g1
14312 retry
14313donretarg_1_0:
14314brcommon3_1_1:
14315 nop
14316 nop
14317 setx common_target, %r12, %r27
14318 lduw [%r27-4], %r12 ! Load common dest into dcache ..
14319 stuw %r12, [%r27-4] ! Load common dest into dcache ..
14320 ba,a .+12
14321 .word 0xe66fe1a0 ! 1: LDSTUB_I ldstub %r19, [%r31 + 0x01a0]
14322 ba,a .+8
14323 jmpl %r27-0, %r27
14324 .word 0xa7b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d50
14325jmptr_1_3:
14326 nop
14327 nop
14328 best_set_reg(0xe1200000, %r20, %r27)
14329 .word 0xb7c6c000 ! 5: JMPL_R jmpl %r27 + %r0, %r27
14330splash_lsu_1_5:
14331 nop
14332 nop
14333 ta T_CHANGE_HPRIV
14334 set 0xceb8e954, %r2
14335 mov 0x2, %r1
14336 sllx %r1, 32, %r1
14337 or %r1, %r2, %r2
14338 stxa %r2, [%r0] ASI_LSU_CONTROL
14339 .word 0x1d400001 ! 9: FBPULE fbule
14340 nop
14341 nop
14342 set 0x356008c3, %r28 !TTID : 0 (mask2tid(0x1))
14343#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14344 sethi %hi(0x3800), %r27
14345 andn %r28, %r27, %r28
14346#ifdef PORTABLE_CORE
14347 ! Add CID to vector
14348 ta T_CHANGE_HPRIV
14349 ldxa [%g0]0x63, %r27
14350 sllx %r27, 8, %r27
14351 or %r27, %r28, %r28
14352#endif
14353#else
14354 ! Add CID IF tid matches
14355ifelse(0,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14356#endif
14357 sethi %hi(0x30000), %r27
14358 andn %r28, %r27, %r28
14359 ta T_CHANGE_HPRIV
14360ifelse(0,mask2tid(0x1),`.align 16')
14361 stxa %r28, [%g0] 0x73
14362intvec_1_7:
14363 .word 0x9bb044d4 ! 13: FCMPNE32 fcmpne32 %d32, %d20, %r13
14364 .word 0xdb3fe068 ! 17: STDF_I std %f13, [0x0068, %r31]
14365 .word 0x1e800001 ! 1: BVC bvc <label_0x1>
14366 .word 0x8d903671 ! 21: WRPR_PSTATE_I wrpr %r0, 0x1671, %pstate
14367pmu_1_13:
14368 nop
14369 nop
14370 ta T_CHANGE_PRIV
14371 setx 0xffffffb3ffffffa5, %g1, %g7
14372 .word 0xa3800007 ! 25: WR_PERF_COUNTER_R wr %r0, %r7, %-
14373 .word 0x8980000a ! 29: WRTICK_R wr %r0, %r10, %tick
14374memptr_1_17:
14375 set 0x60740000, %r31
14376 .word 0x8580a363 ! 33: WRCCR_I wr %r2, 0x0363, %ccr
14377#if (defined SPC || defined CMP1)
14378!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_20) , 16, 16)) -> intp(3,0,16,*,720,*,37,1)
14379!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_20)&0xffffffff) , 16, 16)) -> intp(6,0,19,*,952,*,37,1)
14380#else
14381 nop
14382 nop
14383 set 0x96b08d7e, %r28 !TTID : 5 (mask2tid(0x1))
14384#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14385 sethi %hi(0x3800), %r27
14386 andn %r28, %r27, %r28
14387#ifdef PORTABLE_CORE
14388 ! Add CID to vector
14389 ta T_CHANGE_HPRIV
14390 ldxa [%g0]0x63, %r27
14391 sllx %r27, 8, %r27
14392 or %r27, %r28, %r28
14393#endif
14394#else
14395 ! Add CID IF tid matches
14396ifelse(5,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14397#endif
14398ifelse(5,mask2tid(0x1),`.align 16')
14399 stxa %r28, [%g0] 0x73
14400#endif
14401intvec_1_20:
14402 .word 0x95a489cb ! 37: FDIVd fdivd %f18, %f42, %f10
14403 nop
14404 nop
14405 ta T_CHANGE_HPRIV
14406 mov 0x1, %r11
14407splash_cmpr_1_23:
14408 sllx %r11, 63, %r11
14409 not %r11, %r11
14410 rd %tick, %r10
14411#if (defined SPC || defined CMP1)
14412 add %r10, 0x100, %r10
14413#else
14414 add %r10, 0x380, %r10
14415#endif
14416 and %r10, %r11, %r10
14417 wrhpr %r10, %g0, %hsys_tick_cmpr
14418 wrhpr %g0, 0x0, %halt ! HALT
14419 ta T_CHANGE_PRIV
14420 rd %tick, %r10
14421#if (defined SPC || defined CMP1)
14422 add %r10, 0x150, %r10
14423#else
14424 add %r10, 0xc00, %r10
14425#endif
14426 and %r10, %r11, %r10
14427 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
14428#if (defined SPC || defined CMP1)
14429!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_1_23)+8 , 16, 16)) -> intp(1,0,24,*,896,*,f1,1)
14430!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_1_23)&0xffffffff)+8 , 16, 16)) -> intp(5,0,10,*,696,*,f1,1)
14431#endif
14432 wrhpr %g0, 0x0, %halt ! HALT
14433 .word 0x81983e8f ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1e8f, %hpstate
14434 .word 0x81b01021 ! 41: SIAM siam 1
14435 .word 0xc32fc000 ! 45: STXFSR_R st-sfr %f1, [%r0, %r31]
14436 .word 0xd477e1e9 ! 49: STX_I stx %r10, [%r31 + 0x01e9]
14437 .word 0x91906df5 ! 53: WRPR_PIL_I wrpr %r1, 0x0df5, %pil
14438mondo_1_30:
14439 nop
14440 nop
14441 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
14442 ta T_CHANGE_PRIV
14443 stxa %r7, [%r0+0x3c8] %asi
14444 .word 0x87802082 ! 1: WRASI_I wr %r0, 0x0082, %asi
14445 .word 0x9d90c012 ! 57: WRPR_WSTATE_R wrpr %r3, %r18, %wstate
14446jmptr_1_32:
14447 nop
14448 nop
14449 best_set_reg(0xe0200000, %r20, %r27)
14450 .word 0xb7c6c000 ! 61: JMPL_R jmpl %r27 + %r0, %r27
14451brcommon1_1_33:
14452 nop
14453 nop
14454 setx common_target, %r12, %r27
14455 lduw [%r27-4], %r12 ! Load common dest into dcache ..
14456 stuw %r12, [%r27-4] ! Load common dest into dcache ..
14457 ba,a .+12
14458 .word 0xc32fe0a0 ! 1: STXFSR_I st-sfr %f1, [0x00a0, %r31]
14459 ba,a .+8
14460 jmpl %r27-4, %r27
14461 .word 0x95703c4b ! 65: POPC_I popc 0x1c4b, %r10
14462demap_1_35:
14463 nop
14464 mov 0x80, %g3
14465 ta T_CHANGE_HPRIV
14466 .word 0x06ccc001 ! 1: BRLZ brlz,pt %r19,<label_0xcc001>
14467 stxa %g3, [%g3] 0x57
14468 .word 0xc19fdb20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
14469 .word 0xe0bfc2c0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x16
14470 .word 0xe0bfdf00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf8
14471 stxa %g3, [%g3] 0x57
14472 .word 0xc09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r0
14473 stxa %g3, [%g3] 0x5f
14474 stxa %g3, [%g3] 0x5f
14475 .word 0xe0bfdc40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe2
14476 .word 0xc1bfdf00 ! 1: STDFA_R stda %f0, [%r0, %r31]
14477 .word 0xc09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r0
14478 stxa %g3, [%g3] 0x57
14479 .word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
14480 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
14481 stxa %g3, [%g3] 0x57
14482 stxa %g3, [%g3] 0x57
14483 .word 0xc19fde00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
14484 .word 0xe19fde00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
14485 stxa %g3, [%g3] 0x57
14486 .word 0xe1bfdf20 ! 1: STDFA_R stda %f16, [%r0, %r31]
14487 wrhpr %g0, 0xdda, %hpstate ! ta T_CHANGE_NONHPRIV
14488 .word 0xd41fe0c6 ! 69: LDD_I ldd [%r31 + 0x00c6], %r10
14489splash_hpstate_1_36:
14490 ta T_CHANGE_NONHPRIV
14491 .word 0x2eccc001 ! 1: BRGEZ brgez,a,pt %r19,<label_0xcc001>
14492 .word 0x8198259f ! 73: WRHPR_HPSTATE_I wrhpr %r0, 0x059f, %hpstate
14493 nop
14494 nop
14495 ta T_CHANGE_HPRIV ! macro
14496donret_1_38:
14497 rd %pc, %r12
14498 mov HIGHVA_HIGHNUM, %r10
14499 sllx %r10, 32, %r10
14500 or %r12, %r10, %r12
14501 add %r12, (donretarg_1_38-donret_1_38), %r12
14502 add %r12, 0x8, %r11 ! nonseq tnpc
14503 wrpr %g0, 0x1, %tl
14504 wrpr %g0, %r12, %tpc
14505 wrpr %g0, %r11, %tnpc
14506 set (0x00914200 | (48 << 24)), %r13
14507 and %r12, 0xfff, %r14
14508 sllx %r14, 32, %r14
14509 or %r13, %r14, %r20
14510 wrpr %r20, %g0, %tstate
14511 wrhpr %g0, 0x1fdc, %htstate
14512 wrhpr %g0, 0xdb, %hpstate ! rand=1 (1)
14513 ldx [%r12+%r0], %g1
14514 retry
14515donretarg_1_38:
14516 .word 0x29400002 ! 77: FBPL fbl,a,pn %fcc0, <label_0x2>
14517 .word 0xe19fda00 ! 81: LDDFA_R ldda [%r31, %r0], %f16
14518memptr_1_41:
14519 set 0x60340000, %r31
14520 .word 0x858222f8 ! 85: WRCCR_I wr %r8, 0x02f8, %ccr
14521 .word 0xa784e05c ! 89: WR_GRAPHICS_STATUS_REG_I wr %r19, 0x005c, %-
14522brcommon3_1_45:
14523 nop
14524 nop
14525 setx common_target, %r12, %r27
14526 lduw [%r27], %r12 ! Load common dest into dcache ..
14527 stuw %r12, [%r27] ! Load common dest into dcache ..
14528 ba,a .+12
14529 .word 0xd5e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r10
14530 ba,a .+8
14531 jmpl %r27+0, %r27
14532 stxa %r18, [%r0] ASI_LSU_CONTROL
14533 .word 0x91aac828 ! 93: FMOVGE fmovs %fcc1, %f8, %f8
14534 .word 0xc32fe020 ! 97: STXFSR_I st-sfr %f1, [0x0020, %r31]
14535 .word 0x3c800001 ! 1: BPOS bpos,a <label_0x1>
14536 .word 0x8d9033bf ! 101: WRPR_PSTATE_I wrpr %r0, 0x13bf, %pstate
14537 .word 0xa190200a ! 105: WRPR_GL_I wrpr %r0, 0x000a, %-
14538 .word 0xd0800ac0 ! 109: LDUWA_R lduwa [%r0, %r0] 0x56, %r8
14539memptr_1_58:
14540 set 0x60740000, %r31
14541 .word 0x8584adf0 ! 113: WRCCR_I wr %r18, 0x0df0, %ccr
14542 .word 0x91d020b3 ! 117: Tcc_I ta icc_or_xcc, %r0 + 179
14543demap_1_62:
14544 nop
14545 mov 0x80, %g3
14546 ta T_CHANGE_HPRIV
14547 .word 0x2c800002 ! 1: BNEG bneg,a <label_0x2>
14548 stxa %g3, [%g3] 0x57
14549 stxa %g3, [%g3] 0x57
14550 .word 0xc19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
14551 .word 0xc19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
14552 stxa %g3, [%g3] 0x5f
14553 .word 0xe19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
14554 wrhpr %g0, 0xb88, %hpstate ! ta T_CHANGE_NONHPRIV
14555 .word 0xd01fe083 ! 121: LDD_I ldd [%r31 + 0x0083], %r8
14556 .word 0x91950005 ! 125: WRPR_PIL_R wrpr %r20, %r5, %pil
14557 .word 0xe1bfe1e0 ! 129: STDFA_I stda %f16, [0x01e0, %r31]
14558 .word 0xd08fc540 ! 133: LDUBA_R lduba [%r31, %r0] 0x2a, %r8
14559intveclr_1_71:
14560 nop
14561 nop
14562 ta T_CHANGE_HPRIV
14563 setx 0xa27ba5e00477ba2b, %r1, %r28
14564 stxa %r28, [%g0] 0x72
14565 .word 0x25400001 ! 137: FBPLG fblg,a,pn %fcc0, <label_0x1>
14566 .word 0xf16fe110 ! 141: PREFETCH_I prefetch [%r31 + 0x0110], #24
14567demap_1_74:
14568 nop
14569 mov 0x80, %g3
14570 ta T_CHANGE_HPRIV
14571 .word 0x36800001 ! 1: BGE bge,a <label_0x1>
14572 stxa %g3, [%g3] 0x57
14573 stxa %g3, [%g3] 0x5f
14574 .word 0xc09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r0
14575 stxa %g3, [%g3] 0x57
14576 .word 0xe1bfdd40 ! 1: STDFA_R stda %f16, [%r0, %r31]
14577 stxa %g3, [%g3] 0x5f
14578 .word 0xc09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r0
14579 .word 0xc09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r0
14580 .word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
14581 stxa %g3, [%g3] 0x5f
14582 .word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
14583 .word 0xe19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
14584 wrhpr %g0, 0x749, %hpstate ! ta T_CHANGE_NONHPRIV
14585 .word 0xd01fe1fe ! 145: LDD_I ldd [%r31 + 0x01fe], %r8
14586brcommon3_1_78:
14587 nop
14588 nop
14589 setx common_target, %r12, %r27
14590 lduw [%r27-4], %r12 ! Load common dest into dcache ..
14591 stuw %r12, [%r27-4] ! Load common dest into dcache ..
14592 ba,a .+12
14593 .word 0xd1e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r8
14594 ba,a .+8
14595 jmpl %r27+0, %r27
14596 .word 0x20800001 ! 149: BN bn,a <label_0x1>
14597splash_tba_1_81:
14598 nop
14599 ta T_CHANGE_PRIV
14600 set 0x120000, %r12
14601 .word 0x8b90000c ! 153: WRPR_TBA_R wrpr %r0, %r12, %tba
14602splash_tba_1_84:
14603 nop
14604 ta T_CHANGE_PRIV
14605 setx 0x0000000400380000, %r11, %r12
14606 .word 0x8b90000c ! 157: WRPR_TBA_R wrpr %r0, %r12, %tba
14607splash_hpstate_1_87:
14608 .word 0x81982f45 ! 161: WRHPR_HPSTATE_I wrhpr %r0, 0x0f45, %hpstate
14609 .word 0xc19fe060 ! 165: LDDFA_I ldda [%r31, 0x0060], %f0
14610 .word 0xe1bfdc40 ! 169: STDFA_R stda %f16, [%r0, %r31]
14611memptr_1_94:
14612 set 0x60540000, %r31
14613 .word 0x858527b7 ! 173: WRCCR_I wr %r20, 0x07b7, %ccr
14614 .word 0xc32fc000 ! 177: STXFSR_R st-sfr %f1, [%r0, %r31]
14615 .word 0xe1bfdf20 ! 181: STDFA_R stda %f16, [%r0, %r31]
14616intveclr_1_99:
14617 nop
14618 nop
14619 ta T_CHANGE_HPRIV
14620 setx 0x6e81f3a0eab44d14, %r1, %r28
14621 stxa %r28, [%g0] 0x72
14622 wrhpr %g0, 0x209, %hpstate ! ta T_CHANGE_NONHPRIV
14623 .word 0x25400001 ! 185: FBPLG fblg,a,pn %fcc0, <label_0x1>
14624demap_1_101:
14625 nop
14626 mov 0x80, %g3
14627 ta T_CHANGE_HPRIV
14628 .word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
14629 stxa %g3, [%g3] 0x57
14630 .word 0xe19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f16
14631 stxa %g3, [%g3] 0x5f
14632 stxa %g3, [%g3] 0x5f
14633 .word 0xc0bfdd40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xea
14634 .word 0xe09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r16
14635 stxa %g3, [%g3] 0x5f
14636 .word 0xc19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
14637 wrhpr %g0, 0xd2, %hpstate ! ta T_CHANGE_NONHPRIV
14638 .word 0xd01fe178 ! 189: LDD_I ldd [%r31 + 0x0178], %r8
14639intveclr_1_102:
14640 nop
14641 nop
14642 ta T_CHANGE_HPRIV
14643 setx 0x509240e44ae61c58, %r1, %r28
14644 stxa %r28, [%g0] 0x72
14645 wrhpr %g0, 0x2d9, %hpstate ! ta T_CHANGE_NONHPRIV
14646 .word 0x25400001 ! 193: FBPLG fblg,a,pn %fcc0, <label_0x1>
14647 nop
14648 nop
14649 ta T_CHANGE_HPRIV ! macro
14650donret_1_104:
14651 rd %pc, %r12
14652 mov HIGHVA_HIGHNUM, %r10
14653 sllx %r10, 32, %r10
14654 or %r12, %r10, %r12
14655 add %r12, (donretarg_1_104-donret_1_104+4), %r12
14656 add %r12, 0x4, %r11 ! seq tnpc
14657 wrpr %g0, 0x2, %tl
14658 wrpr %g0, %r12, %tpc
14659 wrpr %g0, %r11, %tnpc
14660 set (0x0062e500 | (0x4f << 24)), %r13
14661 and %r12, 0xfff, %r14
14662 sllx %r14, 32, %r14
14663 or %r13, %r14, %r20
14664 wrpr %r20, %g0, %tstate
14665 wrhpr %g0, 0x79d, %htstate
14666 best_set_reg(0x1022, %g1, %g2)
14667 wrpr %g0, %g2, %pstate ! rand=0 (1)
14668 retry
14669donretarg_1_104:
14670 .word 0xd0ffd060 ! 197: SWAPA_R swapa %r8, [%r31 + %r0] 0x83
14671 .word 0xa5a049cb ! 201: FDIVd fdivd %f32, %f42, %f18
14672 .word 0x8980000a ! 205: WRTICK_R wr %r0, %r10, %tick
14673 .word 0xe4dfc200 ! 209: LDXA_R ldxa [%r31, %r0] 0x10, %r18
14674 .word 0x8980000a ! 213: WRTICK_R wr %r0, %r10, %tick
14675 .word 0x04ccc001 ! 1: BRLEZ brlez,pt %r19,<label_0xcc001>
14676 .word 0x8d902ed9 ! 217: WRPR_PSTATE_I wrpr %r0, 0x0ed9, %pstate
14677frzptr_1_119:
14678 nop
14679 nop
14680 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
14681 sethi %hi(0x3cbc0000), %r21
14682 stxa %r27, [%r21]0x57
14683 jmpl %r27, %r27
14684 .word 0x00800001 ! 221: BN bn <label_0x1>
14685 .word 0x91b484cb ! 225: FCMPNE32 fcmpne32 %d18, %d42, %r8
14686memptr_1_124:
14687 set 0x60540000, %r31
14688 .word 0x85843316 ! 229: WRCCR_I wr %r16, 0x1316, %ccr
14689demap_1_127:
14690 nop
14691 mov 0x80, %g3
14692 ta T_CHANGE_HPRIV
14693 stxa %g3, [%g3] 0x57
14694 .word 0xc1bfda60 ! 1: STDFA_R stda %f0, [%r0, %r31]
14695 .word 0xc09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r0
14696 .word 0xc09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r0
14697 wrhpr %g0, 0xb, %hpstate ! ta T_CHANGE_NONHPRIV
14698 .word 0xd01fe118 ! 233: LDD_I ldd [%r31 + 0x0118], %r8
14699 nop
14700 nop
14701 mov 0x1, %r11
14702splash_cmpr_1_129:
14703 sllx %r11, 63, %r11
14704 not %r11, %r11
14705 rd %tick, %r10
14706#if (defined SPC || defined CMP1)
14707 add %r10, 0x100, %r10
14708#else
14709 add %r10, 0x380, %r10
14710#endif
14711 and %r10, %r11, %r10
14712 ta T_CHANGE_PRIV
14713 rd %tick, %r10
14714#if (defined SPC || defined CMP1)
14715 add %r10, 0x200, %r10
14716#else
14717 add %r10, 0x550, %r10
14718#endif
14719 and %r10, %r11, %r10
14720 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
14721#if (defined SPC || defined CMP1)
14722!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_1_129)+8 , 16, 16)) -> intp(3,0,21,*,912,*,f9,1)
14723!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_1_129)&0xffffffff)+8 , 16, 16)) -> intp(3,0,31,*,744,*,f9,1)
14724#endif
14725 wrhpr %g0, 0x0, %halt ! HALT
14726 .word 0x81b01021 ! 237: SIAM siam 1
14727 .word 0xc19fdc00 ! 241: LDDFA_R ldda [%r31, %r0], %f0
14728splash_lsu_1_132:
14729 nop
14730 nop
14731 ta T_CHANGE_HPRIV
14732 set 0x7067b7e8, %r2
14733 mov 0x1, %r1
14734 sllx %r1, 32, %r1
14735 or %r1, %r2, %r2
14736 .word 0x16800002 ! 1: BGE bge <label_0x2>
14737 stxa %r2, [%r0] ASI_LSU_CONTROL
14738 ta T_CHANGE_NONHPRIV
14739 .word 0x3d400001 ! 245: FBPULE fbule,a,pn %fcc0, <label_0x1>
14740demap_1_133:
14741 nop
14742 mov 0x80, %g3
14743 ta T_CHANGE_HPRIV
14744 stxa %g3, [%g3] 0x57
14745 .word 0xc1bfdf00 ! 1: STDFA_R stda %f0, [%r0, %r31]
14746 .word 0xe09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r16
14747 stxa %g3, [%g3] 0x57
14748 .word 0xe0bfdb20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd9
14749 stxa %g3, [%g3] 0x57
14750 stxa %g3, [%g3] 0x5f
14751 .word 0xe0bfdc00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe0
14752 stxa %g3, [%g3] 0x5f
14753 stxa %g3, [%g3] 0x57
14754 .word 0xe09fdf20 ! 1: LDDA_R ldda [%r31, %r0] 0xf9, %r16
14755 stxa %g3, [%g3] 0x5f
14756 .word 0xe1bfde00 ! 1: STDFA_R stda %f16, [%r0, %r31]
14757 .word 0xc1bfdc40 ! 1: STDFA_R stda %f0, [%r0, %r31]
14758 stxa %g3, [%g3] 0x57
14759 wrhpr %g0, 0xe11, %hpstate ! ta T_CHANGE_NONHPRIV
14760 .word 0xd01fe1de ! 249: LDD_I ldd [%r31 + 0x01de], %r8
14761ibp_1_135:
14762 nop
14763 nop
14764 .word 0x9ba149c6 ! 253: FDIVd fdivd %f36, %f6, %f44
14765 .word 0x87802010 ! 257: WRASI_I wr %r0, 0x0010, %asi
14766splash_tba_1_139:
14767 nop
14768 ta T_CHANGE_PRIV
14769 set 0x120000, %r12
14770 .word 0x8b90000c ! 261: WRPR_TBA_R wrpr %r0, %r12, %tba
14771splash_hpstate_1_141:
14772 ta T_CHANGE_NONHPRIV
14773 .word 0x2b400001 ! 1: FBPUG fbug,a,pn %fcc0, <label_0x1>
14774 .word 0x81983a97 ! 265: WRHPR_HPSTATE_I wrhpr %r0, 0x1a97, %hpstate
14775 .word 0xc19fdf00 ! 269: LDDFA_R ldda [%r31, %r0], %f0
14776dvapa_1_144:
14777 nop
14778 nop
14779 ta T_CHANGE_HPRIV
14780 mov 0xe79, %r20
14781 mov 0x11, %r19
14782 sllx %r20, 23, %r20
14783 or %r19, %r20, %r19
14784 stxa %r19, [%g0] ASI_LSU_CONTROL
14785 mov 0x38, %r18
14786 stxa %r31, [%r18]0x58
14787 wrhpr %g0, 0x600, %hpstate ! ta T_CHANGE_NONHPRIV
14788 .word 0xdb3fe1f0 ! 273: STDF_I std %f13, [0x01f0, %r31]
14789 .word 0xe19fde00 ! 277: LDDFA_R ldda [%r31, %r0], %f16
14790brcommon3_1_148:
14791 nop
14792 nop
14793 setx common_target, %r12, %r27
14794 lduw [%r27], %r12 ! Load common dest into dcache ..
14795 stuw %r12, [%r27] ! Load common dest into dcache ..
14796 ba,a .+12
14797 .word 0xdbe7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r13
14798 ba,a .+8
14799 jmpl %r27+0, %r27
14800 stxa %r8, [%r0] ASI_LSU_CONTROL
14801 .word 0xa9aac833 ! 281: FMOVGE fmovs %fcc1, %f19, %f20
14802 nop
14803 nop
14804 ta T_CHANGE_HPRIV ! macro
14805donret_1_150:
14806 rd %pc, %r12
14807 mov HIGHVA_HIGHNUM, %r10
14808 sllx %r10, 32, %r10
14809 or %r12, %r10, %r12
14810 add %r12, (donretarg_1_150-donret_1_150), %r12
14811 add %r12, 0x4, %r11 ! seq tnpc
14812 andn %r12, %r10, %r12 ! low VA tpc
14813 wrpr %g0, 0x2, %tl
14814 wrpr %g0, %r12, %tpc
14815 wrpr %g0, %r11, %tnpc
14816 set (0x0012c400 | (54 << 24)), %r13
14817 and %r12, 0xfff, %r14
14818 sllx %r14, 32, %r14
14819 or %r13, %r14, %r20
14820 wrpr %r20, %g0, %tstate
14821 wrhpr %g0, 0x645, %htstate
14822 best_set_reg(0x10da, %g1, %g2)
14823 wrpr %g0, %g2, %pstate ! rand=0 (1)
14824 ldx [%r12+%r0], %g1
14825 retry
14826donretarg_1_150:
14827 .word 0xa7a509c9 ! 285: FDIVd fdivd %f20, %f40, %f50
14828jmptr_1_152:
14829 nop
14830 nop
14831 best_set_reg(0xe1200000, %r20, %r27)
14832 .word 0xb7c6c000 ! 289: JMPL_R jmpl %r27 + %r0, %r27
14833cancelint_1_156:
14834 rdhpr %halt, %r9
14835 .word 0x85880000 ! 293: ALLCLEAN <illegal instruction>
14836 nop
14837 nop
14838 ta T_CHANGE_HPRIV ! macro
14839donret_1_159:
14840 rd %pc, %r12
14841 mov HIGHVA_HIGHNUM, %r10
14842 sllx %r10, 32, %r10
14843 or %r12, %r10, %r12
14844 add %r12, (donretarg_1_159-donret_1_159+4), %r12
14845 add %r12, 0x4, %r11 ! seq tnpc
14846 andn %r12, %r10, %r12 ! low VA tpc
14847 wrpr %g0, 0x2, %tl
14848 wrpr %g0, %r12, %tpc
14849 wrpr %g0, %r11, %tnpc
14850 set (0x00f83f00 | (0x58 << 24)), %r13
14851 and %r12, 0xfff, %r14
14852 sllx %r14, 32, %r14
14853 or %r13, %r14, %r20
14854 wrpr %r20, %g0, %tstate
14855 wrhpr %g0, 0x1658, %htstate
14856 wrhpr %g0, 0x20a, %hpstate ! rand=1 (1)
14857 done
14858.align 128
14859donretarg_1_159:
14860 .word 0xd2ffd060 ! 297: SWAPA_R swapa %r9, [%r31 + %r0] 0x83
14861splash_lsu_1_162:
14862 nop
14863 nop
14864 ta T_CHANGE_HPRIV
14865 set 0x324f0056, %r2
14866 mov 0x5, %r1
14867 sllx %r1, 32, %r1
14868 or %r1, %r2, %r2
14869 stxa %r2, [%r0] ASI_LSU_CONTROL
14870 ta T_CHANGE_NONHPRIV
14871 .word 0x1d400001 ! 301: FBPULE fbule
14872demap_1_163:
14873 nop
14874 mov 0x80, %g3
14875 ta T_CHANGE_HPRIV
14876 .word 0x00800001 ! 1: BN bn <label_0x1>
14877 stxa %g3, [%g3] 0x57
14878 .word 0xc1bfc3e0 ! 1: STDFA_R stda %f0, [%r0, %r31]
14879 .word 0xe09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r16
14880 .word 0xe0bfdf00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf8
14881 stxa %g3, [%g3] 0x57
14882 .word 0xc19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
14883 wrhpr %g0, 0x192, %hpstate ! ta T_CHANGE_NONHPRIV
14884 .word 0xd21fe028 ! 305: LDD_I ldd [%r31 + 0x0028], %r9
14885cancelint_1_164:
14886 rdhpr %halt, %r11
14887 .word 0x85880000 ! 309: ALLCLEAN <illegal instruction>
14888splash_lsu_1_166:
14889 nop
14890 nop
14891 ta T_CHANGE_HPRIV
14892 set 0x2b146dd1, %r2
14893 mov 0x2, %r1
14894 sllx %r1, 32, %r1
14895 or %r1, %r2, %r2
14896 stxa %r2, [%r0] ASI_LSU_CONTROL
14897 ta T_CHANGE_NONHPRIV
14898 .word 0x3d400001 ! 313: FBPULE fbule,a,pn %fcc0, <label_0x1>
14899 .word 0xc32fc000 ! 317: STXFSR_R st-sfr %f1, [%r0, %r31]
14900 .word 0xd647e070 ! 321: LDSW_I ldsw [%r31 + 0x0070], %r11
14901 .word 0xc1bfe1a0 ! 325: STDFA_I stda %f0, [0x01a0, %r31]
14902brcommon3_1_173:
14903 nop
14904 nop
14905 setx common_target, %r12, %r27
14906 lduw [%r27], %r12 ! Load common dest into dcache ..
14907 stuw %r12, [%r27] ! Load common dest into dcache ..
14908 ba,a .+12
14909 .word 0xd737e1f0 ! 1: STQF_I - %f11, [0x01f0, %r31]
14910 ba,a .+8
14911 jmpl %r27+0, %r27
14912 stxa %r12, [%r0] ASI_LSU_CONTROL
14913 .word 0xa7aac834 ! 329: FMOVGE fmovs %fcc1, %f20, %f19
14914splash_lsu_1_175:
14915 nop
14916 nop
14917 ta T_CHANGE_HPRIV
14918 set 0x38bc2146, %r2
14919 mov 0x7, %r1
14920 sllx %r1, 32, %r1
14921 or %r1, %r2, %r2
14922 stxa %r2, [%r0] ASI_LSU_CONTROL
14923 ta T_CHANGE_NONHPRIV
14924 .word 0x3d400001 ! 333: FBPULE fbule,a,pn %fcc0, <label_0x1>
14925splash_lsu_1_178:
14926 nop
14927 nop
14928 ta T_CHANGE_HPRIV
14929 set 0x5713f4bd, %r2
14930 mov 0x6, %r1
14931 sllx %r1, 32, %r1
14932 or %r1, %r2, %r2
14933 stxa %r2, [%r0] ASI_LSU_CONTROL
14934 ta T_CHANGE_NONHPRIV
14935 .word 0x3d400002 ! 337: FBPULE fbule,a,pn %fcc0, <label_0x2>
14936 .word 0xe697d000 ! 341: LDUHA_R lduha [%r31, %r0] 0x80, %r19
14937jmptr_1_184:
14938 nop
14939 nop
14940 best_set_reg(0xe0200000, %r20, %r27)
14941 .word 0xb7c6c000 ! 345: JMPL_R jmpl %r27 + %r0, %r27
14942 .word 0x93d020b2 ! 349: Tcc_I tne icc_or_xcc, %r0 + 178
14943splash_lsu_1_188:
14944 nop
14945 nop
14946 ta T_CHANGE_HPRIV
14947 set 0x56f6c0c2, %r2
14948 mov 0x6, %r1
14949 sllx %r1, 32, %r1
14950 or %r1, %r2, %r2
14951 .word 0x3a800001 ! 1: BCC bcc,a <label_0x1>
14952 stxa %r2, [%r0] ASI_LSU_CONTROL
14953 ta T_CHANGE_NONHPRIV
14954 .word 0x1d400001 ! 353: FBPULE fbule
14955memptr_1_191:
14956 set 0x60540000, %r31
14957 .word 0x8581f4ef ! 357: WRCCR_I wr %r7, 0x14ef, %ccr
14958 .word 0xe69fe170 ! 361: LDDA_I ldda [%r31, + 0x0170] %asi, %r19
14959 .word 0xe677e120 ! 365: STX_I stx %r19, [%r31 + 0x0120]
14960 .word 0x2a800001 ! 369: BCS bcs,a <label_0x1>
14961 .word 0xf16fe092 ! 373: PREFETCH_I prefetch [%r31 + 0x0092], #24
14962cancelint_1_200:
14963 rdhpr %halt, %r10
14964 .word 0x85880000 ! 377: ALLCLEAN <illegal instruction>
14965 .word 0xd537e054 ! 381: STQF_I - %f10, [0x0054, %r31]
14966 .word 0x8980000a ! 385: WRTICK_R wr %r0, %r10, %tick
14967 nop
14968 nop
14969 set 0x7eb0a593, %r28 !TTID : 5 (mask2tid(0x1))
14970#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14971 sethi %hi(0x3800), %r27
14972 andn %r28, %r27, %r28
14973#ifdef PORTABLE_CORE
14974 ! Add CID to vector
14975 ta T_CHANGE_HPRIV
14976 ldxa [%g0]0x63, %r27
14977 sllx %r27, 8, %r27
14978 or %r27, %r28, %r28
14979#endif
14980#else
14981 ! Add CID IF tid matches
14982ifelse(5,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14983#endif
14984 sethi %hi(0x30000), %r27
14985 andn %r28, %r27, %r28
14986 ta T_CHANGE_HPRIV
14987ifelse(5,mask2tid(0x1),`.align 16')
14988 stxa %r28, [%g0] 0x73
14989intvec_1_207:
14990 .word 0xa1b144d1 ! 389: FCMPNE32 fcmpne32 %d36, %d48, %r16
14991intveclr_1_209:
14992 nop
14993 nop
14994 ta T_CHANGE_HPRIV
14995 setx 0x4c31978812d86496, %r1, %r28
14996 stxa %r28, [%g0] 0x72
14997 wrhpr %g0, 0xb19, %hpstate ! ta T_CHANGE_NONHPRIV
14998 .word 0x05400001 ! 393: FBPLG fblg
14999 .word 0xe1e7d060 ! 397: CASA_I casa [%r31] 0x83, %r0, %r16
15000brcommon3_1_215:
15001 nop
15002 nop
15003 setx common_target, %r12, %r27
15004 lduw [%r27-4], %r12 ! Load common dest into dcache ..
15005 stuw %r12, [%r27-4] ! Load common dest into dcache ..
15006 ba,a .+12
15007 .word 0xe1e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r16
15008 ba,a .+8
15009 jmpl %r27+0, %r27
15010 .word 0x819837d5 ! 401: WRHPR_HPSTATE_I wrhpr %r0, 0x17d5, %hpstate
15011 .word 0xe0dfc240 ! 405: LDXA_R ldxa [%r31, %r0] 0x12, %r16
15012 .word 0xa5b34593 ! 409: FCMPGT32 fcmpgt32 %d44, %d50, %r18
15013 nop
15014 nop
15015 ta T_CHANGE_HPRIV
15016 mov 0x0, %r11
15017splash_cmpr_1_220:
15018 sllx %r11, 63, %r11
15019 not %r11, %r11
15020 rd %tick, %r10
15021#if (defined SPC || defined CMP1)
15022 add %r10, 0x200, %r10
15023#else
15024 add %r10, 0x550, %r10
15025#endif
15026 and %r10, %r11, %r10
15027 wrhpr %r10, %g0, %hsys_tick_cmpr
15028 ta T_CHANGE_PRIV
15029 rd %tick, %r10
15030#if (defined SPC || defined CMP1)
15031 add %r10, 0x150, %r10
15032#else
15033 add %r10, 0x380, %r10
15034#endif
15035 and %r10, %r11, %r10
15036 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
15037 .word 0x819838c2 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x18c2, %hpstate
15038 .word 0x81b01021 ! 413: SIAM siam 1
15039intveclr_1_222:
15040 nop
15041 nop
15042 ta T_CHANGE_HPRIV
15043 setx 0xd359600a4c9fb2fc, %r1, %r28
15044 stxa %r28, [%g0] 0x72
15045 .word 0x25400002 ! 417: FBPLG fblg,a,pn %fcc0, <label_0x2>
15046#if (defined SPC || defined CMP1)
15047!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_226) , 16, 16)) -> intp(2,0,19,*,696,*,b1,1)
15048!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_226)&0xffffffff) , 16, 16)) -> intp(5,0,24,*,640,*,b1,1)
15049#else
15050 nop
15051 nop
15052 set 0x4060c26e, %r28 !TTID : 2 (mask2tid(0x1))
15053#if (defined PORTABLE_CORE || MAX_THREADS == 8)
15054 sethi %hi(0x3800), %r27
15055 andn %r28, %r27, %r28
15056#ifdef PORTABLE_CORE
15057 ! Add CID to vector
15058 ta T_CHANGE_HPRIV
15059 ldxa [%g0]0x63, %r27
15060 sllx %r27, 8, %r27
15061 or %r27, %r28, %r28
15062#endif
15063#else
15064 ! Add CID IF tid matches
15065ifelse(2,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
15066#endif
15067ifelse(2,mask2tid(0x1),`.align 16')
15068 stxa %r28, [%g0] 0x73
15069#endif
15070intvec_1_226:
15071 .word 0x39400001 ! 421: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
15072cancelint_1_228:
15073 rdhpr %halt, %r20
15074 .word 0x85880000 ! 425: ALLCLEAN <illegal instruction>
15075 nop
15076 nop
15077 ta T_CHANGE_HPRIV ! macro
15078donret_1_231:
15079 rd %pc, %r12
15080 mov HIGHVA_HIGHNUM, %r10
15081 sllx %r10, 32, %r10
15082 or %r12, %r10, %r12
15083 add %r12, (donretarg_1_231-donret_1_231+4), %r12
15084 add %r12, 0x4, %r11 ! seq tnpc
15085 andn %r11, %r10, %r11 ! low VA tnpc
15086 wrpr %g0, 0x2, %tl
15087 wrpr %g0, %r12, %tpc
15088 wrpr %g0, %r11, %tnpc
15089 set (0x00b77600 | (54 << 24)), %r13
15090 and %r12, 0xfff, %r14
15091 sllx %r14, 32, %r14
15092 or %r13, %r14, %r20
15093 wrpr %r20, %g0, %tstate
15094 wrhpr %g0, 0x16d7, %htstate
15095 best_set_reg(0xe2, %g1, %g2)
15096 wrpr %g0, %g2, %pstate ! rand=0 (1)
15097 done
15098.align 128
15099donretarg_1_231:
15100 .word 0x8d90251b ! 429: WRPR_PSTATE_I wrpr %r0, 0x051b, %pstate
15101 .word 0xc19fdc40 ! 433: LDDFA_R ldda [%r31, %r0], %f0
15102 .word 0xe917c000 ! 437: LDQF_R - [%r31, %r0], %f20
15103 .word 0xe827e0f4 ! 441: STW_I stw %r20, [%r31 + 0x00f4]
15104 .word 0xa96c0013 ! 445: SDIVX_R sdivx %r16, %r19, %r20
15105 .word 0x8980000a ! 449: WRTICK_R wr %r0, %r10, %tick
15106 nop
15107 nop
15108 ta T_CHANGE_HPRIV ! macro
15109donret_1_240:
15110 rd %pc, %r12
15111 mov HIGHVA_HIGHNUM, %r10
15112 sllx %r10, 32, %r10
15113 or %r12, %r10, %r12
15114 add %r12, (donretarg_1_240-donret_1_240), %r12
15115 add %r12, 0x8, %r11 ! nonseq tnpc
15116 wrpr %g0, 0x2, %tl
15117 wrpr %g0, %r12, %tpc
15118 wrpr %g0, %r11, %tnpc
15119 set (0x00d2f400 | (22 << 24)), %r13
15120 and %r12, 0xfff, %r14
15121 sllx %r14, 32, %r14
15122 or %r13, %r14, %r20
15123 wrpr %r20, %g0, %tstate
15124 wrhpr %g0, 0x48f, %htstate
15125 best_set_reg(0x713, %g1, %g2)
15126 wrpr %g0, %g2, %pstate ! rand=0 (1)
15127 .word 0x27400001 ! 1: FBPUL fbul,a,pn %fcc0, <label_0x1>
15128 ldx [%r12+%r0], %g1
15129 retry
15130.align 128
15131donretarg_1_240:
15132 .word 0x81982d85 ! 453: WRHPR_HPSTATE_I wrhpr %r0, 0x0d85, %hpstate
15133 nop
15134 nop
15135 ta T_CHANGE_HPRIV ! macro
15136donret_1_243:
15137 rd %pc, %r12
15138 mov HIGHVA_HIGHNUM, %r10
15139 sllx %r10, 32, %r10
15140 or %r12, %r10, %r12
15141 add %r12, (donretarg_1_243-donret_1_243+4), %r12
15142 add %r12, 0x4, %r11 ! seq tnpc
15143 andn %r12, %r10, %r12 ! low VA tpc
15144 wrpr %g0, 0x2, %tl
15145 wrpr %g0, %r12, %tpc
15146 wrpr %g0, %r11, %tnpc
15147 set (0x001c4400 | (4 << 24)), %r13
15148 and %r12, 0xfff, %r14
15149 sllx %r14, 32, %r14
15150 or %r13, %r14, %r20
15151 wrpr %r20, %g0, %tstate
15152 wrhpr %g0, 0x65e, %htstate
15153 wrhpr %g0, 0xc18, %hpstate ! rand=1 (1)
15154 ldx [%r11+%r0], %g1
15155 done
15156donretarg_1_243:
15157 .word 0xe86fe0e6 ! 457: LDSTUB_I ldstub %r20, [%r31 + 0x00e6]
15158splash_hpstate_1_246:
15159 .word 0x81982703 ! 461: WRHPR_HPSTATE_I wrhpr %r0, 0x0703, %hpstate
15160 .word 0xa9a0c9c5 ! 465: FDIVd fdivd %f34, %f36, %f20
15161 .word 0xe1bfda60 ! 469: STDFA_R stda %f16, [%r0, %r31]
15162intveclr_1_250:
15163 nop
15164 nop
15165 ta T_CHANGE_HPRIV
15166 setx 0x61cf6da7dbd53f95, %r1, %r28
15167 stxa %r28, [%g0] 0x72
15168 .word 0x25400002 ! 473: FBPLG fblg,a,pn %fcc0, <label_0x2>
15169intveclr_1_253:
15170 nop
15171 nop
15172 ta T_CHANGE_HPRIV
15173 setx 0x7004223dad41379b, %r1, %r28
15174 stxa %r28, [%g0] 0x72
15175 .word 0x25400001 ! 477: FBPLG fblg,a,pn %fcc0, <label_0x1>
15176 .word 0xc30fc000 ! 481: LDXFSR_R ld-fsr [%r31, %r0], %f1
15177cancelint_1_256:
15178 rdhpr %halt, %r16
15179 .word 0x85880000 ! 485: ALLCLEAN <illegal instruction>
15180demap_1_258:
15181 nop
15182 mov 0x80, %g3
15183 ta T_CHANGE_HPRIV
15184 stxa %g3, [%g3] 0x5f
15185 .word 0xe0bfc2c0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x16
15186 stxa %g3, [%g3] 0x57
15187 .word 0xe0bfda00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd0
15188 .word 0xc09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r0
15189 stxa %g3, [%g3] 0x5f
15190 .word 0xe1bfda60 ! 1: STDFA_R stda %f16, [%r0, %r31]
15191 .word 0xe1bfdf00 ! 1: STDFA_R stda %f16, [%r0, %r31]
15192 stxa %g3, [%g3] 0x5f
15193 .word 0xc19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15194 .word 0xc19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15195 .word 0xc19fdc40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15196 stxa %g3, [%g3] 0x57
15197 .word 0xc19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15198 .word 0xc0bfdd40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xea
15199 stxa %g3, [%g3] 0x57
15200 stxa %g3, [%g3] 0x5f
15201 wrhpr %g0, 0x8c0, %hpstate ! ta T_CHANGE_NONHPRIV
15202 .word 0xe01fe160 ! 489: LDD_I ldd [%r31 + 0x0160], %r16
15203splash_lsu_1_260:
15204 nop
15205 nop
15206 ta T_CHANGE_HPRIV
15207 set 0x296bdfce, %r2
15208 mov 0x2, %r1
15209 sllx %r1, 32, %r1
15210 or %r1, %r2, %r2
15211 stxa %r2, [%r0] ASI_LSU_CONTROL
15212 .word 0x3d400001 ! 493: FBPULE fbule,a,pn %fcc0, <label_0x1>
15213dvapa_1_263:
15214 nop
15215 nop
15216 ta T_CHANGE_HPRIV
15217 mov 0xaa6, %r20
15218 mov 0x4, %r19
15219 sllx %r20, 23, %r20
15220 or %r19, %r20, %r19
15221 stxa %r19, [%g0] ASI_LSU_CONTROL
15222 mov 0x38, %r18
15223 stxa %r31, [%r18]0x58
15224 wrhpr %g0, 0xbd8, %hpstate ! ta T_CHANGE_NONHPRIV
15225 .word 0xe09fc540 ! 497: LDDA_R ldda [%r31, %r0] 0x2a, %r16
15226 .word 0x04cac001 ! 501: BRLEZ brlez,pt %r11,<label_0xac001>
15227 .word 0xe8bfc720 ! 505: STDA_R stda %r20, [%r31 + %r0] 0x39
15228 .word 0x8980000a ! 509: WRTICK_R wr %r0, %r10, %tick
15229splash_lsu_1_273:
15230 nop
15231 nop
15232 ta T_CHANGE_HPRIV
15233 set 0xa60b7e18, %r2
15234 mov 0x6, %r1
15235 sllx %r1, 32, %r1
15236 or %r1, %r2, %r2
15237 stxa %r2, [%r0] ASI_LSU_CONTROL
15238 .word 0x3d400001 ! 513: FBPULE fbule,a,pn %fcc0, <label_0x1>
15239splash_tba_1_275:
15240 nop
15241 ta T_CHANGE_PRIV
15242 setx 0x0000000000380000, %r11, %r12
15243 .word 0x8b90000c ! 517: WRPR_TBA_R wrpr %r0, %r12, %tba
15244 .word 0x8d903c23 ! 521: WRPR_PSTATE_I wrpr %r0, 0x1c23, %pstate
15245demap_1_278:
15246 nop
15247 mov 0x80, %g3
15248 ta T_CHANGE_HPRIV
15249 .word 0x2d400001 ! 1: FBPG fbg,a,pn %fcc0, <label_0x1>
15250 stxa %g3, [%g3] 0x57
15251 .word 0xe0bfdb20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd9
15252 stxa %g3, [%g3] 0x5f
15253 .word 0xc19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15254 .word 0xc1bfda00 ! 1: STDFA_R stda %f0, [%r0, %r31]
15255 .word 0xc0bfde00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf0
15256 stxa %g3, [%g3] 0x5f
15257 .word 0xc19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15258 .word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
15259 stxa %g3, [%g3] 0x57
15260 .word 0xc0bfdf20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf9
15261 .word 0xc19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15262 wrhpr %g0, 0x250, %hpstate ! ta T_CHANGE_NONHPRIV
15263 .word 0xe81fe12a ! 525: LDD_I ldd [%r31 + 0x012a], %r20
15264 .word 0xf16fe020 ! 1: PREFETCH_I prefetch [%r31 + 0x0020], #24
15265 .word 0xa9b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r20
15266 mov 0xb0, %r30
15267 .word 0x91d0001e ! 529: Tcc_R ta icc_or_xcc, %r0 + %r30
15268splash_htba_1_280:
15269 nop
15270 ta T_CHANGE_HPRIV
15271 setx 0x0000000200280000, %r11, %r12
15272 .word 0x8b98000c ! 533: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
15273 .word 0x95a089ca ! 537: FDIVd fdivd %f2, %f10, %f10
15274 .word 0xd48008a0 ! 541: LDUWA_R lduwa [%r0, %r0] 0x45, %r10
15275memptr_1_283:
15276 set 0x60140000, %r31
15277 .word 0x8582208e ! 545: WRCCR_I wr %r8, 0x008e, %ccr
15278 .word 0xd407c000 ! 549: LDUW_R lduw [%r31 + %r0], %r10
15279 .word 0x36800001 ! 553: BGE bge,a <label_0x1>
15280 .word 0x9194c010 ! 557: WRPR_PIL_R wrpr %r19, %r16, %pil
15281memptr_1_292:
15282 set 0x60740000, %r31
15283 .word 0x85833263 ! 561: WRCCR_I wr %r12, 0x1263, %ccr
15284 .word 0xd48008a0 ! 565: LDUWA_R lduwa [%r0, %r0] 0x45, %r10
15285 .word 0x19400001 ! 569: FBPUGE fbuge
15286 .word 0xe23fe160 ! 573: STD_I std %r17, [%r31 + 0x0160]
15287jmptr_1_302:
15288 nop
15289 nop
15290 best_set_reg(0xe1200000, %r20, %r27)
15291 .word 0xb7c6c000 ! 577: JMPL_R jmpl %r27 + %r0, %r27
15292memptr_1_303:
15293 set user_data_start, %r31
15294 .word 0x8583738c ! 581: WRCCR_I wr %r13, 0x138c, %ccr
15295splash_hpstate_1_306:
15296 .word 0x22ca8002 ! 1: BRZ brz,a,pt %r10,<label_0xa8002>
15297 .word 0x8198250d ! 585: WRHPR_HPSTATE_I wrhpr %r0, 0x050d, %hpstate
15298 .word 0xa190200b ! 589: WRPR_GL_I wrpr %r0, 0x000b, %-
15299#if (defined SPC || defined CMP1)
15300!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_312) , 16, 16)) -> intp(5,0,27,*,928,*,5f,1)
15301!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_312)&0xffffffff) , 16, 16)) -> intp(2,0,14,*,936,*,5f,1)
15302#else
15303 nop
15304 nop
15305 set 0x9f606872, %r28 !TTID : 0 (mask2tid(0x1))
15306#if (defined PORTABLE_CORE || MAX_THREADS == 8)
15307 sethi %hi(0x3800), %r27
15308 andn %r28, %r27, %r28
15309#ifdef PORTABLE_CORE
15310 ! Add CID to vector
15311 ta T_CHANGE_HPRIV
15312 ldxa [%g0]0x63, %r27
15313 sllx %r27, 8, %r27
15314 or %r27, %r28, %r28
15315#endif
15316#else
15317 ! Add CID IF tid matches
15318ifelse(0,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
15319#endif
15320ifelse(0,mask2tid(0x1),`.align 16')
15321 stxa %r28, [%g0] 0x73
15322#endif
15323intvec_1_312:
15324 .word 0x19400001 ! 593: FBPUGE fbuge
15325 .word 0xc19fe020 ! 597: LDDFA_I ldda [%r31, 0x0020], %f0
15326brcommon3_1_318:
15327 nop
15328 nop
15329 setx common_target, %r12, %r27
15330 lduw [%r27-4], %r12 ! Load common dest into dcache ..
15331 stuw %r12, [%r27-4] ! Load common dest into dcache ..
15332 ba,a .+12
15333 .word 0xda6fe0c0 ! 1: LDSTUB_I ldstub %r13, [%r31 + 0x00c0]
15334 ba,a .+8
15335 jmpl %r27+0, %r27
15336 .word 0x00800001 ! 601: BN bn <label_0x1>
15337splash_htba_1_320:
15338 nop
15339 ta T_CHANGE_HPRIV
15340 setx 0x0000000000280000, %r11, %r12
15341 .word 0x8b98000c ! 605: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
15342 .word 0x24cc0001 ! 609: BRLEZ brlez,a,pt %r16,<label_0xc0001>
15343 .word 0x87ac0a42 ! 613: FCMPd fcmpd %fcc<n>, %f16, %f2
15344 .word 0xc19fde20 ! 617: LDDFA_R ldda [%r31, %r0], %f0
15345memptr_1_329:
15346 set 0x60740000, %r31
15347 .word 0x858078dc ! 621: WRCCR_I wr %r1, 0x18dc, %ccr
15348frzptr_1_330:
15349 nop
15350 nop
15351 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
15352 ldx [%r27+0xc], %r20
15353 jmpl %r27+4, %r27
15354 .word 0xd83fe0e0 ! 1: STD_I std %r12, [%r31 + 0x00e0]
15355 best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
15356 jmpl %r27, %r27
15357 .word 0x20800002 ! 625: BN bn,a <label_0x2>
15358brcommon1_1_333:
15359 nop
15360 nop
15361 setx common_target, %r12, %r27
15362 lduw [%r27-0], %r12 ! Load common dest into dcache ..
15363 stuw %r12, [%r27-0] ! Load common dest into dcache ..
15364 ba,a .+12
15365 .word 0xd9e7c720 ! 1: CASA_I casa [%r31] 0x39, %r0, %r12
15366 ba,a .+8
15367 jmpl %r27-4, %r27
15368 .word 0xa7b44486 ! 629: FCMPLE32 fcmple32 %d48, %d6, %r19
15369 .word 0xe63fc000 ! 633: STD_R std %r19, [%r31 + %r0]
15370brcommon3_1_338:
15371 nop
15372 nop
15373 setx common_target, %r12, %r27
15374 lduw [%r27-0], %r12 ! Load common dest into dcache ..
15375 stuw %r12, [%r27-4] ! Load common dest into dcache ..
15376 ba,a .+12
15377 .word 0xe66fe0b0 ! 1: LDSTUB_I ldstub %r19, [%r31 + 0x00b0]
15378 ba,a .+8
15379 jmpl %r27-4, %r27
15380 .word 0xe63fe000 ! 637: STD_I std %r19, [%r31 + 0x0000]
15381#if (defined SPC || defined CMP1)
15382!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_340) , 16, 16)) -> intp(4,0,27,*,912,*,b1,1)
15383!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_340)&0xffffffff) , 16, 16)) -> intp(3,0,27,*,944,*,b1,1)
15384#else
15385 nop
15386 nop
15387 set 0x8b209843, %r28 !TTID : 0 (mask2tid(0x1))
15388#if (defined PORTABLE_CORE || MAX_THREADS == 8)
15389 sethi %hi(0x3800), %r27
15390 andn %r28, %r27, %r28
15391#ifdef PORTABLE_CORE
15392 ! Add CID to vector
15393 ta T_CHANGE_HPRIV
15394 ldxa [%g0]0x63, %r27
15395 sllx %r27, 8, %r27
15396 or %r27, %r28, %r28
15397#endif
15398#else
15399 ! Add CID IF tid matches
15400ifelse(0,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
15401#endif
15402ifelse(0,mask2tid(0x1),`.align 16')
15403 stxa %r28, [%g0] 0x73
15404#endif
15405intvec_1_340:
15406#if (defined SPC || defined CMP1)
15407 wrhpr %g0, 0x0, %halt ! HALT
15408#else
15409ifelse(0,mask2tid(0x1),`wrhpr %g0, 0x0, %halt ! HALT')
15410#endif
15411 .word 0x19400001 ! 641: FBPUGE fbuge
15412 .word 0xe0d7c240 ! 645: LDSHA_R ldsha [%r31, %r0] 0x12, %r16
15413 .word 0xc30fc000 ! 649: LDXFSR_R ld-fsr [%r31, %r0], %f1
15414cancelint_1_343:
15415 rdhpr %halt, %r8
15416 .word 0x85880000 ! 653: ALLCLEAN <illegal instruction>
15417 nop
15418 nop
15419 ta T_CHANGE_HPRIV ! macro
15420donret_1_346:
15421 rd %pc, %r12
15422 mov HIGHVA_HIGHNUM, %r10
15423 sllx %r10, 32, %r10
15424 or %r12, %r10, %r12
15425 add %r12, (donretarg_1_346-donret_1_346), %r12
15426 add %r12, 0x4, %r11 ! seq tnpc
15427 andn %r12, %r10, %r12 ! low VA tpc
15428 wrpr %g0, 0x1, %tl
15429 wrpr %g0, %r12, %tpc
15430 wrpr %g0, %r11, %tnpc
15431 set (0x006dd200 | (0x8b << 24)), %r13
15432 and %r12, 0xfff, %r14
15433 sllx %r14, 32, %r14
15434 or %r13, %r14, %r20
15435 wrpr %r20, %g0, %tstate
15436 wrhpr %g0, 0x148d, %htstate
15437 best_set_reg(0xeda, %g1, %g2)
15438 wrpr %g0, %g2, %pstate ! rand=0 (1)
15439 ldx [%r12+%r0], %g1
15440 retry
15441.align 128
15442donretarg_1_346:
15443 .word 0xd06fe19b ! 657: LDSTUB_I ldstub %r8, [%r31 + 0x019b]
15444 nop
15445 nop
15446 set 0xc500d9ad, %r28 !TTID : 1 (mask2tid(0x1))
15447#if (defined PORTABLE_CORE || MAX_THREADS == 8)
15448 sethi %hi(0x3800), %r27
15449 andn %r28, %r27, %r28
15450#ifdef PORTABLE_CORE
15451 ! Add CID to vector
15452 ta T_CHANGE_HPRIV
15453 ldxa [%g0]0x63, %r27
15454 sllx %r27, 8, %r27
15455 or %r27, %r28, %r28
15456#endif
15457#else
15458 ! Add CID IF tid matches
15459ifelse(1,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
15460#endif
15461 sethi %hi(0x30000), %r27
15462 andn %r28, %r27, %r28
15463 ta T_CHANGE_HPRIV
15464ifelse(1,mask2tid(0x1),`.align 16')
15465 stxa %r28, [%g0] 0x73
15466intvec_1_349:
15467 .word 0x39400001 ! 661: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
15468demap_1_352:
15469 nop
15470 mov 0x80, %g3
15471 ta T_CHANGE_HPRIV
15472 .word 0x3e800001 ! 1: BVC bvc,a <label_0x1>
15473 stxa %g3, [%g3] 0x57
15474 .word 0xe1bfda00 ! 1: STDFA_R stda %f16, [%r0, %r31]
15475 .word 0xc19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15476 stxa %g3, [%g3] 0x5f
15477 .word 0xe0bfdc40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe2
15478 .word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
15479 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
15480 stxa %g3, [%g3] 0x5f
15481 stxa %g3, [%g3] 0x5f
15482 .word 0xe09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r16
15483 .word 0xe0bfda00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd0
15484 .word 0xe09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r16
15485 wrhpr %g0, 0xec2, %hpstate ! ta T_CHANGE_NONHPRIV
15486 .word 0xe01fe100 ! 665: LDD_I ldd [%r31 + 0x0100], %r16
15487 .word 0xc32fc000 ! 669: STXFSR_R st-sfr %f1, [%r0, %r31]
15488 .word 0x26800001 ! 1: BL bl,a <label_0x1>
15489 .word 0x8d903cd1 ! 673: WRPR_PSTATE_I wrpr %r0, 0x1cd1, %pstate
15490 .word 0xc1bfdb20 ! 677: STDFA_R stda %f0, [%r0, %r31]
15491splash_lsu_1_359:
15492 nop
15493 nop
15494 ta T_CHANGE_HPRIV
15495 set 0xd7753c34, %r2
15496 mov 0x2, %r1
15497 sllx %r1, 32, %r1
15498 or %r1, %r2, %r2
15499 .word 0x2a800001 ! 1: BCS bcs,a <label_0x1>
15500 stxa %r2, [%r0] ASI_LSU_CONTROL
15501 ta T_CHANGE_NONHPRIV
15502 .word 0x1d400001 ! 681: FBPULE fbule
15503 .word 0xe1e7d060 ! 685: CASA_I casa [%r31] 0x83, %r0, %r16
15504demap_1_364:
15505 nop
15506 mov 0x80, %g3
15507 ta T_CHANGE_HPRIV
15508 stxa %g3, [%g3] 0x57
15509 .word 0xe19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
15510 stxa %g3, [%g3] 0x5f
15511 .word 0xc19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15512 .word 0xc1bfdb20 ! 1: STDFA_R stda %f0, [%r0, %r31]
15513 .word 0xc19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15514 stxa %g3, [%g3] 0x5f
15515 .word 0xc0bfdb20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd9
15516 wrhpr %g0, 0xdc2, %hpstate ! ta T_CHANGE_NONHPRIV
15517 .word 0xe01fe018 ! 689: LDD_I ldd [%r31 + 0x0018], %r16
15518 .word 0xe027e158 ! 693: STW_I stw %r16, [%r31 + 0x0158]
15519dvapa_1_368:
15520 nop
15521 nop
15522 ta T_CHANGE_HPRIV
15523 mov 0xe16, %r20
15524 mov 0x17, %r19
15525 sllx %r20, 23, %r20
15526 or %r19, %r20, %r19
15527 stxa %r19, [%g0] ASI_LSU_CONTROL
15528 mov 0x38, %r18
15529 stxa %r31, [%r18]0x58
15530 wrhpr %g0, 0x218, %hpstate ! ta T_CHANGE_NONHPRIV
15531 .word 0xe11fe0b0 ! 697: LDDF_I ldd [%r31, 0x00b0], %f16
15532splash_hpstate_1_372:
15533 ta T_CHANGE_NONHPRIV
15534 .word 0x819837c5 ! 701: WRHPR_HPSTATE_I wrhpr %r0, 0x17c5, %hpstate
15535memptr_1_374:
15536 set 0x60740000, %r31
15537 .word 0x85822380 ! 705: WRCCR_I wr %r8, 0x0380, %ccr
15538splash_tba_1_375:
15539 nop
15540 ta T_CHANGE_PRIV
15541 set 0x120000, %r12
15542 .word 0x8b90000c ! 709: WRPR_TBA_R wrpr %r0, %r12, %tba
15543 nop
15544 nop
15545 set 0x9dc0248b, %r28 !TTID : 4 (mask2tid(0x1))
15546#if (defined PORTABLE_CORE || MAX_THREADS == 8)
15547 sethi %hi(0x3800), %r27
15548 andn %r28, %r27, %r28
15549#ifdef PORTABLE_CORE
15550 ! Add CID to vector
15551 ta T_CHANGE_HPRIV
15552 ldxa [%g0]0x63, %r27
15553 sllx %r27, 8, %r27
15554 or %r27, %r28, %r28
15555#endif
15556#else
15557 ! Add CID IF tid matches
15558ifelse(4,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
15559#endif
15560 sethi %hi(0x30000), %r27
15561 andn %r28, %r27, %r28
15562 ta T_CHANGE_HPRIV
15563ifelse(4,mask2tid(0x1),`.align 16')
15564 stxa %r28, [%g0] 0x73
15565intvec_1_377:
15566 .word 0x39400001 ! 713: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
15567 .word 0xe80fe060 ! 717: LDUB_I ldub [%r31 + 0x0060], %r20
15568demap_1_381:
15569 nop
15570 mov 0x80, %g3
15571 ta T_CHANGE_HPRIV
15572 stxa %g3, [%g3] 0x57
15573 .word 0xe09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r16
15574 stxa %g3, [%g3] 0x57
15575 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15576 .word 0xe0bfdc40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe2
15577 stxa %g3, [%g3] 0x57
15578 .word 0xc19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15579 .word 0xc1bfc3e0 ! 1: STDFA_R stda %f0, [%r0, %r31]
15580 stxa %g3, [%g3] 0x57
15581 stxa %g3, [%g3] 0x57
15582 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15583 .word 0xe19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
15584 wrhpr %g0, 0xc98, %hpstate ! ta T_CHANGE_NONHPRIV
15585 .word 0xe81fe110 ! 721: LDD_I ldd [%r31 + 0x0110], %r20
15586 nop
15587 nop
15588 mov 0x1, %r11
15589splash_cmpr_1_383:
15590 sllx %r11, 63, %r11
15591 not %r11, %r11
15592 rd %tick, %r10
15593#if (defined SPC || defined CMP1)
15594 add %r10, 0x200, %r10
15595#else
15596 add %r10, 0x900, %r10
15597#endif
15598 and %r10, %r11, %r10
15599 rd %tick, %r10
15600#if (defined SPC || defined CMP1)
15601 add %r10, 0x200, %r10
15602#else
15603 add %r10, 0x900, %r10
15604#endif
15605 and %r10, %r11, %r10
15606 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
15607#if (defined SPC || defined CMP1)
15608!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_1_383)+8 , 16, 16)) -> intp(4,0,15,*,928,*,9f,1)
15609!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_1_383)&0xffffffff)+8 , 16, 16)) -> intp(2,0,14,*,968,*,9f,1)
15610#endif
15611 wrhpr %g0, 0x0, %halt ! HALT
15612 .word 0x81b01021 ! 725: SIAM siam 1
15613 nop
15614 nop
15615 ta T_CHANGE_HPRIV
15616 mov 0x1, %r11
15617splash_cmpr_1_385:
15618 sllx %r11, 63, %r11
15619 not %r11, %r11
15620 rd %tick, %r10
15621#if (defined SPC || defined CMP1)
15622 add %r10, 0x250, %r10
15623#else
15624 add %r10, 0x700, %r10
15625#endif
15626 and %r10, %r11, %r10
15627 wrhpr %r10, %g0, %hsys_tick_cmpr
15628 wrhpr %g0, 0x0, %halt ! HALT
15629 ta T_CHANGE_PRIV
15630 rd %tick, %r10
15631#if (defined SPC || defined CMP1)
15632 add %r10, 0x150, %r10
15633#else
15634 add %r10, 0x700, %r10
15635#endif
15636 and %r10, %r11, %r10
15637 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
15638#if (defined SPC || defined CMP1)
15639!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_1_385)+8 , 16, 16)) -> intp(7,0,3,*,656,*,9b,1)
15640!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_1_385)&0xffffffff)+8 , 16, 16)) -> intp(3,0,28,*,920,*,9b,1)
15641#endif
15642 wrhpr %g0, 0x0, %halt ! HALT
15643 .word 0x81982e15 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0e15, %hpstate
15644 .word 0x81b01021 ! 729: SIAM siam 1
15645demap_1_387:
15646 nop
15647 mov 0x80, %g3
15648 ta T_CHANGE_HPRIV
15649 .word 0x25400002 ! 1: FBPLG fblg,a,pn %fcc0, <label_0x2>
15650 stxa %g3, [%g3] 0x57
15651 .word 0xc1bfdf00 ! 1: STDFA_R stda %f0, [%r0, %r31]
15652 stxa %g3, [%g3] 0x57
15653 .word 0xe0bfda00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd0
15654 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
15655 stxa %g3, [%g3] 0x5f
15656 .word 0xc1bfdb20 ! 1: STDFA_R stda %f0, [%r0, %r31]
15657 stxa %g3, [%g3] 0x57
15658 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
15659 .word 0xc0bfdd40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xea
15660 stxa %g3, [%g3] 0x57
15661 .word 0xc09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r0
15662 stxa %g3, [%g3] 0x57
15663 stxa %g3, [%g3] 0x57
15664 .word 0xe1bfde00 ! 1: STDFA_R stda %f16, [%r0, %r31]
15665 .word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
15666 .word 0xc0bfdd40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xea
15667 stxa %g3, [%g3] 0x5f
15668 wrhpr %g0, 0xe88, %hpstate ! ta T_CHANGE_NONHPRIV
15669 .word 0xe81fe0c9 ! 733: LDD_I ldd [%r31 + 0x00c9], %r20
15670 .word 0xe83fe1d9 ! 737: STD_I std %r20, [%r31 + 0x01d9]
15671 .word 0xf1efe090 ! 741: PREFETCHA_I prefetcha [%r31, + 0x0090] %asi, #24
15672brcommon3_1_397:
15673 nop
15674 nop
15675 setx common_target, %r12, %r27
15676 lduw [%r27], %r12 ! Load common dest into dcache ..
15677 stuw %r12, [%r27] ! Load common dest into dcache ..
15678 ba,a .+12
15679 .word 0xe86fe1c0 ! 1: LDSTUB_I ldstub %r20, [%r31 + 0x01c0]
15680 ba,a .+8
15681 jmpl %r27+0, %r27
15682 stxa %r13, [%r0] ASI_LSU_CONTROL
15683 .word 0xa3aac82b ! 745: FMOVGE fmovs %fcc1, %f11, %f17
15684 nop
15685 nop
15686 ta T_CHANGE_HPRIV
15687 mov 0x1, %r11
15688splash_cmpr_1_399:
15689 sllx %r11, 63, %r11
15690 not %r11, %r11
15691 rd %tick, %r10
15692#if (defined SPC || defined CMP1)
15693 add %r10, 0x150, %r10
15694#else
15695 add %r10, 0x700, %r10
15696#endif
15697 and %r10, %r11, %r10
15698 wrhpr %r10, %g0, %hsys_tick_cmpr
15699 wrhpr %g0, 0x0, %halt ! HALT
15700 ta T_CHANGE_PRIV
15701 rd %tick, %r10
15702#if (defined SPC || defined CMP1)
15703 add %r10, 0x200, %r10
15704#else
15705 add %r10, 0x380, %r10
15706#endif
15707 and %r10, %r11, %r10
15708 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
15709#if (defined SPC || defined CMP1)
15710!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_1_399)+8 , 16, 16)) -> intp(2,0,16,*,912,*,e1,1)
15711!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_1_399)&0xffffffff)+8 , 16, 16)) -> intp(4,0,1,*,992,*,e1,1)
15712#endif
15713 wrhpr %g0, 0x0, %halt ! HALT
15714 .word 0x81982a47 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0a47, %hpstate
15715 .word 0x81b01021 ! 749: SIAM siam 1
15716jmptr_1_401:
15717 nop
15718 nop
15719 best_set_reg(0xe1200000, %r20, %r27)
15720 .word 0xb7c6c000 ! 753: JMPL_R jmpl %r27 + %r0, %r27
15721cancelint_1_402:
15722 rdhpr %halt, %r18
15723 .word 0x85880000 ! 757: ALLCLEAN <illegal instruction>
15724 nop
15725 nop
15726 ta T_CHANGE_HPRIV ! macro
15727donret_1_405:
15728 rd %pc, %r12
15729 mov HIGHVA_HIGHNUM, %r10
15730 sllx %r10, 32, %r10
15731 or %r12, %r10, %r12
15732 add %r12, (donretarg_1_405-donret_1_405+4), %r12
15733 add %r12, 0x4, %r11 ! seq tnpc
15734 wrpr %g0, 0x2, %tl
15735 wrpr %g0, %r12, %tpc
15736 wrpr %g0, %r11, %tnpc
15737 set (0x00f35200 | (48 << 24)), %r13
15738 and %r12, 0xfff, %r14
15739 sllx %r14, 32, %r14
15740 or %r13, %r14, %r20
15741 wrpr %r20, %g0, %tstate
15742 wrhpr %g0, 0xed7, %htstate
15743 wrhpr %g0, 0x550, %hpstate ! rand=1 (1)
15744 ldx [%r11+%r0], %g1
15745 done
15746.align 128
15747donretarg_1_405:
15748 .word 0x8d90369b ! 761: WRPR_PSTATE_I wrpr %r0, 0x169b, %pstate
15749 .word 0x8980000a ! 765: WRTICK_R wr %r0, %r10, %tick
15750 .word 0xe48008a0 ! 769: LDUWA_R lduwa [%r0, %r0] 0x45, %r18
15751demap_1_412:
15752 nop
15753 mov 0x80, %g3
15754 ta T_CHANGE_HPRIV
15755 .word 0x22800001 ! 1: BE be,a <label_0x1>
15756 stxa %g3, [%g3] 0x57
15757 stxa %g3, [%g3] 0x5f
15758 .word 0xe09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r16
15759 stxa %g3, [%g3] 0x5f
15760 .word 0xe0bfdc40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe2
15761 stxa %g3, [%g3] 0x57
15762 .word 0xe19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
15763 .word 0xe19fde00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
15764 stxa %g3, [%g3] 0x5f
15765 .word 0xe1bfdb40 ! 1: STDFA_R stda %f16, [%r0, %r31]
15766 .word 0xe1bfdf20 ! 1: STDFA_R stda %f16, [%r0, %r31]
15767 wrhpr %g0, 0xc4b, %hpstate ! ta T_CHANGE_NONHPRIV
15768 .word 0xe41fe098 ! 773: LDD_I ldd [%r31 + 0x0098], %r18
15769 .word 0xc19fdd40 ! 777: LDDFA_R ldda [%r31, %r0], %f0
15770 .word 0xe48008a0 ! 781: LDUWA_R lduwa [%r0, %r0] 0x45, %r18
15771 nop
15772 nop
15773 set 0xea0c4fd, %r28 !TTID : 4 (mask2tid(0x1))
15774#if (defined PORTABLE_CORE || MAX_THREADS == 8)
15775 sethi %hi(0x3800), %r27
15776 andn %r28, %r27, %r28
15777#ifdef PORTABLE_CORE
15778 ! Add CID to vector
15779 ta T_CHANGE_HPRIV
15780 ldxa [%g0]0x63, %r27
15781 sllx %r27, 8, %r27
15782 or %r27, %r28, %r28
15783#endif
15784#else
15785 ! Add CID IF tid matches
15786ifelse(4,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
15787#endif
15788 sethi %hi(0x30000), %r27
15789 andn %r28, %r27, %r28
15790 ta T_CHANGE_HPRIV
15791ifelse(4,mask2tid(0x1),`.align 16')
15792 stxa %r28, [%g0] 0x73
15793intvec_1_417:
15794 .word 0x91a1c9cb ! 785: FDIVd fdivd %f38, %f42, %f8
15795cancelint_1_418:
15796 rdhpr %halt, %r9
15797 .word 0x85880000 ! 789: ALLCLEAN <illegal instruction>
15798pmu_1_419:
15799 nop
15800 nop
15801 ta T_CHANGE_PRIV
15802 setx 0xffffffb7ffffffab, %g1, %g7
15803 .word 0xa3800007 ! 793: WR_PERF_COUNTER_R wr %r0, %r7, %-
15804 .word 0x39400001 ! 797: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
15805 nop
15806 nop
15807 ta T_CHANGE_PRIV
15808 wrpr %g0, %g0, %gl
15809 nop
15810 nop
15811
15812join_lbl_0_0:
15813SECTION .MAIN
15814.text
15815diag_finish:
15816 nop
15817 nop
15818 nop
15819 ta T_CHANGE_HPRIV
15820#ifndef SPC
15821 ldxa [%g0]0x63, %r8
15822 and %r8, 0x38, %r8 ! Core ID
15823#else
15824 mov %g0, %r8
15825#endif
15826!! Send interrupt to all threads in my core in case of halted threads.
15827intall_nomast:
15828 mov 7, %r14
15829intall_loop_nomast:
15830 add %r8, %r14, %r16
15831 sllx %r16, 8, %r16
15832 stxa %r16, [%g0]0x73
15833 brnz %r14, intall_loop_nomast
15834 dec %r14
15835#if (MULTIPASS > 0)
15836multipass_check:
15837 rd %asi, %r12
15838 wr %g0, ASI_SCRATCHPAD, %asi
15839 ldxa [0x38]%asi, %r10
15840 cmp %r10, MULTIPASS
15841 inc %r10
15842 stxa %r10, [0x38]%asi
15843 wr %g0, %r12, %asi
15844 bne fork_threads
15845 wrpr %g0, %g0, %gl
15846#endif
15847finish_diag:
15848 best_set_reg(HV_TRAP_BASE_PA, %r1, %r2)
15849 wrhpr %g2, %g0, %htba
15850 ta T_GOOD_TRAP
15851 nop
15852 nop
15853 nop
15854.data
15855 .xword 0x0
15856 ! fp data rs1, rs2, fsr, gsr quads ..
15857.global fp_data_quads
15858fp_data_quads:
15859 .xword 0x0044000000000000
15860 .xword 0x4028000000000000
15861 .xword 0x0fc0400400000000
15862 .xword 0x0000000000000000
15863 .xword 0x0041000000000000
15864 .xword 0x4022000000000000
15865 .xword 0x0600800000000000
15866 .xword 0x0000000000000000
15867 .xword 0x0220000000000000
15868 .xword 0x4140000000000000
15869 .xword 0x4fc0400400000000
15870 .xword 0x0000000000000000
15871 .xword 0x4090000000000000
15872 .xword 0x0090000000000000
15873 .xword 0x0f80400800000000
15874 .xword 0x0a00000000000000
15875.align 128
15876.global user_data_start
15877.data
15878user_data_start:
15879
15880 .xword 0x3c176bab4b69ce9d
15881 .xword 0xdac141a3502ac4dd
15882 .xword 0x4cd93d9463ee192f
15883 .xword 0xdf88d3dbbaae695d
15884 .xword 0x3ac19d5142e05752
15885 .xword 0x93ca4e9eb8b7d49b
15886 .xword 0x94534577c82292ba
15887 .xword 0x1b6679bd176feab3
15888 .xword 0xe16cbedb3523e063
15889 .xword 0x43416c940c0d1918
15890 .xword 0x39ab30a04bfbd8a9
15891 .xword 0x49067ecf62210d9e
15892 .xword 0xf9503ef3c40c3c9f
15893 .xword 0xd2bd005504cf064b
15894 .xword 0xf13c24b24a5974fd
15895 .xword 0x0ecd07b8fce0b7f1
15896 .xword 0xb2e4ae2c273655b8
15897 .xword 0xa9867f703d223edd
15898 .xword 0x2ccf188e3c0ce069
15899 .xword 0xceb534069240c172
15900 .xword 0x0151ac0f6cf6e985
15901 .xword 0x1eb80ece42ea4d12
15902 .xword 0x7b277f18c0ce6c2e
15903 .xword 0x7fb1d3a50a558b8e
15904 .xword 0xefa4c83ef07f0272
15905 .xword 0x7ec7e0976e244ab1
15906 .xword 0x309216b133c24616
15907 .xword 0x62d4dbdc795a4f65
15908 .xword 0x5d6e43a3738e2036
15909 .xword 0x103a649ea180cf26
15910 .xword 0x0bf099c0c14d2c38
15911 .xword 0x99f9dcdca27df80e
15912 .xword 0x1204740c4156c619
15913 .xword 0x19ebf458fc4dfaae
15914 .xword 0x0fbe1cdefad31541
15915 .xword 0x20371ee768fbef07
15916 .xword 0x7d3c7e84a43340ed
15917 .xword 0xe7077b283e2be231
15918 .xword 0x25bbcbb2c4eee6ee
15919 .xword 0x2be0b2f105763dfd
15920 .xword 0x8e38bf37c082d54d
15921 .xword 0x664c9224fdff04e4
15922 .xword 0x7b32e6ab5c14030c
15923 .xword 0x9abe207ca9cbc48d
15924 .xword 0xd8ee4dc550deb427
15925 .xword 0xff1def76d51be9e4
15926 .xword 0x0a7e52f487a8c801
15927 .xword 0xce725d7d9444e9e3
15928 .xword 0x3b3ce84ca4cf7ae1
15929 .xword 0x4d051ee7789a1ad5
15930 .xword 0x91a22ab8c735bbdf
15931 .xword 0x75845d9e2f46ae8f
15932 .xword 0x4afff0a8c1191dc7
15933 .xword 0xcab3e06d06a95688
15934 .xword 0x779f58bc23361dfb
15935 .xword 0x44fb4b6502730691
15936 .xword 0x039aa716a9f9eb0f
15937 .xword 0x15bf8f3ffbaaba3f
15938 .xword 0xb0741788f57bf70f
15939 .xword 0x7e7d5a49521b753c
15940 .xword 0x3ed61a00aed11dbc
15941 .xword 0x14aa5c0394ddfb9f
15942 .xword 0x0e203bf03d390f1d
15943 .xword 0x24268d956f9a25bb
15944 .xword 0x64bc4d6a96103918
15945 .xword 0x4f1b50d342cf205c
15946 .xword 0x483300215884010f
15947 .xword 0x30c499fb11ff8d59
15948 .xword 0xa1ad4442621a4d7a
15949 .xword 0x93aa45900f8b025a
15950 .xword 0xba27d9fe1ffd50a4
15951 .xword 0x1d7b67a38f18b1a1
15952 .xword 0xa06938fff1b2b19d
15953 .xword 0x98c7b2e697c6f68b
15954 .xword 0xf1f860f28bf7a220
15955 .xword 0xf900da13e117446c
15956 .xword 0x9c0db65815787ed5
15957 .xword 0x2ee207d0e706d5eb
15958 .xword 0xa28852820d937bae
15959 .xword 0x9039ffa21aa27ee6
15960 .xword 0x841b7c3064c0a6c1
15961 .xword 0x85cc3364c85426b2
15962 .xword 0x89333be6e7f81280
15963 .xword 0x4caaf74b3e824afe
15964 .xword 0x6b2af103d5d81967
15965 .xword 0x114211a2a4a23801
15966 .xword 0xd90bd147b89e3920
15967 .xword 0x8fdd8aef904376ae
15968 .xword 0xd5461573f9c559c8
15969 .xword 0x8241b3ffe3248ac4
15970 .xword 0x00b045349b83c5e5
15971 .xword 0xb3592557a03c968f
15972 .xword 0xde758b9c232ef06d
15973 .xword 0x83ddcfff8bebcb1d
15974 .xword 0xc45be95dbab0224f
15975 .xword 0x285edcb19f559755
15976 .xword 0x173c2317c9b0e941
15977 .xword 0x669cbfdcfe0bc2c3
15978 .xword 0x7e59d3378194a27b
15979 .xword 0x8328e5c2bfb15a2b
15980 .xword 0x63b628127838fed8
15981 .xword 0x90f4544cd07d5796
15982 .xword 0x5a6c4d50d69f894c
15983 .xword 0x3e9dd67355e6a259
15984 .xword 0x1aa257081f05de96
15985 .xword 0xef9bdc01dd114ab2
15986 .xword 0x8420e35244408ea5
15987 .xword 0x9da07c090abd4479
15988 .xword 0xc14452e1d71ab1db
15989 .xword 0x827c78237fe7bcf0
15990 .xword 0xcba63b61182c17da
15991 .xword 0x6dc660361b1fd9d0
15992 .xword 0x0d4459956a4e0f1b
15993 .xword 0x4a7d3c51c273c9f7
15994 .xword 0xc9e12ac97668e88d
15995 .xword 0xf0f3159b8283b7b0
15996 .xword 0xd1bad657add83e11
15997 .xword 0xd06b09b661eaf848
15998 .xword 0x322076c3893a4bc0
15999 .xword 0x1b64e0c74ac5b8e5
16000 .xword 0xa4950e97efdef3bf
16001 .xword 0xae9f10df7240db17
16002 .xword 0xbde7ffcb1bc47c52
16003 .xword 0xd746a3fb32bf0ab8
16004 .xword 0x254fc74512280d31
16005 .xword 0x4a41c3a196683c8e
16006 .xword 0xe6945c267ab82e10
16007 .xword 0xe81dcf1a5ffafe1b
16008 .xword 0x7f39c209aa26fceb
16009 .xword 0xff1dac1d4aa67f53
16010 .xword 0x135a07af1aa27733
16011 .xword 0x136f9ef447967c5f
16012 .xword 0x46c0f2f0da5a55f8
16013 .xword 0xa2f647b449bab618
16014 .xword 0x39f0753941cb20e7
16015 .xword 0x5ae138766fcddffa
16016 .xword 0x9ce68e07b62905c6
16017 .xword 0x4c706f4c330b58a2
16018 .xword 0xdc89a0982b077e47
16019 .xword 0x8aeb65205a62dc34
16020 .xword 0xc450272297c49e8c
16021 .xword 0x3a902ccb93f64f27
16022 .xword 0x6feef2e66ed55d71
16023 .xword 0x7842e93ce7400098
16024 .xword 0xf6b93d4add4cc8f0
16025 .xword 0xb5bd23ab804ec01b
16026 .xword 0x9faeaded3eade464
16027 .xword 0x492f32c33ac43365
16028 .xword 0x7d346a614da06299
16029 .xword 0x0ea7e2c4219bb155
16030 .xword 0x41773070c205affa
16031 .xword 0x4f344f2f9a63d073
16032 .xword 0x5692e5972aa32c02
16033 .xword 0x9c00e3d90766215c
16034 .xword 0x5493705b1d4062ba
16035 .xword 0x99031ad97325fa5e
16036 .xword 0x965035b6787a6995
16037 .xword 0x392a8460de8fd53f
16038 .xword 0x00d685a0e99ea664
16039 .xword 0xc4e73a6e6a9e76ab
16040 .xword 0x0ceb861040ea086e
16041 .xword 0xbdbb39566c756705
16042 .xword 0x05bfe5bedd5e68d5
16043 .xword 0x3a820b43ca04b844
16044 .xword 0xc05cbea43001e8e3
16045 .xword 0x574a2a77698288c8
16046 .xword 0x53907aeaed0eeb34
16047 .xword 0xcde7a650c13d0faa
16048 .xword 0xdf8ec11e99e74477
16049 .xword 0x20292200a1e7c7d4
16050 .xword 0xfad9352d0fa85d12
16051 .xword 0xadd9740ae26f9ee4
16052 .xword 0x96fb9e1e7c811a21
16053 .xword 0x8d24d56a4c548c89
16054 .xword 0xfb13f5aa06580818
16055 .xword 0x30bc8fe0cf70a0ca
16056 .xword 0x3ad2fcfc1e206987
16057 .xword 0x6bf902d28fe93730
16058 .xword 0xaf837f3a0b30fd64
16059 .xword 0x7b2e17dcf445f7d6
16060 .xword 0x7e007de67bd4b377
16061 .xword 0x93531ae2ee7b8fcc
16062 .xword 0xa1413ba56151ce6d
16063 .xword 0xaaa65456e12ad3af
16064 .xword 0x4abefc6819ab0a11
16065 .xword 0x7557e7e69a556892
16066 .xword 0x6e4225905b6be8b1
16067 .xword 0xf06450aad373fe52
16068 .xword 0x5f31193cd3bf4912
16069 .xword 0xc887874ecef837e5
16070 .xword 0x7411df6ea842ff6e
16071 .xword 0x624de7131fe8578e
16072 .xword 0x2bdb1b54e5c61693
16073 .xword 0x5b374960c18039a1
16074 .xword 0x62b1cf2163f62c80
16075 .xword 0x0bbf370d26dbbd47
16076 .xword 0xc7df822ab8707ef8
16077 .xword 0x266162119b7cedd2
16078 .xword 0x956fe96b2f313d86
16079 .xword 0x75ed042179dcc4c8
16080 .xword 0xed16e9970ab101d6
16081 .xword 0x7a79e43bdbdbfcff
16082 .xword 0xd524efd6f98488e1
16083 .xword 0x93fcf5638b1a7ee4
16084 .xword 0xaf720fe51d82b3b3
16085 .xword 0x2e7b3e0a56800fd7
16086 .xword 0xbbc7e8a539295814
16087 .xword 0xc797f900828f11cb
16088 .xword 0x3541c01758eaac19
16089 .xword 0x2f72d20165bec4ee
16090 .xword 0xdd81721f0ca8cec2
16091 .xword 0xa3e867c1f650721b
16092 .xword 0xac31583532f86485
16093 .xword 0x219f8cdc223917e5
16094 .xword 0x2955d743949ffaa4
16095 .xword 0xb46f4803228ea671
16096 .xword 0xb3bf09c755a62252
16097 .xword 0x92aee6bcf0e44b30
16098 .xword 0x78505d72f30bf3fa
16099 .xword 0x721deac4a257bde3
16100 .xword 0x8a75bef07e441c52
16101 .xword 0x0632eb712d2436fd
16102 .xword 0x1ac1668a5b1f938a
16103 .xword 0x4cb67add5410c930
16104 .xword 0x00bc62a4b2b4fd86
16105 .xword 0x47a7f550b0625827
16106 .xword 0x93e641fe40f6c09c
16107 .xword 0xe50bc5d876db0349
16108 .xword 0x82545363363b7d78
16109 .xword 0x6a2ea993d0680147
16110 .xword 0x0037bbe0a3c2c6ee
16111 .xword 0x7fe68c6a7f631529
16112 .xword 0x6d411fe4f47a418a
16113 .xword 0x5f8991e2c93bf828
16114 .xword 0xd50360f91486d1c7
16115 .xword 0xb6ff05ea20ad8d06
16116 .xword 0x911de27039140653
16117 .xword 0x08c45c0a4cb772e4
16118 .xword 0x9c3d1b31ebc4b643
16119 .xword 0xf6b98e2a135a3753
16120 .xword 0x208443adca76cc27
16121 .xword 0xdb2edeb4830afd52
16122 .xword 0x75c805bfba587962
16123 .xword 0xdce49e00a563d5d9
16124 .xword 0xd2d36f439bd7654e
16125 .xword 0xeab3ba5598346004
16126 .xword 0x2cd5be2e93310131
16127 .xword 0x56f150da02fc7320
16128 .xword 0x55fecec1e5d82bdd
16129 .xword 0x9d4d61c27d9cb9fc
16130 .xword 0x10ddf2b46d28b7e2
16131 .xword 0x49426bf926b01f65
16132 .xword 0x557cfa11e4e52bbc
16133 .xword 0xa6aefbb0044e8fe1
16134 .xword 0x1621035c2cc95f04
16135 .xword 0xdcb6725a804e71c2
16136
16137SECTION .HTRAPS
16138.text
16139.global restore_range_regs
16140restore_range_regs:
16141 wr %g0, ASI_MMU_REAL_RANGE, %asi
16142 mov 1, %g1
16143 sllx %g1, 63, %g1
16144 ldxa [ASI_MMU_REAL_RANGE_0] %asi, %g2
16145 or %g2 ,%g1, %g2
16146 stxa %g2, [ASI_MMU_REAL_RANGE_0] %asi
16147 ldxa [ASI_MMU_REAL_RANGE_1] %asi, %g2
16148 or %g2 ,%g1, %g2
16149 stxa %g2, [ASI_MMU_REAL_RANGE_1] %asi
16150 ldxa [ASI_MMU_REAL_RANGE_2] %asi, %g2
16151 or %g2 ,%g1, %g2
16152 stxa %g2, [ASI_MMU_REAL_RANGE_2] %asi
16153 ldxa [ASI_MMU_REAL_RANGE_3] %asi, %g2
16154 or %g2 ,%g1, %g2
16155 stxa %g2, [ASI_MMU_REAL_RANGE_3] %asi
16156 retry
16157
16158.global wdog_2_ext
16159SECTION .HTRAPS
16160.global wdog_2_ext
16161.global retry_with_base_tba
16162.global resolve_bad_tte
16163
16164.text
16165resolve_bad_tte:
16166 !if pc[63:15] matches tba, then relocated handler ..
16167 rdpr %tpc, %r4
16168check_tba:
16169 set 0x7fff, %r5
16170 andn %r4, %r5, %r5 !clear 14:0
16171 rdpr %tba, %r6 !compare pc[63:15] to tba
16172 cmp %r5, %r6
16173 bne,a not_a_reloc_handler
16174 andn %r27, 0x1f, %r6
16175retry_with_base_tba:
16176 best_set_reg(TRAP_BASE_VA, %r3, %r5)
16177 cmp %r4, %r5
16178 bz htrap_5_ext_done
16179 set 0x7fff, %r3
16180 and %r4, %r3, %r4
16181 or %r5, %r4, %r4
16182 wrpr %r4, %tpc
16183 rdpr %tnpc, %r4
16184 and %r4, %r3, %r4
16185 or %r5, %r4, %r4
16186 wrpr %r4, %tnpc
16187 retry
16188
16189 !assume %r27 is where we came from ..
16190not_a_reloc_handler:
16191 stxa %r27, [%r6] 0x57
16192 add %r27, 8, %r27
16193 wrpr %r27, %tnpc
16194 done
16195
16196htrap_5_ext:
16197 rd %pc, %l2
16198 inc %l3
16199 add %l2, htrap_5_ext_done-htrap_5_ext, %l2
16200 rdpr %tl, %l3
16201 rdpr %tstate, %l4
16202 rdhpr %htstate, %l5
16203 or %l5, 0x4, %l5
16204 inc %l3
16205 wrpr %l3, %tl
16206 wrpr %l2, %tpc
16207 add %l2, 4, %l2
16208 wrpr %l2, %tnpc
16209 wrpr %l4, %tstate
16210 wrhpr %l5, %htstate
16211 retry
16212htrap_5_ext_done:
16213 done
16214
16215wdog_2_ext:
16216 mov 0x1f, %l1
16217 stxa %l1, [%g0] ASI_LSU_CTL_REG
16218 ! If TT != 2, then goto trap handler
16219 rdpr %tt, %l1
16220 cmp %l1, 0x2
16221 bne wdog_2_goto_handler
16222 nop
16223 ! else done
16224 done
16225wdog_2_goto_handler:
16226 rdhpr %htstate, %l3
16227 and %l3, 0x4, %l3 ! If previously in hpriv mode, go to hpriv
16228 brnz,a %l3, wdog_2_goto_handler_1
16229 rdhpr %htba, %l3
16230 srlx %l1, 7, %l3 ! Send priv sw traps to priv mode ..
16231 cmp %l3, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
16232 be,a wdog_2_goto_handler_1
16233 rdpr %tba, %l3
16234 rdhpr %htba, %l3
16235wdog_2_goto_handler_1:
16236 sllx %l1, 5, %l1
16237 add %l1, %l3, %l3
16238 jmp %l3
16239 nop
16240! Red mode other reset handler
16241! Get htba, and tt and make trap address
16242! Jump to trap handler ..
16243
16244SECTION .RED_SEC
16245.global red_other_ext
16246.global wdog_red_ext
16247.text
16248red_other_ext:
16249 ! IF TL=6, shift stack by one ..
16250 rdpr %tl, %l1
16251 cmp %l1, 6
16252 be start_tsa_shift
16253 nop
16254
16255continue_red_other:
16256 mov 0x1f, %l1
16257 stxa %l1, [%g0] ASI_LSU_CTL_REG
16258
16259 rdpr %tt, %l1
16260
16261 rdhpr %htstate, %l2
16262 and %l2, 0x4, %l2 ! If previously in hpriv mode, go to hpriv
16263 brnz,a %l2, red_goto_handler
16264 rdhpr %htba, %l2
16265 srlx %l1, 7, %l2 ! Send priv sw traps to priv mode ..
16266 cmp %l2, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
16267 be,a red_goto_handler
16268 rdpr %tba, %l2
16269 rdhpr %htba, %l2
16270red_goto_handler:
16271
16272 sllx %l1, 5, %l1
16273 add %l1, %l2, %l2
16274 rdhpr %htstate, %l1
16275 andn %l1, 0x20, %l1
16276 wrhpr %g0, %l1, %htstate
16277 rdhpr %hpstate, %l1
16278 jmp %l2
16279 wrhpr %l1, 0x20, %hpstate
16280 nop
16281
16282wdog_red_ext:
16283 ! Shift stack down by 1 ...
16284 rdpr %tl, %l1
16285 cmp %l1, 6
16286 bl wdog_end
16287start_tsa_shift:
16288 mov 0x2, %l2
16289
16290tsa_shift:
16291 wrpr %l2, %tl
16292 rdpr %tt, %l3
16293 rdpr %tpc, %l4
16294 rdpr %tnpc, %l5
16295 rdpr %tstate, %l6
16296 rdhpr %htstate, %l7
16297 dec %l2
16298 wrpr %l2, %tl
16299 wrpr %l3, %tt
16300 wrpr %l4, %tpc
16301 wrpr %l5, %tnpc
16302 wrpr %l6, %tstate
16303 wrhpr %l7, %htstate
16304 add %l2, 2, %l2
16305 cmp %l2, %l1
16306 ble tsa_shift
16307 nop
16308tsa_shift_done:
16309 dec %l1
16310 wrpr %l1, %tl
16311
16312wdog_end:
16313 ! If TT != 2, then goto trap handler
16314 rdpr %tt, %l1
16315
16316 cmp %l1, 0x2
16317 bne continue_red_other
16318 nop
16319 ! else done
16320 mov 0x1f, %l1
16321 stxa %l1, [%g0] ASI_LSU_CTL_REG
16322 done
16323SECTION .T_CWQ_DATA DATA_VA=0x11000000
16324attr_data {
16325 Name = .T_CWQ_DATA
16326 hypervisor
16327}
16328 .data
16329.global _t1_user_data_start
16330_t1_user_data_start:
16331.global _t1_scratch_area
16332_t1_scratch_area:
16333
16334.align 16
16335.global _t1_spu_op_array
16336_t1_spu_op_array:
16337 .xword 6
16338 .xword 5
16339 .xword 3
16340 .xword 3
16341 .xword 6
16342 .xword 4
16343 .xword 1
16344 .xword 5
16345 .xword 2
16346 .xword 3
16347 .xword 5
16348 .xword 2
16349 .xword 4
16350 .xword 6
16351 .xword 0
16352.align 16
16353.global _t1_aes_cwd_array
16354_t1_aes_cwd_array:
16355 .xword 0xc06100401300003f
16356 .xword 0xc06000a01700001f
16357 .xword 0x40e000601b00002f
16358 .xword 0x40e000001100002f
16359 .xword 0x40e000a01b00001f
16360 .xword 0x406000801000001f
16361 .xword 0xc06000a01700002f
16362 .xword 0xc06000201700002f
16363 .xword 0xc0e100a01300000f
16364 .xword 0xc0e100001000001f
16365 .xword 0xc06000201400002f
16366 .xword 0x406000001100000f
16367 .xword 0x406100a01900000f
16368 .xword 0x406100001b00000f
16369 .xword 0x406000a01300000f
16370.align 16
16371.global _t1_des_cwd_array
16372_t1_des_cwd_array:
16373 .xword 0xc0e000400d000017
16374 .xword 0x406000e00e00000f
16375 .xword 0x406100e00d000007
16376 .xword 0x40e000400d000017
16377 .xword 0x406100a00e00001f
16378 .xword 0xc061006009000017
16379 .xword 0xc0e000a00800001f
16380 .xword 0xc0e100c00d000007
16381 .xword 0xc06000400d00000f
16382 .xword 0x406000800c00001f
16383 .xword 0xc06000200900001f
16384 .xword 0x40e000200900001f
16385 .xword 0xc060002009000007
16386 .xword 0xc06000c00800001f
16387 .xword 0xc0e1006008000017
16388.align 16
16389.global _t1_copy_cwd_array
16390_t1_copy_cwd_array:
16391 .xword 0x206000800000000c
16392 .xword 0xa060002000000000
16393 .xword 0x2061006000000006
16394 .xword 0xa061004000000008
16395 .xword 0x206100400000000a
16396 .xword 0x2061008000000003
16397 .xword 0xa060008000000003
16398 .xword 0xa06000e00000000c
16399 .xword 0xa06100e00000000b
16400 .xword 0xa06000e000000002
16401 .xword 0xa061008000000007
16402 .xword 0xa060008000000007
16403 .xword 0xa061006000000009
16404 .xword 0xa060006000000007
16405 .xword 0xa06000a00000000f
16406.align 16
16407.global _t1_crc_cwd_array
16408_t1_crc_cwd_array:
16409 .xword 0x416103a40000000e
16410 .xword 0xc16101a80000000f
16411 .xword 0xc16303840000000b
16412 .xword 0x4163014800000008
16413 .xword 0xc162030400000002
16414 .xword 0x416301080000000e
16415 .xword 0x4161030400000009
16416 .xword 0xc16001280000000e
16417 .xword 0x416303240000000c
16418 .xword 0xc16201680000000b
16419 .xword 0xc162036400000002
16420 .xword 0xc160016800000002
16421 .xword 0x416303e400000003
16422 .xword 0xc16001e80000000e
16423.align 16
16424.global _t1_hash_cwd_array
16425_t1_hash_cwd_array:
16426 .xword 0x41630ee100000040
16427 .xword 0x416302810000003f
16428 .xword 0x4163036100000018
16429 .xword 0xc1600c420000002c
16430 .xword 0xc163138200000006
16431 .xword 0x416004010000002c
16432 .xword 0x4162020100000004
16433 .xword 0x416300810000001c
16434 .xword 0x416304610000002f
16435 .xword 0xc16305c10000000a
16436 .xword 0x416200e10000001b
16437 .xword 0x416103e10000002c
16438 .xword 0xc16202c200000039
16439 .xword 0x416206c100000038
16440 .xword 0x416303a30000002a
16441.align 16
16442.global _t1_hmac_cwd_array
16443_t1_hmac_cwd_array:
16444 .xword 0x416302c5000f0025
16445 .xword 0x41600ce9000f001f
16446 .xword 0xc16309c5000f0037
16447 .xword 0xc16207a5000f000c
16448 .xword 0x41630785000f001f
16449 .xword 0x41630069000f003e
16450 .xword 0x4162090a00130015
16451 .xword 0x416204c9000f0026
16452 .xword 0x41610ac5000f0019
16453 .xword 0xc16005a9000f0027
16454 .xword 0x41630925000f0031
16455 .xword 0xc1610f45000f0006
16456 .xword 0xc1630e6600130025
16457 .xword 0x41620b05000f0006
16458 .xword 0xc1620885000f000e
16459.align 16
16460.global _t1_rc4_cwd_array
16461_t1_rc4_cwd_array:
16462 .xword 0x40e0006004000005
16463 .xword 0x40e100a000000005
16464 .xword 0xc0e0008000000008
16465 .xword 0xc0e100800400000e
16466 .xword 0xc0e0002000000003
16467 .xword 0xc0e100e00400000b
16468 .xword 0xc0e100600000000d
16469 .xword 0xc0e000000000000f
16470 .xword 0x40e1008000000009
16471 .xword 0xc0e0000004000002
16472 .xword 0xc0e1000004000006
16473 .xword 0x40e100c000000005
16474 .xword 0x40e000800400000a
16475 .xword 0xc0e0002000000006
16476 .xword 0xc0e100c000000007
16477.global _t1_sslkey_cwd_array
16478.align 16
16479_t1_sslkey_cwd_array:
16480 .xword 0x10602d4000000000, 0
16481 .xword 0x106022c000000000, 0
16482 .xword 0x90601ca000000000, 0
16483 .xword 0x90600c4000000000, 0
16484 .xword 0x90602a6000000000, 0
16485 .xword 0x90601c0000000000, 0
16486 .xword 0x906001c000000000, 0
16487 .xword 0x90601cc000000000, 0
16488 .xword 0x9060014000000000, 0
16489 .xword 0x10603e4000000000, 0
16490 .xword 0x1060260000000000, 0
16491 .xword 0x10602cc000000000, 0
16492 .xword 0x906010e000000000, 0
16493 .xword 0x9060028000000000, 0
16494 .xword 0x90600c0000000000, 0
16495.align 16
16496_t1_aes_key_array:
16497 .xword 0x7434d00dee21a72d
16498 .xword 0x90be6724b3cd8168
16499 .xword 0xc85b697a12238aff
16500 .xword 0x0e5b4c922e64eb4a
16501 .xword 0xb91dff772ff9f628
16502 .xword 0x10e37f0e953f3339
16503 .xword 0x972203a71219d536
16504 .xword 0x84b888917d73007f
16505 .xword 0x37b34ddf9b19c020
16506 .xword 0xf2c6678517526306
16507 .xword 0x42d83dc2b593e96e
16508 .xword 0x740a8494252ebdda
16509 .xword 0x672cebd9f6cab831
16510 .xword 0xa10cb8e9098b43c5
16511 .xword 0xe3d6a2af01da1af8
16512 .xword 0x0e13575ddcc833f1
16513 .xword 0x27bd1199195259ca
16514 .xword 0xe6061d4615d97e34
16515 .xword 0xaa9c8387dc4491fc
16516 .xword 0xe5a09b5f7954043d
16517 .xword 0x8e1c6e62384e55ff
16518 .xword 0x185d93a356367ba2
16519 .xword 0x0fd0ca68aa25fa4e
16520 .xword 0x59346d0536281af0
16521 .xword 0x6d33b45adcd25e7e
16522 .xword 0x8ed11be4163dedcb
16523 .xword 0xfc62c3810cda2c4a
16524 .xword 0x628a308d72b29f68
16525 .xword 0x8fbfdb537104aa56
16526 .xword 0x716986bfa2d6159d
16527 .xword 0x8e10935553fff9f9
16528 .xword 0xe7dc2d51f96c650a
16529 .xword 0x623fbe7b1fce0900
16530 .xword 0x8237c487b0476cc2
16531 .xword 0xebe6041383213989
16532 .xword 0xbf1bac91c40b594c
16533 .xword 0xb243fc949dcbd2df
16534 .xword 0x7e1e7557e88358c3
16535 .xword 0x0c4b30fb3dcfc44a
16536 .xword 0xa4c744dc4b3d00f1
16537 .xword 0x13dc283487428776
16538 .xword 0xd8ff1e9122950d6d
16539 .xword 0x1a8eca92dd7dc3e4
16540 .xword 0x257ac4d560433e2b
16541 .xword 0x9ace1907f8455b46
16542 .xword 0x78c00881a6f1952c
16543 .xword 0x3dcf277b5510085a
16544 .xword 0x338f4672dd58251c
16545 .xword 0x44519d9f441db6ed
16546 .xword 0xd0c49067a1b27743
16547 .xword 0x6f24688995b39c44
16548.align 16
16549_t1_aes_iv_array:
16550 .xword 0x3366189c03160de2
16551 .xword 0x24c12e17fa5c3e99
16552 .xword 0xbffc03196f5630d3
16553 .xword 0xcd96b7bde99a3caf
16554 .xword 0xf14b748035ab04e0
16555 .xword 0xec96e69bfae5fa0d
16556 .xword 0x474bf90822103ddb
16557 .xword 0x368501872179ee74
16558 .xword 0x4e7beeb8a23dfdda
16559 .xword 0x6a7dd55a284261e9
16560 .xword 0x1042568ab9712c1c
16561 .xword 0x6a45b401a19216d9
16562 .xword 0x22ac7046e0e59889
16563 .xword 0xc18c25b05125d15d
16564 .xword 0x393b7fc9950bbe5e
16565 .xword 0x81b16cd877c02004
16566 .xword 0x3385b13b1dd85f24
16567 .xword 0xd040bcd39c0d98e3
16568 .xword 0xc7e8c537844432e0
16569 .xword 0xcbd37eeb0320fde8
16570 .xword 0xce5fd3527f851cd2
16571 .xword 0x677f393d1126b46f
16572 .xword 0xcbaac8526f527fac
16573 .xword 0x21035e870ff66b32
16574 .xword 0x0be3d8a62e90fd4a
16575 .xword 0x894360ba66142c42
16576 .xword 0x836610f91fdb622c
16577 .xword 0x949ebcf2596b14dc
16578 .xword 0xdedd6fd8df84b883
16579 .xword 0x7d2806e6fc6c83a3
16580 .xword 0x628969a63c5f6b08
16581 .xword 0x3ea1a4f3369d330e
16582 .xword 0x3643449cbe23974c
16583 .xword 0x8374be1651c15073
16584 .xword 0xa97a34504f908861
16585 .xword 0xf4684848ed55f14e
16586 .xword 0x1d0ba825a9ca7e9d
16587 .xword 0x349b0b892b8126ad
16588 .xword 0x10bd09a486e111e7
16589 .xword 0x90a20c271ed31ad4
16590 .xword 0x4e865c5cf820f154
16591 .xword 0x57879993015a8b9e
16592 .xword 0x95f0651ba2ae6185
16593 .xword 0xe9c35d59dc6bae0f
16594 .xword 0xc1ffac397abc421f
16595.align 16
16596_t1_aes_alignment_array:
16597 .xword 1
16598 .xword 8
16599 .xword 6
16600 .xword 3
16601 .xword 12
16602 .xword 15
16603 .xword 9
16604 .xword 0
16605 .xword 13
16606 .xword 6
16607 .xword 8
16608 .xword 2
16609 .xword 0
16610 .xword 0
16611 .xword 0
16612 .xword 15
16613 .xword 2
16614 .xword 13
16615 .xword 1
16616 .xword 2
16617 .xword 5
16618 .xword 9
16619 .xword 0
16620 .xword 10
16621 .xword 9
16622 .xword 0
16623 .xword 5
16624 .xword 6
16625 .xword 2
16626 .xword 1
16627 .xword 13
16628 .xword 8
16629 .xword 1
16630 .xword 3
16631 .xword 1
16632 .xword 12
16633 .xword 10
16634 .xword 5
16635 .xword 2
16636 .xword 8
16637 .xword 8
16638 .xword 15
16639 .xword 14
16640 .xword 8
16641 .xword 2
16642 .xword 13
16643 .xword 5
16644 .xword 1
16645 .xword 10
16646 .xword 11
16647 .xword 8
16648 .xword 9
16649 .xword 15
16650 .xword 8
16651 .xword 13
16652 .xword 14
16653 .xword 3
16654 .xword 10
16655 .xword 4
16656 .xword 6
16657 .xword 0
16658 .xword 7
16659 .xword 3
16660 .xword 4
16661 .xword 0
16662 .xword 7
16663 .xword 1
16664 .xword 4
16665 .xword 14
16666 .xword 3
16667 .xword 15
16668 .xword 14
16669 .xword 14
16670 .xword 4
16671 .xword 7
16672 .xword 1
16673 .xword 8
16674 .xword 9
16675 .xword 0
16676 .xword 14
16677 .xword 15
16678 .xword 0
16679 .xword 4
16680 .xword 4
16681 .xword 12
16682 .xword 5
16683 .xword 12
16684 .xword 15
16685 .xword 15
16686 .xword 9
16687 .xword 3
16688 .xword 6
16689 .xword 13
16690 .xword 5
16691 .xword 4
16692 .xword 15
16693 .xword 13
16694 .xword 1
16695 .xword 11
16696 .xword 0
16697 .xword 5
16698 .xword 14
16699 .xword 2
16700 .xword 13
16701 .xword 6
16702.align 16
16703_t1_aes_src:
16704 .xword 0x3806bb821d3ecff3
16705 .xword 0x082ed629591f8dbb
16706 .xword 0x0a5cdc6415c38fc7
16707 .xword 0xb1728ac8f94ef27a
16708 .xword 0x78965d0947aa7b77
16709 .xword 0x152813af5b92ebb6
16710 .xword 0x96d7644ace6625e4
16711 .xword 0xfa449d4b55764988
16712 .xword 0xb0da39aa09e3b458
16713 .xword 0x3dccf33d4c284857
16714 .xword 0x076c4785415c718b
16715 .xword 0xdce909439b014fc8
16716 .xword 0xdaced6b50d1216df
16717 .xword 0x892b83b240444a5b
16718 .xword 0xb18a1c5a0b59610c
16719 .xword 0xcade4cc35c27a339
16720 .xword 0x7668031ce16cfc6b
16721 .xword 0xef473f2326bc960c
16722 .xword 0xe5f9cc9f0b329e86
16723 .xword 0x7dcfb68be75f7f90
16724 .xword 0x315c31794fca3845
16725 .xword 0x4fe5f0fef07097ec
16726 .xword 0x85ee39f87fb0fe70
16727 .xword 0xc11b714e2fed1aa9
16728 .xword 0xafb60aa52fea98b9
16729 .xword 0xf01f7da982328dc7
16730 .xword 0xbe7be1d5a92e5b8b
16731 .xword 0x051991b7c3201343
16732 .xword 0xf3add2d195eebdf4
16733 .xword 0xeba07a20cbfef421
16734 .xword 0x864ae8ffa57c5526
16735 .xword 0x63a426ab56a5ecb4
16736 .xword 0xa400fbaa724b378e
16737 .xword 0x8961df8ebeee7dde
16738 .xword 0x7c9ab5f516c43a3a
16739 .xword 0x309bcc895222d4e1
16740 .xword 0x0137ff6d2583fe1a
16741 .xword 0xd79a77bf03505ab5
16742 .xword 0x093e5748810823cf
16743 .xword 0x089427d5d2b96fa0
16744 .xword 0xae4fba505c4397a2
16745 .xword 0xe2c6acb9bb92c935
16746 .xword 0x967c0f5f43b5c959
16747 .xword 0x15d7ace544b7cd74
16748 .xword 0x7c711a14725cb42a
16749 .xword 0x19a41545b7c2f1eb
16750 .xword 0xba30b9a71124384d
16751 .xword 0x0b70904d8f07d0c5
16752 .xword 0xeb3a3425ea5a3d51
16753 .xword 0xc16134b26086aa73
16754 .xword 0xf694f2fac5c18d43
16755 .xword 0xe98c2ecc71d24fda
16756 .xword 0xc836daf3805b2635
16757 .xword 0x4a1f6cdb2d34affa
16758 .xword 0x04c7f94849afd3ae
16759 .xword 0x4b945c1c7c67bf3e
16760 .xword 0x42559c234a466414
16761 .xword 0xd89b1ce332716709
16762 .xword 0xbab0ccf8940f191b
16763 .xword 0x4b7122a2eec15a4c
16764 .xword 0x9195951ec893ce7d
16765 .xword 0xa4e4c1c373dea371
16766 .xword 0x3314a7c36ab897a8
16767 .xword 0xe42fa2b59bb52e28
16768 .xword 0x8419ad9b658ad990
16769 .xword 0x6cc5847212ec0466
16770 .xword 0x8fb52ce8fa82df44
16771 .xword 0x3341c7f8b94eedb9
16772 .xword 0x1c380321587573dc
16773 .xword 0x5560095eec3446ef
16774 .xword 0x2f8c5d15f058cbd7
16775 .xword 0x61a518ce9717d346
16776 .xword 0xc201cb56b7eeff9a
16777 .xword 0x44eb433cd7970980
16778 .xword 0xc12c3681f54257ac
16779 .xword 0x536fb0710ff40152
16780 .xword 0x45160f96a0f0de77
16781 .xword 0xeefe229de1b0b2b9
16782 .xword 0x256b11e035c1bc0c
16783 .xword 0xf9a008ba9b7acd3d
16784 .xword 0x3d53d429ec8f35f9
16785 .xword 0x01b6a31d4639d3c3
16786 .xword 0x093c82894a867cac
16787 .xword 0x06e90cfefa757cc8
16788 .xword 0xbc1fab3fbbb6aa99
16789 .xword 0x3b7a4a39f3087db4
16790 .xword 0xdc115131b50f566b
16791 .xword 0x9430ae8142c0262a
16792 .xword 0x04094a91c650e400
16793 .xword 0x277684dfd849b238
16794 .xword 0x6b6d9012a70dd87d
16795 .xword 0xa2ae651579850ce0
16796 .xword 0xbff72c2563e60f20
16797 .xword 0x206d08be040d6518
16798 .xword 0x2084794a514d7754
16799 .xword 0x71e3c540480243d3
16800 .xword 0x6e62251b6f30b7ea
16801 .xword 0x296b2015ec9beff9
16802 .xword 0x4f68ec912d61f0e0
16803 .xword 0x75a6f598a31b6331
16804 .xword 0x1db2c31242d35311
16805 .xword 0x4889db4c8e527c4b
16806 .xword 0x0e9c420c3fa89d17
16807 .xword 0x77ca74c7f526a102
16808 .xword 0xeeb9468af8b82f42
16809 .xword 0x44967c791e7346e2
16810 .xword 0x099d288a166de8c5
16811 .xword 0x51a95a7417cfa5a0
16812 .xword 0xda6c9126f0459eef
16813 .xword 0x3be74725738cf24a
16814 .xword 0x6b84448ab34956cb
16815 .xword 0xbca813a3bf9b44b2
16816 .xword 0xabcaad661144f941
16817 .xword 0x08b33e9266b74246
16818 .xword 0x73b1b6d0bd1f8dee
16819 .xword 0x916723c2a71d4d44
16820 .xword 0x3d980b4b2a7e7c07
16821 .xword 0xf6eaa0b851c2e43c
16822 .xword 0xd050ca0d707c680d
16823 .xword 0x08c2fdbdcd58e176
16824 .xword 0x1ba9118a3512d7d5
16825 .xword 0xeca52059cc3c6138
16826 .xword 0xf1dd2f7261120382
16827 .xword 0x3f57629717ac78c5
16828 .xword 0x2729c2e102bba51f
16829 .xword 0x0c4fcf7d7be5a700
16830 .xword 0x65d3e3103111a5ab
16831 .xword 0x6936083e62095cec
16832 .xword 0xe70350b0995e28dd
16833 .xword 0xb8c45e4889d80bdc
16834 .xword 0x82c7b5773a351105
16835 .xword 0x1702b6c33f3f41d8
16836 .xword 0xa669bb5a4c3b5f57
16837 .xword 0x9a06824e08d09b1a
16838 .xword 0x9acda08d5200ef17
16839 .xword 0xc99a7d46e3100b77
16840 .xword 0x9c3f91f5a6f45215
16841 .xword 0x9749f3e864c5f7a3
16842 .xword 0xd097fd4ad57465a0
16843 .xword 0xef4cf441e596a1b9
16844 .xword 0x5cec2b91ea0f36de
16845 .xword 0x4d43b40735a8d718
16846 .xword 0x6c37a72e8ba322e7
16847 .xword 0xdb064398cb73f9a8
16848 .xword 0x59c74b199011034c
16849 .xword 0x5428cf18fe29d5f6
16850 .xword 0x67c16ec0ae1ccee9
16851 .xword 0x152a10108211c49b
16852 .xword 0x97e7cf882055cd34
16853 .xword 0x92ed494d5ee8969f
16854 .xword 0xb9e0bff1fec4aec2
16855 .xword 0xd9f41175c4f056e0
16856 .xword 0x886e43ee542cc441
16857 .xword 0x21d3c982b4b2574b
16858 .xword 0x8bc055e1ddb02fbb
16859 .xword 0xb53e666fcb5cf346
16860 .xword 0x53b8d04c33edcb92
16861 .xword 0x0f8e66bb1d9d1c76
16862 .xword 0x986cdfd9f08e9961
16863 .xword 0x40861029bc9731c9
16864 .xword 0x333465db6b68d56f
16865 .xword 0x5fa0d45f04d076e2
16866 .xword 0x1f53a537c68b51a1
16867 .xword 0x8d93017a6eda854f
16868 .xword 0xf7db0e9cff70f980
16869 .xword 0x099a212a83101480
16870 .xword 0xb5207119f07a4c4b
16871 .xword 0xcf66f7e8453caeef
16872 .xword 0xdb56c6ebddc1da26
16873 .xword 0x10a5809f0210e481
16874 .xword 0x4b5ec98e8ec80b23
16875 .xword 0xaf1f069753fb21d0
16876 .xword 0x1240aaee49263537
16877 .xword 0xa583b4e1d9933b2d
16878 .xword 0x95ab718921d96183
16879 .xword 0x047e8e029ed49932
16880 .xword 0x4eb79b61d4dbf2a8
16881 .xword 0x5c6329cff9454cd9
16882 .xword 0x1c16c3d34995c91c
16883 .xword 0xcce7da4ec9c98668
16884 .xword 0x4bc36bfcd3b73d29
16885 .xword 0x425b0ba8b741150a
16886 .xword 0x9a5ca2f2a6c3ed03
16887 .xword 0xe589f2c148f2e27b
16888 .xword 0x590e1a4ece544ff7
16889 .xword 0xfb12f35a9b6bf124
16890 .xword 0x24c15d77121999e7
16891 .xword 0x4cca9cc1d3b052a8
16892 .xword 0xc6caf1687f346d87
16893 .xword 0xb7bde4a3774f68c0
16894 .xword 0x41a471870106e2e3
16895 .xword 0xdc726060af2c9f92
16896 .xword 0x130ed0d4833cc655
16897 .xword 0x6b6ad581d8f5e402
16898 .xword 0xde36e0ffd08a0101
16899 .xword 0x7f0fab8a0bce837e
16900 .xword 0x876682ebc512daac
16901 .xword 0x03836814101e3a3b
16902 .xword 0x65da69696089f981
16903 .xword 0x75d2ea29ae0f0103
16904 .xword 0xeaef51ade0db3dfd
16905 .xword 0xdcf35f3e212706b9
16906 .xword 0x224d27df30dcf5c9
16907 .xword 0xe548ca3575b7e5f4
16908 .xword 0x6a72da2d4814a8ca
16909 .xword 0x6aa5395d0c8b2e3a
16910 .xword 0xeaa06dbbc03c9793
16911 .xword 0x59e5b1fb1f7523f9
16912 .xword 0x29ab0648ee62d075
16913 .xword 0x19c82fa2f9c6603f
16914 .xword 0x5d262445e501d524
16915 .xword 0x6482b18e63b12213
16916 .xword 0xb701b32fcd11cd09
16917 .xword 0x426d016996910bb5
16918 .xword 0xecd25db7fc376832
16919 .xword 0x5392b7127bc3d8d1
16920 .xword 0x08c9083617e7cc5e
16921 .xword 0xa9bba8f2b51f4d52
16922 .xword 0x5f28522b4e6d74b6
16923 .xword 0x807ecaa638eeca65
16924 .xword 0xe374519860f949b0
16925 .xword 0xd151e38d96811efe
16926 .xword 0x1adc26b4e5c9a079
16927 .xword 0xe3ae0aece2b6f730
16928 .xword 0x69406420bd4efcd5
16929 .xword 0x816b7327e9f3cfc2
16930 .xword 0xcb2028575906bcb8
16931 .xword 0xf896cb1ab9bb385c
16932 .xword 0xdc26be5862231805
16933 .xword 0x57039316a6cbcbde
16934 .xword 0x73fac4aed6b73757
16935 .xword 0xd979feaf326f7816
16936 .xword 0x5ce8e932235f042d
16937 .xword 0xbc7e126b7f4d97db
16938 .xword 0xbf2e1720011f3c15
16939 .xword 0x206747f0af997f6f
16940 .xword 0x605c9a25692b3863
16941 .xword 0x3de22e4105941ec1
16942 .xword 0xeba48ddf66adc1b5
16943 .xword 0x3ca7081eae2dadef
16944 .xword 0x3eda1964709d747a
16945 .xword 0x13e620cfee4cec97
16946 .xword 0xd47a1a4e5ab1c8b8
16947 .xword 0xcd1a01db2a8294af
16948 .xword 0xb8fc645432e2dc2d
16949 .xword 0x702d383795528ec5
16950 .xword 0x7965764e2311c808
16951 .xword 0xf46331786b128214
16952 .xword 0xe7dbf251611ef70c
16953 .xword 0x66c63350eb3360f5
16954 .xword 0x620cf0acea3f8ff2
16955 .xword 0xa1214ca3d435717d
16956 .xword 0xa2fab63e897f051d
16957 .xword 0xdcd5da39a75b8cf7
16958 .xword 0xb59b3c54a235a0d0
16959 .xword 0x35f301e4c9484ca1
16960 .xword 0x32ec8215442f472a
16961 .xword 0x42e665ca0f215d66
16962 .xword 0xe5cada515144b23c
16963 .xword 0x01f2b06c7d2ea70f
16964 .xword 0xdea1e01b746ea1cf
16965 .xword 0x90bcac4af254e04f
16966 .xword 0x5d5f3b94823013ff
16967 .xword 0xb672b20b26b8fb42
16968 .xword 0xbb31b3cdc289bc31
16969 .xword 0x554890cb8ef5ec4e
16970 .xword 0xfe189261bbf0c629
16971 .xword 0xad6152ffcd0931e1
16972 .xword 0xb7db01000bad64da
16973 .xword 0x735e25e2e2f0e217
16974 .xword 0xf822d961646c73ea
16975 .xword 0xe4a8f8ccd9f3ab58
16976 .xword 0xc38c52bb8181749a
16977 .xword 0xebd2c4af9b07467e
16978 .xword 0x45d62c6dcf8edcaf
16979.align 16
16980_t1_aes_dest:
16981 .xword 0xDEADBEEFDEADBEEF
16982 .xword 0xDEADBEEFDEADBEEF
16983 .xword 0xDEADBEEFDEADBEEF
16984 .xword 0xDEADBEEFDEADBEEF
16985 .xword 0xDEADBEEFDEADBEEF
16986 .xword 0xDEADBEEFDEADBEEF
16987 .xword 0xDEADBEEFDEADBEEF
16988 .xword 0xDEADBEEFDEADBEEF
16989 .xword 0xDEADBEEFDEADBEEF
16990 .xword 0xDEADBEEFDEADBEEF
16991 .xword 0xDEADBEEFDEADBEEF
16992 .xword 0xDEADBEEFDEADBEEF
16993 .xword 0xDEADBEEFDEADBEEF
16994 .xword 0xDEADBEEFDEADBEEF
16995 .xword 0xDEADBEEFDEADBEEF
16996 .xword 0xDEADBEEFDEADBEEF
16997 .xword 0xDEADBEEFDEADBEEF
16998 .xword 0xDEADBEEFDEADBEEF
16999 .xword 0xDEADBEEFDEADBEEF
17000 .xword 0xDEADBEEFDEADBEEF
17001 .xword 0xDEADBEEFDEADBEEF
17002 .xword 0xDEADBEEFDEADBEEF
17003 .xword 0xDEADBEEFDEADBEEF
17004 .xword 0xDEADBEEFDEADBEEF
17005 .xword 0xDEADBEEFDEADBEEF
17006 .xword 0xDEADBEEFDEADBEEF
17007 .xword 0xDEADBEEFDEADBEEF
17008 .xword 0xDEADBEEFDEADBEEF
17009 .xword 0xDEADBEEFDEADBEEF
17010 .xword 0xDEADBEEFDEADBEEF
17011 .xword 0xDEADBEEFDEADBEEF
17012 .xword 0xDEADBEEFDEADBEEF
17013 .xword 0xDEADBEEFDEADBEEF
17014 .xword 0xDEADBEEFDEADBEEF
17015 .xword 0xDEADBEEFDEADBEEF
17016 .xword 0xDEADBEEFDEADBEEF
17017 .xword 0xDEADBEEFDEADBEEF
17018 .xword 0xDEADBEEFDEADBEEF
17019 .xword 0xDEADBEEFDEADBEEF
17020 .xword 0xDEADBEEFDEADBEEF
17021 .xword 0xDEADBEEFDEADBEEF
17022 .xword 0xDEADBEEFDEADBEEF
17023 .xword 0xDEADBEEFDEADBEEF
17024 .xword 0xDEADBEEFDEADBEEF
17025 .xword 0xDEADBEEFDEADBEEF
17026 .xword 0xDEADBEEFDEADBEEF
17027 .xword 0xDEADBEEFDEADBEEF
17028 .xword 0xDEADBEEFDEADBEEF
17029 .xword 0xDEADBEEFDEADBEEF
17030 .xword 0xDEADBEEFDEADBEEF
17031 .xword 0xDEADBEEFDEADBEEF
17032 .xword 0xDEADBEEFDEADBEEF
17033 .xword 0xDEADBEEFDEADBEEF
17034 .xword 0xDEADBEEFDEADBEEF
17035 .xword 0xDEADBEEFDEADBEEF
17036 .xword 0xDEADBEEFDEADBEEF
17037 .xword 0xDEADBEEFDEADBEEF
17038 .xword 0xDEADBEEFDEADBEEF
17039 .xword 0xDEADBEEFDEADBEEF
17040 .xword 0xDEADBEEFDEADBEEF
17041 .xword 0xDEADBEEFDEADBEEF
17042 .xword 0xDEADBEEFDEADBEEF
17043 .xword 0xDEADBEEFDEADBEEF
17044 .xword 0xDEADBEEFDEADBEEF
17045 .xword 0xDEADBEEFDEADBEEF
17046 .xword 0xDEADBEEFDEADBEEF
17047 .xword 0xDEADBEEFDEADBEEF
17048 .xword 0xDEADBEEFDEADBEEF
17049 .xword 0xDEADBEEFDEADBEEF
17050 .xword 0xDEADBEEFDEADBEEF
17051 .xword 0xDEADBEEFDEADBEEF
17052 .xword 0xDEADBEEFDEADBEEF
17053 .xword 0xDEADBEEFDEADBEEF
17054 .xword 0xDEADBEEFDEADBEEF
17055 .xword 0xDEADBEEFDEADBEEF
17056 .xword 0xDEADBEEFDEADBEEF
17057 .xword 0xDEADBEEFDEADBEEF
17058 .xword 0xDEADBEEFDEADBEEF
17059 .xword 0xDEADBEEFDEADBEEF
17060 .xword 0xDEADBEEFDEADBEEF
17061 .xword 0xDEADBEEFDEADBEEF
17062 .xword 0xDEADBEEFDEADBEEF
17063 .xword 0xDEADBEEFDEADBEEF
17064 .xword 0xDEADBEEFDEADBEEF
17065 .xword 0xDEADBEEFDEADBEEF
17066 .xword 0xDEADBEEFDEADBEEF
17067 .xword 0xDEADBEEFDEADBEEF
17068 .xword 0xDEADBEEFDEADBEEF
17069 .xword 0xDEADBEEFDEADBEEF
17070 .xword 0xDEADBEEFDEADBEEF
17071 .xword 0xDEADBEEFDEADBEEF
17072 .xword 0xDEADBEEFDEADBEEF
17073 .xword 0xDEADBEEFDEADBEEF
17074 .xword 0xDEADBEEFDEADBEEF
17075 .xword 0xDEADBEEFDEADBEEF
17076 .xword 0xDEADBEEFDEADBEEF
17077 .xword 0xDEADBEEFDEADBEEF
17078 .xword 0xDEADBEEFDEADBEEF
17079 .xword 0xDEADBEEFDEADBEEF
17080 .xword 0xDEADBEEFDEADBEEF
17081 .xword 0xDEADBEEFDEADBEEF
17082 .xword 0xDEADBEEFDEADBEEF
17083 .xword 0xDEADBEEFDEADBEEF
17084 .xword 0xDEADBEEFDEADBEEF
17085 .xword 0xDEADBEEFDEADBEEF
17086 .xword 0xDEADBEEFDEADBEEF
17087 .xword 0xDEADBEEFDEADBEEF
17088 .xword 0xDEADBEEFDEADBEEF
17089 .xword 0xDEADBEEFDEADBEEF
17090 .xword 0xDEADBEEFDEADBEEF
17091 .xword 0xDEADBEEFDEADBEEF
17092 .xword 0xDEADBEEFDEADBEEF
17093 .xword 0xDEADBEEFDEADBEEF
17094 .xword 0xDEADBEEFDEADBEEF
17095 .xword 0xDEADBEEFDEADBEEF
17096 .xword 0xDEADBEEFDEADBEEF
17097 .xword 0xDEADBEEFDEADBEEF
17098 .xword 0xDEADBEEFDEADBEEF
17099 .xword 0xDEADBEEFDEADBEEF
17100 .xword 0xDEADBEEFDEADBEEF
17101 .xword 0xDEADBEEFDEADBEEF
17102 .xword 0xDEADBEEFDEADBEEF
17103 .xword 0xDEADBEEFDEADBEEF
17104 .xword 0xDEADBEEFDEADBEEF
17105 .xword 0xDEADBEEFDEADBEEF
17106 .xword 0xDEADBEEFDEADBEEF
17107 .xword 0xDEADBEEFDEADBEEF
17108 .xword 0xDEADBEEFDEADBEEF
17109 .xword 0xDEADBEEFDEADBEEF
17110 .xword 0xDEADBEEFDEADBEEF
17111 .xword 0xDEADBEEFDEADBEEF
17112 .xword 0xDEADBEEFDEADBEEF
17113 .xword 0xDEADBEEFDEADBEEF
17114 .xword 0xDEADBEEFDEADBEEF
17115 .xword 0xDEADBEEFDEADBEEF
17116 .xword 0xDEADBEEFDEADBEEF
17117 .xword 0xDEADBEEFDEADBEEF
17118 .xword 0xDEADBEEFDEADBEEF
17119 .xword 0xDEADBEEFDEADBEEF
17120 .xword 0xDEADBEEFDEADBEEF
17121 .xword 0xDEADBEEFDEADBEEF
17122 .xword 0xDEADBEEFDEADBEEF
17123 .xword 0xDEADBEEFDEADBEEF
17124 .xword 0xDEADBEEFDEADBEEF
17125 .xword 0xDEADBEEFDEADBEEF
17126 .xword 0xDEADBEEFDEADBEEF
17127 .xword 0xDEADBEEFDEADBEEF
17128 .xword 0xDEADBEEFDEADBEEF
17129 .xword 0xDEADBEEFDEADBEEF
17130 .xword 0xDEADBEEFDEADBEEF
17131 .xword 0xDEADBEEFDEADBEEF
17132 .xword 0xDEADBEEFDEADBEEF
17133 .xword 0xDEADBEEFDEADBEEF
17134 .xword 0xDEADBEEFDEADBEEF
17135 .xword 0xDEADBEEFDEADBEEF
17136 .xword 0xDEADBEEFDEADBEEF
17137 .xword 0xDEADBEEFDEADBEEF
17138 .xword 0xDEADBEEFDEADBEEF
17139 .xword 0xDEADBEEFDEADBEEF
17140 .xword 0xDEADBEEFDEADBEEF
17141 .xword 0xDEADBEEFDEADBEEF
17142 .xword 0xDEADBEEFDEADBEEF
17143 .xword 0xDEADBEEFDEADBEEF
17144 .xword 0xDEADBEEFDEADBEEF
17145 .xword 0xDEADBEEFDEADBEEF
17146 .xword 0xDEADBEEFDEADBEEF
17147 .xword 0xDEADBEEFDEADBEEF
17148 .xword 0xDEADBEEFDEADBEEF
17149 .xword 0xDEADBEEFDEADBEEF
17150 .xword 0xDEADBEEFDEADBEEF
17151 .xword 0xDEADBEEFDEADBEEF
17152 .xword 0xDEADBEEFDEADBEEF
17153 .xword 0xDEADBEEFDEADBEEF
17154 .xword 0xDEADBEEFDEADBEEF
17155 .xword 0xDEADBEEFDEADBEEF
17156 .xword 0xDEADBEEFDEADBEEF
17157 .xword 0xDEADBEEFDEADBEEF
17158 .xword 0xDEADBEEFDEADBEEF
17159 .xword 0xDEADBEEFDEADBEEF
17160 .xword 0xDEADBEEFDEADBEEF
17161 .xword 0xDEADBEEFDEADBEEF
17162 .xword 0xDEADBEEFDEADBEEF
17163 .xword 0xDEADBEEFDEADBEEF
17164 .xword 0xDEADBEEFDEADBEEF
17165 .xword 0xDEADBEEFDEADBEEF
17166 .xword 0xDEADBEEFDEADBEEF
17167 .xword 0xDEADBEEFDEADBEEF
17168 .xword 0xDEADBEEFDEADBEEF
17169 .xword 0xDEADBEEFDEADBEEF
17170 .xword 0xDEADBEEFDEADBEEF
17171 .xword 0xDEADBEEFDEADBEEF
17172 .xword 0xDEADBEEFDEADBEEF
17173 .xword 0xDEADBEEFDEADBEEF
17174 .xword 0xDEADBEEFDEADBEEF
17175 .xword 0xDEADBEEFDEADBEEF
17176 .xword 0xDEADBEEFDEADBEEF
17177 .xword 0xDEADBEEFDEADBEEF
17178 .xword 0xDEADBEEFDEADBEEF
17179 .xword 0xDEADBEEFDEADBEEF
17180 .xword 0xDEADBEEFDEADBEEF
17181 .xword 0xDEADBEEFDEADBEEF
17182 .xword 0xDEADBEEFDEADBEEF
17183 .xword 0xDEADBEEFDEADBEEF
17184 .xword 0xDEADBEEFDEADBEEF
17185 .xword 0xDEADBEEFDEADBEEF
17186 .xword 0xDEADBEEFDEADBEEF
17187 .xword 0xDEADBEEFDEADBEEF
17188 .xword 0xDEADBEEFDEADBEEF
17189 .xword 0xDEADBEEFDEADBEEF
17190 .xword 0xDEADBEEFDEADBEEF
17191 .xword 0xDEADBEEFDEADBEEF
17192 .xword 0xDEADBEEFDEADBEEF
17193 .xword 0xDEADBEEFDEADBEEF
17194 .xword 0xDEADBEEFDEADBEEF
17195 .xword 0xDEADBEEFDEADBEEF
17196 .xword 0xDEADBEEFDEADBEEF
17197 .xword 0xDEADBEEFDEADBEEF
17198 .xword 0xDEADBEEFDEADBEEF
17199 .xword 0xDEADBEEFDEADBEEF
17200 .xword 0xDEADBEEFDEADBEEF
17201 .xword 0xDEADBEEFDEADBEEF
17202 .xword 0xDEADBEEFDEADBEEF
17203 .xword 0xDEADBEEFDEADBEEF
17204 .xword 0xDEADBEEFDEADBEEF
17205 .xword 0xDEADBEEFDEADBEEF
17206 .xword 0xDEADBEEFDEADBEEF
17207 .xword 0xDEADBEEFDEADBEEF
17208 .xword 0xDEADBEEFDEADBEEF
17209 .xword 0xDEADBEEFDEADBEEF
17210 .xword 0xDEADBEEFDEADBEEF
17211 .xword 0xDEADBEEFDEADBEEF
17212 .xword 0xDEADBEEFDEADBEEF
17213 .xword 0xDEADBEEFDEADBEEF
17214 .xword 0xDEADBEEFDEADBEEF
17215 .xword 0xDEADBEEFDEADBEEF
17216 .xword 0xDEADBEEFDEADBEEF
17217 .xword 0xDEADBEEFDEADBEEF
17218 .xword 0xDEADBEEFDEADBEEF
17219 .xword 0xDEADBEEFDEADBEEF
17220 .xword 0xDEADBEEFDEADBEEF
17221 .xword 0xDEADBEEFDEADBEEF
17222 .xword 0xDEADBEEFDEADBEEF
17223 .xword 0xDEADBEEFDEADBEEF
17224 .xword 0xDEADBEEFDEADBEEF
17225 .xword 0xDEADBEEFDEADBEEF
17226 .xword 0xDEADBEEFDEADBEEF
17227 .xword 0xDEADBEEFDEADBEEF
17228 .xword 0xDEADBEEFDEADBEEF
17229 .xword 0xDEADBEEFDEADBEEF
17230 .xword 0xDEADBEEFDEADBEEF
17231 .xword 0xDEADBEEFDEADBEEF
17232 .xword 0xDEADBEEFDEADBEEF
17233 .xword 0xDEADBEEFDEADBEEF
17234 .xword 0xDEADBEEFDEADBEEF
17235 .xword 0xDEADBEEFDEADBEEF
17236 .xword 0xDEADBEEFDEADBEEF
17237 .xword 0xDEADBEEFDEADBEEF
17238 .xword 0xDEADBEEFDEADBEEF
17239 .xword 0xDEADBEEFDEADBEEF
17240 .xword 0xDEADBEEFDEADBEEF
17241 .xword 0xDEADBEEFDEADBEEF
17242 .xword 0xDEADBEEFDEADBEEF
17243 .xword 0xDEADBEEFDEADBEEF
17244 .xword 0xDEADBEEFDEADBEEF
17245 .xword 0xDEADBEEFDEADBEEF
17246 .xword 0xDEADBEEFDEADBEEF
17247 .xword 0xDEADBEEFDEADBEEF
17248 .xword 0xDEADBEEFDEADBEEF
17249 .xword 0xDEADBEEFDEADBEEF
17250 .xword 0xDEADBEEFDEADBEEF
17251 .xword 0xDEADBEEFDEADBEEF
17252 .xword 0xDEADBEEFDEADBEEF
17253 .xword 0xDEADBEEFDEADBEEF
17254 .xword 0xDEADBEEFDEADBEEF
17255 .xword 0xDEADBEEFDEADBEEF
17256.align 16
17257_t1_aes_auth_key:
17258 .xword 0x4a60216dedb3f2c1
17259 .xword 0x834e12f16bd79ccc
17260 .xword 0xb75f65560bc05eb4
17261 .xword 0x0277078ac300af70
17262 .xword 0xf5e43e61dbe10363
17263 .xword 0x5c43fcafa5904a14
17264 .xword 0x67d3939eeb26bd22
17265 .xword 0x50a56e8255f19af5
17266 .xword 0xa079d1e44a3d97f7
17267 .xword 0x397aae562d57bb04
17268 .xword 0xac8ef00ebde4e241
17269 .xword 0xb65b91053f9fc255
17270 .xword 0x1fde10395b3f26bd
17271 .xword 0xe6a8740a0093751b
17272 .xword 0x503b3f3063375826
17273 .xword 0xc4f77044357b85da
17274 .xword 0x0dfc5134594e8c1b
17275 .xword 0x43918679d228db15
17276 .xword 0xf2ce219df9a19686
17277 .xword 0x51ef538b3e4e66de
17278 .xword 0x50f2efaf1363e89c
17279 .xword 0xf3d462b158e3b2ae
17280 .xword 0x915200d616f0cd42
17281.align 16
17282_t1_aes_auth_iv:
17283 .xword 0x209dd13a7c843aa4
17284 .xword 0xcd5475c8a101c16e
17285 .xword 0x9a8e7fc0331daa81
17286 .xword 0xc926847372e61168
17287 .xword 0x9ae95c00a493be80
17288 .xword 0x754e774835a15cd4
17289 .xword 0x24478346035b5dd4
17290 .xword 0x1f5b1a1530160930
17291 .xword 0x4496574afa4ccb79
17292 .xword 0x3282555fcc27e7d4
17293 .xword 0x4e1822a7e8b9791e
17294 .xword 0x4093e20384f994e8
17295 .xword 0x07c6699d30d56572
17296 .xword 0xdef81dd26183ef14
17297 .xword 0xbe7e5c00c9621989
17298 .xword 0x1de730ab977061a6
17299 .xword 0x8ce458084f94caa6
17300 .xword 0xf03e5e825874e05e
17301 .xword 0xc8eab6980bcad0e7
17302 .xword 0x240774581ddf766d
17303 .xword 0x778c8ff29e3b62a8
17304 .xword 0x7e4fb47fe8e2e75e
17305 .xword 0xd27ede8265f0332f
17306.align 16
17307_t1_aes_fas_result:
17308 .xword 0xDEADBEEFDEADBEEF
17309 .xword 0xDEADBEEFDEADBEEF
17310 .xword 0xDEADBEEFDEADBEEF
17311 .xword 0xDEADBEEFDEADBEEF
17312 .xword 0xDEADBEEFDEADBEEF
17313 .xword 0xDEADBEEFDEADBEEF
17314 .xword 0xDEADBEEFDEADBEEF
17315 .xword 0xDEADBEEFDEADBEEF
17316 .xword 0xDEADBEEFDEADBEEF
17317 .xword 0xDEADBEEFDEADBEEF
17318 .xword 0xDEADBEEFDEADBEEF
17319 .xword 0xDEADBEEFDEADBEEF
17320 .xword 0xDEADBEEFDEADBEEF
17321 .xword 0xDEADBEEFDEADBEEF
17322 .xword 0xDEADBEEFDEADBEEF
17323 .xword 0xDEADBEEFDEADBEEF
17324 .xword 0xDEADBEEFDEADBEEF
17325 .xword 0xDEADBEEFDEADBEEF
17326 .xword 0xDEADBEEFDEADBEEF
17327 .xword 0xDEADBEEFDEADBEEF
17328 .xword 0xDEADBEEFDEADBEEF
17329 .xword 0xDEADBEEFDEADBEEF
17330 .xword 0xDEADBEEFDEADBEEF
17331.align 16
17332_t1_des_key_array:
17333 .xword 0x756c96b6ff863c0c
17334 .xword 0xe51e209ffd330522
17335 .xword 0x73e436a8e1745931
17336 .xword 0xee8dcba4b765bb48
17337 .xword 0x3af4e8eb54c5d01d
17338 .xword 0xa7ca774f87941fd4
17339 .xword 0x4a3ee2a6c1110aca
17340 .xword 0xacf7b03e049b7db1
17341 .xword 0xaa975e1696db3c08
17342 .xword 0xed47cf6f05ebf646
17343 .xword 0xc75aed7bdfb04480
17344 .xword 0xfff6f7449f6c8bae
17345 .xword 0x91d5fa675c5f37ca
17346 .xword 0x0110fe576a628deb
17347 .xword 0xd57336af8346bca4
17348 .xword 0x85a46f31da6c32ec
17349 .xword 0x605d6dd1d88233ba
17350 .xword 0xec18e7b1759faa46
17351 .xword 0x29e07a5fbe00a58a
17352 .xword 0xf81a20f9e9bd5205
17353 .xword 0x7efed55b006b0226
17354 .xword 0x453814d9845f6f86
17355 .xword 0x46e546036a99f1f8
17356 .xword 0x5b6af076cd33da8f
17357 .xword 0xf44be1399bf32628
17358 .xword 0x2a7c8019abd1f8b4
17359 .xword 0xb6a7c9e3f6f2db71
17360 .xword 0xfd11d8d0bad44115
17361 .xword 0x263acdc1cf1d8ad0
17362 .xword 0xf111a786eac35cc0
17363 .xword 0x61b5697a1f920084
17364 .xword 0xc2d77528266196c3
17365 .xword 0xd874572e0c1c9ff5
17366 .xword 0x247fbd981766a934
17367 .xword 0xfb1b09dbd0a58324
17368 .xword 0x129aed065104ff8d
17369 .xword 0xb06382216b0f6537
17370 .xword 0xd1ef8f8bf993cc77
17371 .xword 0x1b68c54cdc649be5
17372 .xword 0x7b3996ba1f63bfb2
17373 .xword 0xb276e5568ecbd31b
17374 .xword 0x36b70cfd9b7370c3
17375 .xword 0x3112cdf7a12e6e32
17376 .xword 0x3545e39a5b441501
17377 .xword 0x0be7abda2aad2520
17378 .xword 0x6adc9012d3276b80
17379 .xword 0xdab61a93a742e5de
17380 .xword 0x5483d0253bf4eaf3
17381 .xword 0x8facc56e9bf8659c
17382 .xword 0xbd5c0392e1a35761
17383 .xword 0xa888955adaaae15f
17384.align 16
17385_t1_des_iv_array:
17386 .xword 0x56f1124d1857440e
17387 .xword 0x9179e782318cf4c6
17388 .xword 0x423c3860370a74e6
17389 .xword 0x798dc09db2b2d8e7
17390 .xword 0x7e821acdd0041c84
17391 .xword 0x11588f8c6a8d054c
17392 .xword 0x6ad99d1a34bab5b2
17393 .xword 0x9d0270a2dce54c30
17394 .xword 0x830533fcafefd524
17395 .xword 0x744deec20b89d9fe
17396 .xword 0x19971a35a711427d
17397 .xword 0x0906881f78200319
17398 .xword 0x7311d9bb22dd5755
17399 .xword 0xe273140e74a8befc
17400 .xword 0x6f31e37700519036
17401 .xword 0x6494e5950796fff7
17402 .xword 0x1c02d93331e8bc3a
17403 .xword 0xb63114521f534df3
17404 .xword 0x72befe3c9d7edb58
17405 .xword 0x7ee4a019cf30d8c7
17406 .xword 0x68baee8ac77b8af7
17407 .xword 0x6daffc0ee405063e
17408 .xword 0xfc0dccd5311e5703
17409 .xword 0x5123eb0c18c0f7dd
17410 .xword 0xc83231fa6fb7ebd4
17411 .xword 0x2be5d75de3854af9
17412 .xword 0x88e794cf1c96d427
17413 .xword 0x0a49d8dba461d27c
17414 .xword 0x0020141d5d1fba8f
17415 .xword 0xfad23f47cb522e87
17416 .xword 0x3b9697cc6a000730
17417 .xword 0xd8e0d879f73a2f37
17418 .xword 0x80985145ff7aeede
17419 .xword 0xe9c4e4985caefad1
17420 .xword 0x19f74950e0734fd4
17421 .xword 0x920de2af8f9213f9
17422 .xword 0x7a76b584533562d3
17423 .xword 0x54728eb97369ba28
17424 .xword 0x79d45d30ef9d0263
17425 .xword 0x8a9c93970d50007e
17426 .xword 0xd7b2a875b729cbaa
17427 .xword 0x0cd6016a926a03a3
17428 .xword 0x97037166a7b589e7
17429 .xword 0xd024f0582380b553
17430 .xword 0x2c1f4fdbbd9ccabf
17431.align 16
17432_t1_des_alignment_array:
17433 .xword 15
17434 .xword 6
17435 .xword 8
17436 .xword 2
17437 .xword 6
17438 .xword 4
17439 .xword 15
17440 .xword 1
17441 .xword 12
17442 .xword 2
17443 .xword 5
17444 .xword 6
17445 .xword 0
17446 .xword 0
17447 .xword 1
17448 .xword 3
17449 .xword 1
17450 .xword 1
17451 .xword 9
17452 .xword 12
17453 .xword 13
17454 .xword 3
17455 .xword 4
17456 .xword 0
17457 .xword 15
17458 .xword 9
17459 .xword 8
17460 .xword 12
17461 .xword 5
17462 .xword 15
17463 .xword 8
17464 .xword 1
17465 .xword 14
17466 .xword 1
17467 .xword 5
17468 .xword 1
17469 .xword 6
17470 .xword 10
17471 .xword 14
17472 .xword 5
17473 .xword 7
17474 .xword 15
17475 .xword 1
17476 .xword 5
17477 .xword 9
17478 .xword 1
17479 .xword 7
17480 .xword 1
17481 .xword 7
17482 .xword 6
17483 .xword 15
17484 .xword 4
17485 .xword 10
17486 .xword 1
17487 .xword 9
17488 .xword 10
17489 .xword 15
17490 .xword 6
17491 .xword 6
17492 .xword 0
17493 .xword 5
17494 .xword 9
17495 .xword 7
17496 .xword 7
17497 .xword 11
17498 .xword 9
17499 .xword 13
17500 .xword 6
17501 .xword 2
17502 .xword 12
17503 .xword 7
17504 .xword 5
17505 .xword 6
17506 .xword 5
17507 .xword 6
17508 .xword 7
17509 .xword 5
17510 .xword 8
17511 .xword 2
17512 .xword 10
17513 .xword 14
17514 .xword 9
17515 .xword 2
17516 .xword 14
17517 .xword 4
17518 .xword 10
17519 .xword 8
17520 .xword 14
17521 .xword 0
17522 .xword 2
17523 .xword 2
17524 .xword 0
17525 .xword 13
17526 .xword 10
17527 .xword 13
17528 .xword 0
17529 .xword 4
17530 .xword 11
17531 .xword 10
17532 .xword 11
17533 .xword 12
17534 .xword 5
17535 .xword 9
17536 .xword 11
17537 .xword 3
17538.align 16
17539_t1_des_src:
17540 .xword 0xf75b014d75031072
17541 .xword 0xcbaa3c8deb638fe7
17542 .xword 0xd2a4881ab9b8d5ed
17543 .xword 0xb4f22e5dae65df7a
17544 .xword 0xa882d39af05dca7b
17545 .xword 0x6c9e4167f86c7d69
17546 .xword 0x4c2c0a051bdcf8c1
17547 .xword 0xcb2691e0ee6ad01d
17548 .xword 0xeb3d7345061b766a
17549 .xword 0xdfb52eebd093770f
17550 .xword 0x5e7476d18ed3f5b8
17551 .xword 0xf64ce038351af3ee
17552 .xword 0xbee0c9e169046da9
17553 .xword 0x98a8eadabc303183
17554 .xword 0xa7edbf8a7680bb26
17555 .xword 0x0b937184217bf5c3
17556 .xword 0xc54c3459f0c3871a
17557 .xword 0x3ef6bf263eb0fa67
17558 .xword 0x2cc5e045e84a8ecd
17559 .xword 0xf2025852aeea2f27
17560 .xword 0xa52aceb358904dbc
17561 .xword 0xd8a9ff0b166f739f
17562 .xword 0x1679b7b60b303d66
17563 .xword 0xd6854754588413bc
17564 .xword 0xb952c98df7ba7862
17565 .xword 0x2a70735a521aaf57
17566 .xword 0x0e08370a3eaf9a6d
17567 .xword 0x2a689ff12d7f7ff6
17568 .xword 0x8e49b12616d1195a
17569 .xword 0x99d87613e8e30de7
17570 .xword 0x0e9087838700cea7
17571 .xword 0x25474193c143776f
17572 .xword 0xb26ab5d8ccca2a21
17573 .xword 0xfd9aa6b6ccd11537
17574 .xword 0xfd03251c0ff3554f
17575 .xword 0xf75b35b8a6e24e5c
17576 .xword 0x95f5aea54caea888
17577 .xword 0x19393a42b5212ba9
17578 .xword 0x69473ddf69c8827f
17579 .xword 0x6cb238231d7a9398
17580 .xword 0x7e83161071b78588
17581 .xword 0xcec7141f6c734141
17582 .xword 0x2f22d393407292f6
17583 .xword 0xfd9953abda533f23
17584 .xword 0x5f53a96e19d9e5c8
17585 .xword 0xba152dab9380d4b3
17586 .xword 0x783784169b5041cf
17587 .xword 0x0391acb6bb68fe09
17588 .xword 0x8d24110e1586df9a
17589 .xword 0x42aec837249ad3ad
17590 .xword 0x432425c9cfaa7bda
17591 .xword 0xaa8fc80fc5b62b99
17592 .xword 0x85143adcdfc0f583
17593 .xword 0x0cedd2ddae10bc91
17594 .xword 0x61a880249e0840f0
17595 .xword 0xca9e794adeb05dfa
17596 .xword 0x03998b13dde183ac
17597 .xword 0xf762272e3c88334c
17598 .xword 0xd372c927bada186e
17599 .xword 0xa8ab54fe24f24ec8
17600 .xword 0xbddf37f3316314c4
17601 .xword 0x5ae943f3ac24ce4f
17602 .xword 0xe8a42b99ba521896
17603 .xword 0x812a1b962b374350
17604 .xword 0xf10c716ad6736049
17605 .xword 0xb443eb54a7c4b21e
17606 .xword 0x313a2833ef8ad555
17607 .xword 0x3d419d1d361c1866
17608 .xword 0x5247c3c1065bf0e9
17609 .xword 0xfbd8ae249ab18e5f
17610 .xword 0x245f3b91f2741ccf
17611 .xword 0xa8f8d0d57ee3fd2b
17612 .xword 0x30f84367c56aac5c
17613 .xword 0x353c5a2f68596443
17614 .xword 0xce9a7a6a11499b03
17615 .xword 0x44e35a44c54217d8
17616 .xword 0x55dd1ebfe8cebdc6
17617 .xword 0x2a01e7094aad3325
17618 .xword 0x7975b42093f4be74
17619 .xword 0xc259788ae1d4a4ce
17620 .xword 0x1074e830f59c69f6
17621 .xword 0x4aa82f0797e8dfe8
17622 .xword 0x5608d8ec851f4093
17623 .xword 0x5499426691f7e4da
17624 .xword 0x353614638325472a
17625 .xword 0x85965658039be9f5
17626 .xword 0xdf0c8218150022a0
17627 .xword 0x2fdb95e88fd11b64
17628 .xword 0x4e1ada5d498c19a6
17629 .xword 0x282d44e37f70569f
17630 .xword 0xfd45aeae660cfa7b
17631 .xword 0x84f07a925f437485
17632 .xword 0x06a8857bc4861c33
17633 .xword 0xdf92712b88a2f48f
17634 .xword 0x43aac2ac956eac1b
17635 .xword 0xcf1fa796cb05c0ce
17636 .xword 0xc38b1286cf665327
17637 .xword 0xfb4011832652c709
17638 .xword 0x59a98ac8ffa3ac8d
17639 .xword 0xe7284931fd331f03
17640 .xword 0xce6e077f87408c49
17641 .xword 0xd5563beed9399c1c
17642 .xword 0x1fe0be571978d656
17643 .xword 0xbd00ec86f84f0ef7
17644 .xword 0x8f0eb5b4139eb1fb
17645 .xword 0xa512a7d0ee004ecc
17646 .xword 0x886ab70c4e5d0460
17647 .xword 0x200a148d60cb6571
17648 .xword 0x591b61718b01824c
17649 .xword 0x1281505ad25627b1
17650 .xword 0x43cb097764a8fb05
17651 .xword 0x1a1e0eec44567b9d
17652 .xword 0x85279e0cb644f91e
17653 .xword 0xe001fc1b682ff10b
17654 .xword 0x337a9c53f788fedc
17655 .xword 0x8cc809c38440703c
17656 .xword 0x6aec47eb6c3b15e8
17657 .xword 0xce114c844e34edca
17658 .xword 0x0c0e0f26f7ed1e36
17659 .xword 0x2ad7c937268f85ca
17660 .xword 0xdb47a087b9ad07a1
17661 .xword 0x4723e3d79e534256
17662 .xword 0x4d49abadf7c84424
17663 .xword 0xec328c58a32e7d90
17664 .xword 0x3a9a72935c145cdc
17665 .xword 0x7fa969194b26495a
17666 .xword 0xc7f1ae084144fe50
17667 .xword 0xc4761d53b1a46d4b
17668 .xword 0x152742f7d94fbe14
17669 .xword 0x825217da5db102f0
17670 .xword 0x8481e6896cfc3d90
17671 .xword 0xaa96df5f92df22d3
17672 .xword 0x935f50484c81c242
17673 .xword 0x0c323c1cfc46eb2c
17674 .xword 0xfe1070129d125f91
17675 .xword 0x9b1569bce683a853
17676 .xword 0x3143fe637654ade7
17677 .xword 0x254091d10b59e965
17678 .xword 0xff7b2509b569bf6f
17679 .xword 0xb6310ed93808941c
17680 .xword 0x03e0571590088218
17681 .xword 0x97524f19b609c4fa
17682 .xword 0x415deb3864fe08e5
17683 .xword 0x57b6aeccdc8f753a
17684 .xword 0xf4185110821940f9
17685 .xword 0xec4cca7a7d5378eb
17686 .xword 0x8ab78875fa944e07
17687 .xword 0xa111df9247d190a2
17688 .xword 0x62f2e527e8724d15
17689 .xword 0x710c90499e3f2190
17690 .xword 0xcfc2054a07477a81
17691 .xword 0xc2ace2dc331e2f37
17692 .xword 0x7b269829cffdc166
17693 .xword 0xe77ba55c114a2e37
17694 .xword 0x6a47a91979aa9130
17695 .xword 0x16df3dbe463dd0bd
17696 .xword 0xe889c85744daf42d
17697 .xword 0xd10821f07ccbf1e0
17698 .xword 0x872922c6a5edfc84
17699 .xword 0xb7cef868826f1939
17700 .xword 0x22bf9285266808a1
17701 .xword 0x4a02f1eec21cdba5
17702 .xword 0x1299e8a2ce020595
17703 .xword 0xff12ef5377cf7626
17704 .xword 0xb84b9b5835fd1b8f
17705 .xword 0x4098b69ce921b3a3
17706 .xword 0x6df105461aa9c933
17707 .xword 0xd434ca04ed6716bb
17708 .xword 0xcf22f5ea88e8c2b8
17709 .xword 0x7e0bb6f9a839d933
17710 .xword 0x0edc5a72aef8b3c5
17711 .xword 0x5b1c23983ec4b1a4
17712 .xword 0xcb3b819500908edc
17713 .xword 0x838af12f1597ba8e
17714 .xword 0xe06e02ffd9a8fafd
17715 .xword 0xf06d756e0d8198c6
17716 .xword 0xfee4303f4ff12c46
17717 .xword 0x1444b64eb8148ca0
17718 .xword 0xa48a7d6bfac4ce8a
17719 .xword 0xd47c20c08a89a7de
17720 .xword 0x0ecebc6f1deaae5c
17721 .xword 0x84d16344a16cbd24
17722 .xword 0xb21ba066e8135e01
17723 .xword 0x5b675d94d13ded98
17724 .xword 0x51380322d52bd5e1
17725 .xword 0x68e2f3b4c5b42311
17726 .xword 0x51d9b7f6fe59a1bd
17727 .xword 0xfb54dc0da2a6feed
17728 .xword 0xf624982dba7f9025
17729 .xword 0xbf30a02a2d2fe6ef
17730 .xword 0xc0a3fb6fc0dbf7b2
17731 .xword 0x0c79009ab2725011
17732 .xword 0x08f676d9e7dae709
17733 .xword 0x2e1923e51c916b6b
17734 .xword 0xf03e92292661c000
17735 .xword 0xa08a2ffb00e7c001
17736 .xword 0x9798857dd4beae15
17737 .xword 0x6032f5d0bec3a055
17738 .xword 0xb1c0af04fb5e005f
17739 .xword 0x6bddc817754b0234
17740 .xword 0xbbac124e7ea09913
17741 .xword 0x09bb78a7523c5b0b
17742 .xword 0x9b5046661bd2e818
17743 .xword 0x9b9d7fc0c36d39bf
17744 .xword 0xdc500f53d4f73532
17745 .xword 0x6bf5ec3a914ea080
17746 .xword 0x8e0594c84cd28217
17747 .xword 0x00b75ce792cf6618
17748 .xword 0x2ac5fc67341348b4
17749 .xword 0xf60a5ee085a3de52
17750 .xword 0x1603951d474b7957
17751 .xword 0x1e76a196363a67c3
17752 .xword 0xf6d4bab8970fba12
17753 .xword 0xe6ac7a68e0edd2a0
17754 .xword 0x74f8fd90a5d54ab3
17755 .xword 0xb4e68324a491cc00
17756 .xword 0x4e33a2297db6636a
17757 .xword 0x9717d0a18189171a
17758 .xword 0x9eb10939e624f106
17759 .xword 0x8888376fbbc5c1ee
17760 .xword 0xec24cdcbd890ecc3
17761 .xword 0xcfbf8f9e8547eb3f
17762 .xword 0xa71afeee1cbc1c6d
17763 .xword 0xadf311a460d1b5e8
17764 .xword 0x2cf9e922f350898d
17765 .xword 0xe63715b7e12f6fde
17766 .xword 0x0e38e238d0d58fc5
17767 .xword 0xdf51c6639d1a4bee
17768 .xword 0xc79b8ab31b0b53e9
17769 .xword 0xad736e724963c998
17770 .xword 0x4048e81d795cc802
17771 .xword 0x5c5291a80f5c7c23
17772 .xword 0xa2315b18ecb3d008
17773 .xword 0xdcd70506579b6fdf
17774 .xword 0x39f4b5a8eebdd33b
17775 .xword 0x30f752c24ec58440
17776 .xword 0x1fe4647c5549043d
17777 .xword 0xa917da015f0efb17
17778 .xword 0x3ee137dea9d2a053
17779 .xword 0xf6a39822a762b66f
17780 .xword 0x5ed9bc46e78fa50b
17781 .xword 0x57112fee02d2d2ae
17782 .xword 0x3533b0054b524511
17783 .xword 0x8cb7443d6abb32f9
17784 .xword 0xeee21b62b61f1d9b
17785 .xword 0x2881622d104b8673
17786 .xword 0xb0b00c58ffe3997a
17787 .xword 0xf8a8fbdcac64efd2
17788 .xword 0xba5fe094c0c32888
17789 .xword 0xd1b6c6a1834a5174
17790 .xword 0x7641a8f242686492
17791 .xword 0xc54f63eee568cb9f
17792 .xword 0xbc508cf1d337e95d
17793 .xword 0xdf2f0ccedf838878
17794 .xword 0x9c20807ebe636ca5
17795 .xword 0xf3fe1063901754f5
17796 .xword 0x6260154acf922e72
17797 .xword 0xcd641eeaa3d2fb57
17798 .xword 0x66c780e5c343d09b
17799 .xword 0xad51c2f91fade74d
17800 .xword 0x36afb0f9ea1a3215
17801 .xword 0x8816cbfae6bb4005
17802 .xword 0xc701c0d291e0f139
17803 .xword 0x643e3aa93d76f329
17804 .xword 0xd49264f45918e99a
17805 .xword 0x8bb71db77b0edc4d
17806 .xword 0x1e0aef6a59b67fde
17807 .xword 0x5cae355c9b3d81ce
17808 .xword 0x0543a3a8810f4d5a
17809 .xword 0x7ff25090ee4fbde9
17810 .xword 0x1f1700950a2a0eb3
17811 .xword 0x4c54e7ea7b0e1e19
17812 .xword 0x79a5afb00a5bc38d
17813 .xword 0xc46aaac81432e6e8
17814 .xword 0x7dd38e2ea54a159d
17815.align 16
17816_t1_des_dest:
17817 .xword 0xDEADBEEFDEADBEEF
17818 .xword 0xDEADBEEFDEADBEEF
17819 .xword 0xDEADBEEFDEADBEEF
17820 .xword 0xDEADBEEFDEADBEEF
17821 .xword 0xDEADBEEFDEADBEEF
17822 .xword 0xDEADBEEFDEADBEEF
17823 .xword 0xDEADBEEFDEADBEEF
17824 .xword 0xDEADBEEFDEADBEEF
17825 .xword 0xDEADBEEFDEADBEEF
17826 .xword 0xDEADBEEFDEADBEEF
17827 .xword 0xDEADBEEFDEADBEEF
17828 .xword 0xDEADBEEFDEADBEEF
17829 .xword 0xDEADBEEFDEADBEEF
17830 .xword 0xDEADBEEFDEADBEEF
17831 .xword 0xDEADBEEFDEADBEEF
17832 .xword 0xDEADBEEFDEADBEEF
17833 .xword 0xDEADBEEFDEADBEEF
17834 .xword 0xDEADBEEFDEADBEEF
17835 .xword 0xDEADBEEFDEADBEEF
17836 .xword 0xDEADBEEFDEADBEEF
17837 .xword 0xDEADBEEFDEADBEEF
17838 .xword 0xDEADBEEFDEADBEEF
17839 .xword 0xDEADBEEFDEADBEEF
17840 .xword 0xDEADBEEFDEADBEEF
17841 .xword 0xDEADBEEFDEADBEEF
17842 .xword 0xDEADBEEFDEADBEEF
17843 .xword 0xDEADBEEFDEADBEEF
17844 .xword 0xDEADBEEFDEADBEEF
17845 .xword 0xDEADBEEFDEADBEEF
17846 .xword 0xDEADBEEFDEADBEEF
17847 .xword 0xDEADBEEFDEADBEEF
17848 .xword 0xDEADBEEFDEADBEEF
17849 .xword 0xDEADBEEFDEADBEEF
17850 .xword 0xDEADBEEFDEADBEEF
17851 .xword 0xDEADBEEFDEADBEEF
17852 .xword 0xDEADBEEFDEADBEEF
17853 .xword 0xDEADBEEFDEADBEEF
17854 .xword 0xDEADBEEFDEADBEEF
17855 .xword 0xDEADBEEFDEADBEEF
17856 .xword 0xDEADBEEFDEADBEEF
17857 .xword 0xDEADBEEFDEADBEEF
17858 .xword 0xDEADBEEFDEADBEEF
17859 .xword 0xDEADBEEFDEADBEEF
17860 .xword 0xDEADBEEFDEADBEEF
17861 .xword 0xDEADBEEFDEADBEEF
17862 .xword 0xDEADBEEFDEADBEEF
17863 .xword 0xDEADBEEFDEADBEEF
17864 .xword 0xDEADBEEFDEADBEEF
17865 .xword 0xDEADBEEFDEADBEEF
17866 .xword 0xDEADBEEFDEADBEEF
17867 .xword 0xDEADBEEFDEADBEEF
17868 .xword 0xDEADBEEFDEADBEEF
17869 .xword 0xDEADBEEFDEADBEEF
17870 .xword 0xDEADBEEFDEADBEEF
17871 .xword 0xDEADBEEFDEADBEEF
17872 .xword 0xDEADBEEFDEADBEEF
17873 .xword 0xDEADBEEFDEADBEEF
17874 .xword 0xDEADBEEFDEADBEEF
17875 .xword 0xDEADBEEFDEADBEEF
17876 .xword 0xDEADBEEFDEADBEEF
17877 .xword 0xDEADBEEFDEADBEEF
17878 .xword 0xDEADBEEFDEADBEEF
17879 .xword 0xDEADBEEFDEADBEEF
17880 .xword 0xDEADBEEFDEADBEEF
17881 .xword 0xDEADBEEFDEADBEEF
17882 .xword 0xDEADBEEFDEADBEEF
17883 .xword 0xDEADBEEFDEADBEEF
17884 .xword 0xDEADBEEFDEADBEEF
17885 .xword 0xDEADBEEFDEADBEEF
17886 .xword 0xDEADBEEFDEADBEEF
17887 .xword 0xDEADBEEFDEADBEEF
17888 .xword 0xDEADBEEFDEADBEEF
17889 .xword 0xDEADBEEFDEADBEEF
17890 .xword 0xDEADBEEFDEADBEEF
17891 .xword 0xDEADBEEFDEADBEEF
17892 .xword 0xDEADBEEFDEADBEEF
17893 .xword 0xDEADBEEFDEADBEEF
17894 .xword 0xDEADBEEFDEADBEEF
17895 .xword 0xDEADBEEFDEADBEEF
17896 .xword 0xDEADBEEFDEADBEEF
17897 .xword 0xDEADBEEFDEADBEEF
17898 .xword 0xDEADBEEFDEADBEEF
17899 .xword 0xDEADBEEFDEADBEEF
17900 .xword 0xDEADBEEFDEADBEEF
17901 .xword 0xDEADBEEFDEADBEEF
17902 .xword 0xDEADBEEFDEADBEEF
17903 .xword 0xDEADBEEFDEADBEEF
17904 .xword 0xDEADBEEFDEADBEEF
17905 .xword 0xDEADBEEFDEADBEEF
17906 .xword 0xDEADBEEFDEADBEEF
17907 .xword 0xDEADBEEFDEADBEEF
17908 .xword 0xDEADBEEFDEADBEEF
17909 .xword 0xDEADBEEFDEADBEEF
17910 .xword 0xDEADBEEFDEADBEEF
17911 .xword 0xDEADBEEFDEADBEEF
17912 .xword 0xDEADBEEFDEADBEEF
17913 .xword 0xDEADBEEFDEADBEEF
17914 .xword 0xDEADBEEFDEADBEEF
17915 .xword 0xDEADBEEFDEADBEEF
17916 .xword 0xDEADBEEFDEADBEEF
17917 .xword 0xDEADBEEFDEADBEEF
17918 .xword 0xDEADBEEFDEADBEEF
17919 .xword 0xDEADBEEFDEADBEEF
17920 .xword 0xDEADBEEFDEADBEEF
17921 .xword 0xDEADBEEFDEADBEEF
17922 .xword 0xDEADBEEFDEADBEEF
17923 .xword 0xDEADBEEFDEADBEEF
17924 .xword 0xDEADBEEFDEADBEEF
17925 .xword 0xDEADBEEFDEADBEEF
17926 .xword 0xDEADBEEFDEADBEEF
17927 .xword 0xDEADBEEFDEADBEEF
17928 .xword 0xDEADBEEFDEADBEEF
17929 .xword 0xDEADBEEFDEADBEEF
17930 .xword 0xDEADBEEFDEADBEEF
17931 .xword 0xDEADBEEFDEADBEEF
17932 .xword 0xDEADBEEFDEADBEEF
17933 .xword 0xDEADBEEFDEADBEEF
17934 .xword 0xDEADBEEFDEADBEEF
17935 .xword 0xDEADBEEFDEADBEEF
17936 .xword 0xDEADBEEFDEADBEEF
17937 .xword 0xDEADBEEFDEADBEEF
17938 .xword 0xDEADBEEFDEADBEEF
17939 .xword 0xDEADBEEFDEADBEEF
17940 .xword 0xDEADBEEFDEADBEEF
17941 .xword 0xDEADBEEFDEADBEEF
17942 .xword 0xDEADBEEFDEADBEEF
17943 .xword 0xDEADBEEFDEADBEEF
17944 .xword 0xDEADBEEFDEADBEEF
17945 .xword 0xDEADBEEFDEADBEEF
17946 .xword 0xDEADBEEFDEADBEEF
17947 .xword 0xDEADBEEFDEADBEEF
17948 .xword 0xDEADBEEFDEADBEEF
17949 .xword 0xDEADBEEFDEADBEEF
17950 .xword 0xDEADBEEFDEADBEEF
17951 .xword 0xDEADBEEFDEADBEEF
17952 .xword 0xDEADBEEFDEADBEEF
17953 .xword 0xDEADBEEFDEADBEEF
17954 .xword 0xDEADBEEFDEADBEEF
17955 .xword 0xDEADBEEFDEADBEEF
17956 .xword 0xDEADBEEFDEADBEEF
17957 .xword 0xDEADBEEFDEADBEEF
17958 .xword 0xDEADBEEFDEADBEEF
17959 .xword 0xDEADBEEFDEADBEEF
17960 .xword 0xDEADBEEFDEADBEEF
17961 .xword 0xDEADBEEFDEADBEEF
17962 .xword 0xDEADBEEFDEADBEEF
17963 .xword 0xDEADBEEFDEADBEEF
17964 .xword 0xDEADBEEFDEADBEEF
17965 .xword 0xDEADBEEFDEADBEEF
17966 .xword 0xDEADBEEFDEADBEEF
17967 .xword 0xDEADBEEFDEADBEEF
17968 .xword 0xDEADBEEFDEADBEEF
17969 .xword 0xDEADBEEFDEADBEEF
17970 .xword 0xDEADBEEFDEADBEEF
17971 .xword 0xDEADBEEFDEADBEEF
17972 .xword 0xDEADBEEFDEADBEEF
17973 .xword 0xDEADBEEFDEADBEEF
17974 .xword 0xDEADBEEFDEADBEEF
17975 .xword 0xDEADBEEFDEADBEEF
17976 .xword 0xDEADBEEFDEADBEEF
17977 .xword 0xDEADBEEFDEADBEEF
17978 .xword 0xDEADBEEFDEADBEEF
17979 .xword 0xDEADBEEFDEADBEEF
17980 .xword 0xDEADBEEFDEADBEEF
17981 .xword 0xDEADBEEFDEADBEEF
17982 .xword 0xDEADBEEFDEADBEEF
17983 .xword 0xDEADBEEFDEADBEEF
17984 .xword 0xDEADBEEFDEADBEEF
17985 .xword 0xDEADBEEFDEADBEEF
17986 .xword 0xDEADBEEFDEADBEEF
17987 .xword 0xDEADBEEFDEADBEEF
17988 .xword 0xDEADBEEFDEADBEEF
17989 .xword 0xDEADBEEFDEADBEEF
17990 .xword 0xDEADBEEFDEADBEEF
17991 .xword 0xDEADBEEFDEADBEEF
17992 .xword 0xDEADBEEFDEADBEEF
17993 .xword 0xDEADBEEFDEADBEEF
17994 .xword 0xDEADBEEFDEADBEEF
17995 .xword 0xDEADBEEFDEADBEEF
17996 .xword 0xDEADBEEFDEADBEEF
17997 .xword 0xDEADBEEFDEADBEEF
17998 .xword 0xDEADBEEFDEADBEEF
17999 .xword 0xDEADBEEFDEADBEEF
18000 .xword 0xDEADBEEFDEADBEEF
18001 .xword 0xDEADBEEFDEADBEEF
18002 .xword 0xDEADBEEFDEADBEEF
18003 .xword 0xDEADBEEFDEADBEEF
18004 .xword 0xDEADBEEFDEADBEEF
18005 .xword 0xDEADBEEFDEADBEEF
18006 .xword 0xDEADBEEFDEADBEEF
18007 .xword 0xDEADBEEFDEADBEEF
18008 .xword 0xDEADBEEFDEADBEEF
18009 .xword 0xDEADBEEFDEADBEEF
18010 .xword 0xDEADBEEFDEADBEEF
18011 .xword 0xDEADBEEFDEADBEEF
18012 .xword 0xDEADBEEFDEADBEEF
18013 .xword 0xDEADBEEFDEADBEEF
18014 .xword 0xDEADBEEFDEADBEEF
18015 .xword 0xDEADBEEFDEADBEEF
18016 .xword 0xDEADBEEFDEADBEEF
18017 .xword 0xDEADBEEFDEADBEEF
18018 .xword 0xDEADBEEFDEADBEEF
18019 .xword 0xDEADBEEFDEADBEEF
18020 .xword 0xDEADBEEFDEADBEEF
18021 .xword 0xDEADBEEFDEADBEEF
18022 .xword 0xDEADBEEFDEADBEEF
18023 .xword 0xDEADBEEFDEADBEEF
18024 .xword 0xDEADBEEFDEADBEEF
18025 .xword 0xDEADBEEFDEADBEEF
18026 .xword 0xDEADBEEFDEADBEEF
18027 .xword 0xDEADBEEFDEADBEEF
18028 .xword 0xDEADBEEFDEADBEEF
18029 .xword 0xDEADBEEFDEADBEEF
18030 .xword 0xDEADBEEFDEADBEEF
18031 .xword 0xDEADBEEFDEADBEEF
18032 .xword 0xDEADBEEFDEADBEEF
18033 .xword 0xDEADBEEFDEADBEEF
18034 .xword 0xDEADBEEFDEADBEEF
18035 .xword 0xDEADBEEFDEADBEEF
18036 .xword 0xDEADBEEFDEADBEEF
18037 .xword 0xDEADBEEFDEADBEEF
18038 .xword 0xDEADBEEFDEADBEEF
18039 .xword 0xDEADBEEFDEADBEEF
18040 .xword 0xDEADBEEFDEADBEEF
18041 .xword 0xDEADBEEFDEADBEEF
18042 .xword 0xDEADBEEFDEADBEEF
18043 .xword 0xDEADBEEFDEADBEEF
18044 .xword 0xDEADBEEFDEADBEEF
18045 .xword 0xDEADBEEFDEADBEEF
18046 .xword 0xDEADBEEFDEADBEEF
18047 .xword 0xDEADBEEFDEADBEEF
18048 .xword 0xDEADBEEFDEADBEEF
18049 .xword 0xDEADBEEFDEADBEEF
18050 .xword 0xDEADBEEFDEADBEEF
18051 .xword 0xDEADBEEFDEADBEEF
18052 .xword 0xDEADBEEFDEADBEEF
18053 .xword 0xDEADBEEFDEADBEEF
18054 .xword 0xDEADBEEFDEADBEEF
18055 .xword 0xDEADBEEFDEADBEEF
18056 .xword 0xDEADBEEFDEADBEEF
18057 .xword 0xDEADBEEFDEADBEEF
18058 .xword 0xDEADBEEFDEADBEEF
18059 .xword 0xDEADBEEFDEADBEEF
18060 .xword 0xDEADBEEFDEADBEEF
18061 .xword 0xDEADBEEFDEADBEEF
18062 .xword 0xDEADBEEFDEADBEEF
18063 .xword 0xDEADBEEFDEADBEEF
18064 .xword 0xDEADBEEFDEADBEEF
18065 .xword 0xDEADBEEFDEADBEEF
18066 .xword 0xDEADBEEFDEADBEEF
18067 .xword 0xDEADBEEFDEADBEEF
18068 .xword 0xDEADBEEFDEADBEEF
18069 .xword 0xDEADBEEFDEADBEEF
18070 .xword 0xDEADBEEFDEADBEEF
18071 .xword 0xDEADBEEFDEADBEEF
18072 .xword 0xDEADBEEFDEADBEEF
18073 .xword 0xDEADBEEFDEADBEEF
18074 .xword 0xDEADBEEFDEADBEEF
18075 .xword 0xDEADBEEFDEADBEEF
18076 .xword 0xDEADBEEFDEADBEEF
18077 .xword 0xDEADBEEFDEADBEEF
18078 .xword 0xDEADBEEFDEADBEEF
18079 .xword 0xDEADBEEFDEADBEEF
18080 .xword 0xDEADBEEFDEADBEEF
18081 .xword 0xDEADBEEFDEADBEEF
18082 .xword 0xDEADBEEFDEADBEEF
18083 .xword 0xDEADBEEFDEADBEEF
18084 .xword 0xDEADBEEFDEADBEEF
18085 .xword 0xDEADBEEFDEADBEEF
18086 .xword 0xDEADBEEFDEADBEEF
18087 .xword 0xDEADBEEFDEADBEEF
18088 .xword 0xDEADBEEFDEADBEEF
18089 .xword 0xDEADBEEFDEADBEEF
18090 .xword 0xDEADBEEFDEADBEEF
18091 .xword 0xDEADBEEFDEADBEEF
18092.align 16
18093_t1_des_auth_key:
18094 .xword 0x5165598f6353e1c2
18095 .xword 0xd86035dcd988cf65
18096 .xword 0x74bd4f5bb293a011
18097 .xword 0x4b3b2dc276e82877
18098 .xword 0x5c5f3eb3ddd004ab
18099 .xword 0x03a90fe6fe97d49d
18100 .xword 0x1cf2dccca712c764
18101 .xword 0x01d7fff76aebcb16
18102 .xword 0xb9d65f9a633a1a5b
18103 .xword 0x156e8b18d19ba8ad
18104 .xword 0x6013c3303ca3c5c8
18105 .xword 0xc9bb17efbf00b2e9
18106 .xword 0xc59e19b7cb6de62d
18107 .xword 0x6afdab66dbcf5a4a
18108 .xword 0x20679b0b998cfd72
18109 .xword 0x78606d7d2903db92
18110 .xword 0x0124d9b789e69cd9
18111 .xword 0x5f5480e32689bc63
18112 .xword 0xb9aa895b42404f14
18113 .xword 0xa40b5f3490f8e914
18114 .xword 0xcb84b0ffa835f715
18115 .xword 0xf8e265343d8b1ec6
18116 .xword 0xe922710e79fec903
18117.align 16
18118_t1_des_auth_iv:
18119 .xword 0x7329df3d42f2ff52
18120 .xword 0xedfd524957f62214
18121 .xword 0x054a334b05d2c546
18122 .xword 0x25a73c6f4e744ace
18123 .xword 0x64c8b66294902b7a
18124 .xword 0x186e673dcb592a4d
18125 .xword 0xa85572c1e5a7d8ff
18126 .xword 0x08ddbbf9647769d0
18127 .xword 0x54aba6c45862edb6
18128 .xword 0x8a8329fad05f2b80
18129 .xword 0x8115d9edb1115538
18130 .xword 0xc4fe5fe67a0825cb
18131 .xword 0x19ae53b27e823229
18132 .xword 0x355b3bd55742eefb
18133 .xword 0x362b036b74e61480
18134 .xword 0x9f1fa0b53e511029
18135 .xword 0xdd0ebe16bf4f2e8c
18136 .xword 0x085a8689d011dca4
18137 .xword 0x0189b885d33a5d51
18138 .xword 0x24e74ef829a476ff
18139 .xword 0x1af8dce56207e6c2
18140 .xword 0x6ba5f2b30f68aad9
18141 .xword 0xc1fbbeac8a1cb9b6
18142.align 16
18143_t1_des_fas_result:
18144 .xword 0xDEADBEEFDEADBEEF
18145 .xword 0xDEADBEEFDEADBEEF
18146 .xword 0xDEADBEEFDEADBEEF
18147 .xword 0xDEADBEEFDEADBEEF
18148 .xword 0xDEADBEEFDEADBEEF
18149 .xword 0xDEADBEEFDEADBEEF
18150 .xword 0xDEADBEEFDEADBEEF
18151 .xword 0xDEADBEEFDEADBEEF
18152 .xword 0xDEADBEEFDEADBEEF
18153 .xword 0xDEADBEEFDEADBEEF
18154 .xword 0xDEADBEEFDEADBEEF
18155 .xword 0xDEADBEEFDEADBEEF
18156 .xword 0xDEADBEEFDEADBEEF
18157 .xword 0xDEADBEEFDEADBEEF
18158 .xword 0xDEADBEEFDEADBEEF
18159 .xword 0xDEADBEEFDEADBEEF
18160 .xword 0xDEADBEEFDEADBEEF
18161 .xword 0xDEADBEEFDEADBEEF
18162 .xword 0xDEADBEEFDEADBEEF
18163 .xword 0xDEADBEEFDEADBEEF
18164 .xword 0xDEADBEEFDEADBEEF
18165 .xword 0xDEADBEEFDEADBEEF
18166 .xword 0xDEADBEEFDEADBEEF
18167.align 16
18168_t1_copy_key_array:
18169 .xword 0x2479ebb61488bfb6
18170 .xword 0xb75aa74bbcb4a897
18171 .xword 0xf5c24d646773907e
18172 .xword 0x2b2dac80cde751e6
18173 .xword 0x723323c9ea8dc213
18174 .xword 0xe12859adadc60501
18175 .xword 0x7f9513549992c639
18176 .xword 0xb89317d1118612fb
18177 .xword 0x604265e97c6ae9da
18178 .xword 0x087fdd00f0befb1b
18179 .xword 0xc1dbcba2d092f534
18180 .xword 0x3031b57f95fd0bc7
18181 .xword 0x182069eb3f114835
18182 .xword 0xd15456175b937eda
18183 .xword 0x742795bea677a7c9
18184 .xword 0x22d9208fd1f8fb4c
18185 .xword 0x43c953de54fc3209
18186 .xword 0x11681ec50cc11557
18187 .xword 0x930797cea8c4550a
18188 .xword 0x43285f2787a48054
18189 .xword 0xc780b430d651cb71
18190 .xword 0xec1faed78831bbdd
18191 .xword 0xd2b00794fc1f16e8
18192 .xword 0xd3dec81e096a5b40
18193 .xword 0x54bd2a4cde46130e
18194 .xword 0xc0a3d84b16a6b903
18195 .xword 0x1bfa9be2097e8a5f
18196 .xword 0xcf3ba5589a369891
18197 .xword 0x43978ef4545d7189
18198 .xword 0x39c94ed0c7740b58
18199 .xword 0x270fa0d0b37c309f
18200 .xword 0x7556bce425702bab
18201 .xword 0xcf509437e8a9a01c
18202 .xword 0x3c55ff40eb6943b8
18203 .xword 0x3aca7d0fa98df5e9
18204 .xword 0xa12698b5857b3484
18205 .xword 0x564f88b53db1dc34
18206 .xword 0x09d63a71cb805e56
18207 .xword 0xf39e86c32616674a
18208 .xword 0x96e6913757de8e51
18209 .xword 0xdf0a0419f504c261
18210 .xword 0x15a45e2421a90337
18211 .xword 0x1b0f7e2cd67bef52
18212 .xword 0xd4464fe5241c8735
18213 .xword 0x0cb8ce9f08fd45fb
18214 .xword 0xfd3f8ceb0b304d85
18215 .xword 0x58bc89976dde2c4e
18216 .xword 0xc6e1e82473654a6e
18217 .xword 0x65abaeb6d3a9d270
18218 .xword 0x41f3365546732113
18219 .xword 0x6765246b38b08bec
18220.align 16
18221_t1_copy_iv_array:
18222 .xword 0xaa418c4ca6056670
18223 .xword 0x8dbbbd6e37034a19
18224 .xword 0x952fbc60dc7e238b
18225 .xword 0xf928329b670ac57c
18226 .xword 0x1fe6930a5e81382d
18227 .xword 0x59d20eb0622f76f0
18228 .xword 0xa10d83a921228566
18229 .xword 0xb2939b3c9c53c1db
18230 .xword 0x39f99494d751bcfc
18231 .xword 0xe5e11bc9dff6c681
18232 .xword 0x8f7e6eb3f493407b
18233 .xword 0x67c4a235acb40439
18234 .xword 0x46004ee8820067b0
18235 .xword 0xfd035c1b8367bc10
18236 .xword 0xc9844b35477108c9
18237 .xword 0xb92b4adf5de89c97
18238 .xword 0x07f8155464398feb
18239 .xword 0x52923c667fbb870c
18240 .xword 0xe9507d00073317c3
18241 .xword 0xa847aed3382aa999
18242 .xword 0x707f8d15d37aa33c
18243 .xword 0x857b49f67b53cc21
18244 .xword 0xb5cee1aca453df36
18245 .xword 0x4182c341ebb96c5a
18246 .xword 0xa46a94bfe00fe4a9
18247 .xword 0xee941ee36527ad09
18248 .xword 0x2e580eeea8806a82
18249 .xword 0x24f1bf988a29e3d2
18250 .xword 0xe6d52995eff6ed0d
18251 .xword 0xf0864376c8b0dc8d
18252 .xword 0xb66f566e2e9b1ebb
18253 .xword 0xe7cae0fa99c59120
18254 .xword 0x64a0dbe62c03846b
18255 .xword 0x08806a523a1ba757
18256 .xword 0xba3c03b66d58ef48
18257 .xword 0x55f4025e64dd2e25
18258 .xword 0xffe95edf814e0921
18259 .xword 0xb42c2c38994abf9c
18260 .xword 0xbe66dc9806c0f1a8
18261 .xword 0xfbc26d1616bec5ad
18262 .xword 0xab5244212021b465
18263 .xword 0xaf008f161ee83258
18264 .xword 0xde5801a01f335680
18265 .xword 0x0bc401162b757f3c
18266 .xword 0x4396aac977c9f458
18267.align 16
18268_t1_copy_alignment_array:
18269 .xword 6
18270 .xword 10
18271 .xword 15
18272 .xword 1
18273 .xword 9
18274 .xword 13
18275 .xword 12
18276 .xword 4
18277 .xword 11
18278 .xword 4
18279 .xword 11
18280 .xword 2
18281 .xword 1
18282 .xword 0
18283 .xword 8
18284 .xword 1
18285 .xword 3
18286 .xword 4
18287 .xword 14
18288 .xword 6
18289 .xword 1
18290 .xword 8
18291 .xword 5
18292 .xword 14
18293 .xword 9
18294 .xword 3
18295 .xword 12
18296 .xword 13
18297 .xword 13
18298 .xword 8
18299 .xword 15
18300 .xword 0
18301 .xword 10
18302 .xword 5
18303 .xword 15
18304 .xword 5
18305 .xword 11
18306 .xword 0
18307 .xword 2
18308 .xword 14
18309 .xword 4
18310 .xword 8
18311 .xword 5
18312 .xword 15
18313 .xword 14
18314 .xword 3
18315 .xword 4
18316 .xword 11
18317 .xword 0
18318 .xword 15
18319 .xword 6
18320 .xword 9
18321 .xword 5
18322 .xword 10
18323 .xword 3
18324 .xword 11
18325 .xword 13
18326 .xword 10
18327 .xword 3
18328 .xword 10
18329 .xword 14
18330 .xword 15
18331 .xword 0
18332 .xword 15
18333 .xword 4
18334 .xword 6
18335 .xword 12
18336 .xword 1
18337 .xword 14
18338 .xword 9
18339 .xword 7
18340 .xword 5
18341 .xword 14
18342 .xword 11
18343 .xword 3
18344 .xword 9
18345 .xword 3
18346 .xword 6
18347 .xword 8
18348 .xword 4
18349 .xword 3
18350 .xword 8
18351 .xword 8
18352 .xword 9
18353 .xword 10
18354 .xword 8
18355 .xword 11
18356 .xword 0
18357 .xword 10
18358 .xword 5
18359 .xword 6
18360 .xword 1
18361 .xword 3
18362 .xword 7
18363 .xword 13
18364 .xword 15
18365 .xword 14
18366 .xword 1
18367 .xword 13
18368 .xword 2
18369 .xword 5
18370 .xword 7
18371 .xword 6
18372 .xword 3
18373 .xword 8
18374.align 16
18375_t1_copy_src:
18376 .xword 0x14e99d8bb8c0a479
18377 .xword 0xb2b2bba74a08f531
18378 .xword 0xd2034a6fc400c321
18379 .xword 0xe8ae7b08203745fc
18380 .xword 0xcb19cefb3ffbfedb
18381 .xword 0x24b2a903aea72d71
18382 .xword 0x66208b9a8af22911
18383 .xword 0xf08eae5c44d8ded4
18384 .xword 0x0f15ce3d82235ad3
18385 .xword 0x50303309b04bdc6d
18386 .xword 0xfdc5eaba2dec1b41
18387 .xword 0x68d0c0e88a58ef6b
18388 .xword 0x7207e12f8620d3dd
18389 .xword 0xa41545bfd9e5b26c
18390 .xword 0x2372de365532a7d5
18391 .xword 0x802a8b034004a28d
18392 .xword 0x09064063291b2cb7
18393 .xword 0x9863d5b123b3af40
18394 .xword 0x428bfc60f65f5cf2
18395 .xword 0x33bd39615bafe200
18396 .xword 0xea84ece559556aa4
18397 .xword 0x60fe39f1447427de
18398 .xword 0x923ac97ca6d50414
18399 .xword 0x5610b2550919ed81
18400 .xword 0x96ff21837691a3da
18401 .xword 0xdfe4d3ac4d473b77
18402 .xword 0xa9101505a66728df
18403 .xword 0x0629577ff07e1780
18404 .xword 0x48ca1914ca067144
18405 .xword 0xa81c5e6d265f0653
18406 .xword 0xbb1ed57ec8fff911
18407 .xword 0xf883b039169844ac
18408 .xword 0x54e121099f5dbb49
18409 .xword 0x6f1b9c5b9a79087d
18410 .xword 0x220a66751c81f00d
18411 .xword 0x23a4518d1a3131ee
18412 .xword 0x9c43f7a82231cb31
18413 .xword 0x82eb4a08cc767af1
18414 .xword 0xd9cfe6e9b1201bd1
18415 .xword 0x8a4d53782fb7085f
18416 .xword 0xbd59cd108077456b
18417 .xword 0x6d1b4c7fa84dcc98
18418 .xword 0xfed52ea789c0804f
18419 .xword 0xf4f12018c8e2ae1e
18420 .xword 0xdbbb5aa33593b3e1
18421 .xword 0x27f55ac2542495d5
18422 .xword 0xc7d82a6e524d4916
18423 .xword 0x2f004b620baa9c9a
18424 .xword 0x69f0c2e2be29e0d9
18425 .xword 0x39d847101920bdf5
18426 .xword 0x804c759ad9ce2eae
18427 .xword 0x3f77dc2392527bde
18428 .xword 0x89697589577675cd
18429 .xword 0x1fa429e7dda72fce
18430 .xword 0xf5d6533798e1588a
18431 .xword 0x40e3c62633054a60
18432 .xword 0x4df346be7b3a937b
18433 .xword 0x78df4101e7a9e036
18434 .xword 0xb77f9a0616d2c240
18435 .xword 0xd61fc9979222d89f
18436 .xword 0x1b283adda7811c62
18437 .xword 0xf7ed0632eff30799
18438 .xword 0xfdb7dd7735c9bfca
18439 .xword 0xc87529fd63c92f3f
18440 .xword 0x2d6fe82b3e3102b3
18441 .xword 0x0f848ba614ac8c8d
18442 .xword 0x5e6b69d3a0f848da
18443 .xword 0xf0608d9fb5c749b4
18444 .xword 0x64def7eaf7f8ab87
18445 .xword 0x9d05e8248b2af942
18446 .xword 0xa03d17aaf8810a3c
18447 .xword 0x4182e4255079a282
18448 .xword 0xc85fac2a6bd09d67
18449 .xword 0x749b1a695b5d5cf4
18450 .xword 0x1d68a296f965b1b2
18451 .xword 0xca848febbaa8d5a8
18452 .xword 0xa5d4294c759aed60
18453 .xword 0xdb53a92d9aaf72a6
18454 .xword 0xd4913d1160bce72b
18455 .xword 0x8ec3175974f047cb
18456 .xword 0xf96aaeb9ff164d19
18457 .xword 0x57efc37b52edcfb7
18458 .xword 0xcc9733b041b2ce01
18459 .xword 0xa52b768f568562f1
18460 .xword 0x608b98fd021253d5
18461 .xword 0xdafe8da0d1b3356e
18462 .xword 0xa2061621c10f7b03
18463 .xword 0x10a5ed5c60ce84fd
18464 .xword 0xf97fa21f1446324f
18465 .xword 0xea59413b148fe827
18466 .xword 0x1ebbd9e1e94d21cb
18467 .xword 0x499872c0c94c6b52
18468 .xword 0xe691344c1ea3f937
18469 .xword 0xc8171efbf2ee15e7
18470 .xword 0xec6ee88d913fd3f4
18471 .xword 0x1e14757afc74d312
18472 .xword 0x268a68cda5898e4d
18473 .xword 0xba47fe608ea7b734
18474 .xword 0x61547815f5b89b34
18475 .xword 0xbc6ad8a30d78789b
18476 .xword 0x94faf34d535e98c9
18477 .xword 0x9216ce650a803dc0
18478 .xword 0x70ee6ba8dce3be21
18479 .xword 0x226b6705bbd9374e
18480 .xword 0x3025c08b4fcc6012
18481 .xword 0x541c8d0c3fd7929a
18482 .xword 0xe16eef777274e556
18483 .xword 0x532c4be1644f3bd7
18484 .xword 0xf31779a91905ef41
18485 .xword 0xd1d50f440daa8c94
18486 .xword 0xca672185b93918b9
18487 .xword 0xabbd92c8a016fab6
18488 .xword 0x4b782f0ec2202cb8
18489 .xword 0xeb9acea6b87bd686
18490 .xword 0x598f3a210155faa2
18491 .xword 0x83d0d0a8a0e6e949
18492 .xword 0xb7d4b999c0300030
18493 .xword 0xd46a3148ae6daaaa
18494 .xword 0x350b5f93b5a4806f
18495 .xword 0xc1c3a5793c577cb7
18496 .xword 0x5b64368c01669d8a
18497 .xword 0x2f7359e2deb15fef
18498 .xword 0x6fd71194a271e2e5
18499 .xword 0x41d9f9dacf157a3d
18500 .xword 0xea71861d3d2940fa
18501 .xword 0xc32789f02886a18f
18502 .xword 0xcb8166f3ffb612ae
18503 .xword 0xc88d8ac019cef3c8
18504 .xword 0xd2dd7a569fa1b123
18505 .xword 0x699af56d733ce94b
18506 .xword 0x31635da016c2d27f
18507 .xword 0x004f056d8a6de825
18508 .xword 0x56ce9bc90e4a0277
18509 .xword 0x4170427d84303a13
18510 .xword 0x5a3f0b25a0fc0c37
18511 .xword 0xb74de62a27e4b051
18512 .xword 0x3a0bb794b2623b0b
18513 .xword 0x9822ea9a31541876
18514 .xword 0xff4f4604654b8bfd
18515 .xword 0xe10b884c6d42e5bc
18516 .xword 0x4f2d716ce9d99650
18517 .xword 0x9a95712716fc4023
18518 .xword 0x4cc04f57dc261e72
18519 .xword 0x773065bff5782c8d
18520 .xword 0xdbe572b299c1deb1
18521 .xword 0xab2505b03794b281
18522 .xword 0x42565b07c7747f11
18523 .xword 0x30a8adbfb660c58f
18524 .xword 0xecbd8fcd1cf9cced
18525 .xword 0x42fe7befdb9ba579
18526 .xword 0x5923bf7b9ec22153
18527 .xword 0xf5c6956025801d27
18528 .xword 0x425f2644299aebdd
18529 .xword 0xef9ca5ca0cb9da79
18530 .xword 0x8803009c037f5454
18531 .xword 0xccd8dd6d81a35425
18532 .xword 0x5769307ea917c052
18533 .xword 0x0738709b9750d3e2
18534 .xword 0x8bfdc615d55365fd
18535 .xword 0xef53e36ca560cfa6
18536 .xword 0x7ee9f39e191d8c7c
18537 .xword 0x43a7440aad3ac6bc
18538 .xword 0x4641f0168e80188d
18539 .xword 0x577b8350e15e9014
18540 .xword 0xa62756ee03f1bc79
18541 .xword 0x8a6627b382b2ec6a
18542 .xword 0xd98e84be3a1fe6ae
18543 .xword 0x91e31df84f21d076
18544 .xword 0x0e801a49250b9d9e
18545 .xword 0x9fea6cd71ef18821
18546 .xword 0x213f065237f53b08
18547 .xword 0x2669d26c1fdefb4f
18548 .xword 0x9cb0c91f778d31bc
18549 .xword 0x306a38aab4903298
18550 .xword 0x34f2410515d79f4f
18551 .xword 0xdb35e8ee15a7a1b6
18552 .xword 0x2f0fd8b5d4e054f4
18553 .xword 0x52e24e2a0c6a1039
18554 .xword 0xc159695a6771fd6a
18555 .xword 0xf76d8cb74fc9e1d4
18556 .xword 0x7ec748cf1142982a
18557 .xword 0x71ff246fe62a9152
18558 .xword 0xc26d44d7fba5ee67
18559 .xword 0x53c899a2cc8a350e
18560 .xword 0x215080f04504aea9
18561 .xword 0xeb040f8373b65399
18562 .xword 0xba764a9da450b26b
18563 .xword 0x377167defd758e86
18564 .xword 0x31e6e3c087feccee
18565 .xword 0x6d948f76b0de9c24
18566 .xword 0x4b6b7df221b78866
18567 .xword 0x5ea2bc9f81217bb2
18568 .xword 0xe76f92ad3d27d2df
18569 .xword 0xaafce1dd6979bbc2
18570 .xword 0xf85316d98ee3c97a
18571 .xword 0xd755f642771be0c3
18572 .xword 0x349befbf0baedf78
18573 .xword 0x1f2c559960437a14
18574 .xword 0xdb7563667487091c
18575 .xword 0xe5bb0c9b710113b2
18576 .xword 0x188b7fbbbf248ff7
18577 .xword 0xfefa1b643799d676
18578 .xword 0x794033bfbfe98e82
18579 .xword 0x0599c597e829dfc1
18580 .xword 0xe4a68e1fed238028
18581 .xword 0xb190f6a21e5887a6
18582 .xword 0x57895e7b04884cf8
18583 .xword 0x025d74886790c710
18584 .xword 0xb38fb0ff78880f7e
18585 .xword 0xcf6817dc6c1766c1
18586 .xword 0x244ba903ea397a4e
18587 .xword 0xa5debf2eae393edd
18588 .xword 0x4336968c52675d4e
18589 .xword 0x0224768f375fcd38
18590 .xword 0x8299fe9f83ed2339
18591 .xword 0x08f336e81f7103d8
18592 .xword 0xb8060bca6840dcb6
18593 .xword 0x4b3492aea698297b
18594 .xword 0x6003e0afbaee4dff
18595 .xword 0x4e4339fd5201b484
18596 .xword 0x346b6cf881f6331d
18597 .xword 0x48534a3afe1af13f
18598 .xword 0x34611ed88c046c0f
18599 .xword 0xb6e468a1cbcd0e37
18600 .xword 0x1ad0425f18c9e2c6
18601 .xword 0x4f44efd1d73f3b0e
18602 .xword 0x4064df080c5e2c50
18603 .xword 0x8e8fd5515e6f1b32
18604 .xword 0x97066642010e5cc1
18605 .xword 0x5774126251567213
18606 .xword 0x7e3f95946d0bbff2
18607 .xword 0x5ceb101db750c3c0
18608 .xword 0xaeafd64a582e0719
18609 .xword 0xab304169468347a8
18610 .xword 0x853e758ca00e133d
18611 .xword 0xf0b88adf3de035b8
18612 .xword 0xd1a7cef08f7ee6d0
18613 .xword 0x6e39d5d71dcf9128
18614 .xword 0xcb04a5fb8ed31419
18615 .xword 0x5942de164184d999
18616 .xword 0x75979f66fa8346d9
18617 .xword 0x8ae461ce8583fe41
18618 .xword 0xf7e1924e77ec3899
18619 .xword 0x2671091399cba6f4
18620 .xword 0x11063c0303289765
18621 .xword 0xfdf6385fe1341b96
18622 .xword 0x92414de9b33b998e
18623 .xword 0x8366e4715e7f0fa4
18624 .xword 0x28f41c1040a72ef1
18625 .xword 0x8071196c9d6e8e5b
18626 .xword 0x8c4ac5f78cc989f6
18627 .xword 0xe435da4178373dcc
18628 .xword 0xab35404a76a4cb69
18629 .xword 0x46f2a2b8cc010d23
18630 .xword 0xfa6c35af4bd48815
18631 .xword 0x792d6a1c17ee2119
18632 .xword 0x733813ddaafdc3bc
18633 .xword 0xae2a468533dd511f
18634 .xword 0x98edef9b000efcae
18635 .xword 0x2f7172d53b1e8921
18636 .xword 0x9bb47844a0c44e7c
18637 .xword 0xb711326a25623dd0
18638 .xword 0x8dd1fe9bbb8b0e88
18639 .xword 0xa7cf3338f9781961
18640 .xword 0x33733f1e02f9f9bf
18641 .xword 0xe90d13be5d9a52f0
18642 .xword 0x565b8b679c0615f0
18643 .xword 0xd1df34463f579568
18644 .xword 0xae00d91ebfffcb73
18645 .xword 0xf184efc6395fab99
18646 .xword 0x991b39d8a74ea7e8
18647 .xword 0x1d49e5b8cf2ab9bc
18648 .xword 0xf0e10af4b7097223
18649 .xword 0xda4e79c4bad667cb
18650 .xword 0xb4da3079d1811fe1
18651.align 16
18652_t1_copy_dest:
18653 .xword 0xDEADBEEFDEADBEEF
18654 .xword 0xDEADBEEFDEADBEEF
18655 .xword 0xDEADBEEFDEADBEEF
18656 .xword 0xDEADBEEFDEADBEEF
18657 .xword 0xDEADBEEFDEADBEEF
18658 .xword 0xDEADBEEFDEADBEEF
18659 .xword 0xDEADBEEFDEADBEEF
18660 .xword 0xDEADBEEFDEADBEEF
18661 .xword 0xDEADBEEFDEADBEEF
18662 .xword 0xDEADBEEFDEADBEEF
18663 .xword 0xDEADBEEFDEADBEEF
18664 .xword 0xDEADBEEFDEADBEEF
18665 .xword 0xDEADBEEFDEADBEEF
18666 .xword 0xDEADBEEFDEADBEEF
18667 .xword 0xDEADBEEFDEADBEEF
18668 .xword 0xDEADBEEFDEADBEEF
18669 .xword 0xDEADBEEFDEADBEEF
18670 .xword 0xDEADBEEFDEADBEEF
18671 .xword 0xDEADBEEFDEADBEEF
18672 .xword 0xDEADBEEFDEADBEEF
18673 .xword 0xDEADBEEFDEADBEEF
18674 .xword 0xDEADBEEFDEADBEEF
18675 .xword 0xDEADBEEFDEADBEEF
18676 .xword 0xDEADBEEFDEADBEEF
18677 .xword 0xDEADBEEFDEADBEEF
18678 .xword 0xDEADBEEFDEADBEEF
18679 .xword 0xDEADBEEFDEADBEEF
18680 .xword 0xDEADBEEFDEADBEEF
18681 .xword 0xDEADBEEFDEADBEEF
18682 .xword 0xDEADBEEFDEADBEEF
18683 .xword 0xDEADBEEFDEADBEEF
18684 .xword 0xDEADBEEFDEADBEEF
18685 .xword 0xDEADBEEFDEADBEEF
18686 .xword 0xDEADBEEFDEADBEEF
18687 .xword 0xDEADBEEFDEADBEEF
18688 .xword 0xDEADBEEFDEADBEEF
18689 .xword 0xDEADBEEFDEADBEEF
18690 .xword 0xDEADBEEFDEADBEEF
18691 .xword 0xDEADBEEFDEADBEEF
18692 .xword 0xDEADBEEFDEADBEEF
18693 .xword 0xDEADBEEFDEADBEEF
18694 .xword 0xDEADBEEFDEADBEEF
18695 .xword 0xDEADBEEFDEADBEEF
18696 .xword 0xDEADBEEFDEADBEEF
18697 .xword 0xDEADBEEFDEADBEEF
18698 .xword 0xDEADBEEFDEADBEEF
18699 .xword 0xDEADBEEFDEADBEEF
18700 .xword 0xDEADBEEFDEADBEEF
18701 .xword 0xDEADBEEFDEADBEEF
18702 .xword 0xDEADBEEFDEADBEEF
18703 .xword 0xDEADBEEFDEADBEEF
18704 .xword 0xDEADBEEFDEADBEEF
18705 .xword 0xDEADBEEFDEADBEEF
18706 .xword 0xDEADBEEFDEADBEEF
18707 .xword 0xDEADBEEFDEADBEEF
18708 .xword 0xDEADBEEFDEADBEEF
18709 .xword 0xDEADBEEFDEADBEEF
18710 .xword 0xDEADBEEFDEADBEEF
18711 .xword 0xDEADBEEFDEADBEEF
18712 .xword 0xDEADBEEFDEADBEEF
18713 .xword 0xDEADBEEFDEADBEEF
18714 .xword 0xDEADBEEFDEADBEEF
18715 .xword 0xDEADBEEFDEADBEEF
18716 .xword 0xDEADBEEFDEADBEEF
18717 .xword 0xDEADBEEFDEADBEEF
18718 .xword 0xDEADBEEFDEADBEEF
18719 .xword 0xDEADBEEFDEADBEEF
18720 .xword 0xDEADBEEFDEADBEEF
18721 .xword 0xDEADBEEFDEADBEEF
18722 .xword 0xDEADBEEFDEADBEEF
18723 .xword 0xDEADBEEFDEADBEEF
18724 .xword 0xDEADBEEFDEADBEEF
18725 .xword 0xDEADBEEFDEADBEEF
18726 .xword 0xDEADBEEFDEADBEEF
18727 .xword 0xDEADBEEFDEADBEEF
18728 .xword 0xDEADBEEFDEADBEEF
18729 .xword 0xDEADBEEFDEADBEEF
18730 .xword 0xDEADBEEFDEADBEEF
18731 .xword 0xDEADBEEFDEADBEEF
18732 .xword 0xDEADBEEFDEADBEEF
18733 .xword 0xDEADBEEFDEADBEEF
18734 .xword 0xDEADBEEFDEADBEEF
18735 .xword 0xDEADBEEFDEADBEEF
18736 .xword 0xDEADBEEFDEADBEEF
18737 .xword 0xDEADBEEFDEADBEEF
18738 .xword 0xDEADBEEFDEADBEEF
18739 .xword 0xDEADBEEFDEADBEEF
18740 .xword 0xDEADBEEFDEADBEEF
18741 .xword 0xDEADBEEFDEADBEEF
18742 .xword 0xDEADBEEFDEADBEEF
18743 .xword 0xDEADBEEFDEADBEEF
18744 .xword 0xDEADBEEFDEADBEEF
18745 .xword 0xDEADBEEFDEADBEEF
18746 .xword 0xDEADBEEFDEADBEEF
18747 .xword 0xDEADBEEFDEADBEEF
18748 .xword 0xDEADBEEFDEADBEEF
18749 .xword 0xDEADBEEFDEADBEEF
18750 .xword 0xDEADBEEFDEADBEEF
18751 .xword 0xDEADBEEFDEADBEEF
18752 .xword 0xDEADBEEFDEADBEEF
18753 .xword 0xDEADBEEFDEADBEEF
18754 .xword 0xDEADBEEFDEADBEEF
18755 .xword 0xDEADBEEFDEADBEEF
18756 .xword 0xDEADBEEFDEADBEEF
18757 .xword 0xDEADBEEFDEADBEEF
18758 .xword 0xDEADBEEFDEADBEEF
18759 .xword 0xDEADBEEFDEADBEEF
18760 .xword 0xDEADBEEFDEADBEEF
18761 .xword 0xDEADBEEFDEADBEEF
18762 .xword 0xDEADBEEFDEADBEEF
18763 .xword 0xDEADBEEFDEADBEEF
18764 .xword 0xDEADBEEFDEADBEEF
18765 .xword 0xDEADBEEFDEADBEEF
18766 .xword 0xDEADBEEFDEADBEEF
18767 .xword 0xDEADBEEFDEADBEEF
18768 .xword 0xDEADBEEFDEADBEEF
18769 .xword 0xDEADBEEFDEADBEEF
18770 .xword 0xDEADBEEFDEADBEEF
18771 .xword 0xDEADBEEFDEADBEEF
18772 .xword 0xDEADBEEFDEADBEEF
18773 .xword 0xDEADBEEFDEADBEEF
18774 .xword 0xDEADBEEFDEADBEEF
18775 .xword 0xDEADBEEFDEADBEEF
18776 .xword 0xDEADBEEFDEADBEEF
18777 .xword 0xDEADBEEFDEADBEEF
18778 .xword 0xDEADBEEFDEADBEEF
18779 .xword 0xDEADBEEFDEADBEEF
18780 .xword 0xDEADBEEFDEADBEEF
18781 .xword 0xDEADBEEFDEADBEEF
18782 .xword 0xDEADBEEFDEADBEEF
18783 .xword 0xDEADBEEFDEADBEEF
18784 .xword 0xDEADBEEFDEADBEEF
18785 .xword 0xDEADBEEFDEADBEEF
18786 .xword 0xDEADBEEFDEADBEEF
18787 .xword 0xDEADBEEFDEADBEEF
18788 .xword 0xDEADBEEFDEADBEEF
18789 .xword 0xDEADBEEFDEADBEEF
18790 .xword 0xDEADBEEFDEADBEEF
18791 .xword 0xDEADBEEFDEADBEEF
18792 .xword 0xDEADBEEFDEADBEEF
18793 .xword 0xDEADBEEFDEADBEEF
18794 .xword 0xDEADBEEFDEADBEEF
18795 .xword 0xDEADBEEFDEADBEEF
18796 .xword 0xDEADBEEFDEADBEEF
18797 .xword 0xDEADBEEFDEADBEEF
18798 .xword 0xDEADBEEFDEADBEEF
18799 .xword 0xDEADBEEFDEADBEEF
18800 .xword 0xDEADBEEFDEADBEEF
18801 .xword 0xDEADBEEFDEADBEEF
18802 .xword 0xDEADBEEFDEADBEEF
18803 .xword 0xDEADBEEFDEADBEEF
18804 .xword 0xDEADBEEFDEADBEEF
18805 .xword 0xDEADBEEFDEADBEEF
18806 .xword 0xDEADBEEFDEADBEEF
18807 .xword 0xDEADBEEFDEADBEEF
18808 .xword 0xDEADBEEFDEADBEEF
18809 .xword 0xDEADBEEFDEADBEEF
18810 .xword 0xDEADBEEFDEADBEEF
18811 .xword 0xDEADBEEFDEADBEEF
18812 .xword 0xDEADBEEFDEADBEEF
18813 .xword 0xDEADBEEFDEADBEEF
18814 .xword 0xDEADBEEFDEADBEEF
18815 .xword 0xDEADBEEFDEADBEEF
18816 .xword 0xDEADBEEFDEADBEEF
18817 .xword 0xDEADBEEFDEADBEEF
18818 .xword 0xDEADBEEFDEADBEEF
18819 .xword 0xDEADBEEFDEADBEEF
18820 .xword 0xDEADBEEFDEADBEEF
18821 .xword 0xDEADBEEFDEADBEEF
18822 .xword 0xDEADBEEFDEADBEEF
18823 .xword 0xDEADBEEFDEADBEEF
18824 .xword 0xDEADBEEFDEADBEEF
18825 .xword 0xDEADBEEFDEADBEEF
18826 .xword 0xDEADBEEFDEADBEEF
18827 .xword 0xDEADBEEFDEADBEEF
18828 .xword 0xDEADBEEFDEADBEEF
18829 .xword 0xDEADBEEFDEADBEEF
18830 .xword 0xDEADBEEFDEADBEEF
18831 .xword 0xDEADBEEFDEADBEEF
18832 .xword 0xDEADBEEFDEADBEEF
18833 .xword 0xDEADBEEFDEADBEEF
18834 .xword 0xDEADBEEFDEADBEEF
18835 .xword 0xDEADBEEFDEADBEEF
18836 .xword 0xDEADBEEFDEADBEEF
18837 .xword 0xDEADBEEFDEADBEEF
18838 .xword 0xDEADBEEFDEADBEEF
18839 .xword 0xDEADBEEFDEADBEEF
18840 .xword 0xDEADBEEFDEADBEEF
18841 .xword 0xDEADBEEFDEADBEEF
18842 .xword 0xDEADBEEFDEADBEEF
18843 .xword 0xDEADBEEFDEADBEEF
18844 .xword 0xDEADBEEFDEADBEEF
18845 .xword 0xDEADBEEFDEADBEEF
18846 .xword 0xDEADBEEFDEADBEEF
18847 .xword 0xDEADBEEFDEADBEEF
18848 .xword 0xDEADBEEFDEADBEEF
18849 .xword 0xDEADBEEFDEADBEEF
18850 .xword 0xDEADBEEFDEADBEEF
18851 .xword 0xDEADBEEFDEADBEEF
18852 .xword 0xDEADBEEFDEADBEEF
18853 .xword 0xDEADBEEFDEADBEEF
18854 .xword 0xDEADBEEFDEADBEEF
18855 .xword 0xDEADBEEFDEADBEEF
18856 .xword 0xDEADBEEFDEADBEEF
18857 .xword 0xDEADBEEFDEADBEEF
18858 .xword 0xDEADBEEFDEADBEEF
18859 .xword 0xDEADBEEFDEADBEEF
18860 .xword 0xDEADBEEFDEADBEEF
18861 .xword 0xDEADBEEFDEADBEEF
18862 .xword 0xDEADBEEFDEADBEEF
18863 .xword 0xDEADBEEFDEADBEEF
18864 .xword 0xDEADBEEFDEADBEEF
18865 .xword 0xDEADBEEFDEADBEEF
18866 .xword 0xDEADBEEFDEADBEEF
18867 .xword 0xDEADBEEFDEADBEEF
18868 .xword 0xDEADBEEFDEADBEEF
18869 .xword 0xDEADBEEFDEADBEEF
18870 .xword 0xDEADBEEFDEADBEEF
18871 .xword 0xDEADBEEFDEADBEEF
18872 .xword 0xDEADBEEFDEADBEEF
18873 .xword 0xDEADBEEFDEADBEEF
18874 .xword 0xDEADBEEFDEADBEEF
18875 .xword 0xDEADBEEFDEADBEEF
18876 .xword 0xDEADBEEFDEADBEEF
18877 .xword 0xDEADBEEFDEADBEEF
18878 .xword 0xDEADBEEFDEADBEEF
18879 .xword 0xDEADBEEFDEADBEEF
18880 .xword 0xDEADBEEFDEADBEEF
18881 .xword 0xDEADBEEFDEADBEEF
18882 .xword 0xDEADBEEFDEADBEEF
18883 .xword 0xDEADBEEFDEADBEEF
18884 .xword 0xDEADBEEFDEADBEEF
18885 .xword 0xDEADBEEFDEADBEEF
18886 .xword 0xDEADBEEFDEADBEEF
18887 .xword 0xDEADBEEFDEADBEEF
18888 .xword 0xDEADBEEFDEADBEEF
18889 .xword 0xDEADBEEFDEADBEEF
18890 .xword 0xDEADBEEFDEADBEEF
18891 .xword 0xDEADBEEFDEADBEEF
18892 .xword 0xDEADBEEFDEADBEEF
18893 .xword 0xDEADBEEFDEADBEEF
18894 .xword 0xDEADBEEFDEADBEEF
18895 .xword 0xDEADBEEFDEADBEEF
18896 .xword 0xDEADBEEFDEADBEEF
18897 .xword 0xDEADBEEFDEADBEEF
18898 .xword 0xDEADBEEFDEADBEEF
18899 .xword 0xDEADBEEFDEADBEEF
18900 .xword 0xDEADBEEFDEADBEEF
18901 .xword 0xDEADBEEFDEADBEEF
18902 .xword 0xDEADBEEFDEADBEEF
18903 .xword 0xDEADBEEFDEADBEEF
18904 .xword 0xDEADBEEFDEADBEEF
18905 .xword 0xDEADBEEFDEADBEEF
18906 .xword 0xDEADBEEFDEADBEEF
18907 .xword 0xDEADBEEFDEADBEEF
18908 .xword 0xDEADBEEFDEADBEEF
18909 .xword 0xDEADBEEFDEADBEEF
18910 .xword 0xDEADBEEFDEADBEEF
18911 .xword 0xDEADBEEFDEADBEEF
18912 .xword 0xDEADBEEFDEADBEEF
18913 .xword 0xDEADBEEFDEADBEEF
18914 .xword 0xDEADBEEFDEADBEEF
18915 .xword 0xDEADBEEFDEADBEEF
18916 .xword 0xDEADBEEFDEADBEEF
18917 .xword 0xDEADBEEFDEADBEEF
18918 .xword 0xDEADBEEFDEADBEEF
18919 .xword 0xDEADBEEFDEADBEEF
18920 .xword 0xDEADBEEFDEADBEEF
18921 .xword 0xDEADBEEFDEADBEEF
18922 .xword 0xDEADBEEFDEADBEEF
18923 .xword 0xDEADBEEFDEADBEEF
18924 .xword 0xDEADBEEFDEADBEEF
18925 .xword 0xDEADBEEFDEADBEEF
18926 .xword 0xDEADBEEFDEADBEEF
18927 .xword 0xDEADBEEFDEADBEEF
18928.align 16
18929_t1_copy_auth_key:
18930 .xword 0x40c469a8aa638f65
18931 .xword 0xd9ece1395933d9e0
18932 .xword 0x5686c3f5a372c9f3
18933 .xword 0xceac0ddd38eb4e3a
18934 .xword 0xcb08294701baaf3b
18935 .xword 0x401079241f833696
18936 .xword 0x0d2bdbe239b6b0c7
18937 .xword 0xd778e731007433d8
18938 .xword 0x792128f4bab34ecf
18939 .xword 0x5eb47f72804e9ed1
18940 .xword 0x8b5e011ce47b0533
18941 .xword 0x58c3bd3bec45e140
18942 .xword 0x4f3e362765821220
18943 .xword 0x53d6840532a98fc9
18944 .xword 0x7b1272ad45e065bf
18945 .xword 0x3f975a517aafa004
18946 .xword 0x452ffae7716e3d0c
18947 .xword 0xeb5274d3cde82def
18948 .xword 0x6e81db7cc0b08248
18949 .xword 0xde6c7436d5b8ab0c
18950 .xword 0x15220fe2f0ee140c
18951 .xword 0xc187b867d0f9da7b
18952 .xword 0xf479916c7de8969c
18953.align 16
18954_t1_copy_auth_iv:
18955 .xword 0x25037acbcfecfdce
18956 .xword 0x31fea93200d7b254
18957 .xword 0xa1d0e3463f202fb7
18958 .xword 0x5bcf599cf8a1129c
18959 .xword 0x6c15835fd06b6b0c
18960 .xword 0x97a9abcd9517cee0
18961 .xword 0x842c1297583ae4fa
18962 .xword 0x4288b9eae720b38d
18963 .xword 0x96bbc6b31bdba763
18964 .xword 0x40d792833fd54102
18965 .xword 0x20665a842e89a046
18966 .xword 0xd85ef2e68125ebd2
18967 .xword 0x86566f2ee8965393
18968 .xword 0x3ea500fc8d6fe777
18969 .xword 0xa53f58b9742fec4c
18970 .xword 0x8140d43586924543
18971 .xword 0x40bb0ba6dff74917
18972 .xword 0x301a659c43768a5b
18973 .xword 0x34a414991a6bf08c
18974 .xword 0x3d81ea3ed76cec7a
18975 .xword 0x7f1dbc1ee19a5184
18976 .xword 0x0a1ce79840262972
18977 .xword 0x9ba96614667475f9
18978.align 16
18979_t1_copy_fas_result:
18980 .xword 0xDEADBEEFDEADBEEF
18981 .xword 0xDEADBEEFDEADBEEF
18982 .xword 0xDEADBEEFDEADBEEF
18983 .xword 0xDEADBEEFDEADBEEF
18984 .xword 0xDEADBEEFDEADBEEF
18985 .xword 0xDEADBEEFDEADBEEF
18986 .xword 0xDEADBEEFDEADBEEF
18987 .xword 0xDEADBEEFDEADBEEF
18988 .xword 0xDEADBEEFDEADBEEF
18989 .xword 0xDEADBEEFDEADBEEF
18990 .xword 0xDEADBEEFDEADBEEF
18991 .xword 0xDEADBEEFDEADBEEF
18992 .xword 0xDEADBEEFDEADBEEF
18993 .xword 0xDEADBEEFDEADBEEF
18994 .xword 0xDEADBEEFDEADBEEF
18995 .xword 0xDEADBEEFDEADBEEF
18996 .xword 0xDEADBEEFDEADBEEF
18997 .xword 0xDEADBEEFDEADBEEF
18998 .xword 0xDEADBEEFDEADBEEF
18999 .xword 0xDEADBEEFDEADBEEF
19000 .xword 0xDEADBEEFDEADBEEF
19001 .xword 0xDEADBEEFDEADBEEF
19002 .xword 0xDEADBEEFDEADBEEF
19003.align 16
19004_t1_crc_key_array:
19005 .xword 0x9910089a5941564c
19006 .xword 0x21c65d8add6c58c0
19007 .xword 0x5927e78307055698
19008 .xword 0xabba012884ce0f1c
19009 .xword 0x7d6c1a838fea2d79
19010 .xword 0x44ef79b5d4ad6ef7
19011 .xword 0x9ffcd56f4471917a
19012 .xword 0x5ed1db5d0b1af3fc
19013 .xword 0x761ba744f5ed927a
19014 .xword 0x6c558dc03efcda3d
19015 .xword 0x9d42db4e7f48672f
19016 .xword 0x5747370a94e7e93a
19017 .xword 0x1c4d66ac8cf2dfda
19018 .xword 0xdf0fad0f40769f8c
19019 .xword 0xf5b259defe335d9f
19020 .xword 0xb05c91ff5171c933
19021 .xword 0x0f6af9d9dff9b494
19022 .xword 0x35acc26f9f5c036c
19023 .xword 0x11963ecc68391d06
19024 .xword 0x57ea6000b28bb12d
19025 .xword 0xfbdd132ed521a4d3
19026 .xword 0xecefce87a83cb53a
19027 .xword 0x7b2065e890dde756
19028 .xword 0xb8bd50e399c0a833
19029 .xword 0xb0adab34612e62dd
19030 .xword 0x6cbd80cafb30c94e
19031 .xword 0xd79f2caed4581bb1
19032 .xword 0xde8eb7d10ed785bc
19033 .xword 0x418d1ed3099a116c
19034 .xword 0x1e44446d02cf2e43
19035 .xword 0x00242c94cfec4e48
19036 .xword 0x46c9323ef00792eb
19037 .xword 0xe60c0b57bd9d0bb9
19038 .xword 0x7ce59c166567e9d1
19039 .xword 0xab7a0109739dd477
19040 .xword 0x68a99a7517df9eff
19041 .xword 0xe7be0b4a1f643c57
19042 .xword 0x3f62f2e51921c912
19043 .xword 0x18bd88e660373e11
19044 .xword 0x7f579d0a08363a13
19045 .xword 0x1940a3166f00f622
19046 .xword 0x839d857ab40183b9
19047 .xword 0x4c45467e814078fd
19048 .xword 0x38c55d991b206d3b
19049 .xword 0x3ea2d8bd808a778f
19050 .xword 0x3f29c438f3786a01
19051 .xword 0x7693720c9283ff75
19052 .xword 0x8218d7ce79fa1ce5
19053 .xword 0xb1e394651d24f788
19054 .xword 0xfaebd40e8f8d992f
19055 .xword 0xec2ca29419442a93
19056.align 16
19057_t1_crc_iv_array:
19058 .xword 0x5a0a27c5959be5c0
19059 .xword 0x717ac25bb396c3c5
19060 .xword 0xcb4120b176b79905
19061 .xword 0xbc95c4526986925e
19062 .xword 0x08a708711c398adf
19063 .xword 0x55debb4d9d5ffd2f
19064 .xword 0xb62321edf3780558
19065 .xword 0xbde943ca6084eecd
19066 .xword 0xa6ab78b18dea9580
19067 .xword 0x8b5fca4cffa6e602
19068 .xword 0x82de40bf97632710
19069 .xword 0xe7cbb0aa7d443e8a
19070 .xword 0xf1d8d6746b665cdc
19071 .xword 0x31c0a6ba012a907f
19072 .xword 0x036bb6b7744ebfe2
19073 .xword 0x1a65e76f63998928
19074 .xword 0x6268bb602154eec4
19075 .xword 0xe1113ccf092c76c3
19076 .xword 0x1afb64dab02927d4
19077 .xword 0xa4bab9be90cf07d3
19078 .xword 0x8df3f29e7449dd01
19079 .xword 0x2cb77d7fdd806a39
19080 .xword 0xb75aa102c59ad52f
19081 .xword 0xa047480e34e7acff
19082 .xword 0x73b2b709dc09fd54
19083 .xword 0x9eda1b632bce2a06
19084 .xword 0xf7d867e124d99298
19085 .xword 0x2dfb8d5a40a92629
19086 .xword 0x7a9abbc2e67fb964
19087 .xword 0x5643aedd5398eac3
19088 .xword 0x7c8154546ac9f365
19089 .xword 0x425f0f33350e10b3
19090 .xword 0x16840bdbc9b43862
19091 .xword 0x73c2d6bad0235731
19092 .xword 0x7cbdb8ba0c41e498
19093 .xword 0xfabebd2bebdc13b9
19094 .xword 0x8310b1587df583ea
19095 .xword 0x703069229347c2b9
19096 .xword 0x5231c2e8685c1b2c
19097 .xword 0x297fca694f57a33d
19098 .xword 0x78d593a31599e62f
19099 .xword 0xb86634222d8ea197
19100 .xword 0x71766a8b7dd10d83
19101 .xword 0xd0fe614d28c99570
19102 .xword 0x65cad9538836a0bf
19103.align 16
19104_t1_crc_alignment_array:
19105 .xword 6
19106 .xword 9
19107 .xword 12
19108 .xword 0
19109 .xword 1
19110 .xword 10
19111 .xword 5
19112 .xword 9
19113 .xword 11
19114 .xword 7
19115 .xword 9
19116 .xword 12
19117 .xword 12
19118 .xword 12
19119 .xword 14
19120 .xword 7
19121 .xword 1
19122 .xword 14
19123 .xword 14
19124 .xword 7
19125 .xword 0
19126 .xword 6
19127 .xword 10
19128 .xword 3
19129 .xword 2
19130 .xword 4
19131 .xword 6
19132 .xword 0
19133 .xword 0
19134 .xword 5
19135 .xword 10
19136 .xword 9
19137 .xword 5
19138 .xword 12
19139 .xword 15
19140 .xword 8
19141 .xword 6
19142 .xword 12
19143 .xword 2
19144 .xword 0
19145 .xword 12
19146 .xword 13
19147 .xword 10
19148 .xword 15
19149 .xword 5
19150 .xword 12
19151 .xword 4
19152 .xword 6
19153 .xword 4
19154 .xword 0
19155 .xword 10
19156 .xword 1
19157 .xword 0
19158 .xword 10
19159 .xword 5
19160 .xword 11
19161 .xword 2
19162 .xword 0
19163 .xword 3
19164 .xword 4
19165 .xword 13
19166 .xword 11
19167 .xword 2
19168 .xword 4
19169 .xword 2
19170 .xword 12
19171 .xword 4
19172 .xword 6
19173 .xword 8
19174 .xword 11
19175 .xword 13
19176 .xword 11
19177 .xword 2
19178 .xword 2
19179 .xword 4
19180 .xword 0
19181 .xword 11
19182 .xword 14
19183 .xword 15
19184 .xword 7
19185 .xword 9
19186 .xword 5
19187 .xword 1
19188 .xword 11
19189 .xword 10
19190 .xword 5
19191 .xword 11
19192 .xword 3
19193 .xword 14
19194 .xword 2
19195 .xword 4
19196 .xword 13
19197 .xword 2
19198 .xword 15
19199 .xword 13
19200 .xword 1
19201 .xword 15
19202 .xword 7
19203 .xword 10
19204 .xword 13
19205 .xword 2
19206 .xword 2
19207 .xword 14
19208 .xword 15
19209 .xword 14
19210.align 16
19211_t1_crc_src:
19212 .xword 0x41814e192f8c516d
19213 .xword 0x9ba1b9bde5008375
19214 .xword 0x0a4db1b55ad78a65
19215 .xword 0xa0f2ab4450f545d4
19216 .xword 0xf80f23954beab7b8
19217 .xword 0x4fb3de875972fca8
19218 .xword 0xac8b18c197aa2015
19219 .xword 0xb4ffcd449ab7bb4a
19220 .xword 0xe5a3f14480fbe669
19221 .xword 0xaa700d7855f384c5
19222 .xword 0x67291b02460b4152
19223 .xword 0xa99e9158797c006a
19224 .xword 0x20d7afacc5551769
19225 .xword 0xd57f426a0b6742be
19226 .xword 0xc12299c9bc503171
19227 .xword 0x4e06df5ba205bc01
19228 .xword 0x2422cb7df1d81c06
19229 .xword 0x8081473d1c2d7f42
19230 .xword 0x9d974b99966a2ce6
19231 .xword 0x730ec35aae8bbe2c
19232 .xword 0xcec0b06f72b3b2f6
19233 .xword 0x5f79a52990b490ad
19234 .xword 0xfd76a1cbfa22a8a2
19235 .xword 0x9c8dd13ec835d4ee
19236 .xword 0xe3096b7f7e9ac0e5
19237 .xword 0xadee7639f86ded13
19238 .xword 0x100f5340ba1f400b
19239 .xword 0x23eb98f827be3077
19240 .xword 0x4395381335bf559c
19241 .xword 0x64970323f6ceaf30
19242 .xword 0xe734de8c84cbab89
19243 .xword 0x65f76ac4d379fbdb
19244 .xword 0xf7ef2fbafb4fac95
19245 .xword 0x691fe57868b2d5cd
19246 .xword 0x0c26777b1aa85084
19247 .xword 0xee35a98f62958e4f
19248 .xword 0xfc95195735262d5d
19249 .xword 0x6c8fc6024c98e118
19250 .xword 0x20558709ece9606c
19251 .xword 0x99c7e48b01127690
19252 .xword 0x365c358df048605c
19253 .xword 0x3dbd37fecea64638
19254 .xword 0xd471e6c9ed8216be
19255 .xword 0xcef0fde4975065e4
19256 .xword 0xb47f614fa12c1af4
19257 .xword 0x1b858111fe317325
19258 .xword 0x239281c279b61f58
19259 .xword 0x91da07afab1789a0
19260 .xword 0x5c12415c77e27102
19261 .xword 0x24356f8fbe98a3f2
19262 .xword 0x3d7d0e24cbd7735c
19263 .xword 0x15ce00c87c660ecc
19264 .xword 0xc5f7ac2c54d92d60
19265 .xword 0xa706de7ea77e090a
19266 .xword 0x2e37b1b042a579b3
19267 .xword 0x97c47d2961040f2d
19268 .xword 0xca5f35a71e073d1b
19269 .xword 0x4ec88137dbde2866
19270 .xword 0xcb832d996a74de82
19271 .xword 0xdadc8222292a9e43
19272 .xword 0x39482090bddb3381
19273 .xword 0xd708869e4eb0e18c
19274 .xword 0x54e0ce8e474f2096
19275 .xword 0x31256ba056b9b1ba
19276 .xword 0x9969c8bddeebcf5e
19277 .xword 0x7aaf70ab9d1e7cdc
19278 .xword 0x757378362f933c8b
19279 .xword 0x8dd251e58cbb720f
19280 .xword 0x8df176d916629aa7
19281 .xword 0xff0230f4abf26e67
19282 .xword 0x14fd28c677379d35
19283 .xword 0xcaf199559044050d
19284 .xword 0x23e438c7d578a81a
19285 .xword 0x824421ba7f2d05c0
19286 .xword 0x77296d02d01f7159
19287 .xword 0x54f6495c7634550c
19288 .xword 0x7ece7da64d720076
19289 .xword 0xf02af678eb55b20d
19290 .xword 0xc917dd9889a4fe63
19291 .xword 0x95e47e35a07807fa
19292 .xword 0x6ec9556e16e4f4d2
19293 .xword 0x996dcb2eb87b0dcb
19294 .xword 0x12d3d9a571efa408
19295 .xword 0xdc71a6014e4f33a4
19296 .xword 0xfc82cd6af0585bb7
19297 .xword 0x2fa0f8d8b9855519
19298 .xword 0x2c3d519e792ade33
19299 .xword 0x52e72bb254580ca5
19300 .xword 0x755b28e24a892e0e
19301 .xword 0x624aca4f1cd0a890
19302 .xword 0xbeed3256e1d48950
19303 .xword 0x7d4fcb534f7fd1a5
19304 .xword 0x0b9460abbea67650
19305 .xword 0x742e293eb446a3f2
19306 .xword 0x2d37c9e55832eea8
19307 .xword 0x3d9f596d355a9364
19308 .xword 0xe5a2cd7cc08e585e
19309 .xword 0x8b601a553b87929c
19310 .xword 0xce038770e5b48e97
19311 .xword 0xa973554fd4f626f8
19312 .xword 0x1197798e9383fbc0
19313 .xword 0xc215fb46a8d0a85c
19314 .xword 0x1358a4528ab6100a
19315 .xword 0x04f126370d31d5bf
19316 .xword 0xe66c822c24099905
19317 .xword 0x13c3df76ecb0042d
19318 .xword 0xb98ddae9daea7c4b
19319 .xword 0x8cf99e228d48e2fc
19320 .xword 0xd09e4994cf7d4e0f
19321 .xword 0x9c9e9a60eaaf7386
19322 .xword 0xb293a2cef823147b
19323 .xword 0xdf556177b6b682ae
19324 .xword 0x4f6bbe4aa3b2ea03
19325 .xword 0xd6c66e817cec54c8
19326 .xword 0xa4981414412f77f9
19327 .xword 0xfb84de3bc951324c
19328 .xword 0x4703814882390d15
19329 .xword 0xda644d797d58c140
19330 .xword 0xd11317cfb0c2f36a
19331 .xword 0x07cb64d48f80d5e1
19332 .xword 0xf755b31a9119e3f6
19333 .xword 0x1dcd294ccb69994a
19334 .xword 0x61e0f2abe220fae7
19335 .xword 0xf556f3d3bb67590a
19336 .xword 0x3064b027cb6ee1e7
19337 .xword 0xf312d8b0f37e1fa5
19338 .xword 0xdd0a9a8dcda4fb7b
19339 .xword 0x0a21e0f5ca6f4771
19340 .xword 0xe04a1cde8108a067
19341 .xword 0xfe98f5aab35b4087
19342 .xword 0x51f942790d13e57b
19343 .xword 0x54386bbd3dde13f2
19344 .xword 0x578a6899be71df74
19345 .xword 0x58c7f99c98af1afc
19346 .xword 0x802c4c1eb9133d1c
19347 .xword 0xa7fe2ac9b2e5b683
19348 .xword 0xfafa45b495a5b04d
19349 .xword 0xa62e3ad7cf0f69e8
19350 .xword 0x014680706ba8d2ac
19351 .xword 0xb0e8194a7e324eab
19352 .xword 0xaed1d1125059a6ff
19353 .xword 0x63a1f360dab77267
19354 .xword 0x28a7a537c123240b
19355 .xword 0x3eb932a14f7dde38
19356 .xword 0x274b34acaa29e9a2
19357 .xword 0x99dbade0a24d9377
19358 .xword 0x97545542d955233f
19359 .xword 0x7d9bd78ae1b80079
19360 .xword 0xab3073411089b4cb
19361 .xword 0xade36b9f3933c331
19362 .xword 0x1be5d48a3adca882
19363 .xword 0x0996eb8789fa803f
19364 .xword 0x449627ede2271a1c
19365 .xword 0xc7886149c45518f9
19366 .xword 0x87048cd5c6739a09
19367 .xword 0xf2eb7dd6564eb591
19368 .xword 0x9180dcffff2b8079
19369 .xword 0x0a94772250272cf9
19370 .xword 0x71dc052fdd0c6a50
19371 .xword 0x687e48d0bded8998
19372 .xword 0x11d947108cbb525e
19373 .xword 0xd687464c5d170c6e
19374 .xword 0xc20a633fbfe69b9b
19375 .xword 0x56f549296ecefb88
19376 .xword 0x7434ea2a3d12f247
19377 .xword 0x6d5c8a8e5517a3d6
19378 .xword 0xa7a6f49180589fd0
19379 .xword 0x2844281ff6ba055f
19380 .xword 0x3ddd0223982522ec
19381 .xword 0xf016da9c3e973d32
19382 .xword 0x5628acdc1c7846a0
19383 .xword 0xd85c3704fcf3d749
19384 .xword 0xabdefa1a850cc051
19385 .xword 0x6d228bf2a9065ef3
19386 .xword 0xaadd5afe1a371626
19387 .xword 0x3fc9e2665af8eb1e
19388 .xword 0xa2f22ae1c925f28a
19389 .xword 0xc25c9fbbcd9885c2
19390 .xword 0x0f64c802f2efa38c
19391 .xword 0x5b9a1a851161a4fc
19392 .xword 0xb5b307c21f24725a
19393 .xword 0x25a20931a2636a36
19394 .xword 0x921fba3e5f2eb52d
19395 .xword 0x1f058ff2d0342b7d
19396 .xword 0x34137e200f3c3eb2
19397 .xword 0x4788c304112c74c9
19398 .xword 0x454d357e3153c3bd
19399 .xword 0x8e2f385c6d5db7db
19400 .xword 0x7af742113dce381b
19401 .xword 0x46474e04788c7a3f
19402 .xword 0x7dfc0bbd686228f3
19403 .xword 0x2583b65f2fe1e322
19404 .xword 0x7518b365fe7a1f24
19405 .xword 0xbc785f17468bb698
19406 .xword 0x5df278dc71cc93c2
19407 .xword 0x333d15ae38fdf29a
19408 .xword 0xe5982fd5f04e6e87
19409 .xword 0xe0948f97488f0e15
19410 .xword 0x14c2a04e9879bf12
19411 .xword 0xa1ba1d13baff8acc
19412 .xword 0xfbd67d87c8156431
19413 .xword 0xb6ce1d4a2e1b6c33
19414 .xword 0xfa85ed18a1008aa3
19415 .xword 0x54a3847ac18a423e
19416 .xword 0x30e27ce3ecdf5e75
19417 .xword 0x4b5cf5d23de61304
19418 .xword 0x3b252fa33d4e4c6c
19419 .xword 0xc05b62d4f125fac4
19420 .xword 0x41d6fa87c0af2ccb
19421 .xword 0x611854cba9eb23d0
19422 .xword 0xe67105e5f441ece6
19423 .xword 0x5838a9c9b72352e8
19424 .xword 0xfc2f7d4716802fe9
19425 .xword 0x4ca6d4310203784b
19426 .xword 0x5f98809f307a476d
19427 .xword 0xb5353e10d83a988c
19428 .xword 0xd8c15232b4d2f511
19429 .xword 0xa95d3f48928dc104
19430 .xword 0x121d59e54989b06b
19431 .xword 0xd9430ca4461005c5
19432 .xword 0x6a1992f9602c4d5c
19433 .xword 0x683f38186332ddb9
19434 .xword 0x64f9ef6f60dba310
19435 .xword 0x19350153ba76d2cd
19436 .xword 0x84f648a5915ce397
19437 .xword 0x622759909c1634df
19438 .xword 0xcf6ecc5073641263
19439 .xword 0x55f1c9337ad72519
19440 .xword 0xa7071db0a8c926b2
19441 .xword 0xad88ad87ebfe70be
19442 .xword 0x3e82e7a07af787dd
19443 .xword 0xb10467f287ec9f2d
19444 .xword 0x3d8cafb1e7e7b50c
19445 .xword 0xb2b66890519258db
19446 .xword 0xcba8f9bf8b9c8a40
19447 .xword 0xd184ea4ed0450ae8
19448 .xword 0xe4052d811f64aab1
19449 .xword 0x9d2fa0a870d14f7b
19450 .xword 0x3f4d722c39f5f979
19451 .xword 0x5ecea403e47236d5
19452 .xword 0x63cbe81bf6efd8f3
19453 .xword 0xf443617a6771c601
19454 .xword 0x5e558ac46c86064d
19455 .xword 0x213f1602d6cb0219
19456 .xword 0xc93b23a1af90e8fb
19457 .xword 0x85a667610bdbef21
19458 .xword 0x855398c1955cf25f
19459 .xword 0xc64802c456a57707
19460 .xword 0xb57bf3eb6032a6fe
19461 .xword 0x27e903c0c510b4b2
19462 .xword 0x9e0954467b3fa60b
19463 .xword 0x2bd30e057cab11b4
19464 .xword 0x01d6d42964849d91
19465 .xword 0x0e2dce724cd3eb70
19466 .xword 0x553632e9fd2463a9
19467 .xword 0x1dc4da6e375e3f25
19468 .xword 0x4eacb98c8073d249
19469 .xword 0xca9ff66a259d238b
19470 .xword 0xf2e2a383616366ee
19471 .xword 0x393599de3ba61ef6
19472 .xword 0xf61f89515aadc2f0
19473 .xword 0x39a1ae89dd9bf187
19474 .xword 0x11c0eedd298334b1
19475 .xword 0x75d6e34ffc3ee253
19476 .xword 0x6f3b956bf7c77b97
19477 .xword 0xd7c55ae59349335b
19478 .xword 0x9f3d52ebe09aee1c
19479 .xword 0xc45366c3c08160e2
19480 .xword 0x2978b95fe116275a
19481 .xword 0xf9e3e852184211d1
19482 .xword 0xa3f24eafea59e854
19483 .xword 0x9f840c4edb363561
19484 .xword 0xb22f6e24196d019c
19485 .xword 0x5f69b7a847ad2bb6
19486 .xword 0x54febb0d9bb8299d
19487.align 16
19488_t1_crc_dest:
19489 .xword 0xDEADBEEFDEADBEEF
19490 .xword 0xDEADBEEFDEADBEEF
19491 .xword 0xDEADBEEFDEADBEEF
19492 .xword 0xDEADBEEFDEADBEEF
19493 .xword 0xDEADBEEFDEADBEEF
19494 .xword 0xDEADBEEFDEADBEEF
19495 .xword 0xDEADBEEFDEADBEEF
19496 .xword 0xDEADBEEFDEADBEEF
19497 .xword 0xDEADBEEFDEADBEEF
19498 .xword 0xDEADBEEFDEADBEEF
19499 .xword 0xDEADBEEFDEADBEEF
19500 .xword 0xDEADBEEFDEADBEEF
19501 .xword 0xDEADBEEFDEADBEEF
19502 .xword 0xDEADBEEFDEADBEEF
19503 .xword 0xDEADBEEFDEADBEEF
19504 .xword 0xDEADBEEFDEADBEEF
19505 .xword 0xDEADBEEFDEADBEEF
19506 .xword 0xDEADBEEFDEADBEEF
19507 .xword 0xDEADBEEFDEADBEEF
19508 .xword 0xDEADBEEFDEADBEEF
19509 .xword 0xDEADBEEFDEADBEEF
19510 .xword 0xDEADBEEFDEADBEEF
19511 .xword 0xDEADBEEFDEADBEEF
19512 .xword 0xDEADBEEFDEADBEEF
19513 .xword 0xDEADBEEFDEADBEEF
19514 .xword 0xDEADBEEFDEADBEEF
19515 .xword 0xDEADBEEFDEADBEEF
19516 .xword 0xDEADBEEFDEADBEEF
19517 .xword 0xDEADBEEFDEADBEEF
19518 .xword 0xDEADBEEFDEADBEEF
19519 .xword 0xDEADBEEFDEADBEEF
19520 .xword 0xDEADBEEFDEADBEEF
19521 .xword 0xDEADBEEFDEADBEEF
19522 .xword 0xDEADBEEFDEADBEEF
19523 .xword 0xDEADBEEFDEADBEEF
19524 .xword 0xDEADBEEFDEADBEEF
19525 .xword 0xDEADBEEFDEADBEEF
19526 .xword 0xDEADBEEFDEADBEEF
19527 .xword 0xDEADBEEFDEADBEEF
19528 .xword 0xDEADBEEFDEADBEEF
19529 .xword 0xDEADBEEFDEADBEEF
19530 .xword 0xDEADBEEFDEADBEEF
19531 .xword 0xDEADBEEFDEADBEEF
19532 .xword 0xDEADBEEFDEADBEEF
19533 .xword 0xDEADBEEFDEADBEEF
19534 .xword 0xDEADBEEFDEADBEEF
19535 .xword 0xDEADBEEFDEADBEEF
19536 .xword 0xDEADBEEFDEADBEEF
19537 .xword 0xDEADBEEFDEADBEEF
19538 .xword 0xDEADBEEFDEADBEEF
19539 .xword 0xDEADBEEFDEADBEEF
19540 .xword 0xDEADBEEFDEADBEEF
19541 .xword 0xDEADBEEFDEADBEEF
19542 .xword 0xDEADBEEFDEADBEEF
19543 .xword 0xDEADBEEFDEADBEEF
19544 .xword 0xDEADBEEFDEADBEEF
19545 .xword 0xDEADBEEFDEADBEEF
19546 .xword 0xDEADBEEFDEADBEEF
19547 .xword 0xDEADBEEFDEADBEEF
19548 .xword 0xDEADBEEFDEADBEEF
19549 .xword 0xDEADBEEFDEADBEEF
19550 .xword 0xDEADBEEFDEADBEEF
19551 .xword 0xDEADBEEFDEADBEEF
19552 .xword 0xDEADBEEFDEADBEEF
19553 .xword 0xDEADBEEFDEADBEEF
19554 .xword 0xDEADBEEFDEADBEEF
19555 .xword 0xDEADBEEFDEADBEEF
19556 .xword 0xDEADBEEFDEADBEEF
19557 .xword 0xDEADBEEFDEADBEEF
19558 .xword 0xDEADBEEFDEADBEEF
19559 .xword 0xDEADBEEFDEADBEEF
19560 .xword 0xDEADBEEFDEADBEEF
19561 .xword 0xDEADBEEFDEADBEEF
19562 .xword 0xDEADBEEFDEADBEEF
19563 .xword 0xDEADBEEFDEADBEEF
19564 .xword 0xDEADBEEFDEADBEEF
19565 .xword 0xDEADBEEFDEADBEEF
19566 .xword 0xDEADBEEFDEADBEEF
19567 .xword 0xDEADBEEFDEADBEEF
19568 .xword 0xDEADBEEFDEADBEEF
19569 .xword 0xDEADBEEFDEADBEEF
19570 .xword 0xDEADBEEFDEADBEEF
19571 .xword 0xDEADBEEFDEADBEEF
19572 .xword 0xDEADBEEFDEADBEEF
19573 .xword 0xDEADBEEFDEADBEEF
19574 .xword 0xDEADBEEFDEADBEEF
19575 .xword 0xDEADBEEFDEADBEEF
19576 .xword 0xDEADBEEFDEADBEEF
19577 .xword 0xDEADBEEFDEADBEEF
19578 .xword 0xDEADBEEFDEADBEEF
19579 .xword 0xDEADBEEFDEADBEEF
19580 .xword 0xDEADBEEFDEADBEEF
19581 .xword 0xDEADBEEFDEADBEEF
19582 .xword 0xDEADBEEFDEADBEEF
19583 .xword 0xDEADBEEFDEADBEEF
19584 .xword 0xDEADBEEFDEADBEEF
19585 .xword 0xDEADBEEFDEADBEEF
19586 .xword 0xDEADBEEFDEADBEEF
19587 .xword 0xDEADBEEFDEADBEEF
19588 .xword 0xDEADBEEFDEADBEEF
19589 .xword 0xDEADBEEFDEADBEEF
19590 .xword 0xDEADBEEFDEADBEEF
19591 .xword 0xDEADBEEFDEADBEEF
19592 .xword 0xDEADBEEFDEADBEEF
19593 .xword 0xDEADBEEFDEADBEEF
19594 .xword 0xDEADBEEFDEADBEEF
19595 .xword 0xDEADBEEFDEADBEEF
19596 .xword 0xDEADBEEFDEADBEEF
19597 .xword 0xDEADBEEFDEADBEEF
19598 .xword 0xDEADBEEFDEADBEEF
19599 .xword 0xDEADBEEFDEADBEEF
19600 .xword 0xDEADBEEFDEADBEEF
19601 .xword 0xDEADBEEFDEADBEEF
19602 .xword 0xDEADBEEFDEADBEEF
19603 .xword 0xDEADBEEFDEADBEEF
19604 .xword 0xDEADBEEFDEADBEEF
19605 .xword 0xDEADBEEFDEADBEEF
19606 .xword 0xDEADBEEFDEADBEEF
19607 .xword 0xDEADBEEFDEADBEEF
19608 .xword 0xDEADBEEFDEADBEEF
19609 .xword 0xDEADBEEFDEADBEEF
19610 .xword 0xDEADBEEFDEADBEEF
19611 .xword 0xDEADBEEFDEADBEEF
19612 .xword 0xDEADBEEFDEADBEEF
19613 .xword 0xDEADBEEFDEADBEEF
19614 .xword 0xDEADBEEFDEADBEEF
19615 .xword 0xDEADBEEFDEADBEEF
19616 .xword 0xDEADBEEFDEADBEEF
19617 .xword 0xDEADBEEFDEADBEEF
19618 .xword 0xDEADBEEFDEADBEEF
19619 .xword 0xDEADBEEFDEADBEEF
19620 .xword 0xDEADBEEFDEADBEEF
19621 .xword 0xDEADBEEFDEADBEEF
19622 .xword 0xDEADBEEFDEADBEEF
19623 .xword 0xDEADBEEFDEADBEEF
19624 .xword 0xDEADBEEFDEADBEEF
19625 .xword 0xDEADBEEFDEADBEEF
19626 .xword 0xDEADBEEFDEADBEEF
19627 .xword 0xDEADBEEFDEADBEEF
19628 .xword 0xDEADBEEFDEADBEEF
19629 .xword 0xDEADBEEFDEADBEEF
19630 .xword 0xDEADBEEFDEADBEEF
19631 .xword 0xDEADBEEFDEADBEEF
19632 .xword 0xDEADBEEFDEADBEEF
19633 .xword 0xDEADBEEFDEADBEEF
19634 .xword 0xDEADBEEFDEADBEEF
19635 .xword 0xDEADBEEFDEADBEEF
19636 .xword 0xDEADBEEFDEADBEEF
19637 .xword 0xDEADBEEFDEADBEEF
19638 .xword 0xDEADBEEFDEADBEEF
19639 .xword 0xDEADBEEFDEADBEEF
19640 .xword 0xDEADBEEFDEADBEEF
19641 .xword 0xDEADBEEFDEADBEEF
19642 .xword 0xDEADBEEFDEADBEEF
19643 .xword 0xDEADBEEFDEADBEEF
19644 .xword 0xDEADBEEFDEADBEEF
19645 .xword 0xDEADBEEFDEADBEEF
19646 .xword 0xDEADBEEFDEADBEEF
19647 .xword 0xDEADBEEFDEADBEEF
19648 .xword 0xDEADBEEFDEADBEEF
19649 .xword 0xDEADBEEFDEADBEEF
19650 .xword 0xDEADBEEFDEADBEEF
19651 .xword 0xDEADBEEFDEADBEEF
19652 .xword 0xDEADBEEFDEADBEEF
19653 .xword 0xDEADBEEFDEADBEEF
19654 .xword 0xDEADBEEFDEADBEEF
19655 .xword 0xDEADBEEFDEADBEEF
19656 .xword 0xDEADBEEFDEADBEEF
19657 .xword 0xDEADBEEFDEADBEEF
19658 .xword 0xDEADBEEFDEADBEEF
19659 .xword 0xDEADBEEFDEADBEEF
19660 .xword 0xDEADBEEFDEADBEEF
19661 .xword 0xDEADBEEFDEADBEEF
19662 .xword 0xDEADBEEFDEADBEEF
19663 .xword 0xDEADBEEFDEADBEEF
19664 .xword 0xDEADBEEFDEADBEEF
19665 .xword 0xDEADBEEFDEADBEEF
19666 .xword 0xDEADBEEFDEADBEEF
19667 .xword 0xDEADBEEFDEADBEEF
19668 .xword 0xDEADBEEFDEADBEEF
19669 .xword 0xDEADBEEFDEADBEEF
19670 .xword 0xDEADBEEFDEADBEEF
19671 .xword 0xDEADBEEFDEADBEEF
19672 .xword 0xDEADBEEFDEADBEEF
19673 .xword 0xDEADBEEFDEADBEEF
19674 .xword 0xDEADBEEFDEADBEEF
19675 .xword 0xDEADBEEFDEADBEEF
19676 .xword 0xDEADBEEFDEADBEEF
19677 .xword 0xDEADBEEFDEADBEEF
19678 .xword 0xDEADBEEFDEADBEEF
19679 .xword 0xDEADBEEFDEADBEEF
19680 .xword 0xDEADBEEFDEADBEEF
19681 .xword 0xDEADBEEFDEADBEEF
19682 .xword 0xDEADBEEFDEADBEEF
19683 .xword 0xDEADBEEFDEADBEEF
19684 .xword 0xDEADBEEFDEADBEEF
19685 .xword 0xDEADBEEFDEADBEEF
19686 .xword 0xDEADBEEFDEADBEEF
19687 .xword 0xDEADBEEFDEADBEEF
19688 .xword 0xDEADBEEFDEADBEEF
19689 .xword 0xDEADBEEFDEADBEEF
19690 .xword 0xDEADBEEFDEADBEEF
19691 .xword 0xDEADBEEFDEADBEEF
19692 .xword 0xDEADBEEFDEADBEEF
19693 .xword 0xDEADBEEFDEADBEEF
19694 .xword 0xDEADBEEFDEADBEEF
19695 .xword 0xDEADBEEFDEADBEEF
19696 .xword 0xDEADBEEFDEADBEEF
19697 .xword 0xDEADBEEFDEADBEEF
19698 .xword 0xDEADBEEFDEADBEEF
19699 .xword 0xDEADBEEFDEADBEEF
19700 .xword 0xDEADBEEFDEADBEEF
19701 .xword 0xDEADBEEFDEADBEEF
19702 .xword 0xDEADBEEFDEADBEEF
19703 .xword 0xDEADBEEFDEADBEEF
19704 .xword 0xDEADBEEFDEADBEEF
19705 .xword 0xDEADBEEFDEADBEEF
19706 .xword 0xDEADBEEFDEADBEEF
19707 .xword 0xDEADBEEFDEADBEEF
19708 .xword 0xDEADBEEFDEADBEEF
19709 .xword 0xDEADBEEFDEADBEEF
19710 .xword 0xDEADBEEFDEADBEEF
19711 .xword 0xDEADBEEFDEADBEEF
19712 .xword 0xDEADBEEFDEADBEEF
19713 .xword 0xDEADBEEFDEADBEEF
19714 .xword 0xDEADBEEFDEADBEEF
19715 .xword 0xDEADBEEFDEADBEEF
19716 .xword 0xDEADBEEFDEADBEEF
19717 .xword 0xDEADBEEFDEADBEEF
19718 .xword 0xDEADBEEFDEADBEEF
19719 .xword 0xDEADBEEFDEADBEEF
19720 .xword 0xDEADBEEFDEADBEEF
19721 .xword 0xDEADBEEFDEADBEEF
19722 .xword 0xDEADBEEFDEADBEEF
19723 .xword 0xDEADBEEFDEADBEEF
19724 .xword 0xDEADBEEFDEADBEEF
19725 .xword 0xDEADBEEFDEADBEEF
19726 .xword 0xDEADBEEFDEADBEEF
19727 .xword 0xDEADBEEFDEADBEEF
19728 .xword 0xDEADBEEFDEADBEEF
19729 .xword 0xDEADBEEFDEADBEEF
19730 .xword 0xDEADBEEFDEADBEEF
19731 .xword 0xDEADBEEFDEADBEEF
19732 .xword 0xDEADBEEFDEADBEEF
19733 .xword 0xDEADBEEFDEADBEEF
19734 .xword 0xDEADBEEFDEADBEEF
19735 .xword 0xDEADBEEFDEADBEEF
19736 .xword 0xDEADBEEFDEADBEEF
19737 .xword 0xDEADBEEFDEADBEEF
19738 .xword 0xDEADBEEFDEADBEEF
19739 .xword 0xDEADBEEFDEADBEEF
19740 .xword 0xDEADBEEFDEADBEEF
19741 .xword 0xDEADBEEFDEADBEEF
19742 .xword 0xDEADBEEFDEADBEEF
19743 .xword 0xDEADBEEFDEADBEEF
19744 .xword 0xDEADBEEFDEADBEEF
19745 .xword 0xDEADBEEFDEADBEEF
19746 .xword 0xDEADBEEFDEADBEEF
19747 .xword 0xDEADBEEFDEADBEEF
19748 .xword 0xDEADBEEFDEADBEEF
19749 .xword 0xDEADBEEFDEADBEEF
19750 .xword 0xDEADBEEFDEADBEEF
19751 .xword 0xDEADBEEFDEADBEEF
19752 .xword 0xDEADBEEFDEADBEEF
19753 .xword 0xDEADBEEFDEADBEEF
19754 .xword 0xDEADBEEFDEADBEEF
19755 .xword 0xDEADBEEFDEADBEEF
19756 .xword 0xDEADBEEFDEADBEEF
19757 .xword 0xDEADBEEFDEADBEEF
19758 .xword 0xDEADBEEFDEADBEEF
19759 .xword 0xDEADBEEFDEADBEEF
19760 .xword 0xDEADBEEFDEADBEEF
19761 .xword 0xDEADBEEFDEADBEEF
19762 .xword 0xDEADBEEFDEADBEEF
19763 .xword 0xDEADBEEFDEADBEEF
19764.align 16
19765_t1_crc_auth_key:
19766 .xword 0xb0bd9dc5b94dc3bd
19767 .xword 0x0f4ca2f18f063a8c
19768 .xword 0x168191d1f965c13d
19769 .xword 0x789895f01ddd7c6c
19770 .xword 0xc93ac0d5a05c08b7
19771 .xword 0xfb4844321ea4a2bb
19772 .xword 0x83229170bf3a8756
19773 .xword 0xed948f75ca20f9f1
19774 .xword 0xf0920be069726efc
19775 .xword 0xed41b3ec2bcab8a1
19776 .xword 0xe9c016ff284d6abc
19777 .xword 0x9d764d67d88f5283
19778 .xword 0x78bbdab5a0b68c9f
19779 .xword 0xfd9215587651d9f7
19780 .xword 0x840c482b3bb9da41
19781 .xword 0x26ec7778d40f7ed7
19782 .xword 0x60c00b697fdb974a
19783 .xword 0x8a59546ae45c7c2f
19784 .xword 0x07c6d0a3452dc324
19785 .xword 0x142f2e37c0657111
19786 .xword 0x0d52570c728630ad
19787 .xword 0x9b53c4d5eef6b180
19788 .xword 0xf8de10d9a2beeac2
19789.align 16
19790_t1_crc_auth_iv:
19791 .xword 0xad08a1b6de44feb5
19792 .xword 0x69a2cecc847ccc0c
19793 .xword 0x1b2664fc0902f3aa
19794 .xword 0x8cff6f54bd97017a
19795 .xword 0x52f64f55b2c4903d
19796 .xword 0x719464502e00767f
19797 .xword 0x9b09233c3ae51644
19798 .xword 0xfd82865fa9a8b911
19799 .xword 0x40e67b6d19e18338
19800 .xword 0x3ca93c17a5510f78
19801 .xword 0xa503d76c72971121
19802 .xword 0xe045f8d3ebde8adb
19803 .xword 0x132ebcff41c85086
19804 .xword 0x1c81ce60b9a91a59
19805 .xword 0x778e8c017fd16db5
19806 .xword 0xa99b06e9946ee559
19807 .xword 0x4b36dcf14052cb2a
19808 .xword 0x1797037be55b0792
19809 .xword 0x59e0ec91d868b392
19810 .xword 0xe3ad2ae0c05c0c84
19811 .xword 0x954991c7f1a116b3
19812 .xword 0x616218b27964ff97
19813 .xword 0x7198a7cea97e1506
19814.align 16
19815_t1_crc_fas_result:
19816 .xword 0xDEADBEEFDEADBEEF
19817 .xword 0xDEADBEEFDEADBEEF
19818 .xword 0xDEADBEEFDEADBEEF
19819 .xword 0xDEADBEEFDEADBEEF
19820 .xword 0xDEADBEEFDEADBEEF
19821 .xword 0xDEADBEEFDEADBEEF
19822 .xword 0xDEADBEEFDEADBEEF
19823 .xword 0xDEADBEEFDEADBEEF
19824 .xword 0xDEADBEEFDEADBEEF
19825 .xword 0xDEADBEEFDEADBEEF
19826 .xword 0xDEADBEEFDEADBEEF
19827 .xword 0xDEADBEEFDEADBEEF
19828 .xword 0xDEADBEEFDEADBEEF
19829 .xword 0xDEADBEEFDEADBEEF
19830 .xword 0xDEADBEEFDEADBEEF
19831 .xword 0xDEADBEEFDEADBEEF
19832 .xword 0xDEADBEEFDEADBEEF
19833 .xword 0xDEADBEEFDEADBEEF
19834 .xword 0xDEADBEEFDEADBEEF
19835 .xword 0xDEADBEEFDEADBEEF
19836 .xword 0xDEADBEEFDEADBEEF
19837 .xword 0xDEADBEEFDEADBEEF
19838 .xword 0xDEADBEEFDEADBEEF
19839.align 16
19840_t1_hash_key_array:
19841 .xword 0x4bdb8618b5b572b0
19842 .xword 0x60b8c536f12c852e
19843 .xword 0xdb96050ec65f1809
19844 .xword 0xa3df91413862385e
19845 .xword 0xfcc3d04d75edc775
19846 .xword 0x0ba88103d4194ce9
19847 .xword 0x9bdca660ac563ca2
19848 .xword 0x3093d205793f1c62
19849 .xword 0x7eee837e772833a4
19850 .xword 0x29cadb2c32774f5d
19851 .xword 0x658611f3779e4b9b
19852 .xword 0xbfe62c7b5f067512
19853 .xword 0xd4798786f2e89503
19854 .xword 0xab50e1a20c19f496
19855 .xword 0xa879f874b3588967
19856 .xword 0x608f7063a03257af
19857 .xword 0xbf27be095fb9377b
19858 .xword 0x08783759764bfeb8
19859 .xword 0x2272dac2acd36cea
19860 .xword 0x3df7896f066b63c8
19861 .xword 0xb36665b2eac8f800
19862 .xword 0x119f0ff1e4b09092
19863 .xword 0x4e9582fa02073669
19864 .xword 0xcaedae36f3c660b7
19865 .xword 0x6c0f891742637cde
19866 .xword 0x3a697cb29705ddf6
19867 .xword 0xea71ad2a33e360cb
19868 .xword 0x88de318d1308976f
19869 .xword 0x1c817ad108b9cbeb
19870 .xword 0x0f6e63001ce45d70
19871 .xword 0x66a84b77fc66c2dc
19872 .xword 0x6b2aaa13daac9319
19873 .xword 0x70cf92ecfd6dfbd6
19874 .xword 0x73a0608f89ad5ab0
19875 .xword 0x1fd71e9e1f59563c
19876 .xword 0x01e8655c0d8aaeba
19877 .xword 0x1b0c35a57e9c1055
19878 .xword 0x0c7bb63d1b7e9a73
19879 .xword 0xff372a0d02ea5a75
19880 .xword 0xc57c1706f1bb3df6
19881 .xword 0x17c35de0a52d1b73
19882 .xword 0x5039e024a21cb964
19883 .xword 0x6ae63bc34e30edd0
19884 .xword 0x0a9d8094eac0ddf6
19885 .xword 0x811c54908416fc45
19886 .xword 0x0cc702ac125ccac7
19887 .xword 0x1efa91fa490fac3b
19888 .xword 0x1295a5257d6df9d6
19889 .xword 0xca7c05a5f2608a3f
19890 .xword 0xb0e1fac8e2a86d12
19891 .xword 0x4f0563105a503621
19892.align 16
19893_t1_hash_iv_array:
19894 .xword 0xcb95a699c6decfeb
19895 .xword 0x95f13898461d124f
19896 .xword 0x41a42267d3364e73
19897 .xword 0x54182d64a8777804
19898 .xword 0x1a316c54e41b2755
19899 .xword 0x3f39bd5ad427d537
19900 .xword 0x4b9de334af47fa26
19901 .xword 0xef495a1e123f80d6
19902 .xword 0x011f2cb3d2dd73a5
19903 .xword 0x485842fda833f826
19904 .xword 0x401a15d3a589d8e1
19905 .xword 0x0db8e6dbae464f7d
19906 .xword 0x1b5dfbf2798d5420
19907 .xword 0x69940d527a06c105
19908 .xword 0xf6d70a53453ddff6
19909 .xword 0x7b08d3a324fead89
19910 .xword 0x5d371b054d8f9aa4
19911 .xword 0x56b65d38ed06a358
19912 .xword 0x048b67a6b446f7ad
19913 .xword 0x9dd09f41e92a0daa
19914 .xword 0x7ae9f8cc11b6e561
19915 .xword 0x7f35eed65dc8c036
19916 .xword 0xd392a18b1683fbce
19917 .xword 0xba46ed50ed1cbdb4
19918 .xword 0x3f86a6452f3fc6d7
19919 .xword 0x95e64daa88c806bb
19920 .xword 0xfa6a5303e1c33ac0
19921 .xword 0xb97e1265cba2ed67
19922 .xword 0x08a308b3c47c3ca7
19923 .xword 0xf9447b427b9617af
19924 .xword 0x0ef171cbe706a2f0
19925 .xword 0xee33db29b737736f
19926 .xword 0x16b50bca65c92a81
19927 .xword 0x6d3763f1a0eeba5d
19928 .xword 0xca60fcde9c99248f
19929 .xword 0xb91596c0fa08187f
19930 .xword 0x2c19cfcf3128c5e1
19931 .xword 0x8b5875f5e00945f0
19932 .xword 0x4ad1ac9559d24fe8
19933 .xword 0x8408a89db58c5fca
19934 .xword 0x4b125a0ff4d18a44
19935 .xword 0x604adeb0f189c772
19936 .xword 0x4e29bcff0c1f710b
19937 .xword 0xd05891cef5148af5
19938 .xword 0x388eda019af77915
19939.align 16
19940_t1_hash_alignment_array:
19941 .xword 14
19942 .xword 2
19943 .xword 7
19944 .xword 0
19945 .xword 5
19946 .xword 3
19947 .xword 1
19948 .xword 5
19949 .xword 1
19950 .xword 2
19951 .xword 9
19952 .xword 4
19953 .xword 12
19954 .xword 13
19955 .xword 8
19956 .xword 11
19957 .xword 11
19958 .xword 13
19959 .xword 12
19960 .xword 1
19961 .xword 8
19962 .xword 2
19963 .xword 13
19964 .xword 1
19965 .xword 10
19966 .xword 7
19967 .xword 5
19968 .xword 6
19969 .xword 6
19970 .xword 15
19971 .xword 0
19972 .xword 8
19973 .xword 6
19974 .xword 11
19975 .xword 13
19976 .xword 1
19977 .xword 3
19978 .xword 2
19979 .xword 11
19980 .xword 6
19981 .xword 5
19982 .xword 0
19983 .xword 9
19984 .xword 3
19985 .xword 15
19986 .xword 0
19987 .xword 9
19988 .xword 14
19989 .xword 1
19990 .xword 3
19991 .xword 9
19992 .xword 0
19993 .xword 9
19994 .xword 6
19995 .xword 7
19996 .xword 15
19997 .xword 7
19998 .xword 1
19999 .xword 0
20000 .xword 2
20001 .xword 4
20002 .xword 0
20003 .xword 0
20004 .xword 11
20005 .xword 15
20006 .xword 12
20007 .xword 7
20008 .xword 1
20009 .xword 12
20010 .xword 5
20011 .xword 9
20012 .xword 4
20013 .xword 10
20014 .xword 11
20015 .xword 0
20016 .xword 5
20017 .xword 6
20018 .xword 12
20019 .xword 5
20020 .xword 6
20021 .xword 13
20022 .xword 3
20023 .xword 2
20024 .xword 2
20025 .xword 1
20026 .xword 14
20027 .xword 9
20028 .xword 4
20029 .xword 1
20030 .xword 2
20031 .xword 12
20032 .xword 0
20033 .xword 7
20034 .xword 1
20035 .xword 8
20036 .xword 7
20037 .xword 4
20038 .xword 11
20039 .xword 7
20040 .xword 3
20041 .xword 12
20042 .xword 13
20043 .xword 12
20044 .xword 15
20045 .xword 6
20046.align 16
20047_t1_hash_src:
20048 .xword 0xe458c2b8aa92fce4
20049 .xword 0xa0156b10efb6558b
20050 .xword 0xbb4e01e9688704e3
20051 .xword 0xc993fba37d1617bd
20052 .xword 0xf51a19adb6a4973f
20053 .xword 0x08793a066dd2c958
20054 .xword 0x488d0ec29e0cb161
20055 .xword 0x04ecc718637f37e7
20056 .xword 0x6444e8431e537e4e
20057 .xword 0x5fd42553d295a175
20058 .xword 0xf78de52a047c4c24
20059 .xword 0x3ea58ee67ddc8d4a
20060 .xword 0x091347e92b49a519
20061 .xword 0x2af33ed365774156
20062 .xword 0xe58fa44dec65f064
20063 .xword 0xcabbfdc8723f99f6
20064 .xword 0x9c1bcdae03d72c31
20065 .xword 0x3b9d22932966d363
20066 .xword 0xe9739a532e7b51ef
20067 .xword 0xd6235d9c873f5606
20068 .xword 0xe1929a86c3434f12
20069 .xword 0x5ad32cf0d7966c03
20070 .xword 0xc072553115019603
20071 .xword 0x1b1d94e0e87f52fd
20072 .xword 0x512873bdc8e87290
20073 .xword 0xe788be412e00a660
20074 .xword 0x0e6241486f0e838e
20075 .xword 0xd682d69d62ba3d16
20076 .xword 0xb8811ab9d5c3a8d1
20077 .xword 0xc0270a012bb6990d
20078 .xword 0xba1b56562ed2920f
20079 .xword 0x6d72679f41bac908
20080 .xword 0xd930820181e0dc80
20081 .xword 0xf880e560f76c6bba
20082 .xword 0xc6ed642372c03049
20083 .xword 0xac9261dd0ac5ea53
20084 .xword 0x94e78440d9827383
20085 .xword 0xb68aa6fe9cead5ab
20086 .xword 0x95294ebae8e5e5bb
20087 .xword 0xdd0592a105d94888
20088 .xword 0x99e614388555d70f
20089 .xword 0xd8528c8aa9a7c3cc
20090 .xword 0xcd1952b2b991fda1
20091 .xword 0x83e9e847a542ff8e
20092 .xword 0x698de4c2fc6d5bdf
20093 .xword 0xe496e570607305b4
20094 .xword 0xc561e46a16ac357a
20095 .xword 0x577417c3e9a60108
20096 .xword 0x892ab014824f23ae
20097 .xword 0xd891d3b78abbcc15
20098 .xword 0x09527ed5a1a3bbfa
20099 .xword 0x8185a667dbf15c01
20100 .xword 0x7a1cbd45182f25a7
20101 .xword 0xf2270ac41ccffd0f
20102 .xword 0xd4ef8f4db90158cf
20103 .xword 0x1660102111c4f8c4
20104 .xword 0x266bf854bc751cfb
20105 .xword 0x645907f6d82aa867
20106 .xword 0x9237022d982c7200
20107 .xword 0x25050eb8d9146f19
20108 .xword 0x5ed2de7ccb56708f
20109 .xword 0xa97dca59e5b76dd6
20110 .xword 0x8f4a11aa1a1420ff
20111 .xword 0xf52e5aae81cbe6ff
20112 .xword 0x8ae03b0224f80094
20113 .xword 0x469fe42ffe45d62d
20114 .xword 0x7f7e6f18cfd0781b
20115 .xword 0x314d444baa7527d0
20116 .xword 0xd0c3b06705e70f0f
20117 .xword 0x9571489c37de3dc1
20118 .xword 0xee5e9fb3cd683a85
20119 .xword 0x9747384b71268f9d
20120 .xword 0xee374b48a4f55ba8
20121 .xword 0xff90e5ccee0131f0
20122 .xword 0x5424b1c99f6adf59
20123 .xword 0xc14f8dd4e953230c
20124 .xword 0xda23332e07e367a0
20125 .xword 0x66c45830847f4764
20126 .xword 0xf22207b8c34b2585
20127 .xword 0x49513eaa09cf5141
20128 .xword 0x86cb44ce0b179632
20129 .xword 0xd25f0a3cce87bfb6
20130 .xword 0xa8e48ca5bf3c9c9e
20131 .xword 0x682ea6612aefc044
20132 .xword 0xd8ca32f716ec40c7
20133 .xword 0x97d56bdbebbc759d
20134 .xword 0x24e795e2b5ab494e
20135 .xword 0x5a86b2a2d27f0191
20136 .xword 0x033e8aad23e1ee39
20137 .xword 0xb20d6c14e4057a7b
20138 .xword 0xfef2be404f52caba
20139 .xword 0xff0071682266a6dc
20140 .xword 0xc4abbfb663b4c091
20141 .xword 0x5a9f5a9743198541
20142 .xword 0xc63107a105b405c4
20143 .xword 0x2ab3ab0a751dfdd4
20144 .xword 0x7a3511afc9d2f525
20145 .xword 0x30be1810fb2a8a76
20146 .xword 0xdf0e9ddfa4d1586e
20147 .xword 0xc75b2f09d8fbe367
20148 .xword 0xbfd050fd25664b9d
20149 .xword 0x4ae218fbcc7d3409
20150 .xword 0x3ae3472e705fbc43
20151 .xword 0x4c1a792ee4008663
20152 .xword 0xab46b7e6e94f790d
20153 .xword 0x7e66ec925020286d
20154 .xword 0xd13d65d9f07d0af9
20155 .xword 0xc91ac482b849e684
20156 .xword 0x69750db5dfda9ad4
20157 .xword 0x655c7979d3281b43
20158 .xword 0xcff762e0d5d08fd0
20159 .xword 0xbe011717045cd132
20160 .xword 0xefd95cf9deef7be4
20161 .xword 0xddd2be9ce1f6a1b4
20162 .xword 0x18566b88ac4a34b2
20163 .xword 0x9857534c68084d3f
20164 .xword 0xf6a3fa770923ecec
20165 .xword 0xb3355074e5907600
20166 .xword 0x57dfd6032f41945e
20167 .xword 0xa8fa4387b0cb32f3
20168 .xword 0x93ebb754b3dce41c
20169 .xword 0xe255ced45321b0d0
20170 .xword 0x57b4561463d8593a
20171 .xword 0x32102190a719b504
20172 .xword 0x5c7f336d519a3b6b
20173 .xword 0xc55d58367e25a34b
20174 .xword 0x0b4402d6d251fcf0
20175 .xword 0x006ba2b42b75d709
20176 .xword 0x38ff47bdc9545490
20177 .xword 0x3b66d0480150176f
20178 .xword 0x5f75718d05eb29df
20179 .xword 0xc57b40a77e1c20ea
20180 .xword 0xc5aeb96435265019
20181 .xword 0xaec44843ac2d3f82
20182 .xword 0x8ac516c845906850
20183 .xword 0xe62843f3b1fbb110
20184 .xword 0x79f36e6683b7ef36
20185 .xword 0x245ebc3993dd7c96
20186 .xword 0x6480875b74dfaa01
20187 .xword 0x8449ece9fc14d587
20188 .xword 0x027c9cd48cc6aa6c
20189 .xword 0xa1c5cf0f17253295
20190 .xword 0x94cf50897c317439
20191 .xword 0x73df6e612cb07df7
20192 .xword 0xe37c76c3f8014912
20193 .xword 0x84e37942abfdfbaf
20194 .xword 0x3e5b935ed22323b5
20195 .xword 0xc9941708c4113135
20196 .xword 0xd4977636af7c69ad
20197 .xword 0x124be109b5468872
20198 .xword 0x3e47c4ec49149831
20199 .xword 0x009946c7d3cb7768
20200 .xword 0x5f5d064a64990ff6
20201 .xword 0xb5a48c5f2cbcf46c
20202 .xword 0x3316b4ca6fee6b9a
20203 .xword 0xb05a846a5e5f58d4
20204 .xword 0x30882740b37bdcad
20205 .xword 0x2419047df86563f4
20206 .xword 0xee01a909c4b1643e
20207 .xword 0xf19e71496634af53
20208 .xword 0xfef36ab07d28d8e4
20209 .xword 0x1abdcc277068e121
20210 .xword 0x8ec78e010e18831b
20211 .xword 0x7c5e242707fed923
20212 .xword 0xa1b037f1d77c144a
20213 .xword 0x75679c5ac2b99a4e
20214 .xword 0xa9836f89cadf1b85
20215 .xword 0x329093f5601df75d
20216 .xword 0x556f9fd699bd66b3
20217 .xword 0xfe74c6dbe9a60675
20218 .xword 0x1f2abb65a443d5b4
20219 .xword 0x4e1c11857755dd6f
20220 .xword 0x8b94f7295339b324
20221 .xword 0x34d3c2a8ad344df3
20222 .xword 0x4fc6ec9dc68f96b1
20223 .xword 0x09860fee0a8a34c6
20224 .xword 0x2297190005427435
20225 .xword 0x0682e9d7d15f5fd4
20226 .xword 0x788b489ab77a46b9
20227 .xword 0x605a18318de5014d
20228 .xword 0x5ff555ef4f227aee
20229 .xword 0x3679e8ad93ed44de
20230 .xword 0x0615c2c5256d7688
20231 .xword 0x1424dffb745e0865
20232 .xword 0xa45a1e1e044a0a13
20233 .xword 0x2369266a14ff6f13
20234 .xword 0x14be8d799d14af7b
20235 .xword 0x479324dd29507de6
20236 .xword 0xc9de05981e23c556
20237 .xword 0x7ab8adf9bc976f5e
20238 .xword 0x536dc4eca770a0eb
20239 .xword 0xcba4299aae3d995f
20240 .xword 0x01877f3e3b6215df
20241 .xword 0x7ae2880cc71b70d6
20242 .xword 0xa6ff48b9577e174a
20243 .xword 0x69b13c70f48ca61b
20244 .xword 0x38fd70a144cad0e5
20245 .xword 0x57ec098106e8647d
20246 .xword 0x7623729347d9a543
20247 .xword 0x5a952aeaf9fa73e4
20248 .xword 0xa2d8ece0691f8b45
20249 .xword 0x079c84787353fc0a
20250 .xword 0x99fe558f4340783a
20251 .xword 0x25393ecb83f0e4c0
20252 .xword 0x91c61ebd1d4c324d
20253 .xword 0xc7339bae770f8d6c
20254 .xword 0xfedce6008616a7c6
20255 .xword 0x8cdc7a2a4ab8e63b
20256 .xword 0x9e96c7b44b6209f3
20257 .xword 0x77b2f29fb47627f8
20258 .xword 0x2f29cd1f4c1800ce
20259 .xword 0x56ceb0a124b3b569
20260 .xword 0xc0ba873e67b42d2c
20261 .xword 0x1a1aebb82fe6aadd
20262 .xword 0xde16260b13daefa2
20263 .xword 0x762d2c951e642799
20264 .xword 0x0d96971cd3f0fe87
20265 .xword 0xfde46384af791d5d
20266 .xword 0x63d6ecd414a4f6fb
20267 .xword 0x8263321d3db4f4da
20268 .xword 0x8be7d2ce97fcad65
20269 .xword 0xe413b1d8cae7e897
20270 .xword 0xb3a976600885ed36
20271 .xword 0xa92541cdf3f42ca0
20272 .xword 0x10bb347739c6bc41
20273 .xword 0x420b95bc3486126a
20274 .xword 0xad38e50b33fc4df6
20275 .xword 0x34e4a507ba3dd244
20276 .xword 0x4c4d1feb7a7a86da
20277 .xword 0xab9480d5fd3e4c7f
20278 .xword 0xb189302beebada61
20279 .xword 0x441507fe82b72931
20280 .xword 0xc26fc83d1bb6c361
20281 .xword 0x9c7754009b565bfd
20282 .xword 0x7e8d37d71c497f1e
20283 .xword 0x124a19d9dcf66273
20284 .xword 0x324b4dac6657720a
20285 .xword 0xa2bc312dc402911e
20286 .xword 0x7fb79f6d09df51ea
20287 .xword 0xe24f0035ed2c0385
20288 .xword 0xfb1d8867f7688095
20289 .xword 0xf2078511a13cdc0f
20290 .xword 0xf7911eea5693a48a
20291 .xword 0x0da2f58a41188fcf
20292 .xword 0xdb8c0c0e324f7529
20293 .xword 0x87d0b5a97a5407bc
20294 .xword 0x98ec8097594620e2
20295 .xword 0x3549c1de517620b4
20296 .xword 0x6f7886d0fe1ae1ba
20297 .xword 0x2de3051dd39f4775
20298 .xword 0x345e12a7b286c078
20299 .xword 0x85e649f208443b3a
20300 .xword 0x285dfc10843f7fab
20301 .xword 0x3f4b57cc9dd0e43b
20302 .xword 0x6b087d8de714c152
20303 .xword 0x59a451adce237000
20304 .xword 0xf890bbdc99832c92
20305 .xword 0xa6f9674f1aca5146
20306 .xword 0x3ff7082ee068c22c
20307 .xword 0x4d99ae2a75c9b23a
20308 .xword 0xce7b1500c816c274
20309 .xword 0x132f9ef9e3771c34
20310 .xword 0x8aed10026d9ecc3d
20311 .xword 0x3fad0b4369fbfd38
20312 .xword 0xa1680af7c5e8f04c
20313 .xword 0x1e313181716fe991
20314 .xword 0xc1b966d5ade25745
20315 .xword 0x85373f7aac5ff453
20316 .xword 0xae82d0432368cc45
20317 .xword 0xb334cb40cac5e990
20318 .xword 0x5a301ba4396be7a1
20319 .xword 0x9d678b2ed57797d5
20320 .xword 0xc85b4addb4e37e00
20321 .xword 0xbe5a06c6590c761a
20322 .xword 0x1a4ace10fe492f7b
20323.align 16
20324_t1_hash_dest:
20325 .xword 0xDEADBEEFDEADBEEF
20326 .xword 0xDEADBEEFDEADBEEF
20327 .xword 0xDEADBEEFDEADBEEF
20328 .xword 0xDEADBEEFDEADBEEF
20329 .xword 0xDEADBEEFDEADBEEF
20330 .xword 0xDEADBEEFDEADBEEF
20331 .xword 0xDEADBEEFDEADBEEF
20332 .xword 0xDEADBEEFDEADBEEF
20333 .xword 0xDEADBEEFDEADBEEF
20334 .xword 0xDEADBEEFDEADBEEF
20335 .xword 0xDEADBEEFDEADBEEF
20336 .xword 0xDEADBEEFDEADBEEF
20337 .xword 0xDEADBEEFDEADBEEF
20338 .xword 0xDEADBEEFDEADBEEF
20339 .xword 0xDEADBEEFDEADBEEF
20340 .xword 0xDEADBEEFDEADBEEF
20341 .xword 0xDEADBEEFDEADBEEF
20342 .xword 0xDEADBEEFDEADBEEF
20343 .xword 0xDEADBEEFDEADBEEF
20344 .xword 0xDEADBEEFDEADBEEF
20345 .xword 0xDEADBEEFDEADBEEF
20346 .xword 0xDEADBEEFDEADBEEF
20347 .xword 0xDEADBEEFDEADBEEF
20348 .xword 0xDEADBEEFDEADBEEF
20349 .xword 0xDEADBEEFDEADBEEF
20350 .xword 0xDEADBEEFDEADBEEF
20351 .xword 0xDEADBEEFDEADBEEF
20352 .xword 0xDEADBEEFDEADBEEF
20353 .xword 0xDEADBEEFDEADBEEF
20354 .xword 0xDEADBEEFDEADBEEF
20355 .xword 0xDEADBEEFDEADBEEF
20356 .xword 0xDEADBEEFDEADBEEF
20357 .xword 0xDEADBEEFDEADBEEF
20358 .xword 0xDEADBEEFDEADBEEF
20359 .xword 0xDEADBEEFDEADBEEF
20360 .xword 0xDEADBEEFDEADBEEF
20361 .xword 0xDEADBEEFDEADBEEF
20362 .xword 0xDEADBEEFDEADBEEF
20363 .xword 0xDEADBEEFDEADBEEF
20364 .xword 0xDEADBEEFDEADBEEF
20365 .xword 0xDEADBEEFDEADBEEF
20366 .xword 0xDEADBEEFDEADBEEF
20367 .xword 0xDEADBEEFDEADBEEF
20368 .xword 0xDEADBEEFDEADBEEF
20369 .xword 0xDEADBEEFDEADBEEF
20370 .xword 0xDEADBEEFDEADBEEF
20371 .xword 0xDEADBEEFDEADBEEF
20372 .xword 0xDEADBEEFDEADBEEF
20373 .xword 0xDEADBEEFDEADBEEF
20374 .xword 0xDEADBEEFDEADBEEF
20375 .xword 0xDEADBEEFDEADBEEF
20376 .xword 0xDEADBEEFDEADBEEF
20377 .xword 0xDEADBEEFDEADBEEF
20378 .xword 0xDEADBEEFDEADBEEF
20379 .xword 0xDEADBEEFDEADBEEF
20380 .xword 0xDEADBEEFDEADBEEF
20381 .xword 0xDEADBEEFDEADBEEF
20382 .xword 0xDEADBEEFDEADBEEF
20383 .xword 0xDEADBEEFDEADBEEF
20384 .xword 0xDEADBEEFDEADBEEF
20385 .xword 0xDEADBEEFDEADBEEF
20386 .xword 0xDEADBEEFDEADBEEF
20387 .xword 0xDEADBEEFDEADBEEF
20388 .xword 0xDEADBEEFDEADBEEF
20389 .xword 0xDEADBEEFDEADBEEF
20390 .xword 0xDEADBEEFDEADBEEF
20391 .xword 0xDEADBEEFDEADBEEF
20392 .xword 0xDEADBEEFDEADBEEF
20393 .xword 0xDEADBEEFDEADBEEF
20394 .xword 0xDEADBEEFDEADBEEF
20395 .xword 0xDEADBEEFDEADBEEF
20396 .xword 0xDEADBEEFDEADBEEF
20397 .xword 0xDEADBEEFDEADBEEF
20398 .xword 0xDEADBEEFDEADBEEF
20399 .xword 0xDEADBEEFDEADBEEF
20400 .xword 0xDEADBEEFDEADBEEF
20401 .xword 0xDEADBEEFDEADBEEF
20402 .xword 0xDEADBEEFDEADBEEF
20403 .xword 0xDEADBEEFDEADBEEF
20404 .xword 0xDEADBEEFDEADBEEF
20405 .xword 0xDEADBEEFDEADBEEF
20406 .xword 0xDEADBEEFDEADBEEF
20407 .xword 0xDEADBEEFDEADBEEF
20408 .xword 0xDEADBEEFDEADBEEF
20409 .xword 0xDEADBEEFDEADBEEF
20410 .xword 0xDEADBEEFDEADBEEF
20411 .xword 0xDEADBEEFDEADBEEF
20412 .xword 0xDEADBEEFDEADBEEF
20413 .xword 0xDEADBEEFDEADBEEF
20414 .xword 0xDEADBEEFDEADBEEF
20415 .xword 0xDEADBEEFDEADBEEF
20416 .xword 0xDEADBEEFDEADBEEF
20417 .xword 0xDEADBEEFDEADBEEF
20418 .xword 0xDEADBEEFDEADBEEF
20419 .xword 0xDEADBEEFDEADBEEF
20420 .xword 0xDEADBEEFDEADBEEF
20421 .xword 0xDEADBEEFDEADBEEF
20422 .xword 0xDEADBEEFDEADBEEF
20423 .xword 0xDEADBEEFDEADBEEF
20424 .xword 0xDEADBEEFDEADBEEF
20425 .xword 0xDEADBEEFDEADBEEF
20426 .xword 0xDEADBEEFDEADBEEF
20427 .xword 0xDEADBEEFDEADBEEF
20428 .xword 0xDEADBEEFDEADBEEF
20429 .xword 0xDEADBEEFDEADBEEF
20430 .xword 0xDEADBEEFDEADBEEF
20431 .xword 0xDEADBEEFDEADBEEF
20432 .xword 0xDEADBEEFDEADBEEF
20433 .xword 0xDEADBEEFDEADBEEF
20434 .xword 0xDEADBEEFDEADBEEF
20435 .xword 0xDEADBEEFDEADBEEF
20436 .xword 0xDEADBEEFDEADBEEF
20437 .xword 0xDEADBEEFDEADBEEF
20438 .xword 0xDEADBEEFDEADBEEF
20439 .xword 0xDEADBEEFDEADBEEF
20440 .xword 0xDEADBEEFDEADBEEF
20441 .xword 0xDEADBEEFDEADBEEF
20442 .xword 0xDEADBEEFDEADBEEF
20443 .xword 0xDEADBEEFDEADBEEF
20444 .xword 0xDEADBEEFDEADBEEF
20445 .xword 0xDEADBEEFDEADBEEF
20446 .xword 0xDEADBEEFDEADBEEF
20447 .xword 0xDEADBEEFDEADBEEF
20448 .xword 0xDEADBEEFDEADBEEF
20449 .xword 0xDEADBEEFDEADBEEF
20450 .xword 0xDEADBEEFDEADBEEF
20451 .xword 0xDEADBEEFDEADBEEF
20452 .xword 0xDEADBEEFDEADBEEF
20453 .xword 0xDEADBEEFDEADBEEF
20454 .xword 0xDEADBEEFDEADBEEF
20455 .xword 0xDEADBEEFDEADBEEF
20456 .xword 0xDEADBEEFDEADBEEF
20457 .xword 0xDEADBEEFDEADBEEF
20458 .xword 0xDEADBEEFDEADBEEF
20459 .xword 0xDEADBEEFDEADBEEF
20460 .xword 0xDEADBEEFDEADBEEF
20461 .xword 0xDEADBEEFDEADBEEF
20462 .xword 0xDEADBEEFDEADBEEF
20463 .xword 0xDEADBEEFDEADBEEF
20464 .xword 0xDEADBEEFDEADBEEF
20465 .xword 0xDEADBEEFDEADBEEF
20466 .xword 0xDEADBEEFDEADBEEF
20467 .xword 0xDEADBEEFDEADBEEF
20468 .xword 0xDEADBEEFDEADBEEF
20469 .xword 0xDEADBEEFDEADBEEF
20470 .xword 0xDEADBEEFDEADBEEF
20471 .xword 0xDEADBEEFDEADBEEF
20472 .xword 0xDEADBEEFDEADBEEF
20473 .xword 0xDEADBEEFDEADBEEF
20474 .xword 0xDEADBEEFDEADBEEF
20475 .xword 0xDEADBEEFDEADBEEF
20476 .xword 0xDEADBEEFDEADBEEF
20477 .xword 0xDEADBEEFDEADBEEF
20478 .xword 0xDEADBEEFDEADBEEF
20479 .xword 0xDEADBEEFDEADBEEF
20480 .xword 0xDEADBEEFDEADBEEF
20481 .xword 0xDEADBEEFDEADBEEF
20482 .xword 0xDEADBEEFDEADBEEF
20483 .xword 0xDEADBEEFDEADBEEF
20484 .xword 0xDEADBEEFDEADBEEF
20485 .xword 0xDEADBEEFDEADBEEF
20486 .xword 0xDEADBEEFDEADBEEF
20487 .xword 0xDEADBEEFDEADBEEF
20488 .xword 0xDEADBEEFDEADBEEF
20489 .xword 0xDEADBEEFDEADBEEF
20490 .xword 0xDEADBEEFDEADBEEF
20491 .xword 0xDEADBEEFDEADBEEF
20492 .xword 0xDEADBEEFDEADBEEF
20493 .xword 0xDEADBEEFDEADBEEF
20494 .xword 0xDEADBEEFDEADBEEF
20495 .xword 0xDEADBEEFDEADBEEF
20496 .xword 0xDEADBEEFDEADBEEF
20497 .xword 0xDEADBEEFDEADBEEF
20498 .xword 0xDEADBEEFDEADBEEF
20499 .xword 0xDEADBEEFDEADBEEF
20500 .xword 0xDEADBEEFDEADBEEF
20501 .xword 0xDEADBEEFDEADBEEF
20502 .xword 0xDEADBEEFDEADBEEF
20503 .xword 0xDEADBEEFDEADBEEF
20504 .xword 0xDEADBEEFDEADBEEF
20505 .xword 0xDEADBEEFDEADBEEF
20506 .xword 0xDEADBEEFDEADBEEF
20507 .xword 0xDEADBEEFDEADBEEF
20508 .xword 0xDEADBEEFDEADBEEF
20509 .xword 0xDEADBEEFDEADBEEF
20510 .xword 0xDEADBEEFDEADBEEF
20511 .xword 0xDEADBEEFDEADBEEF
20512 .xword 0xDEADBEEFDEADBEEF
20513 .xword 0xDEADBEEFDEADBEEF
20514 .xword 0xDEADBEEFDEADBEEF
20515 .xword 0xDEADBEEFDEADBEEF
20516 .xword 0xDEADBEEFDEADBEEF
20517 .xword 0xDEADBEEFDEADBEEF
20518 .xword 0xDEADBEEFDEADBEEF
20519 .xword 0xDEADBEEFDEADBEEF
20520 .xword 0xDEADBEEFDEADBEEF
20521 .xword 0xDEADBEEFDEADBEEF
20522 .xword 0xDEADBEEFDEADBEEF
20523 .xword 0xDEADBEEFDEADBEEF
20524 .xword 0xDEADBEEFDEADBEEF
20525 .xword 0xDEADBEEFDEADBEEF
20526 .xword 0xDEADBEEFDEADBEEF
20527 .xword 0xDEADBEEFDEADBEEF
20528 .xword 0xDEADBEEFDEADBEEF
20529 .xword 0xDEADBEEFDEADBEEF
20530 .xword 0xDEADBEEFDEADBEEF
20531 .xword 0xDEADBEEFDEADBEEF
20532 .xword 0xDEADBEEFDEADBEEF
20533 .xword 0xDEADBEEFDEADBEEF
20534 .xword 0xDEADBEEFDEADBEEF
20535 .xword 0xDEADBEEFDEADBEEF
20536 .xword 0xDEADBEEFDEADBEEF
20537 .xword 0xDEADBEEFDEADBEEF
20538 .xword 0xDEADBEEFDEADBEEF
20539 .xword 0xDEADBEEFDEADBEEF
20540 .xword 0xDEADBEEFDEADBEEF
20541 .xword 0xDEADBEEFDEADBEEF
20542 .xword 0xDEADBEEFDEADBEEF
20543 .xword 0xDEADBEEFDEADBEEF
20544 .xword 0xDEADBEEFDEADBEEF
20545 .xword 0xDEADBEEFDEADBEEF
20546 .xword 0xDEADBEEFDEADBEEF
20547 .xword 0xDEADBEEFDEADBEEF
20548 .xword 0xDEADBEEFDEADBEEF
20549 .xword 0xDEADBEEFDEADBEEF
20550 .xword 0xDEADBEEFDEADBEEF
20551 .xword 0xDEADBEEFDEADBEEF
20552 .xword 0xDEADBEEFDEADBEEF
20553 .xword 0xDEADBEEFDEADBEEF
20554 .xword 0xDEADBEEFDEADBEEF
20555 .xword 0xDEADBEEFDEADBEEF
20556 .xword 0xDEADBEEFDEADBEEF
20557 .xword 0xDEADBEEFDEADBEEF
20558 .xword 0xDEADBEEFDEADBEEF
20559 .xword 0xDEADBEEFDEADBEEF
20560 .xword 0xDEADBEEFDEADBEEF
20561 .xword 0xDEADBEEFDEADBEEF
20562 .xword 0xDEADBEEFDEADBEEF
20563 .xword 0xDEADBEEFDEADBEEF
20564 .xword 0xDEADBEEFDEADBEEF
20565 .xword 0xDEADBEEFDEADBEEF
20566 .xword 0xDEADBEEFDEADBEEF
20567 .xword 0xDEADBEEFDEADBEEF
20568 .xword 0xDEADBEEFDEADBEEF
20569 .xword 0xDEADBEEFDEADBEEF
20570 .xword 0xDEADBEEFDEADBEEF
20571 .xword 0xDEADBEEFDEADBEEF
20572 .xword 0xDEADBEEFDEADBEEF
20573 .xword 0xDEADBEEFDEADBEEF
20574 .xword 0xDEADBEEFDEADBEEF
20575 .xword 0xDEADBEEFDEADBEEF
20576 .xword 0xDEADBEEFDEADBEEF
20577 .xword 0xDEADBEEFDEADBEEF
20578 .xword 0xDEADBEEFDEADBEEF
20579 .xword 0xDEADBEEFDEADBEEF
20580 .xword 0xDEADBEEFDEADBEEF
20581 .xword 0xDEADBEEFDEADBEEF
20582 .xword 0xDEADBEEFDEADBEEF
20583 .xword 0xDEADBEEFDEADBEEF
20584 .xword 0xDEADBEEFDEADBEEF
20585 .xword 0xDEADBEEFDEADBEEF
20586 .xword 0xDEADBEEFDEADBEEF
20587 .xword 0xDEADBEEFDEADBEEF
20588 .xword 0xDEADBEEFDEADBEEF
20589 .xword 0xDEADBEEFDEADBEEF
20590 .xword 0xDEADBEEFDEADBEEF
20591 .xword 0xDEADBEEFDEADBEEF
20592 .xword 0xDEADBEEFDEADBEEF
20593 .xword 0xDEADBEEFDEADBEEF
20594 .xword 0xDEADBEEFDEADBEEF
20595 .xword 0xDEADBEEFDEADBEEF
20596 .xword 0xDEADBEEFDEADBEEF
20597 .xword 0xDEADBEEFDEADBEEF
20598 .xword 0xDEADBEEFDEADBEEF
20599 .xword 0xDEADBEEFDEADBEEF
20600.align 16
20601_t1_hash_auth_key:
20602 .xword 0xef4c54e61c031dee
20603 .xword 0xb3232771aaf70672
20604 .xword 0x4be632f54beeb897
20605 .xword 0x8d931aa1c5459ef5
20606 .xword 0x7c6132873088ef73
20607 .xword 0x289c567ad2c97565
20608 .xword 0x339ed0390ab8511f
20609 .xword 0x9471dfdef9f8cebd
20610 .xword 0xc29f1c9ee778de3b
20611 .xword 0x75987428be876868
20612 .xword 0xf085b939c0a8aaf1
20613 .xword 0x5768f97960ab7ac0
20614 .xword 0x7f1f93d287f4e76e
20615 .xword 0x3dfaec04470946f7
20616 .xword 0x4a52507b644233f8
20617 .xword 0x11ef178ede9c57f4
20618 .xword 0x90ccfc8c92aada00
20619 .xword 0xc804528dda65b6f6
20620 .xword 0x1a669e6a5c645a59
20621 .xword 0xd6e07d7857e1e74a
20622 .xword 0xd6ddc04e366a562f
20623 .xword 0x6883a4d33cd3ada1
20624 .xword 0xc4b1163f017df903
20625.align 16
20626_t1_hash_auth_iv:
20627 .xword 0xc3dfead718c88c21
20628 .xword 0x4cebb04c3d3a0ca9
20629 .xword 0xadb43d2aeaa074ee
20630 .xword 0xe143ac18d8a0e56d
20631 .xword 0xa30e63de2cf435e6
20632 .xword 0x8de72b3b0432fcb8
20633 .xword 0x743792a1c47099b4
20634 .xword 0x23a1d9cfe2f1151f
20635 .xword 0x2711d16fcf4a7374
20636 .xword 0xc166a72962c595d0
20637 .xword 0x54acc0c594a19b9f
20638 .xword 0x32ba62c49746e57f
20639 .xword 0xcb5c5ab8a85080d7
20640 .xword 0x4b8c60dca5a86ec1
20641 .xword 0xc4b27bd5cbf6ea84
20642 .xword 0xed01bc2c292ca609
20643 .xword 0x7698ecc8782db2ac
20644 .xword 0x9ed80bfafc345acf
20645 .xword 0x256faa058ceec2af
20646 .xword 0x481d648aa526b399
20647 .xword 0x4bff02ca1e46c55e
20648 .xword 0x8417ffde06031de9
20649 .xword 0xee600484e8db4fa9
20650.align 16
20651_t1_hash_fas_result:
20652 .xword 0xDEADBEEFDEADBEEF
20653 .xword 0xDEADBEEFDEADBEEF
20654 .xword 0xDEADBEEFDEADBEEF
20655 .xword 0xDEADBEEFDEADBEEF
20656 .xword 0xDEADBEEFDEADBEEF
20657 .xword 0xDEADBEEFDEADBEEF
20658 .xword 0xDEADBEEFDEADBEEF
20659 .xword 0xDEADBEEFDEADBEEF
20660 .xword 0xDEADBEEFDEADBEEF
20661 .xword 0xDEADBEEFDEADBEEF
20662 .xword 0xDEADBEEFDEADBEEF
20663 .xword 0xDEADBEEFDEADBEEF
20664 .xword 0xDEADBEEFDEADBEEF
20665 .xword 0xDEADBEEFDEADBEEF
20666 .xword 0xDEADBEEFDEADBEEF
20667 .xword 0xDEADBEEFDEADBEEF
20668 .xword 0xDEADBEEFDEADBEEF
20669 .xword 0xDEADBEEFDEADBEEF
20670 .xword 0xDEADBEEFDEADBEEF
20671 .xword 0xDEADBEEFDEADBEEF
20672 .xword 0xDEADBEEFDEADBEEF
20673 .xword 0xDEADBEEFDEADBEEF
20674 .xword 0xDEADBEEFDEADBEEF
20675.align 16
20676_t1_hmac_key_array:
20677 .xword 0xa12d2dc672744d5a
20678 .xword 0x924ea0b9d0ffbce0
20679 .xword 0xeae89dc4954cba29
20680 .xword 0x75001d2e68420188
20681 .xword 0x64dbc15fb3fe7ad0
20682 .xword 0xfdeaa8adc1aaf916
20683 .xword 0x5983b06b26ffa052
20684 .xword 0x008322318d991404
20685 .xword 0xbb643b9aa1553207
20686 .xword 0xedd99207969e4fbc
20687 .xword 0xc4fd8c56be384a51
20688 .xword 0x1bd57ac1e039dc5e
20689 .xword 0x3782b4f5f5881cd7
20690 .xword 0x827b279a74424cbf
20691 .xword 0x62ebf9514fc757af
20692 .xword 0x031207defb30d8a8
20693 .xword 0x108347944a8fc23f
20694 .xword 0x66c853fad17f959a
20695 .xword 0xf1eebdcb04ec2f62
20696 .xword 0x197a68892fedcced
20697 .xword 0xb75952189a9288c7
20698 .xword 0xcea2eb46fa3469c4
20699 .xword 0x4e495be1146aa631
20700 .xword 0x77f2bf078b863bab
20701 .xword 0xf7b6a7f5d358c3cc
20702 .xword 0x4476bbb46b3812d6
20703 .xword 0xe337cf84b18c7770
20704 .xword 0x73a8ab754f0812b1
20705 .xword 0xf69b9351d719c26d
20706 .xword 0x7d5ed7646470162b
20707 .xword 0xcb27078a680553e8
20708 .xword 0x6aa3c96eacc9644c
20709 .xword 0x0bb3c161450fa1f6
20710 .xword 0x715e806468e55b75
20711 .xword 0xec0ba14267f34249
20712 .xword 0xb0bc85081e9c4847
20713 .xword 0x2beb631a997e0177
20714 .xword 0xabca574283de13de
20715 .xword 0xbb710c74f3d363c5
20716 .xword 0xec6016f027987e81
20717 .xword 0x269c9c1d9ad2ad6e
20718 .xword 0x14dc67ad2dc8f727
20719 .xword 0xfc8efb98401c693c
20720 .xword 0x5e508458bd6dd101
20721 .xword 0x6543c40e02c8cf81
20722 .xword 0x48989c7cad00f71e
20723 .xword 0xcf7a76d224cb8bbb
20724 .xword 0x3b2f51ba491f5395
20725 .xword 0x36a987fed79ca71e
20726 .xword 0x01e8b7c677be3b8f
20727 .xword 0x548dc2b15e5897b3
20728.align 16
20729_t1_hmac_iv_array:
20730 .xword 0xc4df13615ce5ca0d
20731 .xword 0xb53b39626edff471
20732 .xword 0x476143d21aadc3c5
20733 .xword 0x46d564366d4022ff
20734 .xword 0x94c42b502a4f7e05
20735 .xword 0x9b6b3bd83ffb2846
20736 .xword 0x59c12ab0bdda7877
20737 .xword 0xafd41a9c6622a078
20738 .xword 0x2623df1c4b0248b6
20739 .xword 0x0691e791aac1b9e8
20740 .xword 0x05e1d6d715384e7d
20741 .xword 0x210987112b8e76e9
20742 .xword 0x7289e1e7c415c9df
20743 .xword 0x411b25e985719409
20744 .xword 0xa8b119d55e7c4e28
20745 .xword 0x84c82fcae6176f1f
20746 .xword 0xed2b4b69c464acca
20747 .xword 0x29db3f664783815c
20748 .xword 0x9ced60b502db28e2
20749 .xword 0x4548f7e0051164e4
20750 .xword 0x87c670ec37a761bf
20751 .xword 0xa6ca0f741aebdf86
20752 .xword 0x6f3445d4f05acba9
20753 .xword 0xe8cf71037ff50633
20754 .xword 0x9690519d228ee242
20755 .xword 0x4a640aea3199640d
20756 .xword 0x48a766e48f8a695e
20757 .xword 0xefd99cad9bddff99
20758 .xword 0x7cbfbdbdd9b686ac
20759 .xword 0xa4ef9b9c6a3c9302
20760 .xword 0x95273d7624fda82f
20761 .xword 0xc7084cfa2b11aa38
20762 .xword 0x2db6dc6d101d8c18
20763 .xword 0xaecf878b8d1341e0
20764 .xword 0xc7e859b21e5900ae
20765 .xword 0x48bce0f4bc339c77
20766 .xword 0x315e600605ee1b97
20767 .xword 0x1fece3a1147901dc
20768 .xword 0x39178656ef7ecc3e
20769 .xword 0x3195f26c7e8c0395
20770 .xword 0x754471737683732f
20771 .xword 0xe3c129fb2fbbdd83
20772 .xword 0xa11b2f2b1cc543e1
20773 .xword 0xafa57abaa0322e1d
20774 .xword 0xc411460e24957729
20775.align 16
20776_t1_hmac_alignment_array:
20777 .xword 12
20778 .xword 1
20779 .xword 10
20780 .xword 6
20781 .xword 11
20782 .xword 13
20783 .xword 3
20784 .xword 7
20785 .xword 5
20786 .xword 10
20787 .xword 6
20788 .xword 7
20789 .xword 3
20790 .xword 10
20791 .xword 7
20792 .xword 13
20793 .xword 2
20794 .xword 8
20795 .xword 8
20796 .xword 5
20797 .xword 7
20798 .xword 14
20799 .xword 7
20800 .xword 5
20801 .xword 4
20802 .xword 11
20803 .xword 1
20804 .xword 2
20805 .xword 14
20806 .xword 15
20807 .xword 0
20808 .xword 5
20809 .xword 8
20810 .xword 10
20811 .xword 3
20812 .xword 13
20813 .xword 14
20814 .xword 7
20815 .xword 4
20816 .xword 12
20817 .xword 12
20818 .xword 1
20819 .xword 8
20820 .xword 2
20821 .xword 6
20822 .xword 13
20823 .xword 4
20824 .xword 12
20825 .xword 15
20826 .xword 7
20827 .xword 3
20828 .xword 12
20829 .xword 15
20830 .xword 1
20831 .xword 6
20832 .xword 6
20833 .xword 13
20834 .xword 0
20835 .xword 4
20836 .xword 14
20837 .xword 12
20838 .xword 1
20839 .xword 15
20840 .xword 14
20841 .xword 9
20842 .xword 9
20843 .xword 10
20844 .xword 15
20845 .xword 4
20846 .xword 13
20847 .xword 9
20848 .xword 9
20849 .xword 10
20850 .xword 7
20851 .xword 12
20852 .xword 6
20853 .xword 10
20854 .xword 15
20855 .xword 7
20856 .xword 13
20857 .xword 12
20858 .xword 4
20859 .xword 10
20860 .xword 14
20861 .xword 8
20862 .xword 11
20863 .xword 15
20864 .xword 9
20865 .xword 13
20866 .xword 15
20867 .xword 10
20868 .xword 7
20869 .xword 3
20870 .xword 15
20871 .xword 10
20872 .xword 7
20873 .xword 7
20874 .xword 10
20875 .xword 13
20876 .xword 15
20877 .xword 6
20878 .xword 6
20879 .xword 3
20880 .xword 6
20881 .xword 14
20882.align 16
20883_t1_hmac_src:
20884 .xword 0xca0280d14c1d583b
20885 .xword 0x0d5c95721b515535
20886 .xword 0x20029dd109c42ce6
20887 .xword 0xf0ae52a60e08409e
20888 .xword 0x9e2fcaaa7959ad7b
20889 .xword 0x56758ec5d4733867
20890 .xword 0x7172e00a44603767
20891 .xword 0xa869f70ca31d5845
20892 .xword 0x40a96ea2a89e3178
20893 .xword 0x22f8ad8c287e5a91
20894 .xword 0xdb3ec3ba30796742
20895 .xword 0x1a394499577d78b1
20896 .xword 0x5553317e1aa02fc9
20897 .xword 0x3643cbd66c08a025
20898 .xword 0xbb9876295ed9da7b
20899 .xword 0x8ddfc1736ea49faa
20900 .xword 0x3e9ff2c031d4acaf
20901 .xword 0x136f829a97ef5953
20902 .xword 0xbc61e78a35d74f04
20903 .xword 0x536e7a7f79f2c95a
20904 .xword 0x5c900597a57e64c7
20905 .xword 0x39e6ec777d5e8831
20906 .xword 0xe963b6f3fa389b2e
20907 .xword 0x61497f9e4b3cee7e
20908 .xword 0xe3c83af5950fdfcf
20909 .xword 0x51e3cd6c0c8b14c6
20910 .xword 0xca9e3b8a58fea9ed
20911 .xword 0x893273f4426aad01
20912 .xword 0x5767ad13b5f35eb9
20913 .xword 0x4b06a1408f6c9916
20914 .xword 0x54c257754108fc2d
20915 .xword 0xeec13c3c62cb47b3
20916 .xword 0x15769816452c69ca
20917 .xword 0xe02d70ff0205f468
20918 .xword 0x1d509b2e93019ba9
20919 .xword 0xac7be789483adc4c
20920 .xword 0x990568ac88088cd8
20921 .xword 0xced3804134ad21fe
20922 .xword 0x8afedb87bb3d5577
20923 .xword 0x9d08dea87603e8da
20924 .xword 0xd366b971d5a4154a
20925 .xword 0x8898e5fe46aa9f6c
20926 .xword 0x278be3dc04998ec7
20927 .xword 0x1722c4f1ba220cc8
20928 .xword 0x8d034f11db46f70a
20929 .xword 0xdbc91e1bd50fe004
20930 .xword 0x2e50d2a2a813e9b3
20931 .xword 0x1e1a8c28146d6971
20932 .xword 0x88b07e22571fbcf2
20933 .xword 0x164b830feb00c3ac
20934 .xword 0x0073816762bacb60
20935 .xword 0x217e7337e3534627
20936 .xword 0x31842115d4ddb1f6
20937 .xword 0x821e0c4303c02af5
20938 .xword 0xe0399d65e1c625d2
20939 .xword 0xad8341edd6b32965
20940 .xword 0xc7fcc87bf15dcb16
20941 .xword 0xc6fdfabc87dfdf41
20942 .xword 0xde5c47ab30bb4942
20943 .xword 0xefdb333e010db487
20944 .xword 0xaeaf493f8644bda9
20945 .xword 0x27c163294de517c9
20946 .xword 0x791be5cdeeecbb97
20947 .xword 0x5b90d0b31ee15072
20948 .xword 0x600e3cf654c24573
20949 .xword 0x4e439b06e2d83c6d
20950 .xword 0xe44805073f550bb7
20951 .xword 0x2c314e93e3a54d58
20952 .xword 0xffac374b9b44842e
20953 .xword 0x05e7d32c0f14b8f8
20954 .xword 0x35186d75993a5689
20955 .xword 0xac02740c1280f745
20956 .xword 0xd4abebc739d38cfe
20957 .xword 0x436f30bca18eb847
20958 .xword 0xaa0eb2287f58a9fd
20959 .xword 0xc448af16db6c3510
20960 .xword 0x173cd5bbdb06125d
20961 .xword 0xe385c76a96f59473
20962 .xword 0x687ce5275600fa9f
20963 .xword 0xf9c86e53fc8b4e28
20964 .xword 0x13590b7e5e96e233
20965 .xword 0xcf453c7ddd91477f
20966 .xword 0xe09df2af765cdec3
20967 .xword 0x51e1e94cfc7972f9
20968 .xword 0x0c12db6a993ffb19
20969 .xword 0x6092d103687eaf71
20970 .xword 0x62f8622f3ecc52ea
20971 .xword 0xe91c3006ee28cf18
20972 .xword 0xbe88e0eb5df337b9
20973 .xword 0xa8e1cc85cce361de
20974 .xword 0xeb96437695edaa62
20975 .xword 0xfd05d9a40a4f1b0a
20976 .xword 0xde7dfd7727d10fff
20977 .xword 0x8ab246032cb3010c
20978 .xword 0xc0762687abad2461
20979 .xword 0x540d3400877f36c5
20980 .xword 0xad6a158080e962b5
20981 .xword 0xb7edd56ccd2adcf0
20982 .xword 0x47bd3ae237ddf712
20983 .xword 0xb8f9ebdafcc5d841
20984 .xword 0x05997286d821a749
20985 .xword 0xf4c4c091ad8557e0
20986 .xword 0x17fb6f697d15c76a
20987 .xword 0x28eafee84cd7adaa
20988 .xword 0x82b4fa580003194a
20989 .xword 0x8fb02a34799f7d08
20990 .xword 0xb8f07d411dec7e4c
20991 .xword 0xc3644625864fdd45
20992 .xword 0x3d1f8df9233e398b
20993 .xword 0x39072fb2c198c4a3
20994 .xword 0xb1f5b7fb60f8b4a8
20995 .xword 0x887c0cc7c2c45493
20996 .xword 0xaf6cdaf1f9f89a9e
20997 .xword 0xbe058d89dc760e22
20998 .xword 0xc0423a7cb5b56da6
20999 .xword 0x52f3f7773f67b039
21000 .xword 0xceb684b47607459d
21001 .xword 0x9b8a4c0085d4b5b2
21002 .xword 0xabcd3b31e889ca3d
21003 .xword 0xa7625bc5dc01211f
21004 .xword 0x068e2bd5458cbf95
21005 .xword 0x09920933dac6eec8
21006 .xword 0x18736b4df890bd98
21007 .xword 0x3f1777e264d11a54
21008 .xword 0x60ebb02f60de47bf
21009 .xword 0x1ccaab62c6fe9520
21010 .xword 0x9cedffc53cfcba01
21011 .xword 0xdc4620b07a8dfa7a
21012 .xword 0xd890117ff49e8965
21013 .xword 0xfcfb46118ee0f5ac
21014 .xword 0x54e9b3ae0302d6a6
21015 .xword 0x08704bc242a3bc9a
21016 .xword 0xbb9f466da7825ab5
21017 .xword 0x779e1c8d029d87a5
21018 .xword 0x0ed60d197a18ef92
21019 .xword 0x018a945ebaf76a6f
21020 .xword 0xc285496b7c0fde0b
21021 .xword 0x1f364b981211e72d
21022 .xword 0xd336fdb879c25e22
21023 .xword 0x2863b61eac150799
21024 .xword 0x3786954f18cb12e1
21025 .xword 0x905d8f2689014f52
21026 .xword 0x641c7c7e68ee76f5
21027 .xword 0xeedd1482f66cd16f
21028 .xword 0x1538bd98c27959cd
21029 .xword 0x41df9b5d6265e2a7
21030 .xword 0x4dd0e2991f340bfa
21031 .xword 0x00b7fc516534bd38
21032 .xword 0x8e48d7fa677d0f71
21033 .xword 0x4c4422ab25517295
21034 .xword 0x043f54720e066120
21035 .xword 0x757a36bba138cff3
21036 .xword 0x744481f45be66184
21037 .xword 0xda730ba7d724c37c
21038 .xword 0x9762c299bdf3d044
21039 .xword 0x9e16e3b83bcebf25
21040 .xword 0xa1c595c7d3445d6d
21041 .xword 0x651bb99253266a3a
21042 .xword 0xa399aa739b71e404
21043 .xword 0x4673644cd8810eeb
21044 .xword 0x144e398a2e81aa8c
21045 .xword 0x9ac1dec5d77c584c
21046 .xword 0xb873699024ed1056
21047 .xword 0xad52aff2a9d7b2e7
21048 .xword 0xae20ea72b2c0ddf8
21049 .xword 0x4caff32cbe99a995
21050 .xword 0xaf5552353ecf2076
21051 .xword 0x0fd9ccbdf5048038
21052 .xword 0xeef45e786bb07998
21053 .xword 0xda583b89ea3ed319
21054 .xword 0x7ae3865a7a5a3fb1
21055 .xword 0x2b65efc299981415
21056 .xword 0x772f340b736b0728
21057 .xword 0xa2a4fac4dfd348ca
21058 .xword 0x614acc3f487f4473
21059 .xword 0x21f80ab21e1d3f0d
21060 .xword 0x48454c31312637f6
21061 .xword 0x5c66b6f2264fdf39
21062 .xword 0x929f880afbe3354e
21063 .xword 0x9b7f4abd322a889a
21064 .xword 0x26e54b063f36bd1a
21065 .xword 0x580ba03338e8241e
21066 .xword 0x14e3fede8f8cfa0a
21067 .xword 0xd75c6d319aa985cc
21068 .xword 0xec8964f4c29f5964
21069 .xword 0xb9bf409f13935a75
21070 .xword 0xfb4ec270a4f4ea02
21071 .xword 0x4dcec38e55badb18
21072 .xword 0x6d0bab15814364a3
21073 .xword 0xe0587f34d3f17e75
21074 .xword 0x8a2f1bc8676edc77
21075 .xword 0xec074de8e42e6e79
21076 .xword 0x5003bcd1ba08ce7b
21077 .xword 0x9aa1580bbb4b82de
21078 .xword 0x718dd41973070e2f
21079 .xword 0x70627039684ed28f
21080 .xword 0x510517adc35147da
21081 .xword 0xb5e1b0a87be2007e
21082 .xword 0x89a6f00f67818ed8
21083 .xword 0x1061b0bd9848f3b7
21084 .xword 0x37ea51f8b4d7adc8
21085 .xword 0x3205c580940ddebc
21086 .xword 0x1fab9fe0fc3fd0aa
21087 .xword 0x5ac91f796a0b55f3
21088 .xword 0x38c2e368f3cefc53
21089 .xword 0x54055b1b2183b181
21090 .xword 0xa9b7c6a039c3d6e8
21091 .xword 0x50c0303aa820c6f3
21092 .xword 0x33fa5b7b48c03f04
21093 .xword 0x4d92b09ff8bce6b8
21094 .xword 0x3495eeba4901e706
21095 .xword 0x8ce60365450840dc
21096 .xword 0xfb924f3a0bea6437
21097 .xword 0xbe61e3052294ac26
21098 .xword 0x0aa76c04ea3567d8
21099 .xword 0xca64538d947bfc4a
21100 .xword 0x2753656848318f9d
21101 .xword 0x8ddf47a2951fce0c
21102 .xword 0x94c5a951ed5ca094
21103 .xword 0x78891de15fd6a7cf
21104 .xword 0x2c3b0eadfb64fd10
21105 .xword 0xfb1af0abfc5c4614
21106 .xword 0x8e439801084169ab
21107 .xword 0xad81389d9e116687
21108 .xword 0x3dcb7d3193283ac5
21109 .xword 0x0f9b3b3ab8e923fb
21110 .xword 0xd969c98308689399
21111 .xword 0xc7a7210e3a52cd8f
21112 .xword 0xc668d162e30d910f
21113 .xword 0xa8fd1bf063a5f15f
21114 .xword 0x4668013b0531c189
21115 .xword 0xe8d12cb3616773cd
21116 .xword 0x904891e873ea7edc
21117 .xword 0x55454c19fbc7b99e
21118 .xword 0x2f4f372bf4e9a69e
21119 .xword 0x137a2618bcfe2843
21120 .xword 0xfc5ea3d0cef4a787
21121 .xword 0x95c904c731d45e20
21122 .xword 0x540a439890daf837
21123 .xword 0x83afa2f090ec2511
21124 .xword 0x62f300bd677e2c85
21125 .xword 0xbb834ae70706ece7
21126 .xword 0x177d8dee97cf4b51
21127 .xword 0x347c128e879a3f39
21128 .xword 0xbbe22e61175ec006
21129 .xword 0x1ad8b9438821458f
21130 .xword 0x8aead241334ee5fe
21131 .xword 0x53658887f30743ac
21132 .xword 0xec7ecd75de47ad40
21133 .xword 0xe35d310ae6742998
21134 .xword 0x0dae1ffe341de9c6
21135 .xword 0x078c38494a76d50e
21136 .xword 0xdcceede8dbb7957b
21137 .xword 0x4bbe8a6f2de2689f
21138 .xword 0xcb3f9562ed0b3a9f
21139 .xword 0xbd4605f4a71865bd
21140 .xword 0xfa06358cc2e14835
21141 .xword 0xc3ccea28b8b6f584
21142 .xword 0xed8f2fa4de347082
21143 .xword 0x3cfe0fe0113464c2
21144 .xword 0xdd6659f32ac2ba43
21145 .xword 0xb5ff92e551fcec38
21146 .xword 0xb4fda8117d3e14e7
21147 .xword 0x5ede92acb7a0c397
21148 .xword 0x1ccdc8205b5dca29
21149 .xword 0x364e4088c3bcfe2d
21150 .xword 0x86aa1fb06de33aa3
21151 .xword 0x4f2e7a92bd25fabb
21152 .xword 0xee0c996602c144df
21153 .xword 0x1c8505751d56fb79
21154 .xword 0xaec7a5009fbe28ca
21155 .xword 0x585605eccebb7747
21156 .xword 0x67f87611761556ac
21157 .xword 0xfd7de23d3f1af601
21158 .xword 0xd9fceebf834f88f6
21159.align 16
21160_t1_hmac_dest:
21161 .xword 0xDEADBEEFDEADBEEF
21162 .xword 0xDEADBEEFDEADBEEF
21163 .xword 0xDEADBEEFDEADBEEF
21164 .xword 0xDEADBEEFDEADBEEF
21165 .xword 0xDEADBEEFDEADBEEF
21166 .xword 0xDEADBEEFDEADBEEF
21167 .xword 0xDEADBEEFDEADBEEF
21168 .xword 0xDEADBEEFDEADBEEF
21169 .xword 0xDEADBEEFDEADBEEF
21170 .xword 0xDEADBEEFDEADBEEF
21171 .xword 0xDEADBEEFDEADBEEF
21172 .xword 0xDEADBEEFDEADBEEF
21173 .xword 0xDEADBEEFDEADBEEF
21174 .xword 0xDEADBEEFDEADBEEF
21175 .xword 0xDEADBEEFDEADBEEF
21176 .xword 0xDEADBEEFDEADBEEF
21177 .xword 0xDEADBEEFDEADBEEF
21178 .xword 0xDEADBEEFDEADBEEF
21179 .xword 0xDEADBEEFDEADBEEF
21180 .xword 0xDEADBEEFDEADBEEF
21181 .xword 0xDEADBEEFDEADBEEF
21182 .xword 0xDEADBEEFDEADBEEF
21183 .xword 0xDEADBEEFDEADBEEF
21184 .xword 0xDEADBEEFDEADBEEF
21185 .xword 0xDEADBEEFDEADBEEF
21186 .xword 0xDEADBEEFDEADBEEF
21187 .xword 0xDEADBEEFDEADBEEF
21188 .xword 0xDEADBEEFDEADBEEF
21189 .xword 0xDEADBEEFDEADBEEF
21190 .xword 0xDEADBEEFDEADBEEF
21191 .xword 0xDEADBEEFDEADBEEF
21192 .xword 0xDEADBEEFDEADBEEF
21193 .xword 0xDEADBEEFDEADBEEF
21194 .xword 0xDEADBEEFDEADBEEF
21195 .xword 0xDEADBEEFDEADBEEF
21196 .xword 0xDEADBEEFDEADBEEF
21197 .xword 0xDEADBEEFDEADBEEF
21198 .xword 0xDEADBEEFDEADBEEF
21199 .xword 0xDEADBEEFDEADBEEF
21200 .xword 0xDEADBEEFDEADBEEF
21201 .xword 0xDEADBEEFDEADBEEF
21202 .xword 0xDEADBEEFDEADBEEF
21203 .xword 0xDEADBEEFDEADBEEF
21204 .xword 0xDEADBEEFDEADBEEF
21205 .xword 0xDEADBEEFDEADBEEF
21206 .xword 0xDEADBEEFDEADBEEF
21207 .xword 0xDEADBEEFDEADBEEF
21208 .xword 0xDEADBEEFDEADBEEF
21209 .xword 0xDEADBEEFDEADBEEF
21210 .xword 0xDEADBEEFDEADBEEF
21211 .xword 0xDEADBEEFDEADBEEF
21212 .xword 0xDEADBEEFDEADBEEF
21213 .xword 0xDEADBEEFDEADBEEF
21214 .xword 0xDEADBEEFDEADBEEF
21215 .xword 0xDEADBEEFDEADBEEF
21216 .xword 0xDEADBEEFDEADBEEF
21217 .xword 0xDEADBEEFDEADBEEF
21218 .xword 0xDEADBEEFDEADBEEF
21219 .xword 0xDEADBEEFDEADBEEF
21220 .xword 0xDEADBEEFDEADBEEF
21221 .xword 0xDEADBEEFDEADBEEF
21222 .xword 0xDEADBEEFDEADBEEF
21223 .xword 0xDEADBEEFDEADBEEF
21224 .xword 0xDEADBEEFDEADBEEF
21225 .xword 0xDEADBEEFDEADBEEF
21226 .xword 0xDEADBEEFDEADBEEF
21227 .xword 0xDEADBEEFDEADBEEF
21228 .xword 0xDEADBEEFDEADBEEF
21229 .xword 0xDEADBEEFDEADBEEF
21230 .xword 0xDEADBEEFDEADBEEF
21231 .xword 0xDEADBEEFDEADBEEF
21232 .xword 0xDEADBEEFDEADBEEF
21233 .xword 0xDEADBEEFDEADBEEF
21234 .xword 0xDEADBEEFDEADBEEF
21235 .xword 0xDEADBEEFDEADBEEF
21236 .xword 0xDEADBEEFDEADBEEF
21237 .xword 0xDEADBEEFDEADBEEF
21238 .xword 0xDEADBEEFDEADBEEF
21239 .xword 0xDEADBEEFDEADBEEF
21240 .xword 0xDEADBEEFDEADBEEF
21241 .xword 0xDEADBEEFDEADBEEF
21242 .xword 0xDEADBEEFDEADBEEF
21243 .xword 0xDEADBEEFDEADBEEF
21244 .xword 0xDEADBEEFDEADBEEF
21245 .xword 0xDEADBEEFDEADBEEF
21246 .xword 0xDEADBEEFDEADBEEF
21247 .xword 0xDEADBEEFDEADBEEF
21248 .xword 0xDEADBEEFDEADBEEF
21249 .xword 0xDEADBEEFDEADBEEF
21250 .xword 0xDEADBEEFDEADBEEF
21251 .xword 0xDEADBEEFDEADBEEF
21252 .xword 0xDEADBEEFDEADBEEF
21253 .xword 0xDEADBEEFDEADBEEF
21254 .xword 0xDEADBEEFDEADBEEF
21255 .xword 0xDEADBEEFDEADBEEF
21256 .xword 0xDEADBEEFDEADBEEF
21257 .xword 0xDEADBEEFDEADBEEF
21258 .xword 0xDEADBEEFDEADBEEF
21259 .xword 0xDEADBEEFDEADBEEF
21260 .xword 0xDEADBEEFDEADBEEF
21261 .xword 0xDEADBEEFDEADBEEF
21262 .xword 0xDEADBEEFDEADBEEF
21263 .xword 0xDEADBEEFDEADBEEF
21264 .xword 0xDEADBEEFDEADBEEF
21265 .xword 0xDEADBEEFDEADBEEF
21266 .xword 0xDEADBEEFDEADBEEF
21267 .xword 0xDEADBEEFDEADBEEF
21268 .xword 0xDEADBEEFDEADBEEF
21269 .xword 0xDEADBEEFDEADBEEF
21270 .xword 0xDEADBEEFDEADBEEF
21271 .xword 0xDEADBEEFDEADBEEF
21272 .xword 0xDEADBEEFDEADBEEF
21273 .xword 0xDEADBEEFDEADBEEF
21274 .xword 0xDEADBEEFDEADBEEF
21275 .xword 0xDEADBEEFDEADBEEF
21276 .xword 0xDEADBEEFDEADBEEF
21277 .xword 0xDEADBEEFDEADBEEF
21278 .xword 0xDEADBEEFDEADBEEF
21279 .xword 0xDEADBEEFDEADBEEF
21280 .xword 0xDEADBEEFDEADBEEF
21281 .xword 0xDEADBEEFDEADBEEF
21282 .xword 0xDEADBEEFDEADBEEF
21283 .xword 0xDEADBEEFDEADBEEF
21284 .xword 0xDEADBEEFDEADBEEF
21285 .xword 0xDEADBEEFDEADBEEF
21286 .xword 0xDEADBEEFDEADBEEF
21287 .xword 0xDEADBEEFDEADBEEF
21288 .xword 0xDEADBEEFDEADBEEF
21289 .xword 0xDEADBEEFDEADBEEF
21290 .xword 0xDEADBEEFDEADBEEF
21291 .xword 0xDEADBEEFDEADBEEF
21292 .xword 0xDEADBEEFDEADBEEF
21293 .xword 0xDEADBEEFDEADBEEF
21294 .xword 0xDEADBEEFDEADBEEF
21295 .xword 0xDEADBEEFDEADBEEF
21296 .xword 0xDEADBEEFDEADBEEF
21297 .xword 0xDEADBEEFDEADBEEF
21298 .xword 0xDEADBEEFDEADBEEF
21299 .xword 0xDEADBEEFDEADBEEF
21300 .xword 0xDEADBEEFDEADBEEF
21301 .xword 0xDEADBEEFDEADBEEF
21302 .xword 0xDEADBEEFDEADBEEF
21303 .xword 0xDEADBEEFDEADBEEF
21304 .xword 0xDEADBEEFDEADBEEF
21305 .xword 0xDEADBEEFDEADBEEF
21306 .xword 0xDEADBEEFDEADBEEF
21307 .xword 0xDEADBEEFDEADBEEF
21308 .xword 0xDEADBEEFDEADBEEF
21309 .xword 0xDEADBEEFDEADBEEF
21310 .xword 0xDEADBEEFDEADBEEF
21311 .xword 0xDEADBEEFDEADBEEF
21312 .xword 0xDEADBEEFDEADBEEF
21313 .xword 0xDEADBEEFDEADBEEF
21314 .xword 0xDEADBEEFDEADBEEF
21315 .xword 0xDEADBEEFDEADBEEF
21316 .xword 0xDEADBEEFDEADBEEF
21317 .xword 0xDEADBEEFDEADBEEF
21318 .xword 0xDEADBEEFDEADBEEF
21319 .xword 0xDEADBEEFDEADBEEF
21320 .xword 0xDEADBEEFDEADBEEF
21321 .xword 0xDEADBEEFDEADBEEF
21322 .xword 0xDEADBEEFDEADBEEF
21323 .xword 0xDEADBEEFDEADBEEF
21324 .xword 0xDEADBEEFDEADBEEF
21325 .xword 0xDEADBEEFDEADBEEF
21326 .xword 0xDEADBEEFDEADBEEF
21327 .xword 0xDEADBEEFDEADBEEF
21328 .xword 0xDEADBEEFDEADBEEF
21329 .xword 0xDEADBEEFDEADBEEF
21330 .xword 0xDEADBEEFDEADBEEF
21331 .xword 0xDEADBEEFDEADBEEF
21332 .xword 0xDEADBEEFDEADBEEF
21333 .xword 0xDEADBEEFDEADBEEF
21334 .xword 0xDEADBEEFDEADBEEF
21335 .xword 0xDEADBEEFDEADBEEF
21336 .xword 0xDEADBEEFDEADBEEF
21337 .xword 0xDEADBEEFDEADBEEF
21338 .xword 0xDEADBEEFDEADBEEF
21339 .xword 0xDEADBEEFDEADBEEF
21340 .xword 0xDEADBEEFDEADBEEF
21341 .xword 0xDEADBEEFDEADBEEF
21342 .xword 0xDEADBEEFDEADBEEF
21343 .xword 0xDEADBEEFDEADBEEF
21344 .xword 0xDEADBEEFDEADBEEF
21345 .xword 0xDEADBEEFDEADBEEF
21346 .xword 0xDEADBEEFDEADBEEF
21347 .xword 0xDEADBEEFDEADBEEF
21348 .xword 0xDEADBEEFDEADBEEF
21349 .xword 0xDEADBEEFDEADBEEF
21350 .xword 0xDEADBEEFDEADBEEF
21351 .xword 0xDEADBEEFDEADBEEF
21352 .xword 0xDEADBEEFDEADBEEF
21353 .xword 0xDEADBEEFDEADBEEF
21354 .xword 0xDEADBEEFDEADBEEF
21355 .xword 0xDEADBEEFDEADBEEF
21356 .xword 0xDEADBEEFDEADBEEF
21357 .xword 0xDEADBEEFDEADBEEF
21358 .xword 0xDEADBEEFDEADBEEF
21359 .xword 0xDEADBEEFDEADBEEF
21360 .xword 0xDEADBEEFDEADBEEF
21361 .xword 0xDEADBEEFDEADBEEF
21362 .xword 0xDEADBEEFDEADBEEF
21363 .xword 0xDEADBEEFDEADBEEF
21364 .xword 0xDEADBEEFDEADBEEF
21365 .xword 0xDEADBEEFDEADBEEF
21366 .xword 0xDEADBEEFDEADBEEF
21367 .xword 0xDEADBEEFDEADBEEF
21368 .xword 0xDEADBEEFDEADBEEF
21369 .xword 0xDEADBEEFDEADBEEF
21370 .xword 0xDEADBEEFDEADBEEF
21371 .xword 0xDEADBEEFDEADBEEF
21372 .xword 0xDEADBEEFDEADBEEF
21373 .xword 0xDEADBEEFDEADBEEF
21374 .xword 0xDEADBEEFDEADBEEF
21375 .xword 0xDEADBEEFDEADBEEF
21376 .xword 0xDEADBEEFDEADBEEF
21377 .xword 0xDEADBEEFDEADBEEF
21378 .xword 0xDEADBEEFDEADBEEF
21379 .xword 0xDEADBEEFDEADBEEF
21380 .xword 0xDEADBEEFDEADBEEF
21381 .xword 0xDEADBEEFDEADBEEF
21382 .xword 0xDEADBEEFDEADBEEF
21383 .xword 0xDEADBEEFDEADBEEF
21384 .xword 0xDEADBEEFDEADBEEF
21385 .xword 0xDEADBEEFDEADBEEF
21386 .xword 0xDEADBEEFDEADBEEF
21387 .xword 0xDEADBEEFDEADBEEF
21388 .xword 0xDEADBEEFDEADBEEF
21389 .xword 0xDEADBEEFDEADBEEF
21390 .xword 0xDEADBEEFDEADBEEF
21391 .xword 0xDEADBEEFDEADBEEF
21392 .xword 0xDEADBEEFDEADBEEF
21393 .xword 0xDEADBEEFDEADBEEF
21394 .xword 0xDEADBEEFDEADBEEF
21395 .xword 0xDEADBEEFDEADBEEF
21396 .xword 0xDEADBEEFDEADBEEF
21397 .xword 0xDEADBEEFDEADBEEF
21398 .xword 0xDEADBEEFDEADBEEF
21399 .xword 0xDEADBEEFDEADBEEF
21400 .xword 0xDEADBEEFDEADBEEF
21401 .xword 0xDEADBEEFDEADBEEF
21402 .xword 0xDEADBEEFDEADBEEF
21403 .xword 0xDEADBEEFDEADBEEF
21404 .xword 0xDEADBEEFDEADBEEF
21405 .xword 0xDEADBEEFDEADBEEF
21406 .xword 0xDEADBEEFDEADBEEF
21407 .xword 0xDEADBEEFDEADBEEF
21408 .xword 0xDEADBEEFDEADBEEF
21409 .xword 0xDEADBEEFDEADBEEF
21410 .xword 0xDEADBEEFDEADBEEF
21411 .xword 0xDEADBEEFDEADBEEF
21412 .xword 0xDEADBEEFDEADBEEF
21413 .xword 0xDEADBEEFDEADBEEF
21414 .xword 0xDEADBEEFDEADBEEF
21415 .xword 0xDEADBEEFDEADBEEF
21416 .xword 0xDEADBEEFDEADBEEF
21417 .xword 0xDEADBEEFDEADBEEF
21418 .xword 0xDEADBEEFDEADBEEF
21419 .xword 0xDEADBEEFDEADBEEF
21420 .xword 0xDEADBEEFDEADBEEF
21421 .xword 0xDEADBEEFDEADBEEF
21422 .xword 0xDEADBEEFDEADBEEF
21423 .xword 0xDEADBEEFDEADBEEF
21424 .xword 0xDEADBEEFDEADBEEF
21425 .xword 0xDEADBEEFDEADBEEF
21426 .xword 0xDEADBEEFDEADBEEF
21427 .xword 0xDEADBEEFDEADBEEF
21428 .xword 0xDEADBEEFDEADBEEF
21429 .xword 0xDEADBEEFDEADBEEF
21430 .xword 0xDEADBEEFDEADBEEF
21431 .xword 0xDEADBEEFDEADBEEF
21432 .xword 0xDEADBEEFDEADBEEF
21433 .xword 0xDEADBEEFDEADBEEF
21434 .xword 0xDEADBEEFDEADBEEF
21435 .xword 0xDEADBEEFDEADBEEF
21436.align 16
21437_t1_hmac_auth_key:
21438 .xword 0xc2ae1396b5631b7e
21439 .xword 0x43af09e083456c5a
21440 .xword 0xfcb8f44fcd31c3a0
21441 .xword 0xb453eb12bfaf2710
21442 .xword 0x47527e17c33c33ee
21443 .xword 0x6aff3bda3a907e41
21444 .xword 0x36e5f54ac7ab441a
21445 .xword 0x1917ad086df1a999
21446 .xword 0x91614ccf7de7fb34
21447 .xword 0x8169ef6959934204
21448 .xword 0x9597549509fae375
21449 .xword 0x9c66c0109dad5625
21450 .xword 0x869d883c7b0aa613
21451 .xword 0xb7f381332d072aca
21452 .xword 0xeb87d7e6620cb98e
21453 .xword 0x42b1ac1aa19e736e
21454 .xword 0x973403aac72bb924
21455 .xword 0x44c6e2c23c397406
21456 .xword 0xe95e076221889f83
21457 .xword 0x63a30c8eba1e2065
21458 .xword 0xdc190cbc323dfc1d
21459 .xword 0x6ba38d4ac38102e6
21460 .xword 0x82c4c94136a92762
21461.align 16
21462_t1_hmac_auth_iv:
21463 .xword 0x491e0f79b7a66762
21464 .xword 0xbc98a6a97d6b29f4
21465 .xword 0x919099ae2093f197
21466 .xword 0xd7a560715b9ec541
21467 .xword 0x0ac434f722ea547a
21468 .xword 0x75bec4304f9243dd
21469 .xword 0xce9fefa50498780a
21470 .xword 0x6bfee814e852aa6e
21471 .xword 0x48c436c61bd1dd58
21472 .xword 0x04ae104ede07fb4d
21473 .xword 0x8f552c5a404e1aec
21474 .xword 0x99a8ca4c7ec9a3e4
21475 .xword 0x5f0049b3870da74c
21476 .xword 0x1752d827915db609
21477 .xword 0x9ea8bafc8dbd2863
21478 .xword 0x834d6fb8e31180c9
21479 .xword 0x88fc7a2c0a3ed1bd
21480 .xword 0x3f93106c57e2ef5e
21481 .xword 0x9768592290dab5f2
21482 .xword 0x67d39ff06dae5d43
21483 .xword 0x107bcc3e255ffa68
21484 .xword 0x533fe5c814b88a82
21485 .xword 0xafba844f311e41c4
21486.align 16
21487_t1_hmac_fas_result:
21488 .xword 0xDEADBEEFDEADBEEF
21489 .xword 0xDEADBEEFDEADBEEF
21490 .xword 0xDEADBEEFDEADBEEF
21491 .xword 0xDEADBEEFDEADBEEF
21492 .xword 0xDEADBEEFDEADBEEF
21493 .xword 0xDEADBEEFDEADBEEF
21494 .xword 0xDEADBEEFDEADBEEF
21495 .xword 0xDEADBEEFDEADBEEF
21496 .xword 0xDEADBEEFDEADBEEF
21497 .xword 0xDEADBEEFDEADBEEF
21498 .xword 0xDEADBEEFDEADBEEF
21499 .xword 0xDEADBEEFDEADBEEF
21500 .xword 0xDEADBEEFDEADBEEF
21501 .xword 0xDEADBEEFDEADBEEF
21502 .xword 0xDEADBEEFDEADBEEF
21503 .xword 0xDEADBEEFDEADBEEF
21504 .xword 0xDEADBEEFDEADBEEF
21505 .xword 0xDEADBEEFDEADBEEF
21506 .xword 0xDEADBEEFDEADBEEF
21507 .xword 0xDEADBEEFDEADBEEF
21508 .xword 0xDEADBEEFDEADBEEF
21509 .xword 0xDEADBEEFDEADBEEF
21510 .xword 0xDEADBEEFDEADBEEF
21511.align 16
21512_t1_rc4_key_array:
21513 .xword 0x2752ca458a1268a7
21514 .xword 0x65ec40f3fd99069e
21515 .xword 0xb2b12178e7f155eb
21516 .xword 0x8a44c407715772b2
21517 .xword 0x15409bbf73ea9331
21518 .xword 0x65a3f52f4d9176ef
21519 .xword 0x9fbc9bfa9d22dc9b
21520 .xword 0xaf2ee2a61225447c
21521 .xword 0xf1b0dabebc4fac85
21522 .xword 0x9ec9dff897ef833e
21523 .xword 0x15e745fbe3f23af6
21524 .xword 0x79b3fc6c9129e80a
21525 .xword 0x2d93520b05665450
21526 .xword 0x42b095f5e41b19a9
21527 .xword 0x2c6e8db839e05496
21528 .xword 0x1165fe0b525c7e6c
21529 .xword 0x2c26480d3949a8de
21530 .xword 0x2446700ac51df357
21531 .xword 0xe180d9cfab419692
21532 .xword 0x028e45fe60564d3e
21533 .xword 0xa19265a7171c3c19
21534 .xword 0x2ad216e52d5b70c5
21535 .xword 0x3bba4df5815c65c7
21536 .xword 0xce76d776c315fa66
21537 .xword 0xbf4e6a6b85cb8727
21538 .xword 0xd71cdb029d2b6a9f
21539 .xword 0xc38ec744837b490a
21540 .xword 0x8a0b224bbb36bf56
21541 .xword 0x7e53c1134815cfbd
21542 .xword 0xda444c1a7bbfa559
21543 .xword 0xe6cd91a689233886
21544 .xword 0xbda076c3f2dca016
21545 .xword 0xb7742daee2daa516
21546 .xword 0x34622e38ba835cc7
21547 .xword 0x4e04aa93ee0554f7
21548 .xword 0x8b57f0e749a355c3
21549 .xword 0xa231b0dc0b616ffa
21550 .xword 0x214283a55d2576c6
21551 .xword 0x4266ca4ae03aeb41
21552 .xword 0x16e5172773e321db
21553 .xword 0xe97dad4eba0fd10f
21554 .xword 0xe9e2f8aad604ea62
21555 .xword 0x8530b4f614bb91f9
21556 .xword 0xc3159dd92c1ae4bf
21557 .xword 0x37fe5a0234d3b3ff
21558 .xword 0x332a82af8fe7c447
21559 .xword 0x4796c0e764c75504
21560 .xword 0x0058e2886b889639
21561 .xword 0x7640f878832062f3
21562 .xword 0xede41524bba087f4
21563 .xword 0x1897cb371457d62f
21564.align 16
21565_t1_rc4_iv_array:
21566 .xword 0xc74efe38aa1a9150
21567 .xword 0xd1062419e9fab482
21568 .xword 0x8e62afb1634e358c
21569 .xword 0xa4df3d65e895536e
21570 .xword 0x255e9c5e1fe87a44
21571 .xword 0xc246f27c38d0febb
21572 .xword 0xa31e0d54523e1db1
21573 .xword 0xbd9d8fee9f7c0eac
21574 .xword 0xea44678dead27f2b
21575 .xword 0xed6c593e5f9c79bf
21576 .xword 0x4be0ae2bc3ace117
21577 .xword 0x48736215af44945c
21578 .xword 0x99a5a9c07edad2ca
21579 .xword 0xfb2e414874b2e655
21580 .xword 0xa19c93fa0be24b05
21581 .xword 0x0ce4d76f0f7973e5
21582 .xword 0x47e3565fc848f272
21583 .xword 0x2666ad862232a9f3
21584 .xword 0x5c7d25d0bea20a10
21585 .xword 0x7ad76c207966b44b
21586 .xword 0x29f0aad06382a9ef
21587 .xword 0x1f4e3fbacbaf0e3b
21588 .xword 0xd340cbb0e4ffa971
21589 .xword 0x1b0d269de7084897
21590 .xword 0x93dc02840633e6e7
21591 .xword 0x73d8f3d1c38a888b
21592 .xword 0xbaaf1c85fff879b7
21593 .xword 0xec3a20203db4f3b6
21594 .xword 0xef47390aa49bca22
21595 .xword 0x74e445f43ade277e
21596 .xword 0x527c14aad2f83c40
21597 .xword 0x021d6f53dad0be9e
21598 .xword 0x224b67297bc2fd70
21599 .xword 0x38d27628d8a49f9b
21600 .xword 0x830b019b96739169
21601 .xword 0x2750fd059f00997e
21602 .xword 0x3950ca6322dffb55
21603 .xword 0x58a026775046471f
21604 .xword 0x88086976071bbf20
21605 .xword 0xb363adceaf1f9ae2
21606 .xword 0x3e3b2d25a3e6e353
21607 .xword 0x269dfed28d29bff7
21608 .xword 0xb7edf2ee80ef071c
21609 .xword 0xc19033d20b300b5b
21610 .xword 0x7d3111fdef59d364
21611.align 16
21612_t1_rc4_alignment_array:
21613 .xword 1
21614 .xword 8
21615 .xword 1
21616 .xword 10
21617 .xword 14
21618 .xword 13
21619 .xword 12
21620 .xword 15
21621 .xword 4
21622 .xword 12
21623 .xword 4
21624 .xword 1
21625 .xword 2
21626 .xword 0
21627 .xword 3
21628 .xword 11
21629 .xword 2
21630 .xword 4
21631 .xword 10
21632 .xword 7
21633 .xword 6
21634 .xword 3
21635 .xword 1
21636 .xword 7
21637 .xword 8
21638 .xword 1
21639 .xword 4
21640 .xword 12
21641 .xword 6
21642 .xword 5
21643 .xword 15
21644 .xword 4
21645 .xword 13
21646 .xword 3
21647 .xword 2
21648 .xword 0
21649 .xword 9
21650 .xword 13
21651 .xword 15
21652 .xword 6
21653 .xword 10
21654 .xword 5
21655 .xword 15
21656 .xword 5
21657 .xword 5
21658 .xword 14
21659 .xword 15
21660 .xword 11
21661 .xword 3
21662 .xword 6
21663 .xword 11
21664 .xword 5
21665 .xword 13
21666 .xword 10
21667 .xword 5
21668 .xword 8
21669 .xword 10
21670 .xword 2
21671 .xword 3
21672 .xword 0
21673 .xword 3
21674 .xword 0
21675 .xword 0
21676 .xword 9
21677 .xword 10
21678 .xword 12
21679 .xword 8
21680 .xword 9
21681 .xword 0
21682 .xword 10
21683 .xword 12
21684 .xword 14
21685 .xword 9
21686 .xword 2
21687 .xword 6
21688 .xword 7
21689 .xword 8
21690 .xword 11
21691 .xword 2
21692 .xword 15
21693 .xword 13
21694 .xword 14
21695 .xword 0
21696 .xword 15
21697 .xword 15
21698 .xword 11
21699 .xword 5
21700 .xword 12
21701 .xword 11
21702 .xword 10
21703 .xword 14
21704 .xword 13
21705 .xword 12
21706 .xword 4
21707 .xword 3
21708 .xword 14
21709 .xword 5
21710 .xword 0
21711 .xword 10
21712 .xword 11
21713 .xword 7
21714 .xword 4
21715 .xword 11
21716 .xword 12
21717 .xword 7
21718.align 16
21719_t1_rc4_src:
21720 .xword 0x138a81fe03d699bb
21721 .xword 0x54b917a2f79db6ef
21722 .xword 0x1cadc68a9a40c0b5
21723 .xword 0x977cca5a25c038b5
21724 .xword 0xc97faee80913cdf4
21725 .xword 0x7fb758f78565e6c5
21726 .xword 0x5aba2572fff7d25b
21727 .xword 0x83346d006972cce7
21728 .xword 0x1243a8ee1be8a1c6
21729 .xword 0xaa2d6c88bff785c3
21730 .xword 0x40ca8c61a0f9036f
21731 .xword 0x46bb7b680fa1d259
21732 .xword 0xb70b50a4281c6846
21733 .xword 0x256c58f91db26948
21734 .xword 0x9f6e956d17bb10f5
21735 .xword 0xf985a5f43f8b20a3
21736 .xword 0x8ab002536941d765
21737 .xword 0xd9a592132b1d3079
21738 .xword 0x2fd2f66ee1000820
21739 .xword 0x2cbf308529d1c150
21740 .xword 0x0c45e2cc090769d4
21741 .xword 0xa816c58d66c4d09b
21742 .xword 0x947272319a547297
21743 .xword 0x36fdb254dd3a1199
21744 .xword 0x2eb2735ff7956fd5
21745 .xword 0xf9ce60ac54d4dc54
21746 .xword 0xfdbc5943d56e7556
21747 .xword 0x34353f13a0a27f11
21748 .xword 0xf3c212a4b2f470ae
21749 .xword 0xd86567c1ef759456
21750 .xword 0xb1f0b0922134da88
21751 .xword 0xa7efd09f7e4fffbb
21752 .xword 0x320f59e4e1c217aa
21753 .xword 0x4d405461b38efc3b
21754 .xword 0xfdb5cc7fecb4e4e0
21755 .xword 0x950628fd29141c68
21756 .xword 0xfa97d43df4d77608
21757 .xword 0xf0cfb33f37f24909
21758 .xword 0xc881007cd642a6f7
21759 .xword 0xf56af7abb00f9f43
21760 .xword 0x9b087c407e9d230b
21761 .xword 0xacc3a23b25f4bcab
21762 .xword 0xd0f93427635f9aad
21763 .xword 0x5d5cd3bb4742b87c
21764 .xword 0xacf3dcbbc33df831
21765 .xword 0xcc3e2424ff1037ea
21766 .xword 0x64d72704ceb97944
21767 .xword 0x102a88b05a6cfbad
21768 .xword 0x4d06820fd45099fa
21769 .xword 0xc33e1e2691e70276
21770 .xword 0x43544458de8fdd06
21771 .xword 0x8fa1b4c422a623f0
21772 .xword 0x8366984ee7c98ca3
21773 .xword 0x2ce225ad1a8af66b
21774 .xword 0xab5857bc73a0892a
21775 .xword 0xbc8656a3ef9d408b
21776 .xword 0x4b5a2ec540990534
21777 .xword 0x764a8905d28a79f1
21778 .xword 0xd60e1770dc11ea1a
21779 .xword 0xe385604b23139941
21780 .xword 0xced394b53b68f087
21781 .xword 0xc79eb5109ec92e83
21782 .xword 0x93f1d14243c7e17b
21783 .xword 0x55c9ee7ad317ca8c
21784 .xword 0xd7ad215f65823e58
21785 .xword 0x6028f93565ebcf9a
21786 .xword 0x7aa3f7c2f66b86e5
21787 .xword 0x12c95e386e49d775
21788 .xword 0xeca3fb127e1efb19
21789 .xword 0x4bb73e356c33a2ae
21790 .xword 0x9793fba46d9948cb
21791 .xword 0x8d5609fb6cc8f8db
21792 .xword 0xcdd5a6137c80106d
21793 .xword 0xc918f53bcf3c16aa
21794 .xword 0x006cf9871e2614c0
21795 .xword 0xa08bf341795cf9b9
21796 .xword 0xec95fc851d6eec34
21797 .xword 0xa556af8c2113fb05
21798 .xword 0x90b222f5fa1bbd39
21799 .xword 0x67e86ebd4feb3ae4
21800 .xword 0xa74348d30d466ec5
21801 .xword 0x5652c52d8509d9e1
21802 .xword 0x304713b232bdb648
21803 .xword 0x7ca235f34ce00ee8
21804 .xword 0x5fcf0df560f2b203
21805 .xword 0x80052e745d2cef46
21806 .xword 0x161039befb9721d3
21807 .xword 0x9507203c1319f1a0
21808 .xword 0x01254161f68ce5e1
21809 .xword 0x3d812b8025c0c12d
21810 .xword 0x785f929b985d0bae
21811 .xword 0x4587ff9e79946edb
21812 .xword 0x9711c14c6f17a623
21813 .xword 0x38a8c6d27d9bdeea
21814 .xword 0xd6b2e0c4f59783e1
21815 .xword 0x2f1b0e4363cfe5a2
21816 .xword 0x19e42fb98cdc4d4a
21817 .xword 0x1a3da6cab4f85216
21818 .xword 0x330fa1c87744b5b9
21819 .xword 0x28b86a3117785877
21820 .xword 0xeeda515e14260cf1
21821 .xword 0xc2841faa0198702e
21822 .xword 0xc8d354daf02a7cdf
21823 .xword 0xee277813c332385c
21824 .xword 0xdfab67f4e8b82573
21825 .xword 0x2871274b76d1693e
21826 .xword 0xe43c5b91f6499a4a
21827 .xword 0x642545c6e34f10d1
21828 .xword 0xdaa65f6312119711
21829 .xword 0xdbe615b8f2fdc53d
21830 .xword 0xdfa3bd9f31032bad
21831 .xword 0x14de2c68be5c7e8b
21832 .xword 0xf3fe9cd7a03fd014
21833 .xword 0x5d18ada264824aae
21834 .xword 0x14a167482ef102d3
21835 .xword 0x47646fb73075e5b5
21836 .xword 0x04211ebca1a82eb5
21837 .xword 0x55703e4c0c8dff2a
21838 .xword 0x9a9846a40bcd37ae
21839 .xword 0x93d252f3370f0786
21840 .xword 0x05392765f0c5ad7d
21841 .xword 0xa3bbef652d35aabe
21842 .xword 0xb587f9d484392e04
21843 .xword 0x5617a6a0aae3bdad
21844 .xword 0x034d2bd97b4460d5
21845 .xword 0xffacc170474932ef
21846 .xword 0x13ef2a991bfdfccc
21847 .xword 0x34e65634aa37fb5b
21848 .xword 0x411b8d7cc25253b0
21849 .xword 0x1e7758631efa4858
21850 .xword 0x894701b5d347e6b9
21851 .xword 0xee9584ace6d5c625
21852 .xword 0x0d9590618e3317c4
21853 .xword 0xaf24ca54827735cf
21854 .xword 0xe8567b5dbda1830c
21855 .xword 0x206c24ae6a7b9d62
21856 .xword 0xc76b7dc10e1d4a0f
21857 .xword 0x5e8ee8f7385654ef
21858 .xword 0xf0830d1d6408dcac
21859 .xword 0x6fbefb20b99d3b8c
21860 .xword 0x74580190a1c41f73
21861 .xword 0xe91ced5b9976d5de
21862 .xword 0x4b25d008961e395d
21863 .xword 0xe0b160165daa410e
21864 .xword 0xe89d73c08edb9de6
21865 .xword 0x264048041c36d105
21866 .xword 0x75ebe542c49f339c
21867 .xword 0xce9d81c7278dfca4
21868 .xword 0x1c27e44a9b0bdbd8
21869 .xword 0x385581189ec12a36
21870 .xword 0xce272c2ebc2b645c
21871 .xword 0xc6424edde256c752
21872 .xword 0x5708a091f362f578
21873 .xword 0x466c86ae50facea6
21874 .xword 0x8ee7b36224807a3f
21875 .xword 0x2af09c46fb7005d2
21876 .xword 0x25b2d47a1e5610aa
21877 .xword 0xfbcc82f4869af980
21878 .xword 0xaf297d9bf68471a0
21879 .xword 0xb7ea7cbf85a90342
21880 .xword 0x259bb29913abbd79
21881 .xword 0x4928d21ec2a39862
21882 .xword 0x48b41f686daf9309
21883 .xword 0xc6ceb60ad82d0924
21884 .xword 0x94237c3b777feb54
21885 .xword 0x91a5517a5d8dd833
21886 .xword 0x8c0be848113009c2
21887 .xword 0x3f351be859628ef1
21888 .xword 0xf3b959148ce50d21
21889 .xword 0x9597f2beaee476d4
21890 .xword 0x483956d9df764bd8
21891 .xword 0xaba743fae36e5623
21892 .xword 0xdaa9554744871577
21893 .xword 0x4ef071b3fc1f46a4
21894 .xword 0xed84aa1649a47c71
21895 .xword 0xe3ab08c52097600c
21896 .xword 0x91858b9187a4cf31
21897 .xword 0xe64d8c407cd36c3e
21898 .xword 0xb65a09f97e256d36
21899 .xword 0x948964549c418b8f
21900 .xword 0xc62e679e8dc3f359
21901 .xword 0xc82df92478eae1cc
21902 .xword 0x1b999cc9ab46062c
21903 .xword 0xed71a04159cc5715
21904 .xword 0x8178f3881f97a726
21905 .xword 0x60ae2d58df7c6809
21906 .xword 0xacb4f6dab3bde68c
21907 .xword 0x0b85965ef7109ea5
21908 .xword 0x564f64a0ec9db91c
21909 .xword 0x4ec174f11f47095b
21910 .xword 0x718bc029dcb7f9c5
21911 .xword 0x611978f14194a490
21912 .xword 0xc2c31549df5b7c23
21913 .xword 0x54bb8b66fe03743c
21914 .xword 0x16281fce54fd8eb6
21915 .xword 0xfee8ba48e95ee3ae
21916 .xword 0x853ec38fe1ed7802
21917 .xword 0x109f159e518aa9c0
21918 .xword 0xf2ac7f174c73425e
21919 .xword 0xcdb454ce240eff5c
21920 .xword 0xc2362e7aa6f317fc
21921 .xword 0x4199eb5d64ffd4b9
21922 .xword 0x70f43aab71d96751
21923 .xword 0xf76574951ac537ab
21924 .xword 0xebd1ae922952724d
21925 .xword 0x306858c174459ab3
21926 .xword 0xb4314c0ca2a814ec
21927 .xword 0xec35264e319a2997
21928 .xword 0x88ac9417878b18a8
21929 .xword 0xe0047d9eea1be8c6
21930 .xword 0x7ea8e99c2eb8f713
21931 .xword 0x2425121e2ee2cac7
21932 .xword 0x2b1ac896f20cec6a
21933 .xword 0x4ce9cf0e96fbdaa8
21934 .xword 0x9bafa95fe20119ab
21935 .xword 0x2467ae26dfdd039c
21936 .xword 0xad8ad7b7dc987039
21937 .xword 0x1f6a2cc602f7811c
21938 .xword 0x49eb3a0123108d8e
21939 .xword 0xbc6edde7581d0097
21940 .xword 0x374a67ab8447ad16
21941 .xword 0x37eb30a96a51148c
21942 .xword 0x92011d3fae8a0db6
21943 .xword 0x9fda3551a12e282a
21944 .xword 0x3013af8d0cc72707
21945 .xword 0x923899d7e8e42c43
21946 .xword 0x88a3694e5644b9dd
21947 .xword 0x55854634d74a5972
21948 .xword 0x67c1f593aa5b7384
21949 .xword 0x80e2d6b5613348f7
21950 .xword 0xd065b8ceff5604ad
21951 .xword 0x41082de77a0d0250
21952 .xword 0xb6809f87fe86f8c4
21953 .xword 0x384d1f8c9e8f998c
21954 .xword 0xdeb27aebd8a341c9
21955 .xword 0x1cebb78700c780ec
21956 .xword 0xb4004b1371536579
21957 .xword 0x9c5318dbbd086ae5
21958 .xword 0xb624ddc905e6950f
21959 .xword 0x112d163971089a08
21960 .xword 0xdfff77504e1b90d7
21961 .xword 0xb4516b610e8c644a
21962 .xword 0xf6e6dbd8e62a0ca5
21963 .xword 0xb366436ffd3f06f7
21964 .xword 0x299639ea542ea1ef
21965 .xword 0x173fb2d14ce878e8
21966 .xword 0x48a65e5f90a36ce1
21967 .xword 0x1ac1e1e89550a79c
21968 .xword 0xe0fa8b3e0b763349
21969 .xword 0x8bb07424426c903b
21970 .xword 0xd87850f2f76eae66
21971 .xword 0x5732957ac592719f
21972 .xword 0xad751c7af6fbfc9d
21973 .xword 0xfb8f9537970cee00
21974 .xword 0xd51bda0c56d4903b
21975 .xword 0x5f0880461e0733a7
21976 .xword 0x1b2f6c5ca8ead0e4
21977 .xword 0xa8c06701ecf05cbb
21978 .xword 0xb2052927ecb56a0c
21979 .xword 0x2f092b4859f940b8
21980 .xword 0x5a4718ba4b89933b
21981 .xword 0x93a1131a253e88da
21982 .xword 0x4aa237e344e741c1
21983 .xword 0x4d5a81c8c928bc10
21984 .xword 0x2a9d4695e48f4f45
21985 .xword 0x8fc47f128bb557f8
21986 .xword 0x3c5fbfb9ada72070
21987 .xword 0xe69404d2f00a4e52
21988 .xword 0xba5ffa45b62ab4ad
21989 .xword 0xf8e6481478162ffe
21990 .xword 0x0bde013b0da97eb9
21991 .xword 0x537f0242b030c8e4
21992 .xword 0xfaa8214f6479bf78
21993 .xword 0x79f770af99db7236
21994 .xword 0x79263a3dd4bc3356
21995.align 16
21996_t1_rc4_dest:
21997 .xword 0xDEADBEEFDEADBEEF
21998 .xword 0xDEADBEEFDEADBEEF
21999 .xword 0xDEADBEEFDEADBEEF
22000 .xword 0xDEADBEEFDEADBEEF
22001 .xword 0xDEADBEEFDEADBEEF
22002 .xword 0xDEADBEEFDEADBEEF
22003 .xword 0xDEADBEEFDEADBEEF
22004 .xword 0xDEADBEEFDEADBEEF
22005 .xword 0xDEADBEEFDEADBEEF
22006 .xword 0xDEADBEEFDEADBEEF
22007 .xword 0xDEADBEEFDEADBEEF
22008 .xword 0xDEADBEEFDEADBEEF
22009 .xword 0xDEADBEEFDEADBEEF
22010 .xword 0xDEADBEEFDEADBEEF
22011 .xword 0xDEADBEEFDEADBEEF
22012 .xword 0xDEADBEEFDEADBEEF
22013 .xword 0xDEADBEEFDEADBEEF
22014 .xword 0xDEADBEEFDEADBEEF
22015 .xword 0xDEADBEEFDEADBEEF
22016 .xword 0xDEADBEEFDEADBEEF
22017 .xword 0xDEADBEEFDEADBEEF
22018 .xword 0xDEADBEEFDEADBEEF
22019 .xword 0xDEADBEEFDEADBEEF
22020 .xword 0xDEADBEEFDEADBEEF
22021 .xword 0xDEADBEEFDEADBEEF
22022 .xword 0xDEADBEEFDEADBEEF
22023 .xword 0xDEADBEEFDEADBEEF
22024 .xword 0xDEADBEEFDEADBEEF
22025 .xword 0xDEADBEEFDEADBEEF
22026 .xword 0xDEADBEEFDEADBEEF
22027 .xword 0xDEADBEEFDEADBEEF
22028 .xword 0xDEADBEEFDEADBEEF
22029 .xword 0xDEADBEEFDEADBEEF
22030 .xword 0xDEADBEEFDEADBEEF
22031 .xword 0xDEADBEEFDEADBEEF
22032 .xword 0xDEADBEEFDEADBEEF
22033 .xword 0xDEADBEEFDEADBEEF
22034 .xword 0xDEADBEEFDEADBEEF
22035 .xword 0xDEADBEEFDEADBEEF
22036 .xword 0xDEADBEEFDEADBEEF
22037 .xword 0xDEADBEEFDEADBEEF
22038 .xword 0xDEADBEEFDEADBEEF
22039 .xword 0xDEADBEEFDEADBEEF
22040 .xword 0xDEADBEEFDEADBEEF
22041 .xword 0xDEADBEEFDEADBEEF
22042 .xword 0xDEADBEEFDEADBEEF
22043 .xword 0xDEADBEEFDEADBEEF
22044 .xword 0xDEADBEEFDEADBEEF
22045 .xword 0xDEADBEEFDEADBEEF
22046 .xword 0xDEADBEEFDEADBEEF
22047 .xword 0xDEADBEEFDEADBEEF
22048 .xword 0xDEADBEEFDEADBEEF
22049 .xword 0xDEADBEEFDEADBEEF
22050 .xword 0xDEADBEEFDEADBEEF
22051 .xword 0xDEADBEEFDEADBEEF
22052 .xword 0xDEADBEEFDEADBEEF
22053 .xword 0xDEADBEEFDEADBEEF
22054 .xword 0xDEADBEEFDEADBEEF
22055 .xword 0xDEADBEEFDEADBEEF
22056 .xword 0xDEADBEEFDEADBEEF
22057 .xword 0xDEADBEEFDEADBEEF
22058 .xword 0xDEADBEEFDEADBEEF
22059 .xword 0xDEADBEEFDEADBEEF
22060 .xword 0xDEADBEEFDEADBEEF
22061 .xword 0xDEADBEEFDEADBEEF
22062 .xword 0xDEADBEEFDEADBEEF
22063 .xword 0xDEADBEEFDEADBEEF
22064 .xword 0xDEADBEEFDEADBEEF
22065 .xword 0xDEADBEEFDEADBEEF
22066 .xword 0xDEADBEEFDEADBEEF
22067 .xword 0xDEADBEEFDEADBEEF
22068 .xword 0xDEADBEEFDEADBEEF
22069 .xword 0xDEADBEEFDEADBEEF
22070 .xword 0xDEADBEEFDEADBEEF
22071 .xword 0xDEADBEEFDEADBEEF
22072 .xword 0xDEADBEEFDEADBEEF
22073 .xword 0xDEADBEEFDEADBEEF
22074 .xword 0xDEADBEEFDEADBEEF
22075 .xword 0xDEADBEEFDEADBEEF
22076 .xword 0xDEADBEEFDEADBEEF
22077 .xword 0xDEADBEEFDEADBEEF
22078 .xword 0xDEADBEEFDEADBEEF
22079 .xword 0xDEADBEEFDEADBEEF
22080 .xword 0xDEADBEEFDEADBEEF
22081 .xword 0xDEADBEEFDEADBEEF
22082 .xword 0xDEADBEEFDEADBEEF
22083 .xword 0xDEADBEEFDEADBEEF
22084 .xword 0xDEADBEEFDEADBEEF
22085 .xword 0xDEADBEEFDEADBEEF
22086 .xword 0xDEADBEEFDEADBEEF
22087 .xword 0xDEADBEEFDEADBEEF
22088 .xword 0xDEADBEEFDEADBEEF
22089 .xword 0xDEADBEEFDEADBEEF
22090 .xword 0xDEADBEEFDEADBEEF
22091 .xword 0xDEADBEEFDEADBEEF
22092 .xword 0xDEADBEEFDEADBEEF
22093 .xword 0xDEADBEEFDEADBEEF
22094 .xword 0xDEADBEEFDEADBEEF
22095 .xword 0xDEADBEEFDEADBEEF
22096 .xword 0xDEADBEEFDEADBEEF
22097 .xword 0xDEADBEEFDEADBEEF
22098 .xword 0xDEADBEEFDEADBEEF
22099 .xword 0xDEADBEEFDEADBEEF
22100 .xword 0xDEADBEEFDEADBEEF
22101 .xword 0xDEADBEEFDEADBEEF
22102 .xword 0xDEADBEEFDEADBEEF
22103 .xword 0xDEADBEEFDEADBEEF
22104 .xword 0xDEADBEEFDEADBEEF
22105 .xword 0xDEADBEEFDEADBEEF
22106 .xword 0xDEADBEEFDEADBEEF
22107 .xword 0xDEADBEEFDEADBEEF
22108 .xword 0xDEADBEEFDEADBEEF
22109 .xword 0xDEADBEEFDEADBEEF
22110 .xword 0xDEADBEEFDEADBEEF
22111 .xword 0xDEADBEEFDEADBEEF
22112 .xword 0xDEADBEEFDEADBEEF
22113 .xword 0xDEADBEEFDEADBEEF
22114 .xword 0xDEADBEEFDEADBEEF
22115 .xword 0xDEADBEEFDEADBEEF
22116 .xword 0xDEADBEEFDEADBEEF
22117 .xword 0xDEADBEEFDEADBEEF
22118 .xword 0xDEADBEEFDEADBEEF
22119 .xword 0xDEADBEEFDEADBEEF
22120 .xword 0xDEADBEEFDEADBEEF
22121 .xword 0xDEADBEEFDEADBEEF
22122 .xword 0xDEADBEEFDEADBEEF
22123 .xword 0xDEADBEEFDEADBEEF
22124 .xword 0xDEADBEEFDEADBEEF
22125 .xword 0xDEADBEEFDEADBEEF
22126 .xword 0xDEADBEEFDEADBEEF
22127 .xword 0xDEADBEEFDEADBEEF
22128 .xword 0xDEADBEEFDEADBEEF
22129 .xword 0xDEADBEEFDEADBEEF
22130 .xword 0xDEADBEEFDEADBEEF
22131 .xword 0xDEADBEEFDEADBEEF
22132 .xword 0xDEADBEEFDEADBEEF
22133 .xword 0xDEADBEEFDEADBEEF
22134 .xword 0xDEADBEEFDEADBEEF
22135 .xword 0xDEADBEEFDEADBEEF
22136 .xword 0xDEADBEEFDEADBEEF
22137 .xword 0xDEADBEEFDEADBEEF
22138 .xword 0xDEADBEEFDEADBEEF
22139 .xword 0xDEADBEEFDEADBEEF
22140 .xword 0xDEADBEEFDEADBEEF
22141 .xword 0xDEADBEEFDEADBEEF
22142 .xword 0xDEADBEEFDEADBEEF
22143 .xword 0xDEADBEEFDEADBEEF
22144 .xword 0xDEADBEEFDEADBEEF
22145 .xword 0xDEADBEEFDEADBEEF
22146 .xword 0xDEADBEEFDEADBEEF
22147 .xword 0xDEADBEEFDEADBEEF
22148 .xword 0xDEADBEEFDEADBEEF
22149 .xword 0xDEADBEEFDEADBEEF
22150 .xword 0xDEADBEEFDEADBEEF
22151 .xword 0xDEADBEEFDEADBEEF
22152 .xword 0xDEADBEEFDEADBEEF
22153 .xword 0xDEADBEEFDEADBEEF
22154 .xword 0xDEADBEEFDEADBEEF
22155 .xword 0xDEADBEEFDEADBEEF
22156 .xword 0xDEADBEEFDEADBEEF
22157 .xword 0xDEADBEEFDEADBEEF
22158 .xword 0xDEADBEEFDEADBEEF
22159 .xword 0xDEADBEEFDEADBEEF
22160 .xword 0xDEADBEEFDEADBEEF
22161 .xword 0xDEADBEEFDEADBEEF
22162 .xword 0xDEADBEEFDEADBEEF
22163 .xword 0xDEADBEEFDEADBEEF
22164 .xword 0xDEADBEEFDEADBEEF
22165 .xword 0xDEADBEEFDEADBEEF
22166 .xword 0xDEADBEEFDEADBEEF
22167 .xword 0xDEADBEEFDEADBEEF
22168 .xword 0xDEADBEEFDEADBEEF
22169 .xword 0xDEADBEEFDEADBEEF
22170 .xword 0xDEADBEEFDEADBEEF
22171 .xword 0xDEADBEEFDEADBEEF
22172 .xword 0xDEADBEEFDEADBEEF
22173 .xword 0xDEADBEEFDEADBEEF
22174 .xword 0xDEADBEEFDEADBEEF
22175 .xword 0xDEADBEEFDEADBEEF
22176 .xword 0xDEADBEEFDEADBEEF
22177 .xword 0xDEADBEEFDEADBEEF
22178 .xword 0xDEADBEEFDEADBEEF
22179 .xword 0xDEADBEEFDEADBEEF
22180 .xword 0xDEADBEEFDEADBEEF
22181 .xword 0xDEADBEEFDEADBEEF
22182 .xword 0xDEADBEEFDEADBEEF
22183 .xword 0xDEADBEEFDEADBEEF
22184 .xword 0xDEADBEEFDEADBEEF
22185 .xword 0xDEADBEEFDEADBEEF
22186 .xword 0xDEADBEEFDEADBEEF
22187 .xword 0xDEADBEEFDEADBEEF
22188 .xword 0xDEADBEEFDEADBEEF
22189 .xword 0xDEADBEEFDEADBEEF
22190 .xword 0xDEADBEEFDEADBEEF
22191 .xword 0xDEADBEEFDEADBEEF
22192 .xword 0xDEADBEEFDEADBEEF
22193 .xword 0xDEADBEEFDEADBEEF
22194 .xword 0xDEADBEEFDEADBEEF
22195 .xword 0xDEADBEEFDEADBEEF
22196 .xword 0xDEADBEEFDEADBEEF
22197 .xword 0xDEADBEEFDEADBEEF
22198 .xword 0xDEADBEEFDEADBEEF
22199 .xword 0xDEADBEEFDEADBEEF
22200 .xword 0xDEADBEEFDEADBEEF
22201 .xword 0xDEADBEEFDEADBEEF
22202 .xword 0xDEADBEEFDEADBEEF
22203 .xword 0xDEADBEEFDEADBEEF
22204 .xword 0xDEADBEEFDEADBEEF
22205 .xword 0xDEADBEEFDEADBEEF
22206 .xword 0xDEADBEEFDEADBEEF
22207 .xword 0xDEADBEEFDEADBEEF
22208 .xword 0xDEADBEEFDEADBEEF
22209 .xword 0xDEADBEEFDEADBEEF
22210 .xword 0xDEADBEEFDEADBEEF
22211 .xword 0xDEADBEEFDEADBEEF
22212 .xword 0xDEADBEEFDEADBEEF
22213 .xword 0xDEADBEEFDEADBEEF
22214 .xword 0xDEADBEEFDEADBEEF
22215 .xword 0xDEADBEEFDEADBEEF
22216 .xword 0xDEADBEEFDEADBEEF
22217 .xword 0xDEADBEEFDEADBEEF
22218 .xword 0xDEADBEEFDEADBEEF
22219 .xword 0xDEADBEEFDEADBEEF
22220 .xword 0xDEADBEEFDEADBEEF
22221 .xword 0xDEADBEEFDEADBEEF
22222 .xword 0xDEADBEEFDEADBEEF
22223 .xword 0xDEADBEEFDEADBEEF
22224 .xword 0xDEADBEEFDEADBEEF
22225 .xword 0xDEADBEEFDEADBEEF
22226 .xword 0xDEADBEEFDEADBEEF
22227 .xword 0xDEADBEEFDEADBEEF
22228 .xword 0xDEADBEEFDEADBEEF
22229 .xword 0xDEADBEEFDEADBEEF
22230 .xword 0xDEADBEEFDEADBEEF
22231 .xword 0xDEADBEEFDEADBEEF
22232 .xword 0xDEADBEEFDEADBEEF
22233 .xword 0xDEADBEEFDEADBEEF
22234 .xword 0xDEADBEEFDEADBEEF
22235 .xword 0xDEADBEEFDEADBEEF
22236 .xword 0xDEADBEEFDEADBEEF
22237 .xword 0xDEADBEEFDEADBEEF
22238 .xword 0xDEADBEEFDEADBEEF
22239 .xword 0xDEADBEEFDEADBEEF
22240 .xword 0xDEADBEEFDEADBEEF
22241 .xword 0xDEADBEEFDEADBEEF
22242 .xword 0xDEADBEEFDEADBEEF
22243 .xword 0xDEADBEEFDEADBEEF
22244 .xword 0xDEADBEEFDEADBEEF
22245 .xword 0xDEADBEEFDEADBEEF
22246 .xword 0xDEADBEEFDEADBEEF
22247 .xword 0xDEADBEEFDEADBEEF
22248 .xword 0xDEADBEEFDEADBEEF
22249 .xword 0xDEADBEEFDEADBEEF
22250 .xword 0xDEADBEEFDEADBEEF
22251 .xword 0xDEADBEEFDEADBEEF
22252 .xword 0xDEADBEEFDEADBEEF
22253 .xword 0xDEADBEEFDEADBEEF
22254 .xword 0xDEADBEEFDEADBEEF
22255 .xword 0xDEADBEEFDEADBEEF
22256 .xword 0xDEADBEEFDEADBEEF
22257 .xword 0xDEADBEEFDEADBEEF
22258 .xword 0xDEADBEEFDEADBEEF
22259 .xword 0xDEADBEEFDEADBEEF
22260 .xword 0xDEADBEEFDEADBEEF
22261 .xword 0xDEADBEEFDEADBEEF
22262 .xword 0xDEADBEEFDEADBEEF
22263 .xword 0xDEADBEEFDEADBEEF
22264 .xword 0xDEADBEEFDEADBEEF
22265 .xword 0xDEADBEEFDEADBEEF
22266 .xword 0xDEADBEEFDEADBEEF
22267 .xword 0xDEADBEEFDEADBEEF
22268 .xword 0xDEADBEEFDEADBEEF
22269 .xword 0xDEADBEEFDEADBEEF
22270 .xword 0xDEADBEEFDEADBEEF
22271 .xword 0xDEADBEEFDEADBEEF
22272.align 16
22273_t1_rc4_auth_key:
22274 .xword 0xce793a72caf2b34e
22275 .xword 0x2baeb62d62126e01
22276 .xword 0xeb34431a0f3ea36d
22277 .xword 0xe42ca2ba6b4b1274
22278 .xword 0xa72ee42cde23f921
22279 .xword 0x3f01013f4c40b78b
22280 .xword 0x0c5d3a0e29ad0ece
22281 .xword 0x3db49f86f9b727e1
22282 .xword 0x3d7520db39ebec52
22283 .xword 0x1648e5e68465a8a4
22284 .xword 0xf6adb342b078e0d0
22285 .xword 0xa47059be6797b425
22286 .xword 0xb5d03d04aa37087a
22287 .xword 0xb49f7b4db191a0e2
22288 .xword 0x65b2c7a209c2087c
22289 .xword 0x138334316b1c172d
22290 .xword 0xae0cb049df355830
22291 .xword 0x4e89f92ba11ecb42
22292 .xword 0xb54af1b5bea24732
22293 .xword 0x868bb3baf1b0b476
22294 .xword 0xe7e88c596e063faf
22295 .xword 0xddec1294b53baee8
22296 .xword 0x0c01893f8d98b685
22297.align 16
22298_t1_rc4_auth_iv:
22299 .xword 0x0982fee8eea827ef
22300 .xword 0xa916eab26153c783
22301 .xword 0x0b9f81a299e76cfc
22302 .xword 0x4b3f503a7b3106e9
22303 .xword 0xb6147f8be6ddbfb1
22304 .xword 0xfe9c0ca68d748bd4
22305 .xword 0x15ad834ea192b6e5
22306 .xword 0xd555127a6062425a
22307 .xword 0x13de73bbc212ef7c
22308 .xword 0x07837ba77f8c3542
22309 .xword 0x0923bdd105ea2f13
22310 .xword 0x8b53b4df5bcfb49e
22311 .xword 0xa80368cb01a2b9ad
22312 .xword 0x36e0ad2d6fe86117
22313 .xword 0x2f1808052087bff4
22314 .xword 0xd900e922dab7646a
22315 .xword 0x1fde346db7f19884
22316 .xword 0x1a6514527218c81b
22317 .xword 0x331e031c177c72f0
22318 .xword 0x312f22aac2057cae
22319 .xword 0x0d0afcdb6872b899
22320 .xword 0x3e938edbafe5626b
22321 .xword 0xdfdf5bdecfdd1adc
22322.align 16
22323_t1_rc4_fas_result:
22324 .xword 0xDEADBEEFDEADBEEF
22325 .xword 0xDEADBEEFDEADBEEF
22326 .xword 0xDEADBEEFDEADBEEF
22327 .xword 0xDEADBEEFDEADBEEF
22328 .xword 0xDEADBEEFDEADBEEF
22329 .xword 0xDEADBEEFDEADBEEF
22330 .xword 0xDEADBEEFDEADBEEF
22331 .xword 0xDEADBEEFDEADBEEF
22332 .xword 0xDEADBEEFDEADBEEF
22333 .xword 0xDEADBEEFDEADBEEF
22334 .xword 0xDEADBEEFDEADBEEF
22335 .xword 0xDEADBEEFDEADBEEF
22336 .xword 0xDEADBEEFDEADBEEF
22337 .xword 0xDEADBEEFDEADBEEF
22338 .xword 0xDEADBEEFDEADBEEF
22339 .xword 0xDEADBEEFDEADBEEF
22340 .xword 0xDEADBEEFDEADBEEF
22341 .xword 0xDEADBEEFDEADBEEF
22342 .xword 0xDEADBEEFDEADBEEF
22343 .xword 0xDEADBEEFDEADBEEF
22344 .xword 0xDEADBEEFDEADBEEF
22345 .xword 0xDEADBEEFDEADBEEF
22346 .xword 0xDEADBEEFDEADBEEF
22347.align 16
22348_t1_sslkey_key_array:
22349 .xword 0xe3dfce2bc15c545d
22350 .xword 0x071f6130ca6faf1c
22351 .xword 0x4cf7d868147a741d
22352 .xword 0x46cf3688ffab7a01
22353 .xword 0x990878a6f2a25f6b
22354 .xword 0x40956c76b2287566
22355 .xword 0x5486e1b807659c8a
22356 .xword 0x8a2faf479379c87f
22357 .xword 0xb0d26bce1008d69d
22358 .xword 0x081d95c51306f1f7
22359 .xword 0x7fcb851e6f782325
22360 .xword 0x5f53d3083502fe15
22361 .xword 0xf441a64958cd9e4f
22362 .xword 0xd7ba605ff9f0cec1
22363 .xword 0x1fb8190aeac6cef4
22364 .xword 0x7ea633ab4bbd75c3
22365 .xword 0xed3f31e0f201d9b9
22366 .xword 0xdb6b425fdc39fe41
22367 .xword 0x3cdbcfedf1f7e92c
22368 .xword 0x2416f5470f24cff7
22369 .xword 0xcddd4d02063a5681
22370 .xword 0x0acb13d09e5cfb6b
22371 .xword 0xeeaaf70401b6f1d3
22372 .xword 0x560e4860b93dfdf7
22373 .xword 0x4144e51e1b5ae4cf
22374 .xword 0xe0739bd17c8492b8
22375 .xword 0x129e327afa266467
22376 .xword 0x7144347f77c8496f
22377 .xword 0xd02f8c0300d074ab
22378 .xword 0x004d3c87e62a33d8
22379 .xword 0x7ab6ad6da8a42aba
22380 .xword 0xf2d9811b2b144e90
22381 .xword 0x579dde41fa649a31
22382 .xword 0xdbe8b3c1c1946801
22383 .xword 0x821bb005c3764d90
22384 .xword 0x01a4cbb620039c4c
22385 .xword 0x792928e5365bc607
22386 .xword 0x20e234d6b58e6e7e
22387 .xword 0x3a1bc28dedcc13ba
22388 .xword 0x34c15d14d9e987a1
22389 .xword 0xf4146dcee4a28295
22390 .xword 0x76053947b2ff7fce
22391 .xword 0xe9cc448374d7c617
22392 .xword 0xc86fd8c2650e1264
22393 .xword 0x23ebb22cbae3b668
22394 .xword 0x44541abfa52482ca
22395 .xword 0x90e9d2d3ea578bc7
22396 .xword 0x6d92b5216ad26caf
22397 .xword 0xcb21beb7fd0d46aa
22398 .xword 0xb512aca627415edc
22399 .xword 0x6943c8298652b8c0
22400.align 16
22401_t1_sslkey_iv_array:
22402 .xword 0xecc3965ad1e95cfa
22403 .xword 0xe5ffca7d9a1ac049
22404 .xword 0xd6434e92a5705613
22405 .xword 0x8d68b899516e3e58
22406 .xword 0x049bd8af53ad19e2
22407 .xword 0x7bdf846d79f63a1a
22408 .xword 0x3d7a4353f5e9a36f
22409 .xword 0xd5fa43c2d653429f
22410 .xword 0x4c1c1a2dd1aba0a0
22411 .xword 0x4a086998763cbd90
22412 .xword 0xc741086d5c2a8a83
22413 .xword 0xf4458be4792678f9
22414 .xword 0x013e6d4acf4d9d79
22415 .xword 0x74e1931939d9ff7a
22416 .xword 0x30cd0169f0c0743f
22417 .xword 0x6b87b3834dbb8a46
22418 .xword 0xe3c64f703d4830c3
22419 .xword 0xa992af91d8a33709
22420 .xword 0xa7fd97b9cc35cb14
22421 .xword 0x49306aab0061dfa4
22422 .xword 0x4d77a4d3f51670b7
22423 .xword 0xed43f85db9d9e9c9
22424 .xword 0xadce5407621c6aae
22425 .xword 0x8cc2961be569d18e
22426 .xword 0x457800e17cfad389
22427 .xword 0xf19a2ae013d7f2db
22428 .xword 0xefbe791c17ffcdc3
22429 .xword 0x67b52fd4d52b3512
22430 .xword 0x6bb9a7bf794a530f
22431 .xword 0x6904b15ce783ce78
22432 .xword 0xf954ea3dd95050b7
22433 .xword 0xce5c9e783056a16e
22434 .xword 0xe9834abd7386351a
22435 .xword 0x0717e50ba644ecdf
22436 .xword 0x6570c1022c39f79e
22437 .xword 0x5105b71c0c7a7a23
22438 .xword 0xf5f141096b648df1
22439 .xword 0x26e6d3cf5e7b9c9d
22440 .xword 0xd0c0c5976e20fdd2
22441 .xword 0xe577c45c82f771f3
22442 .xword 0x290da06abc2ace7c
22443 .xword 0x2f7345b43a8e28dd
22444 .xword 0xb2b13f0998dcc96b
22445 .xword 0xdf96ac0ce9fa9d56
22446 .xword 0xd6b4e0ffe5f43ed9
22447.align 16
22448_t1_sslkey_alignment_array:
22449 .xword 0
22450 .xword 0
22451 .xword 0
22452 .xword 0
22453 .xword 0
22454 .xword 0
22455 .xword 0
22456 .xword 0
22457 .xword 0
22458 .xword 0
22459 .xword 0
22460 .xword 0
22461 .xword 0
22462 .xword 0
22463 .xword 0
22464 .xword 0
22465 .xword 0
22466 .xword 0
22467 .xword 0
22468 .xword 0
22469 .xword 0
22470 .xword 0
22471 .xword 0
22472 .xword 0
22473 .xword 0
22474 .xword 0
22475 .xword 0
22476 .xword 0
22477 .xword 0
22478 .xword 0
22479 .xword 0
22480 .xword 0
22481 .xword 0
22482 .xword 0
22483 .xword 0
22484 .xword 0
22485 .xword 0
22486 .xword 0
22487 .xword 0
22488 .xword 0
22489 .xword 0
22490 .xword 0
22491 .xword 0
22492 .xword 0
22493 .xword 0
22494 .xword 0
22495 .xword 0
22496 .xword 0
22497 .xword 0
22498 .xword 0
22499 .xword 0
22500 .xword 0
22501 .xword 0
22502 .xword 0
22503 .xword 0
22504 .xword 0
22505 .xword 0
22506 .xword 0
22507 .xword 0
22508 .xword 0
22509 .xword 0
22510 .xword 0
22511 .xword 0
22512 .xword 0
22513 .xword 0
22514 .xword 0
22515 .xword 0
22516 .xword 0
22517 .xword 0
22518 .xword 0
22519 .xword 0
22520 .xword 0
22521 .xword 0
22522 .xword 0
22523 .xword 0
22524 .xword 0
22525 .xword 0
22526 .xword 0
22527 .xword 0
22528 .xword 0
22529 .xword 0
22530 .xword 0
22531 .xword 0
22532 .xword 0
22533 .xword 0
22534 .xword 0
22535 .xword 0
22536 .xword 0
22537 .xword 0
22538 .xword 0
22539 .xword 0
22540 .xword 0
22541 .xword 0
22542 .xword 0
22543 .xword 0
22544 .xword 0
22545 .xword 0
22546 .xword 0
22547 .xword 0
22548 .xword 0
22549 .xword 0
22550 .xword 0
22551 .xword 0
22552 .xword 0
22553 .xword 0
22554.align 16
22555_t1_sslkey_src:
22556 .xword 0x6b87b4ceb9f93bd1
22557 .xword 0xff80454bfbad6d14
22558 .xword 0xff2ad30b65a03dfe
22559 .xword 0x4e2bb0069e571637
22560 .xword 0x7fd047e6172a9315
22561 .xword 0x5c4bb797acad7501
22562 .xword 0x8798d9fde39c0f1d
22563 .xword 0x925ee30cf6b3d83a
22564 .xword 0x98bc0f69a5ba32ca
22565 .xword 0x9ec23fc7c1e66324
22566 .xword 0xa90950d02d19e774
22567 .xword 0x435b66093657602a
22568 .xword 0xd94211f4a8724a49
22569 .xword 0x9a5468fb21fdbbb9
22570 .xword 0xe0e6a84dd8e72690
22571 .xword 0x453acfd061029e0e
22572 .xword 0x26f200d99ac84592
22573 .xword 0x4f8717dae2154da0
22574 .xword 0xa15ccb87116dc59c
22575 .xword 0xab1a73146ccfba71
22576 .xword 0x17c71ad1455ea057
22577 .xword 0xfed22d795068fff9
22578 .xword 0xe928ae887f149a1e
22579 .xword 0xdf73f340f0ce2323
22580 .xword 0xfe575192fa2b96d0
22581 .xword 0xa9c56242c4e06575
22582 .xword 0x1e26b6bc91e9122f
22583 .xword 0xc067469d12c28f21
22584 .xword 0x87f833047757265b
22585 .xword 0x04c0d4ce361e867d
22586 .xword 0x71bed69efe1b40bd
22587 .xword 0x20c700100671d84f
22588 .xword 0xbd6ca220c5e3f334
22589 .xword 0x072bd89bf1efbce3
22590 .xword 0xd647ef4ec057dfbf
22591 .xword 0x10b4bf1f8b8402d7
22592 .xword 0xd07b8459f1d5a1ec
22593 .xword 0xcf95cc9dec042dd5
22594 .xword 0xd1e332177f70cf59
22595 .xword 0x3dade66b234a567b
22596 .xword 0x9612edcbc2d4d196
22597 .xword 0x7d8f533d1c945810
22598 .xword 0x961b7f8b6f759628
22599 .xword 0xd0d012cf91c1393c
22600 .xword 0x629209c04bbe476c
22601 .xword 0x3bfb65b6eb3a3a75
22602 .xword 0x4f71196786659240
22603 .xword 0x816d826c66fe96a3
22604 .xword 0x6835bc6648376f70
22605 .xword 0x35f0e0fc0d40f550
22606 .xword 0x74fc586026d66a6b
22607 .xword 0x6ddec229159bf74b
22608 .xword 0x9ecbe5875d74f69e
22609 .xword 0xdac3d0e5fdc5206e
22610 .xword 0xb30442f1bd3881ee
22611 .xword 0xd964585d502dca7f
22612 .xword 0x1197f8c85fccbd98
22613 .xword 0xa2ba015e28ae9654
22614 .xword 0x7bba339e8b3fac14
22615 .xword 0x85ac49651964eee9
22616 .xword 0x696ca9fe0921153d
22617 .xword 0xc26d6918c7ed2fe9
22618 .xword 0x11d13632e35da8d2
22619 .xword 0xab441cd08cde0546
22620 .xword 0x1caead0c7d073c74
22621 .xword 0x32ec1a1e6e200c22
22622 .xword 0x41df55333f01ed5b
22623 .xword 0x10d4a91f23c18ed6
22624 .xword 0xfea319338f498e5e
22625 .xword 0x60e186f8610742a3
22626 .xword 0x95e34e6bb27c3f20
22627 .xword 0xefd1594667e4ee04
22628 .xword 0x3b79a3b281f4e632
22629 .xword 0x97b427771a2ba5e0
22630 .xword 0xc620bafd20ca5f7c
22631 .xword 0x42c19e8a4c27c047
22632 .xword 0x011997470ba70454
22633 .xword 0x78fceb203b325f93
22634 .xword 0xa15e807ac796b466
22635 .xword 0xd7cce3927c7f12f3
22636 .xword 0x0348920b583f320a
22637 .xword 0xe451194b2b18ba20
22638 .xword 0xd3a63ce480039be6
22639 .xword 0x270a29df4fdcbf0b
22640 .xword 0xb0052768e3ac9295
22641 .xword 0x05cfc7ea5102ea52
22642 .xword 0x14d3f2916213f463
22643 .xword 0xe28428043fb7c2de
22644 .xword 0x54f667592c37e3b8
22645 .xword 0x9fbaab4a7f2d6d33
22646 .xword 0x1591afe605a5fd39
22647 .xword 0x794a3c1e9a9b2137
22648 .xword 0xa243eee203a7885d
22649 .xword 0xb8854ccef55e2554
22650 .xword 0x1edd9274a9f93f87
22651 .xword 0x91131f4f9b8b91b5
22652 .xword 0xbab5a20cfa0af026
22653 .xword 0xdbefcee48b51c8ae
22654 .xword 0x6667541b9a7b5850
22655 .xword 0x1c3ee222c97d1722
22656 .xword 0x437550f1fa4cb8cb
22657 .xword 0x0d258fef09cb28d0
22658 .xword 0xf0262e6ab54e4158
22659 .xword 0xf30c39f8a10e62e4
22660 .xword 0xd1fdb75246219129
22661 .xword 0xe45de11807cc0a34
22662 .xword 0x400a00370c01e051
22663 .xword 0xe386b3651f623c2a
22664 .xword 0x37aa40717a15d073
22665 .xword 0x73c81921461e59d7
22666 .xword 0x9b54dc7f49d32d5a
22667 .xword 0xabaf23c132cc3890
22668 .xword 0xa5b453382c705f89
22669 .xword 0xa9f0b3abf67000f2
22670 .xword 0xd98dbea72edc1239
22671 .xword 0xb56789c57ae8f82d
22672 .xword 0xdf75b7df57e73444
22673 .xword 0x6fab1129f4284317
22674 .xword 0xae2ec95e7dab3568
22675 .xword 0xc16fd307d84dddb9
22676 .xword 0x84e71835ea0dd59d
22677 .xword 0xd94c01f3b9e57116
22678 .xword 0x75b7ae091b997aa5
22679 .xword 0xb2457a2e9e024417
22680 .xword 0xff7e9b9ce5fed9e8
22681 .xword 0x1032525b8d4cd6b3
22682 .xword 0x501c3cbb458f0d0e
22683 .xword 0x88542aae0230d8d3
22684 .xword 0xe7cf93a5bb89196f
22685 .xword 0x69ba90165f76595d
22686 .xword 0xec670b510ec02387
22687 .xword 0x78577eb78d3b1bc6
22688 .xword 0xd38701844ead5aee
22689 .xword 0x44100b4c10602e65
22690 .xword 0x1bbe48225262e30b
22691 .xword 0xdb618efdee6d37b7
22692 .xword 0x092840731b8b9248
22693 .xword 0xf036f5da9f2ef337
22694 .xword 0x17a5811b4dbaeb3f
22695 .xword 0xda98ea901ab954a7
22696 .xword 0xda85ff528b81ca2e
22697 .xword 0xae6cf8d1a1f79183
22698 .xword 0x3c3fe91d5ef9b63f
22699 .xword 0x17d141f1449bcc7c
22700 .xword 0xb86bf9c2decbee52
22701 .xword 0x2741b3498e632748
22702 .xword 0x0ad38ba41138593a
22703 .xword 0xfc4413caaa451cfe
22704 .xword 0xef475c1686593a57
22705 .xword 0xcaf6005029dcc0c0
22706 .xword 0x1506562ba7b1492e
22707 .xword 0xf900b46a6de9c7f1
22708 .xword 0xbde6ec137c2b1853
22709 .xword 0x4fc99755120d8efc
22710 .xword 0x590f36d16b1283a0
22711 .xword 0x6a2b7b35288f27f4
22712 .xword 0xb24f25b7734e3106
22713 .xword 0xbf575f7bdcd74e16
22714 .xword 0x7a53fc12814110f0
22715 .xword 0xf3798fef7bb4c5be
22716 .xword 0x408f8ba00a86d9a7
22717 .xword 0x1b2cc716cca03190
22718 .xword 0xdc95c268b7108f77
22719 .xword 0xf2feb085a3531fb9
22720 .xword 0x66eb93a866e2aba9
22721 .xword 0xb149c584923f7e7f
22722 .xword 0x095f1e8fad39e003
22723 .xword 0xd05fd5421c4eb8a0
22724 .xword 0xac8d88644868507d
22725 .xword 0x220a2f82d469dbe7
22726 .xword 0x2d10c5f8ebfc6fbf
22727 .xword 0x14199dfd1eb6812e
22728 .xword 0x77fe73dd55327b18
22729 .xword 0x6f592a61fa17e8c1
22730 .xword 0x51dba0a97c9c270e
22731 .xword 0x191e09a603339b7b
22732 .xword 0xf506d7d68ad422bd
22733 .xword 0x0b77f8f1fa401df8
22734 .xword 0xcba60f3f5507ee84
22735 .xword 0x1484140e0ba236b0
22736 .xword 0x767fa0a724ca3b67
22737 .xword 0x3cf0dcb79e56472e
22738 .xword 0x80a994ec9d7e4cc2
22739 .xword 0x490f73c845ce8990
22740 .xword 0xeb338f6cb6929b4c
22741 .xword 0x578f99aed95d4a87
22742 .xword 0x54ff3e329c694244
22743 .xword 0x9b6679612bc3a347
22744 .xword 0xd7f546b6898efe60
22745 .xword 0x3a38dafe86d1bdd6
22746 .xword 0x89c5afd3ed2782f3
22747 .xword 0x14556525feae1edb
22748 .xword 0x333d67f779a54e42
22749 .xword 0xb467adfc38957754
22750 .xword 0xaae1ff8e54b784cb
22751 .xword 0x6eff6d61ad66fd73
22752 .xword 0xafc92282eb4a5891
22753 .xword 0x60ff9d18962676fa
22754 .xword 0xcfbccb3bbf181288
22755 .xword 0x6107ad0b91426a9c
22756 .xword 0x07a69176fe9b5983
22757 .xword 0xad28b9076f761898
22758 .xword 0x50b2242f223e3b65
22759 .xword 0x6ad8efd20c309882
22760 .xword 0xa40b1030bf58448a
22761 .xword 0x762f71a58091dc2d
22762 .xword 0xf902d0aa841334c6
22763 .xword 0xdcf86564706532f3
22764 .xword 0x8faf7cf0f852a869
22765 .xword 0x01c3de32196d3a87
22766 .xword 0xc05025057c2c8591
22767 .xword 0x88b6de50eda2e889
22768 .xword 0x4fd3d9f1e708d4e5
22769 .xword 0xe0bd9e0fcbdebf17
22770 .xword 0xb29766c0564b112b
22771 .xword 0xf1b22c67584160b9
22772 .xword 0xaae7a6a51c91425b
22773 .xword 0xe981df701f5f7d2c
22774 .xword 0x699c9436b121c238
22775 .xword 0x624f3ed60168a418
22776 .xword 0x887ffe95e2cf6491
22777 .xword 0x3bcca240bf710feb
22778 .xword 0x477c19f6f39722dc
22779 .xword 0x132560019853a70e
22780 .xword 0xd9b281740aeb1d54
22781 .xword 0x5b48dafef3e2fb9c
22782 .xword 0xeac13e18f2f95358
22783 .xword 0x12f98d5d7ecafb9d
22784 .xword 0x19bbccfe63019983
22785 .xword 0x0b6ba594e882c7a0
22786 .xword 0x6ad495fc215c3d32
22787 .xword 0x626e7ec4bd6da021
22788 .xword 0xb0221439eb479cb6
22789 .xword 0xb1ae4e2e90c899d4
22790 .xword 0xdfa0a9226eebfae7
22791 .xword 0x155bdf8dff14af36
22792 .xword 0x6441eb1826db2676
22793 .xword 0xac7110d98b2106b8
22794 .xword 0x30db7dd8e223a3c2
22795 .xword 0x5d359809a81d5e97
22796 .xword 0xf335abb32a4d7f8f
22797 .xword 0x2e54b529a99203ef
22798 .xword 0x48479340dd9c18e8
22799 .xword 0x54c1b718de9e4a5b
22800 .xword 0x958ced885a4abf84
22801 .xword 0x01c105aefe96df1d
22802 .xword 0x38c2e73b36ac4084
22803 .xword 0x9da986fd9dbeb0d1
22804 .xword 0x9b3050c9d5b58080
22805 .xword 0xc25aa40857cb75f0
22806 .xword 0xe7219a5b0d2b2b8e
22807 .xword 0x71d05865408538f8
22808 .xword 0x0efb69b7e1d741ac
22809 .xword 0xdc3118f930e2f417
22810 .xword 0x08aea10b1917c11f
22811 .xword 0x497a2488b30b2467
22812 .xword 0xf356cd7e5169d7d8
22813 .xword 0xa851e4e64292d357
22814 .xword 0x7cf830cc02814623
22815 .xword 0xae3db673dfb7ef6e
22816 .xword 0x17e74679206a1f5f
22817 .xword 0x287f49c9bb97f3e8
22818 .xword 0x5fef0df5b7c6ef33
22819 .xword 0xa57da79bd25c59da
22820 .xword 0xcd8557de6ec17a05
22821 .xword 0x1f71e84179d88e86
22822 .xword 0xcbe18da62c88588a
22823 .xword 0x5085b840035e4937
22824 .xword 0xa9bf59f7efed30cb
22825 .xword 0x4925e0b13cab319c
22826 .xword 0x342875ae4ccc381b
22827 .xword 0xfc95c677529dfbb2
22828 .xword 0x06c34a601c96aed1
22829 .xword 0x6ed9fed90b8f7d51
22830 .xword 0x6fccd330ea2ad64d
22831.align 16
22832_t1_sslkey_dest:
22833 .xword 0xDEADBEEFDEADBEEF
22834 .xword 0xDEADBEEFDEADBEEF
22835 .xword 0xDEADBEEFDEADBEEF
22836 .xword 0xDEADBEEFDEADBEEF
22837 .xword 0xDEADBEEFDEADBEEF
22838 .xword 0xDEADBEEFDEADBEEF
22839 .xword 0xDEADBEEFDEADBEEF
22840 .xword 0xDEADBEEFDEADBEEF
22841 .xword 0xDEADBEEFDEADBEEF
22842 .xword 0xDEADBEEFDEADBEEF
22843 .xword 0xDEADBEEFDEADBEEF
22844 .xword 0xDEADBEEFDEADBEEF
22845 .xword 0xDEADBEEFDEADBEEF
22846 .xword 0xDEADBEEFDEADBEEF
22847 .xword 0xDEADBEEFDEADBEEF
22848 .xword 0xDEADBEEFDEADBEEF
22849 .xword 0xDEADBEEFDEADBEEF
22850 .xword 0xDEADBEEFDEADBEEF
22851 .xword 0xDEADBEEFDEADBEEF
22852 .xword 0xDEADBEEFDEADBEEF
22853 .xword 0xDEADBEEFDEADBEEF
22854 .xword 0xDEADBEEFDEADBEEF
22855 .xword 0xDEADBEEFDEADBEEF
22856 .xword 0xDEADBEEFDEADBEEF
22857 .xword 0xDEADBEEFDEADBEEF
22858 .xword 0xDEADBEEFDEADBEEF
22859 .xword 0xDEADBEEFDEADBEEF
22860 .xword 0xDEADBEEFDEADBEEF
22861 .xword 0xDEADBEEFDEADBEEF
22862 .xword 0xDEADBEEFDEADBEEF
22863 .xword 0xDEADBEEFDEADBEEF
22864 .xword 0xDEADBEEFDEADBEEF
22865 .xword 0xDEADBEEFDEADBEEF
22866 .xword 0xDEADBEEFDEADBEEF
22867 .xword 0xDEADBEEFDEADBEEF
22868 .xword 0xDEADBEEFDEADBEEF
22869 .xword 0xDEADBEEFDEADBEEF
22870 .xword 0xDEADBEEFDEADBEEF
22871 .xword 0xDEADBEEFDEADBEEF
22872 .xword 0xDEADBEEFDEADBEEF
22873 .xword 0xDEADBEEFDEADBEEF
22874 .xword 0xDEADBEEFDEADBEEF
22875 .xword 0xDEADBEEFDEADBEEF
22876 .xword 0xDEADBEEFDEADBEEF
22877 .xword 0xDEADBEEFDEADBEEF
22878 .xword 0xDEADBEEFDEADBEEF
22879 .xword 0xDEADBEEFDEADBEEF
22880 .xword 0xDEADBEEFDEADBEEF
22881 .xword 0xDEADBEEFDEADBEEF
22882 .xword 0xDEADBEEFDEADBEEF
22883 .xword 0xDEADBEEFDEADBEEF
22884 .xword 0xDEADBEEFDEADBEEF
22885 .xword 0xDEADBEEFDEADBEEF
22886 .xword 0xDEADBEEFDEADBEEF
22887 .xword 0xDEADBEEFDEADBEEF
22888 .xword 0xDEADBEEFDEADBEEF
22889 .xword 0xDEADBEEFDEADBEEF
22890 .xword 0xDEADBEEFDEADBEEF
22891 .xword 0xDEADBEEFDEADBEEF
22892 .xword 0xDEADBEEFDEADBEEF
22893 .xword 0xDEADBEEFDEADBEEF
22894 .xword 0xDEADBEEFDEADBEEF
22895 .xword 0xDEADBEEFDEADBEEF
22896 .xword 0xDEADBEEFDEADBEEF
22897 .xword 0xDEADBEEFDEADBEEF
22898 .xword 0xDEADBEEFDEADBEEF
22899 .xword 0xDEADBEEFDEADBEEF
22900 .xword 0xDEADBEEFDEADBEEF
22901 .xword 0xDEADBEEFDEADBEEF
22902 .xword 0xDEADBEEFDEADBEEF
22903 .xword 0xDEADBEEFDEADBEEF
22904 .xword 0xDEADBEEFDEADBEEF
22905 .xword 0xDEADBEEFDEADBEEF
22906 .xword 0xDEADBEEFDEADBEEF
22907 .xword 0xDEADBEEFDEADBEEF
22908 .xword 0xDEADBEEFDEADBEEF
22909 .xword 0xDEADBEEFDEADBEEF
22910 .xword 0xDEADBEEFDEADBEEF
22911 .xword 0xDEADBEEFDEADBEEF
22912 .xword 0xDEADBEEFDEADBEEF
22913 .xword 0xDEADBEEFDEADBEEF
22914 .xword 0xDEADBEEFDEADBEEF
22915 .xword 0xDEADBEEFDEADBEEF
22916 .xword 0xDEADBEEFDEADBEEF
22917 .xword 0xDEADBEEFDEADBEEF
22918 .xword 0xDEADBEEFDEADBEEF
22919 .xword 0xDEADBEEFDEADBEEF
22920 .xword 0xDEADBEEFDEADBEEF
22921 .xword 0xDEADBEEFDEADBEEF
22922 .xword 0xDEADBEEFDEADBEEF
22923 .xword 0xDEADBEEFDEADBEEF
22924 .xword 0xDEADBEEFDEADBEEF
22925 .xword 0xDEADBEEFDEADBEEF
22926 .xword 0xDEADBEEFDEADBEEF
22927 .xword 0xDEADBEEFDEADBEEF
22928 .xword 0xDEADBEEFDEADBEEF
22929 .xword 0xDEADBEEFDEADBEEF
22930 .xword 0xDEADBEEFDEADBEEF
22931 .xword 0xDEADBEEFDEADBEEF
22932 .xword 0xDEADBEEFDEADBEEF
22933 .xword 0xDEADBEEFDEADBEEF
22934 .xword 0xDEADBEEFDEADBEEF
22935 .xword 0xDEADBEEFDEADBEEF
22936 .xword 0xDEADBEEFDEADBEEF
22937 .xword 0xDEADBEEFDEADBEEF
22938 .xword 0xDEADBEEFDEADBEEF
22939 .xword 0xDEADBEEFDEADBEEF
22940 .xword 0xDEADBEEFDEADBEEF
22941 .xword 0xDEADBEEFDEADBEEF
22942 .xword 0xDEADBEEFDEADBEEF
22943 .xword 0xDEADBEEFDEADBEEF
22944 .xword 0xDEADBEEFDEADBEEF
22945 .xword 0xDEADBEEFDEADBEEF
22946 .xword 0xDEADBEEFDEADBEEF
22947 .xword 0xDEADBEEFDEADBEEF
22948 .xword 0xDEADBEEFDEADBEEF
22949 .xword 0xDEADBEEFDEADBEEF
22950 .xword 0xDEADBEEFDEADBEEF
22951 .xword 0xDEADBEEFDEADBEEF
22952 .xword 0xDEADBEEFDEADBEEF
22953 .xword 0xDEADBEEFDEADBEEF
22954 .xword 0xDEADBEEFDEADBEEF
22955 .xword 0xDEADBEEFDEADBEEF
22956 .xword 0xDEADBEEFDEADBEEF
22957 .xword 0xDEADBEEFDEADBEEF
22958 .xword 0xDEADBEEFDEADBEEF
22959 .xword 0xDEADBEEFDEADBEEF
22960 .xword 0xDEADBEEFDEADBEEF
22961 .xword 0xDEADBEEFDEADBEEF
22962 .xword 0xDEADBEEFDEADBEEF
22963 .xword 0xDEADBEEFDEADBEEF
22964 .xword 0xDEADBEEFDEADBEEF
22965 .xword 0xDEADBEEFDEADBEEF
22966 .xword 0xDEADBEEFDEADBEEF
22967 .xword 0xDEADBEEFDEADBEEF
22968 .xword 0xDEADBEEFDEADBEEF
22969 .xword 0xDEADBEEFDEADBEEF
22970 .xword 0xDEADBEEFDEADBEEF
22971 .xword 0xDEADBEEFDEADBEEF
22972 .xword 0xDEADBEEFDEADBEEF
22973 .xword 0xDEADBEEFDEADBEEF
22974 .xword 0xDEADBEEFDEADBEEF
22975 .xword 0xDEADBEEFDEADBEEF
22976 .xword 0xDEADBEEFDEADBEEF
22977 .xword 0xDEADBEEFDEADBEEF
22978 .xword 0xDEADBEEFDEADBEEF
22979 .xword 0xDEADBEEFDEADBEEF
22980 .xword 0xDEADBEEFDEADBEEF
22981 .xword 0xDEADBEEFDEADBEEF
22982 .xword 0xDEADBEEFDEADBEEF
22983 .xword 0xDEADBEEFDEADBEEF
22984 .xword 0xDEADBEEFDEADBEEF
22985 .xword 0xDEADBEEFDEADBEEF
22986 .xword 0xDEADBEEFDEADBEEF
22987 .xword 0xDEADBEEFDEADBEEF
22988 .xword 0xDEADBEEFDEADBEEF
22989 .xword 0xDEADBEEFDEADBEEF
22990 .xword 0xDEADBEEFDEADBEEF
22991 .xword 0xDEADBEEFDEADBEEF
22992 .xword 0xDEADBEEFDEADBEEF
22993 .xword 0xDEADBEEFDEADBEEF
22994 .xword 0xDEADBEEFDEADBEEF
22995 .xword 0xDEADBEEFDEADBEEF
22996 .xword 0xDEADBEEFDEADBEEF
22997 .xword 0xDEADBEEFDEADBEEF
22998 .xword 0xDEADBEEFDEADBEEF
22999 .xword 0xDEADBEEFDEADBEEF
23000 .xword 0xDEADBEEFDEADBEEF
23001 .xword 0xDEADBEEFDEADBEEF
23002 .xword 0xDEADBEEFDEADBEEF
23003 .xword 0xDEADBEEFDEADBEEF
23004 .xword 0xDEADBEEFDEADBEEF
23005 .xword 0xDEADBEEFDEADBEEF
23006 .xword 0xDEADBEEFDEADBEEF
23007 .xword 0xDEADBEEFDEADBEEF
23008 .xword 0xDEADBEEFDEADBEEF
23009 .xword 0xDEADBEEFDEADBEEF
23010 .xword 0xDEADBEEFDEADBEEF
23011 .xword 0xDEADBEEFDEADBEEF
23012 .xword 0xDEADBEEFDEADBEEF
23013 .xword 0xDEADBEEFDEADBEEF
23014 .xword 0xDEADBEEFDEADBEEF
23015 .xword 0xDEADBEEFDEADBEEF
23016 .xword 0xDEADBEEFDEADBEEF
23017 .xword 0xDEADBEEFDEADBEEF
23018 .xword 0xDEADBEEFDEADBEEF
23019 .xword 0xDEADBEEFDEADBEEF
23020 .xword 0xDEADBEEFDEADBEEF
23021 .xword 0xDEADBEEFDEADBEEF
23022 .xword 0xDEADBEEFDEADBEEF
23023 .xword 0xDEADBEEFDEADBEEF
23024 .xword 0xDEADBEEFDEADBEEF
23025 .xword 0xDEADBEEFDEADBEEF
23026 .xword 0xDEADBEEFDEADBEEF
23027 .xword 0xDEADBEEFDEADBEEF
23028 .xword 0xDEADBEEFDEADBEEF
23029 .xword 0xDEADBEEFDEADBEEF
23030 .xword 0xDEADBEEFDEADBEEF
23031 .xword 0xDEADBEEFDEADBEEF
23032 .xword 0xDEADBEEFDEADBEEF
23033 .xword 0xDEADBEEFDEADBEEF
23034 .xword 0xDEADBEEFDEADBEEF
23035 .xword 0xDEADBEEFDEADBEEF
23036 .xword 0xDEADBEEFDEADBEEF
23037 .xword 0xDEADBEEFDEADBEEF
23038 .xword 0xDEADBEEFDEADBEEF
23039 .xword 0xDEADBEEFDEADBEEF
23040 .xword 0xDEADBEEFDEADBEEF
23041 .xword 0xDEADBEEFDEADBEEF
23042 .xword 0xDEADBEEFDEADBEEF
23043 .xword 0xDEADBEEFDEADBEEF
23044 .xword 0xDEADBEEFDEADBEEF
23045 .xword 0xDEADBEEFDEADBEEF
23046 .xword 0xDEADBEEFDEADBEEF
23047 .xword 0xDEADBEEFDEADBEEF
23048 .xword 0xDEADBEEFDEADBEEF
23049 .xword 0xDEADBEEFDEADBEEF
23050 .xword 0xDEADBEEFDEADBEEF
23051 .xword 0xDEADBEEFDEADBEEF
23052 .xword 0xDEADBEEFDEADBEEF
23053 .xword 0xDEADBEEFDEADBEEF
23054 .xword 0xDEADBEEFDEADBEEF
23055 .xword 0xDEADBEEFDEADBEEF
23056 .xword 0xDEADBEEFDEADBEEF
23057 .xword 0xDEADBEEFDEADBEEF
23058 .xword 0xDEADBEEFDEADBEEF
23059 .xword 0xDEADBEEFDEADBEEF
23060 .xword 0xDEADBEEFDEADBEEF
23061 .xword 0xDEADBEEFDEADBEEF
23062 .xword 0xDEADBEEFDEADBEEF
23063 .xword 0xDEADBEEFDEADBEEF
23064 .xword 0xDEADBEEFDEADBEEF
23065 .xword 0xDEADBEEFDEADBEEF
23066 .xword 0xDEADBEEFDEADBEEF
23067 .xword 0xDEADBEEFDEADBEEF
23068 .xword 0xDEADBEEFDEADBEEF
23069 .xword 0xDEADBEEFDEADBEEF
23070 .xword 0xDEADBEEFDEADBEEF
23071 .xword 0xDEADBEEFDEADBEEF
23072 .xword 0xDEADBEEFDEADBEEF
23073 .xword 0xDEADBEEFDEADBEEF
23074 .xword 0xDEADBEEFDEADBEEF
23075 .xword 0xDEADBEEFDEADBEEF
23076 .xword 0xDEADBEEFDEADBEEF
23077 .xword 0xDEADBEEFDEADBEEF
23078 .xword 0xDEADBEEFDEADBEEF
23079 .xword 0xDEADBEEFDEADBEEF
23080 .xword 0xDEADBEEFDEADBEEF
23081 .xword 0xDEADBEEFDEADBEEF
23082 .xword 0xDEADBEEFDEADBEEF
23083 .xword 0xDEADBEEFDEADBEEF
23084 .xword 0xDEADBEEFDEADBEEF
23085 .xword 0xDEADBEEFDEADBEEF
23086 .xword 0xDEADBEEFDEADBEEF
23087 .xword 0xDEADBEEFDEADBEEF
23088 .xword 0xDEADBEEFDEADBEEF
23089 .xword 0xDEADBEEFDEADBEEF
23090 .xword 0xDEADBEEFDEADBEEF
23091 .xword 0xDEADBEEFDEADBEEF
23092 .xword 0xDEADBEEFDEADBEEF
23093 .xword 0xDEADBEEFDEADBEEF
23094 .xword 0xDEADBEEFDEADBEEF
23095 .xword 0xDEADBEEFDEADBEEF
23096 .xword 0xDEADBEEFDEADBEEF
23097 .xword 0xDEADBEEFDEADBEEF
23098 .xword 0xDEADBEEFDEADBEEF
23099 .xword 0xDEADBEEFDEADBEEF
23100 .xword 0xDEADBEEFDEADBEEF
23101 .xword 0xDEADBEEFDEADBEEF
23102 .xword 0xDEADBEEFDEADBEEF
23103 .xword 0xDEADBEEFDEADBEEF
23104 .xword 0xDEADBEEFDEADBEEF
23105 .xword 0xDEADBEEFDEADBEEF
23106 .xword 0xDEADBEEFDEADBEEF
23107 .xword 0xDEADBEEFDEADBEEF
23108.align 16
23109_t1_sslkey_auth_key:
23110 .xword 0xa8547b033f2ded39
23111 .xword 0xedb33fc605e1a907
23112 .xword 0x9317b271d40ff402
23113 .xword 0xa1f28ac035188acf
23114 .xword 0x734074a94428b997
23115 .xword 0xf3cb0549884a8d70
23116 .xword 0xa86c5f27ee138f80
23117 .xword 0x83309a6041621003
23118 .xword 0x2c879dec1de65f2b
23119 .xword 0xe924222a7187cf48
23120 .xword 0x03a6b4136c2bc93f
23121 .xword 0xea2fa210a4a70596
23122 .xword 0x80b9d970004da1d0
23123 .xword 0xfa11b5a809a605aa
23124 .xword 0x729425ff2e0f3dca
23125 .xword 0x2799a92c846d5c4b
23126 .xword 0x422fd8155d5d7cf4
23127 .xword 0x90b7fca4aa275e45
23128 .xword 0xd4bfe114941a74b8
23129 .xword 0x3d6cdbd1bf25ec3a
23130 .xword 0xee5d158c4a8b24d5
23131 .xword 0x06dc71c669f71ec6
23132 .xword 0xbe545f977f8ae4ef
23133.align 16
23134_t1_sslkey_auth_iv:
23135 .xword 0x9be407a1b7db2e71
23136 .xword 0x65ba5ffc882bc219
23137 .xword 0xdfafc15fe1b89f00
23138 .xword 0xa300162ced64be37
23139 .xword 0xb5b6417e837d04f1
23140 .xword 0xab6db5d608e7dcf1
23141 .xword 0x5b87d9f0a47e2b8f
23142 .xword 0x6d5c0f6ab25f87fd
23143 .xword 0x86154f4e1ac38464
23144 .xword 0xefce5b77ea7c9719
23145 .xword 0x74da3934e73d0dba
23146 .xword 0xe348edac535a86b1
23147 .xword 0xc1111295c28fc342
23148 .xword 0x11ff6d560d92a092
23149 .xword 0x93cbc322fb2a30f1
23150 .xword 0xe2a448fa21c3b702
23151 .xword 0x6898d1cd1abf05ee
23152 .xword 0x3ec5cf11673082a2
23153 .xword 0xdf08982584837b9d
23154 .xword 0x2c9cc7cdee064b8b
23155 .xword 0x45bee8f762326c5e
23156 .xword 0xd8a07905b3cbf102
23157 .xword 0xa6d87ae368f52720
23158.align 16
23159_t1_sslkey_fas_result:
23160 .xword 0xDEADBEEFDEADBEEF
23161 .xword 0xDEADBEEFDEADBEEF
23162 .xword 0xDEADBEEFDEADBEEF
23163 .xword 0xDEADBEEFDEADBEEF
23164 .xword 0xDEADBEEFDEADBEEF
23165 .xword 0xDEADBEEFDEADBEEF
23166 .xword 0xDEADBEEFDEADBEEF
23167 .xword 0xDEADBEEFDEADBEEF
23168 .xword 0xDEADBEEFDEADBEEF
23169 .xword 0xDEADBEEFDEADBEEF
23170 .xword 0xDEADBEEFDEADBEEF
23171 .xword 0xDEADBEEFDEADBEEF
23172 .xword 0xDEADBEEFDEADBEEF
23173 .xword 0xDEADBEEFDEADBEEF
23174 .xword 0xDEADBEEFDEADBEEF
23175 .xword 0xDEADBEEFDEADBEEF
23176 .xword 0xDEADBEEFDEADBEEF
23177 .xword 0xDEADBEEFDEADBEEF
23178 .xword 0xDEADBEEFDEADBEEF
23179 .xword 0xDEADBEEFDEADBEEF
23180 .xword 0xDEADBEEFDEADBEEF
23181 .xword 0xDEADBEEFDEADBEEF
23182 .xword 0xDEADBEEFDEADBEEF
23183_t1_aes_toc:
23184 .xword _t1_aes_cwd_array
23185 .xword _t1_aes_src
23186 .xword _t1_aes_auth_key
23187 .xword _t1_aes_auth_iv
23188 .xword _t1_aes_fas_result
23189 .xword _t1_aes_key_array
23190 .xword _t1_aes_iv_array
23191 .xword _t1_aes_dest
23192 .xword _t1_aes_alignment_array
23193_t1_des_toc:
23194 .xword _t1_des_cwd_array
23195 .xword _t1_des_src
23196 .xword _t1_des_auth_key
23197 .xword _t1_des_auth_iv
23198 .xword _t1_des_fas_result
23199 .xword _t1_des_key_array
23200 .xword _t1_des_iv_array
23201 .xword _t1_des_dest
23202 .xword _t1_des_alignment_array
23203_t1_copy_toc:
23204 .xword _t1_copy_cwd_array
23205 .xword _t1_copy_src
23206 .xword _t1_copy_auth_key
23207 .xword _t1_copy_auth_iv
23208 .xword _t1_copy_fas_result
23209 .xword _t1_copy_key_array
23210 .xword _t1_copy_iv_array
23211 .xword _t1_copy_dest
23212 .xword _t1_copy_alignment_array
23213_t1_crc_toc:
23214 .xword _t1_crc_cwd_array
23215 .xword _t1_crc_src
23216 .xword _t1_crc_auth_key
23217 .xword _t1_crc_auth_iv
23218 .xword _t1_crc_fas_result
23219 .xword _t1_crc_key_array
23220 .xword _t1_crc_iv_array
23221 .xword _t1_crc_dest
23222 .xword _t1_crc_alignment_array
23223_t1_hash_toc:
23224 .xword _t1_hash_cwd_array
23225 .xword _t1_hash_src
23226 .xword _t1_hash_auth_key
23227 .xword _t1_hash_auth_iv
23228 .xword _t1_hash_fas_result
23229 .xword _t1_hash_key_array
23230 .xword _t1_hash_iv_array
23231 .xword _t1_hash_dest
23232 .xword _t1_hash_alignment_array
23233_t1_hmac_toc:
23234 .xword _t1_hmac_cwd_array
23235 .xword _t1_hmac_src
23236 .xword _t1_hmac_auth_key
23237 .xword _t1_hmac_auth_iv
23238 .xword _t1_hmac_fas_result
23239 .xword _t1_hmac_key_array
23240 .xword _t1_hmac_iv_array
23241 .xword _t1_hmac_dest
23242 .xword _t1_hmac_alignment_array
23243_t1_rc4_toc:
23244 .xword _t1_rc4_cwd_array
23245 .xword _t1_rc4_src
23246 .xword _t1_rc4_auth_key
23247 .xword _t1_rc4_auth_iv
23248 .xword _t1_rc4_fas_result
23249 .xword _t1_rc4_key_array
23250 .xword _t1_rc4_iv_array
23251 .xword _t1_rc4_dest
23252 .xword _t1_rc4_alignment_array
23253_t1_sslkey_toc:
23254 .xword _t1_sslkey_cwd_array
23255 .xword _t1_sslkey_src
23256 .xword _t1_sslkey_auth_key
23257 .xword _t1_sslkey_auth_iv
23258 .xword _t1_sslkey_fas_result
23259 .xword _t1_sslkey_key_array
23260 .xword _t1_sslkey_iv_array
23261 .xword _t1_sslkey_dest
23262 .xword _t1_sslkey_alignment_array
23263.global _t1_table_of_context
23264_t1_table_of_context:
23265 .xword _t1_aes_toc
23266 .xword _t1_des_toc
23267 .xword _t1_copy_toc
23268 .xword _t1_crc_toc
23269 .xword _t1_hash_toc
23270 .xword _t1_hmac_toc
23271 .xword _t1_rc4_toc
23272 .xword _t1_sslkey_toc
23273
23274!# CWQ data area, set aside 512 CW's worth
23275!# 512*8*8 = 32KB
23276.align 32*1024
23277.global _t1_cwq_base
23278_t1_cwq_base:
23279 .xword 0xAAAAAAAAAAAAAAA
23280 .xword 0xAAAAAAAAAAAAAAA
23281 .xword 0xAAAAAAAAAAAAAAA
23282 .xword 0xAAAAAAAAAAAAAAA
23283 .xword 0xAAAAAAAAAAAAAAA
23284 .xword 0xAAAAAAAAAAAAAAA
23285 .xword 0xAAAAAAAAAAAAAAA
23286 .xword 0xAAAAAAAAAAAAAAA
23287.align 32*1024
23288.global _t1_cwq_last
23289_t1_cwq_last:
23290SECTION ._t1_T_CWQ_DATA1 DATA_VA=286261248
23291attr_data {
23292 Name = ._t1_T_CWQ_DATA1
23293 hypervisor
23294}
23295 .data
23296_t1_user_data_start1:
23297_t1_scratch_area1:
23298
23299.align 16
23300_t1_spu_op_array1:
23301 .xword 2
23302 .xword 2
23303 .xword 0
23304 .xword 7
23305 .xword 0
23306 .xword 2
23307 .xword 4
23308 .xword 5
23309 .xword 3
23310 .xword 1
23311 .xword 6
23312 .xword 2
23313 .xword 5
23314 .xword 4
23315 .xword 6
23316_t1_aes_cwd_array1:
23317 .xword 0x406100a01900001f
23318 .xword 0xc06000c01800001f
23319 .xword 0x40e100801900001f
23320 .xword 0x40e100401500003f
23321 .xword 0x40e100401b00002f
23322 .xword 0xc0e000a01800002f
23323 .xword 0x406000401800003f
23324 .xword 0x406000201000000f
23325 .xword 0xc0e000201400001f
23326 .xword 0xc0e100401100002f
23327 .xword 0xc06000201400002f
23328 .xword 0xc0e100a01b00000f
23329 .xword 0x40e100201500001f
23330 .xword 0xc06100401500002f
23331 .xword 0x406000601000000f
23332_t1_des_cwd_array1:
23333 .xword 0xc06000400a00001f
23334 .xword 0x406100000900001f
23335 .xword 0xc06000200e000007
23336 .xword 0xc0e100c00800001f
23337 .xword 0x40e000000a000007
23338 .xword 0x406100400900001f
23339 .xword 0xc0e000c00e00000f
23340 .xword 0xc06100800d00001f
23341 .xword 0x406000400900000f
23342 .xword 0x406000800c000007
23343 .xword 0xc0e100000d000017
23344 .xword 0xc06100200a000007
23345 .xword 0xc06000c00e000017
23346 .xword 0x40e000400d000007
23347 .xword 0xc0e100c009000007
23348_t1_copy_cwd_array1:
23349 .xword 0xa06000a000000000
23350 .xword 0x2060000000000005
23351 .xword 0xa06100400000000d
23352 .xword 0x2061004000000004
23353 .xword 0xa061000000000008
23354 .xword 0x2060002000000006
23355 .xword 0x206000600000000f
23356 .xword 0x2061000000000006
23357 .xword 0xa06000a000000008
23358 .xword 0xa060008000000005
23359 .xword 0x206000c00000000a
23360 .xword 0x2060006000000006
23361 .xword 0x206000400000000b
23362 .xword 0xa060002000000008
23363 .xword 0x2060006000000000
23364_t1_crc_cwd_array1:
23365 .xword 0xc16203240000000c
23366 .xword 0x4163018800000008
23367 .xword 0xc16103a40000000b
23368 .xword 0xc16101a80000000b
23369 .xword 0x4160034400000008
23370 .xword 0xc16101680000000e
23371 .xword 0x416003e400000001
23372 .xword 0xc16201680000000f
23373 .xword 0x4161032400000005
23374 .xword 0x4163010800000006
23375 .xword 0xc16303240000000f
23376 .xword 0xc16301080000000e
23377 .xword 0xc160032400000001
23378 .xword 0x4162010800000004
23379_t1_hash_cwd_array1:
23380 .xword 0xc16309e300000013
23381 .xword 0xc16301820000003f
23382 .xword 0x41600da100000016
23383 .xword 0xc16200a10000000d
23384 .xword 0x41601dc300000033
23385 .xword 0x41630aa100000030
23386 .xword 0x4160088100000016
23387 .xword 0x4160006100000009
23388 .xword 0x416109c100000005
23389 .xword 0xc161058100000021
23390 .xword 0xc160120200000009
23391 .xword 0x41600e6100000037
23392 .xword 0xc1630d4300000011
23393 .xword 0x4160016200000027
23394 .xword 0xc161022100000028
23395_t1_hmac_cwd_array1:
23396 .xword 0x41620967001f0012
23397 .xword 0x416319a7001f0038
23398 .xword 0xc1600e09000f0023
23399 .xword 0xc16201cb001f001e
23400 .xword 0xc1610aa5000f003d
23401 .xword 0xc16104a5000f002c
23402 .xword 0x416108a5000f001a
23403 .xword 0x41600f25000f0009
23404 .xword 0x41630927001f002f
23405 .xword 0xc1620fc7001f000c
23406 .xword 0xc1610d09000f003a
23407 .xword 0x41600985000f002f
23408 .xword 0x41610d6a00130002
23409 .xword 0x41611da7001f000b
23410 .xword 0x41620025000f002f
23411_t1_rc4_cwd_array1:
23412 .xword 0xc0e100e000000009
23413 .xword 0xc0e100000000000d
23414 .xword 0x40e1006004000004
23415 .xword 0x40e1000004000003
23416 .xword 0x40e100400400000c
23417 .xword 0x40e1000004000002
23418 .xword 0x40e0006000000008
23419 .xword 0xc0e0006000000007
23420 .xword 0x40e1000004000005
23421 .xword 0xc0e1000004000003
23422 .xword 0x40e000e004000006
23423 .xword 0xc0e1000000000005
23424 .xword 0xc0e000e00000000b
23425 .xword 0x40e1000000000004
23426 .xword 0xc0e1000004000003
23427_t1_sslkey_cwd_array1:
23428 .xword 0x90600ea000000000, 0
23429 .xword 0x906024a000000000, 0
23430 .xword 0x10602bc000000000, 0
23431 .xword 0x906016a000000000, 0
23432 .xword 0x90600dc000000000, 0
23433 .xword 0x106024e000000000, 0
23434 .xword 0x10602d2000000000, 0
23435 .xword 0x9060270000000000, 0
23436 .xword 0x1060384000000000, 0
23437 .xword 0x9060240000000000, 0
23438 .xword 0x1060344000000000, 0
23439 .xword 0x106029e000000000, 0
23440 .xword 0x1060000000000000, 0
23441 .xword 0x9060394000000000, 0
23442 .xword 0x90603c2000000000, 0
23443_t1_aes_key_array:
23444 .xword 0x35edaaf43a5aff72
23445 .xword 0xdf3c4eb7af577f66
23446 .xword 0x820e8ea2f2cc40d7
23447 .xword 0x9fb95b0ffae74b2b
23448 .xword 0xe050f9c3d998cd41
23449 .xword 0xd312557fc61cccc9
23450 .xword 0xaad5841fefc36aeb
23451 .xword 0x239c6772fc192957
23452 .xword 0x0fb2ef5b30afb0c9
23453 .xword 0x616ec6238555247d
23454 .xword 0x921a9c2ba3198eff
23455 .xword 0x393b8374f240e73e
23456 .xword 0x556943bbfdc60902
23457 .xword 0xb313fcb10c9ab670
23458 .xword 0x59ef16dce5f3ccfa
23459 .xword 0x98baa6a6e3a2af08
23460 .xword 0xfbd965b3e00b5996
23461 .xword 0xe1c57bd9bacbfb15
23462 .xword 0x78ac967d6b398800
23463 .xword 0x11a19f358b5cfcaa
23464 .xword 0x2452e10e52467770
23465 .xword 0x8cd04b9a7317af7c
23466 .xword 0x78e9f1c9b17d094c
23467 .xword 0xe391e17770af2dae
23468 .xword 0xfa05ccbd715f5046
23469 .xword 0x92a698919c4769fb
23470 .xword 0x783af01b39f2bc91
23471 .xword 0xad478fb9457cfed6
23472 .xword 0x0054a7ea268cf5c1
23473 .xword 0x7a4ee18b69693704
23474 .xword 0x9a3441d88e7e6252
23475 .xword 0xd7481d32d040fdc8
23476 .xword 0x8c200b4714a2a5ad
23477 .xword 0xf9fe3da69ec6011c
23478 .xword 0x1b10fe3482cfe2ec
23479 .xword 0x060516c2e9b4bd15
23480 .xword 0x0355dcc6314d5343
23481 .xword 0x74c2bf881ea11ba6
23482 .xword 0x696058dd46ebd2c7
23483 .xword 0x9f77e05d11b01ebd
23484 .xword 0xf26c2d43da1c4ab5
23485 .xword 0x7e302195c8a703e6
23486 .xword 0x54ee0655a3ef5f4b
23487 .xword 0x0b21f97f30cfd93e
23488 .xword 0xb6ab31977e187d76
23489 .xword 0x18505a4b5dc963f8
23490 .xword 0x3a81a2d9e7faeb3e
23491 .xword 0xc184a5b4b60aac05
23492 .xword 0xc49c29e629942db0
23493 .xword 0x74b969ec297967e4
23494 .xword 0x9a9eec00b18b1998
23495_t1_aes_iv_array:
23496 .xword 0x8e903686a3f4db9d
23497 .xword 0x018295441db52227
23498 .xword 0x0e1e60da1a3e15b5
23499 .xword 0x6f055ce6b79475e5
23500 .xword 0xc21a8a700df02dc9
23501 .xword 0x659e3082e5b3f5f4
23502 .xword 0xc84ee5b20566e1e1
23503 .xword 0x233e5886033ce626
23504 .xword 0x9fcf54670807e2d0
23505 .xword 0x3308dea1aa019107
23506 .xword 0x5f902ae7f9cccc10
23507 .xword 0x377f882b250b6963
23508 .xword 0x8e344cc35953022d
23509 .xword 0x97d1c0aed7689e71
23510 .xword 0x0aa91bd3a4415175
23511 .xword 0x4a759403d1f982a2
23512 .xword 0xbdbef249dce2b203
23513 .xword 0xa31a114751ce0123
23514 .xword 0xd4b5fa2023b5e89a
23515 .xword 0x23f33de1fad3921c
23516 .xword 0xe62a2bda816ab999
23517 .xword 0x4402077775424b29
23518 .xword 0xa48cd0115fc7be92
23519 .xword 0x64222d09a2ce0c76
23520 .xword 0x12ceaa655f2a704e
23521 .xword 0x72f60b9a245f516a
23522 .xword 0x57cd66cb91df376c
23523 .xword 0x9f8100a6077663ab
23524 .xword 0x54d63323f3634f1b
23525 .xword 0x6ba0d30093ea65c2
23526 .xword 0x01553ba3bcac7760
23527 .xword 0x79c59e37d7b65794
23528 .xword 0xa471519f08b396cc
23529 .xword 0xebc19e66d32034bf
23530 .xword 0x8d27d0bcfea3e094
23531 .xword 0xacf63558b06b6749
23532 .xword 0xcbf16697a52048bb
23533 .xword 0x7c5f5bbac88bb5e3
23534 .xword 0xcb3f5a037db4983c
23535 .xword 0x1716371083c564c1
23536 .xword 0x719b18e2c127777d
23537 .xword 0xe9335069cbcd92fc
23538 .xword 0x5ecf4047e0c1b6c2
23539 .xword 0x2067e1d6b9416d89
23540 .xword 0x8019a11ded892bbf
23541_t1_aes_alignment_array:
23542 .xword 12
23543 .xword 12
23544 .xword 14
23545 .xword 9
23546 .xword 15
23547 .xword 9
23548 .xword 4
23549 .xword 2
23550 .xword 1
23551 .xword 1
23552 .xword 0
23553 .xword 1
23554 .xword 3
23555 .xword 6
23556 .xword 1
23557 .xword 2
23558 .xword 11
23559 .xword 6
23560 .xword 2
23561 .xword 2
23562 .xword 12
23563 .xword 15
23564 .xword 7
23565 .xword 5
23566 .xword 11
23567 .xword 0
23568 .xword 15
23569 .xword 6
23570 .xword 14
23571 .xword 6
23572 .xword 13
23573 .xword 13
23574 .xword 2
23575 .xword 1
23576 .xword 9
23577 .xword 0
23578 .xword 10
23579 .xword 9
23580 .xword 14
23581 .xword 15
23582 .xword 3
23583 .xword 10
23584 .xword 14
23585 .xword 15
23586 .xword 4
23587 .xword 14
23588 .xword 1
23589 .xword 2
23590 .xword 9
23591 .xword 11
23592 .xword 8
23593 .xword 3
23594 .xword 3
23595 .xword 7
23596 .xword 8
23597 .xword 3
23598 .xword 15
23599 .xword 12
23600 .xword 6
23601 .xword 7
23602 .xword 8
23603 .xword 1
23604 .xword 12
23605 .xword 15
23606 .xword 0
23607 .xword 9
23608 .xword 14
23609 .xword 1
23610 .xword 7
23611 .xword 5
23612 .xword 15
23613 .xword 12
23614 .xword 13
23615 .xword 13
23616 .xword 9
23617 .xword 5
23618 .xword 15
23619 .xword 4
23620 .xword 2
23621 .xword 4
23622 .xword 10
23623 .xword 1
23624 .xword 3
23625 .xword 8
23626 .xword 14
23627 .xword 13
23628 .xword 5
23629 .xword 8
23630 .xword 12
23631 .xword 7
23632 .xword 13
23633 .xword 12
23634 .xword 3
23635 .xword 15
23636 .xword 3
23637 .xword 0
23638 .xword 11
23639 .xword 11
23640 .xword 6
23641 .xword 0
23642 .xword 9
23643 .xword 2
23644 .xword 3
23645 .xword 0
23646 .xword 9
23647_t1_aes_src:
23648 .xword 0xfd146a768e786dfd
23649 .xword 0xc612ed8d73b566ee
23650 .xword 0xe9603583fcc8920d
23651 .xword 0x887676dbcb35e2e0
23652 .xword 0x1a4b65e56b24b823
23653 .xword 0xf1e27e697e389ffb
23654 .xword 0x29f559fe04d2d6be
23655 .xword 0xb0d0a1d2a23951df
23656 .xword 0x8094f7035268e7f4
23657 .xword 0xd4556df98e2f87c5
23658 .xword 0xc6702babbd80dee8
23659 .xword 0x882cbd55b73ddeb7
23660 .xword 0xa786773a8e61d511
23661 .xword 0x9837037ba4030756
23662 .xword 0x58392afef00010e4
23663 .xword 0xc9ebe86769fd329d
23664 .xword 0xbb76d349f9ec7d16
23665 .xword 0x12c966e14aaeae17
23666 .xword 0x850efb095f0290aa
23667 .xword 0x01611f004d51ef52
23668 .xword 0xc706fe0efb9947e1
23669 .xword 0xb0a355b1bb32f6e8
23670 .xword 0x4c1f5ace11b57738
23671 .xword 0xdafebbf3da4dd2fa
23672 .xword 0xfcfe00bfa5a808cf
23673 .xword 0x2754d8609ccfd463
23674 .xword 0x42a7ac7d6bfb5f88
23675 .xword 0xebbe1c92b98d885c
23676 .xword 0xa0da18706012d69a
23677 .xword 0x9df259d5294db235
23678 .xword 0x26c445ccef084dbc
23679 .xword 0x023530b148d9d39a
23680 .xword 0x8411110c1ff57789
23681 .xword 0x060f49ff764c20c4
23682 .xword 0xcff651815bda1f28
23683 .xword 0xadfadd12a66a7ba7
23684 .xword 0xec9bc0da103991a4
23685 .xword 0x9ef761d036493c95
23686 .xword 0x800deda8bcb8c55a
23687 .xword 0x9324aaf186485588
23688 .xword 0x03dfdbeceac9a260
23689 .xword 0xd834c0eef1913e7d
23690 .xword 0x49ad202b098cdfc4
23691 .xword 0xf0655d1f59fa94ac
23692 .xword 0x7061cdca3be6a1a5
23693 .xword 0xfa288c01b87dbf2c
23694 .xword 0xe343d202da339c25
23695 .xword 0xc7c9f9c9f66f8f50
23696 .xword 0x8462a7dce3897089
23697 .xword 0x8aa23623afc88f0a
23698 .xword 0x1ed73706baa2e1c8
23699 .xword 0xccaea4596bdcfff6
23700 .xword 0x5bd38458ddbfd322
23701 .xword 0x467f2c7f798df1e7
23702 .xword 0x20b11820465f8fe2
23703 .xword 0x6a66962e5123a064
23704 .xword 0xe08596ef997725e4
23705 .xword 0xc16b24377e2e30a8
23706 .xword 0x77948d1a7247ac9c
23707 .xword 0x6b6f40cc44b0d314
23708 .xword 0xaa16f15e2ba24639
23709 .xword 0x3d1a8dc2269af019
23710 .xword 0x4701a6add4538421
23711 .xword 0xc9fb1dbc7617720b
23712 .xword 0x1d5700d8155614f2
23713 .xword 0xb4e40b4d98238713
23714 .xword 0x849e4ae1a66750b8
23715 .xword 0x1290af5d9462dc71
23716 .xword 0x322e80a79d6e1a70
23717 .xword 0x12c6c9ca740e6e26
23718 .xword 0xbff14da6935ba7a5
23719 .xword 0x856b0d0ea4deeac7
23720 .xword 0x79145db0ca68fecd
23721 .xword 0x88adbc7cb8080363
23722 .xword 0x043e31c976839955
23723 .xword 0xfe09ffc03abe1a48
23724 .xword 0x59c94875c56a600d
23725 .xword 0xd434f678e8c8a53e
23726 .xword 0xc200ee6f5f6fa115
23727 .xword 0x3040fb0f4095476b
23728 .xword 0xefbb474d19500b45
23729 .xword 0xed9faf4116baac94
23730 .xword 0x2c3826ea041a42bf
23731 .xword 0xb01499194eba2423
23732 .xword 0x18e79f769e236971
23733 .xword 0x84aa63950e98e796
23734 .xword 0xf7bdd3ba0dd396f1
23735 .xword 0x5312cda91af2e1dd
23736 .xword 0x3d9528f2dab297f5
23737 .xword 0xc9343be2ad5055ca
23738 .xword 0x10a827e5aa3f7c5e
23739 .xword 0xa5c59ad034d12789
23740 .xword 0x8a3a875ac6af06ff
23741 .xword 0xa8999619c07fd034
23742 .xword 0xca21c3b806c9eacf
23743 .xword 0x9b03f7684476c027
23744 .xword 0x8d87dd518521cd07
23745 .xword 0x1f366310132978cc
23746 .xword 0x56b2f365bda3c13e
23747 .xword 0x3fa71dc96997aff7
23748 .xword 0xa456d132d4b8567c
23749 .xword 0x1e79a3cf454dee0f
23750 .xword 0xc4ad3ee16adc0686
23751 .xword 0x1a6235d8683e651f
23752 .xword 0xf9b6c777242478dd
23753 .xword 0xd2e63f279f6901fc
23754 .xword 0xaffb9eafcc939243
23755 .xword 0x4bc077b33083d60a
23756 .xword 0xf74c88029ee3513e
23757 .xword 0x64947570f38a2eb6
23758 .xword 0xa487efa04148f9ff
23759 .xword 0x2fe88d280ef26969
23760 .xword 0x8ccfa925c3c3f615
23761 .xword 0xc8f1e8b3a67962a3
23762 .xword 0x8f3c9375f61eb74b
23763 .xword 0x0e90eb40a4087084
23764 .xword 0x41a844d983089b8d
23765 .xword 0x7e56fa5c42502df9
23766 .xword 0x1b3e124f636b4b7b
23767 .xword 0xf5a168627fb4e13a
23768 .xword 0xc7281550d6ec6b54
23769 .xword 0xfbc277f263e95974
23770 .xword 0x69b72a01e7178ab4
23771 .xword 0x991acded3f44fa71
23772 .xword 0x0a4d4bfbf28fd4f5
23773 .xword 0xc82fd059364adb6c
23774 .xword 0xeaeffacf280ac003
23775 .xword 0xf872e82923e79726
23776 .xword 0xd7ea254efcacb47f
23777 .xword 0xd3171964748e2678
23778 .xword 0x2b8cd18b463b0a5a
23779 .xword 0x266c44fee8934db7
23780 .xword 0x96a83c09cd5c6c63
23781 .xword 0x4a79fff120e6c971
23782 .xword 0xa870c64dca79cb8a
23783 .xword 0x4136b941ad2aa61d
23784 .xword 0xec3d93f69b0ce624
23785 .xword 0xb6469d64c4c246c7
23786 .xword 0xafdddb3347a093c1
23787 .xword 0x4285323631b4be2e
23788 .xword 0x266bae4132face37
23789 .xword 0x5fddabf9a61c67b7
23790 .xword 0xcfdb1aec4b4a065f
23791 .xword 0xbf8338e7dd2c2575
23792 .xword 0x86eb32cccaccb2b2
23793 .xword 0xddf2cfb82408b5e5
23794 .xword 0x2d73909d1500658d
23795 .xword 0xadb2c3819827ebd4
23796 .xword 0x231fd8b10c6f9939
23797 .xword 0xcd2d09565130ce2b
23798 .xword 0x910dd98e696b0ec1
23799 .xword 0x96e7e735d9286c0a
23800 .xword 0x7ac9c86e9d348bd8
23801 .xword 0x09f7d9466ed1fd42
23802 .xword 0xe55462d6a87da429
23803 .xword 0x7c6cb1a7702672e6
23804 .xword 0xec8e0a5aa1fab464
23805 .xword 0x864c317ce4365e7e
23806 .xword 0x07065e9d49a5ad88
23807 .xword 0xcb72dd6467687c43
23808 .xword 0x387d67dfc9a85ffa
23809 .xword 0xd1c238f29e49215e
23810 .xword 0xbeaacdf88fd0b217
23811 .xword 0x1596e624ef09a66e
23812 .xword 0xa856e7753681dd59
23813 .xword 0xb79dc0d9deb346ea
23814 .xword 0x854e95233f984903
23815 .xword 0x8ab87342440f90b1
23816 .xword 0x13a9dd24a0312f30
23817 .xword 0xbfe03e1482c2b645
23818 .xword 0x0160c59508c3bb2d
23819 .xword 0x997dd780e0a84951
23820 .xword 0x68c06b516c30e6e5
23821 .xword 0xe7c08f4ebf86df80
23822 .xword 0x364b8eb0a4dfc8c8
23823 .xword 0x99af1c42237b2d69
23824 .xword 0x24643e8ea7bc8a56
23825 .xword 0x77bd0e6fb300a3f5
23826 .xword 0x925bd9c29d8fdee0
23827 .xword 0x70a473d937e6023e
23828 .xword 0x0474025186896c5c
23829 .xword 0x7f59569718c330f6
23830 .xword 0x196e033aa2c6b14a
23831 .xword 0x90c0564d8bd95f67
23832 .xword 0x5a6635b16f9a779a
23833 .xword 0xb344bcb82db794ed
23834 .xword 0x1c5548a0c57d9560
23835 .xword 0x0cb803c108482a6b
23836 .xword 0xae768c12744f980c
23837 .xword 0x8d23942dbdf70a53
23838 .xword 0x7d616adf2a581099
23839 .xword 0xdbd0ab408d9eed65
23840 .xword 0x739d6a98b6eb701f
23841 .xword 0xee9401819a041de7
23842 .xword 0x33c43bea38c53eb2
23843 .xword 0x6e6d4f8acfaddc87
23844 .xword 0xf0a7e737c507884f
23845 .xword 0x0ec2df54a9216041
23846 .xword 0x2a0c136d0923fb51
23847 .xword 0xd58d7212158d707f
23848 .xword 0x43f8e9ea8fc494c2
23849 .xword 0xbae358aa512cbc63
23850 .xword 0x30e90a4b443f83a8
23851 .xword 0xb767ad65b972b339
23852 .xword 0x4931111bde60ffbe
23853 .xword 0xb7a7a744940fe61f
23854 .xword 0x718e0488c34a0b4a
23855 .xword 0x3aed0188eed1e564
23856 .xword 0xd84a1f13d8a71e6c
23857 .xword 0xc77e6d569fde6702
23858 .xword 0x70fd0b3df62d4cbe
23859 .xword 0x660e80cb952a4cf6
23860 .xword 0xc6514dcf7b3a089b
23861 .xword 0x0f74dc1999a7bffa
23862 .xword 0x320185ecf1b32f84
23863 .xword 0xd3a64e42f2e429c0
23864 .xword 0x8628167d908e763b
23865 .xword 0xa7eaa46d733e5f7f
23866 .xword 0xc41de80725ee5426
23867 .xword 0x7686aa230b16c683
23868 .xword 0x6c7bb5526b85e417
23869 .xword 0x0f59e8d3875813fd
23870 .xword 0x7e3350335d303d97
23871 .xword 0x1634b1e88e10bed9
23872 .xword 0x9c98e5f2c5922ae0
23873 .xword 0x69f8b3473e7b302a
23874 .xword 0xed0234ee934812da
23875 .xword 0xf4fb98fd23f274f0
23876 .xword 0x9dbb56b852074197
23877 .xword 0x5c6441b4bbbce7a1
23878 .xword 0xaf3dbc5a63f7b569
23879 .xword 0x5d20b3d3b4b3dbb4
23880 .xword 0x4f07d649463af396
23881 .xword 0xf77a224cbb28ca48
23882 .xword 0xa812bf97f22f7034
23883 .xword 0x8b446cde00afe306
23884 .xword 0x3b662186c85be6d3
23885 .xword 0x588cd55cda06da0b
23886 .xword 0x6901ad1c9dca19b6
23887 .xword 0x3e641be3054f9514
23888 .xword 0x84f981b4b714e4e6
23889 .xword 0xe95df533c496b80c
23890 .xword 0x0415e3c6de8aeacb
23891 .xword 0x96b7a04143ca8723
23892 .xword 0xe1ea485a0bf2d830
23893 .xword 0xa5b746dbd72af81f
23894 .xword 0x7b3f1aa1b9c47aa4
23895 .xword 0x56478f2e5ea6a74d
23896 .xword 0x6c95cb6c9344f0ad
23897 .xword 0x3acd8ed70da66e05
23898 .xword 0x3cd51c90df50ffad
23899 .xword 0xc752618ac443ad6b
23900 .xword 0xcde8926d27a7ea8f
23901 .xword 0xda4a1dfe09befa25
23902 .xword 0x5483e4fe3799dd4e
23903 .xword 0xf6862e8a6c541015
23904 .xword 0x6f07cacac7d67bae
23905 .xword 0x083b5ab4e04f6616
23906 .xword 0xfaba4dac66a8bd62
23907 .xword 0xd51f5a169a8c17f9
23908 .xword 0x2d7b710dfdc6ca9a
23909 .xword 0x7b7a179c79c001b5
23910 .xword 0x44729210316b69e9
23911 .xword 0xed70c03326c242b5
23912 .xword 0x66cf84791d362fd8
23913 .xword 0x6a3240f360abd7f1
23914 .xword 0xcdcad03b62f9b14c
23915 .xword 0xfaf29da2e0e84313
23916 .xword 0x92139e40524fd10d
23917 .xword 0xdb01e9f050649e79
23918 .xword 0x6c519e4d4f28925e
23919 .xword 0xd9450f7a1f99d038
23920 .xword 0x54da3862351128a5
23921 .xword 0xf05b171be31aa853
23922 .xword 0x8c05db8166a02d6a
23923_t1_aes_dest:
23924 .xword 0xDEADBEEFDEADBEEF
23925 .xword 0xDEADBEEFDEADBEEF
23926 .xword 0xDEADBEEFDEADBEEF
23927 .xword 0xDEADBEEFDEADBEEF
23928 .xword 0xDEADBEEFDEADBEEF
23929 .xword 0xDEADBEEFDEADBEEF
23930 .xword 0xDEADBEEFDEADBEEF
23931 .xword 0xDEADBEEFDEADBEEF
23932 .xword 0xDEADBEEFDEADBEEF
23933 .xword 0xDEADBEEFDEADBEEF
23934 .xword 0xDEADBEEFDEADBEEF
23935 .xword 0xDEADBEEFDEADBEEF
23936 .xword 0xDEADBEEFDEADBEEF
23937 .xword 0xDEADBEEFDEADBEEF
23938 .xword 0xDEADBEEFDEADBEEF
23939 .xword 0xDEADBEEFDEADBEEF
23940 .xword 0xDEADBEEFDEADBEEF
23941 .xword 0xDEADBEEFDEADBEEF
23942 .xword 0xDEADBEEFDEADBEEF
23943 .xword 0xDEADBEEFDEADBEEF
23944 .xword 0xDEADBEEFDEADBEEF
23945 .xword 0xDEADBEEFDEADBEEF
23946 .xword 0xDEADBEEFDEADBEEF
23947 .xword 0xDEADBEEFDEADBEEF
23948 .xword 0xDEADBEEFDEADBEEF
23949 .xword 0xDEADBEEFDEADBEEF
23950 .xword 0xDEADBEEFDEADBEEF
23951 .xword 0xDEADBEEFDEADBEEF
23952 .xword 0xDEADBEEFDEADBEEF
23953 .xword 0xDEADBEEFDEADBEEF
23954 .xword 0xDEADBEEFDEADBEEF
23955 .xword 0xDEADBEEFDEADBEEF
23956 .xword 0xDEADBEEFDEADBEEF
23957 .xword 0xDEADBEEFDEADBEEF
23958 .xword 0xDEADBEEFDEADBEEF
23959 .xword 0xDEADBEEFDEADBEEF
23960 .xword 0xDEADBEEFDEADBEEF
23961 .xword 0xDEADBEEFDEADBEEF
23962 .xword 0xDEADBEEFDEADBEEF
23963 .xword 0xDEADBEEFDEADBEEF
23964 .xword 0xDEADBEEFDEADBEEF
23965 .xword 0xDEADBEEFDEADBEEF
23966 .xword 0xDEADBEEFDEADBEEF
23967 .xword 0xDEADBEEFDEADBEEF
23968 .xword 0xDEADBEEFDEADBEEF
23969 .xword 0xDEADBEEFDEADBEEF
23970 .xword 0xDEADBEEFDEADBEEF
23971 .xword 0xDEADBEEFDEADBEEF
23972 .xword 0xDEADBEEFDEADBEEF
23973 .xword 0xDEADBEEFDEADBEEF
23974 .xword 0xDEADBEEFDEADBEEF
23975 .xword 0xDEADBEEFDEADBEEF
23976 .xword 0xDEADBEEFDEADBEEF
23977 .xword 0xDEADBEEFDEADBEEF
23978 .xword 0xDEADBEEFDEADBEEF
23979 .xword 0xDEADBEEFDEADBEEF
23980 .xword 0xDEADBEEFDEADBEEF
23981 .xword 0xDEADBEEFDEADBEEF
23982 .xword 0xDEADBEEFDEADBEEF
23983 .xword 0xDEADBEEFDEADBEEF
23984 .xword 0xDEADBEEFDEADBEEF
23985 .xword 0xDEADBEEFDEADBEEF
23986 .xword 0xDEADBEEFDEADBEEF
23987 .xword 0xDEADBEEFDEADBEEF
23988 .xword 0xDEADBEEFDEADBEEF
23989 .xword 0xDEADBEEFDEADBEEF
23990 .xword 0xDEADBEEFDEADBEEF
23991 .xword 0xDEADBEEFDEADBEEF
23992 .xword 0xDEADBEEFDEADBEEF
23993 .xword 0xDEADBEEFDEADBEEF
23994 .xword 0xDEADBEEFDEADBEEF
23995 .xword 0xDEADBEEFDEADBEEF
23996 .xword 0xDEADBEEFDEADBEEF
23997 .xword 0xDEADBEEFDEADBEEF
23998 .xword 0xDEADBEEFDEADBEEF
23999 .xword 0xDEADBEEFDEADBEEF
24000 .xword 0xDEADBEEFDEADBEEF
24001 .xword 0xDEADBEEFDEADBEEF
24002 .xword 0xDEADBEEFDEADBEEF
24003 .xword 0xDEADBEEFDEADBEEF
24004 .xword 0xDEADBEEFDEADBEEF
24005 .xword 0xDEADBEEFDEADBEEF
24006 .xword 0xDEADBEEFDEADBEEF
24007 .xword 0xDEADBEEFDEADBEEF
24008 .xword 0xDEADBEEFDEADBEEF
24009 .xword 0xDEADBEEFDEADBEEF
24010 .xword 0xDEADBEEFDEADBEEF
24011 .xword 0xDEADBEEFDEADBEEF
24012 .xword 0xDEADBEEFDEADBEEF
24013 .xword 0xDEADBEEFDEADBEEF
24014 .xword 0xDEADBEEFDEADBEEF
24015 .xword 0xDEADBEEFDEADBEEF
24016 .xword 0xDEADBEEFDEADBEEF
24017 .xword 0xDEADBEEFDEADBEEF
24018 .xword 0xDEADBEEFDEADBEEF
24019 .xword 0xDEADBEEFDEADBEEF
24020 .xword 0xDEADBEEFDEADBEEF
24021 .xword 0xDEADBEEFDEADBEEF
24022 .xword 0xDEADBEEFDEADBEEF
24023 .xword 0xDEADBEEFDEADBEEF
24024 .xword 0xDEADBEEFDEADBEEF
24025 .xword 0xDEADBEEFDEADBEEF
24026 .xword 0xDEADBEEFDEADBEEF
24027 .xword 0xDEADBEEFDEADBEEF
24028 .xword 0xDEADBEEFDEADBEEF
24029 .xword 0xDEADBEEFDEADBEEF
24030 .xword 0xDEADBEEFDEADBEEF
24031 .xword 0xDEADBEEFDEADBEEF
24032 .xword 0xDEADBEEFDEADBEEF
24033 .xword 0xDEADBEEFDEADBEEF
24034 .xword 0xDEADBEEFDEADBEEF
24035 .xword 0xDEADBEEFDEADBEEF
24036 .xword 0xDEADBEEFDEADBEEF
24037 .xword 0xDEADBEEFDEADBEEF
24038 .xword 0xDEADBEEFDEADBEEF
24039 .xword 0xDEADBEEFDEADBEEF
24040 .xword 0xDEADBEEFDEADBEEF
24041 .xword 0xDEADBEEFDEADBEEF
24042 .xword 0xDEADBEEFDEADBEEF
24043 .xword 0xDEADBEEFDEADBEEF
24044 .xword 0xDEADBEEFDEADBEEF
24045 .xword 0xDEADBEEFDEADBEEF
24046 .xword 0xDEADBEEFDEADBEEF
24047 .xword 0xDEADBEEFDEADBEEF
24048 .xword 0xDEADBEEFDEADBEEF
24049 .xword 0xDEADBEEFDEADBEEF
24050 .xword 0xDEADBEEFDEADBEEF
24051 .xword 0xDEADBEEFDEADBEEF
24052 .xword 0xDEADBEEFDEADBEEF
24053 .xword 0xDEADBEEFDEADBEEF
24054 .xword 0xDEADBEEFDEADBEEF
24055 .xword 0xDEADBEEFDEADBEEF
24056 .xword 0xDEADBEEFDEADBEEF
24057 .xword 0xDEADBEEFDEADBEEF
24058 .xword 0xDEADBEEFDEADBEEF
24059 .xword 0xDEADBEEFDEADBEEF
24060 .xword 0xDEADBEEFDEADBEEF
24061 .xword 0xDEADBEEFDEADBEEF
24062 .xword 0xDEADBEEFDEADBEEF
24063 .xword 0xDEADBEEFDEADBEEF
24064 .xword 0xDEADBEEFDEADBEEF
24065 .xword 0xDEADBEEFDEADBEEF
24066 .xword 0xDEADBEEFDEADBEEF
24067 .xword 0xDEADBEEFDEADBEEF
24068 .xword 0xDEADBEEFDEADBEEF
24069 .xword 0xDEADBEEFDEADBEEF
24070 .xword 0xDEADBEEFDEADBEEF
24071 .xword 0xDEADBEEFDEADBEEF
24072 .xword 0xDEADBEEFDEADBEEF
24073 .xword 0xDEADBEEFDEADBEEF
24074 .xword 0xDEADBEEFDEADBEEF
24075 .xword 0xDEADBEEFDEADBEEF
24076 .xword 0xDEADBEEFDEADBEEF
24077 .xword 0xDEADBEEFDEADBEEF
24078 .xword 0xDEADBEEFDEADBEEF
24079 .xword 0xDEADBEEFDEADBEEF
24080 .xword 0xDEADBEEFDEADBEEF
24081 .xword 0xDEADBEEFDEADBEEF
24082 .xword 0xDEADBEEFDEADBEEF
24083 .xword 0xDEADBEEFDEADBEEF
24084 .xword 0xDEADBEEFDEADBEEF
24085 .xword 0xDEADBEEFDEADBEEF
24086 .xword 0xDEADBEEFDEADBEEF
24087 .xword 0xDEADBEEFDEADBEEF
24088 .xword 0xDEADBEEFDEADBEEF
24089 .xword 0xDEADBEEFDEADBEEF
24090 .xword 0xDEADBEEFDEADBEEF
24091 .xword 0xDEADBEEFDEADBEEF
24092 .xword 0xDEADBEEFDEADBEEF
24093 .xword 0xDEADBEEFDEADBEEF
24094 .xword 0xDEADBEEFDEADBEEF
24095 .xword 0xDEADBEEFDEADBEEF
24096 .xword 0xDEADBEEFDEADBEEF
24097 .xword 0xDEADBEEFDEADBEEF
24098 .xword 0xDEADBEEFDEADBEEF
24099 .xword 0xDEADBEEFDEADBEEF
24100 .xword 0xDEADBEEFDEADBEEF
24101 .xword 0xDEADBEEFDEADBEEF
24102 .xword 0xDEADBEEFDEADBEEF
24103 .xword 0xDEADBEEFDEADBEEF
24104 .xword 0xDEADBEEFDEADBEEF
24105 .xword 0xDEADBEEFDEADBEEF
24106 .xword 0xDEADBEEFDEADBEEF
24107 .xword 0xDEADBEEFDEADBEEF
24108 .xword 0xDEADBEEFDEADBEEF
24109 .xword 0xDEADBEEFDEADBEEF
24110 .xword 0xDEADBEEFDEADBEEF
24111 .xword 0xDEADBEEFDEADBEEF
24112 .xword 0xDEADBEEFDEADBEEF
24113 .xword 0xDEADBEEFDEADBEEF
24114 .xword 0xDEADBEEFDEADBEEF
24115 .xword 0xDEADBEEFDEADBEEF
24116 .xword 0xDEADBEEFDEADBEEF
24117 .xword 0xDEADBEEFDEADBEEF
24118 .xword 0xDEADBEEFDEADBEEF
24119 .xword 0xDEADBEEFDEADBEEF
24120 .xword 0xDEADBEEFDEADBEEF
24121 .xword 0xDEADBEEFDEADBEEF
24122 .xword 0xDEADBEEFDEADBEEF
24123 .xword 0xDEADBEEFDEADBEEF
24124 .xword 0xDEADBEEFDEADBEEF
24125 .xword 0xDEADBEEFDEADBEEF
24126 .xword 0xDEADBEEFDEADBEEF
24127 .xword 0xDEADBEEFDEADBEEF
24128 .xword 0xDEADBEEFDEADBEEF
24129 .xword 0xDEADBEEFDEADBEEF
24130 .xword 0xDEADBEEFDEADBEEF
24131 .xword 0xDEADBEEFDEADBEEF
24132 .xword 0xDEADBEEFDEADBEEF
24133 .xword 0xDEADBEEFDEADBEEF
24134 .xword 0xDEADBEEFDEADBEEF
24135 .xword 0xDEADBEEFDEADBEEF
24136 .xword 0xDEADBEEFDEADBEEF
24137 .xword 0xDEADBEEFDEADBEEF
24138 .xword 0xDEADBEEFDEADBEEF
24139 .xword 0xDEADBEEFDEADBEEF
24140 .xword 0xDEADBEEFDEADBEEF
24141 .xword 0xDEADBEEFDEADBEEF
24142 .xword 0xDEADBEEFDEADBEEF
24143 .xword 0xDEADBEEFDEADBEEF
24144 .xword 0xDEADBEEFDEADBEEF
24145 .xword 0xDEADBEEFDEADBEEF
24146 .xword 0xDEADBEEFDEADBEEF
24147 .xword 0xDEADBEEFDEADBEEF
24148 .xword 0xDEADBEEFDEADBEEF
24149 .xword 0xDEADBEEFDEADBEEF
24150 .xword 0xDEADBEEFDEADBEEF
24151 .xword 0xDEADBEEFDEADBEEF
24152 .xword 0xDEADBEEFDEADBEEF
24153 .xword 0xDEADBEEFDEADBEEF
24154 .xword 0xDEADBEEFDEADBEEF
24155 .xword 0xDEADBEEFDEADBEEF
24156 .xword 0xDEADBEEFDEADBEEF
24157 .xword 0xDEADBEEFDEADBEEF
24158 .xword 0xDEADBEEFDEADBEEF
24159 .xword 0xDEADBEEFDEADBEEF
24160 .xword 0xDEADBEEFDEADBEEF
24161 .xword 0xDEADBEEFDEADBEEF
24162 .xword 0xDEADBEEFDEADBEEF
24163 .xword 0xDEADBEEFDEADBEEF
24164 .xword 0xDEADBEEFDEADBEEF
24165 .xword 0xDEADBEEFDEADBEEF
24166 .xword 0xDEADBEEFDEADBEEF
24167 .xword 0xDEADBEEFDEADBEEF
24168 .xword 0xDEADBEEFDEADBEEF
24169 .xword 0xDEADBEEFDEADBEEF
24170 .xword 0xDEADBEEFDEADBEEF
24171 .xword 0xDEADBEEFDEADBEEF
24172 .xword 0xDEADBEEFDEADBEEF
24173 .xword 0xDEADBEEFDEADBEEF
24174 .xword 0xDEADBEEFDEADBEEF
24175 .xword 0xDEADBEEFDEADBEEF
24176 .xword 0xDEADBEEFDEADBEEF
24177 .xword 0xDEADBEEFDEADBEEF
24178 .xword 0xDEADBEEFDEADBEEF
24179 .xword 0xDEADBEEFDEADBEEF
24180 .xword 0xDEADBEEFDEADBEEF
24181 .xword 0xDEADBEEFDEADBEEF
24182 .xword 0xDEADBEEFDEADBEEF
24183 .xword 0xDEADBEEFDEADBEEF
24184 .xword 0xDEADBEEFDEADBEEF
24185 .xword 0xDEADBEEFDEADBEEF
24186 .xword 0xDEADBEEFDEADBEEF
24187 .xword 0xDEADBEEFDEADBEEF
24188 .xword 0xDEADBEEFDEADBEEF
24189 .xword 0xDEADBEEFDEADBEEF
24190 .xword 0xDEADBEEFDEADBEEF
24191 .xword 0xDEADBEEFDEADBEEF
24192 .xword 0xDEADBEEFDEADBEEF
24193 .xword 0xDEADBEEFDEADBEEF
24194 .xword 0xDEADBEEFDEADBEEF
24195 .xword 0xDEADBEEFDEADBEEF
24196 .xword 0xDEADBEEFDEADBEEF
24197 .xword 0xDEADBEEFDEADBEEF
24198 .xword 0xDEADBEEFDEADBEEF
24199_t1_aes_auth_key:
24200 .xword 0x0189a4c38f4a432c
24201 .xword 0x44360522197851b1
24202 .xword 0xea35173ee128f3f3
24203 .xword 0x376e220580c06db3
24204 .xword 0x549995bb69633b3a
24205 .xword 0xc8ac7caf5e7ebb56
24206 .xword 0x2919a7aa9a578330
24207 .xword 0xa086cc83cd6b0618
24208 .xword 0xec6ff67861525227
24209 .xword 0xd0d7411bccd28433
24210 .xword 0xdb99789569fe7194
24211 .xword 0xd943ad820f6d9436
24212 .xword 0xcb39a15534016005
24213 .xword 0x3e1bae529658289b
24214 .xword 0x028354671a7bb85d
24215 .xword 0x10027a744b6d8002
24216 .xword 0xe81875f91a837713
24217 .xword 0xe9b60600f6cf6254
24218 .xword 0x6a4e273b6a4ac716
24219 .xword 0x7b191d509ae11119
24220 .xword 0xe4cff685aa86437d
24221 .xword 0x9bf729ae93e08609
24222 .xword 0x3300f16d49e9f02b
24223_t1_aes_auth_iv:
24224 .xword 0x839bb515ae145985
24225 .xword 0x5c5f1254ae03c030
24226 .xword 0xc548e47c3c777915
24227 .xword 0x92acc3caf77c04b1
24228 .xword 0x0c9c689892f74989
24229 .xword 0x5da29a3095fac31c
24230 .xword 0x44f483cce5be8e1b
24231 .xword 0xd5e496fd95593f1a
24232 .xword 0x504e8e65134b93b2
24233 .xword 0x033b9d56700b1692
24234 .xword 0x1832813d8922cd28
24235 .xword 0xbeb96182a78a4054
24236 .xword 0x69c8a1dc627bce83
24237 .xword 0xf0b971c2994c72e0
24238 .xword 0xc5f37d1ab3c9104b
24239 .xword 0xf3649f89a9d134e0
24240 .xword 0x23ed4a05059ae336
24241 .xword 0x776bb941d8706ba0
24242 .xword 0xe6846a416acee9f7
24243 .xword 0x37d698f623ba30e5
24244 .xword 0x4235f072936b490a
24245 .xword 0xd304833a27c54f2b
24246 .xword 0xce1dc630ff6814bf
24247_t1_aes_fas_result:
24248 .xword 0xDEADBEEFDEADBEEF
24249 .xword 0xDEADBEEFDEADBEEF
24250 .xword 0xDEADBEEFDEADBEEF
24251 .xword 0xDEADBEEFDEADBEEF
24252 .xword 0xDEADBEEFDEADBEEF
24253 .xword 0xDEADBEEFDEADBEEF
24254 .xword 0xDEADBEEFDEADBEEF
24255 .xword 0xDEADBEEFDEADBEEF
24256 .xword 0xDEADBEEFDEADBEEF
24257 .xword 0xDEADBEEFDEADBEEF
24258 .xword 0xDEADBEEFDEADBEEF
24259 .xword 0xDEADBEEFDEADBEEF
24260 .xword 0xDEADBEEFDEADBEEF
24261 .xword 0xDEADBEEFDEADBEEF
24262 .xword 0xDEADBEEFDEADBEEF
24263 .xword 0xDEADBEEFDEADBEEF
24264 .xword 0xDEADBEEFDEADBEEF
24265 .xword 0xDEADBEEFDEADBEEF
24266 .xword 0xDEADBEEFDEADBEEF
24267 .xword 0xDEADBEEFDEADBEEF
24268 .xword 0xDEADBEEFDEADBEEF
24269 .xword 0xDEADBEEFDEADBEEF
24270 .xword 0xDEADBEEFDEADBEEF
24271_t1_des_key_array:
24272 .xword 0x5c86c763f9811003
24273 .xword 0x195826e5b80d00f0
24274 .xword 0xa9c9d7d5f3da293e
24275 .xword 0xf6b3c5b7eaee8b73
24276 .xword 0x2602d65370d006f9
24277 .xword 0x66c2e51045d6b463
24278 .xword 0xc55112cd548f91d4
24279 .xword 0x97aaf03b1526c7af
24280 .xword 0x0b2b3bc7c0ff56f1
24281 .xword 0x993186557ba41927
24282 .xword 0x4af60b6df7fa0cae
24283 .xword 0x94f15b856b191a3a
24284 .xword 0xe2a730533f99cd53
24285 .xword 0xe10fd9cbfd97e575
24286 .xword 0xe6b092d90571f275
24287 .xword 0x91281442a300dde0
24288 .xword 0xe0594ebead9ee5c1
24289 .xword 0xe9c1a72962d45357
24290 .xword 0x3e7fbb939b7c78a4
24291 .xword 0x5b875cee7b90793c
24292 .xword 0x22f7228beee52112
24293 .xword 0x6cfa0b46503f866d
24294 .xword 0x1b824ea28fe9de29
24295 .xword 0x5690a989d7b9e196
24296 .xword 0x7e67268b220330fb
24297 .xword 0x155f38de24ae7e47
24298 .xword 0xbea444df7eb211cc
24299 .xword 0x8563b0f4b15e1001
24300 .xword 0x5c9d79e36d2847da
24301 .xword 0xb83bc4832e7c7b00
24302 .xword 0x25996d073f511b6d
24303 .xword 0xef2e0c64d3228337
24304 .xword 0x1ef85efdf700c952
24305 .xword 0x0b796e7b2a855133
24306 .xword 0x70705b994f680350
24307 .xword 0x8aa34bf0e6462e65
24308 .xword 0x6faceabd2c69b559
24309 .xword 0x40a57eaf86403967
24310 .xword 0xea43988ae69e76be
24311 .xword 0x66d028f08f70aff5
24312 .xword 0x8ed386a433f14b9d
24313 .xword 0x093c5f391f35821d
24314 .xword 0x71768aa6f31204b7
24315 .xword 0x904b6392d3bd0d18
24316 .xword 0xe7331d3fda773ca1
24317 .xword 0x612ad86a3ac79ba6
24318 .xword 0xea94e8d3cd0f5ab4
24319 .xword 0x3f3d02e0d86810a8
24320 .xword 0xea57557770dd6ea3
24321 .xword 0x8bd6d02ca65d0348
24322 .xword 0x2f19c5cca02ad27c
24323_t1_des_iv_array:
24324 .xword 0x43bc4622731a7d2c
24325 .xword 0x94692e68c248641e
24326 .xword 0x7de9574862727725
24327 .xword 0x8547b3f1bf2176d9
24328 .xword 0x0d62bbce4f0c351a
24329 .xword 0x4207aa9c5ba74eba
24330 .xword 0x14b6049ebc7ab18e
24331 .xword 0x6a7d6dc9814a7d4f
24332 .xword 0x892b66c30b7f0cb3
24333 .xword 0x65ad961eb1f5add8
24334 .xword 0xcd66dc4f3d2acebf
24335 .xword 0xb5c42db3a3ae2e19
24336 .xword 0x20f8fac29c4c538f
24337 .xword 0x5f3554a1aea796b2
24338 .xword 0x7af1a1e98d5b86ba
24339 .xword 0xee543905cc343b79
24340 .xword 0x5ae2f17efb20f5bc
24341 .xword 0x6749ada583a9fb6a
24342 .xword 0x3b3ffca271fe19da
24343 .xword 0xe6a29e9cbcf9adb3
24344 .xword 0xb10321737716c440
24345 .xword 0x47f386378404e8d4
24346 .xword 0xaba0b8e7221c3aa7
24347 .xword 0x8f6098374b056120
24348 .xword 0x31b280461ce9f248
24349 .xword 0xe32c18f6e3daf4e5
24350 .xword 0x07edd6866b89e2ff
24351 .xword 0x5d78b05e12a7772c
24352 .xword 0x862877d0ee49310e
24353 .xword 0xe70eb274e77b9329
24354 .xword 0xe843d2ce2b735d58
24355 .xword 0x38f5f13514b9ad67
24356 .xword 0xe2d9b21e60cfda46
24357 .xword 0x846dc291620aadad
24358 .xword 0xc79baee4ba75d319
24359 .xword 0x8329ee145f893ecd
24360 .xword 0x578f22466015d911
24361 .xword 0xe0ba73c6b72b992f
24362 .xword 0x884938913dd3c885
24363 .xword 0x1ee4162bdca3542c
24364 .xword 0x8b43ba63d0a52cf0
24365 .xword 0xd3245ee16765a6c7
24366 .xword 0x9758c2b19097b5e2
24367 .xword 0x0f8d53b9a625e9b2
24368 .xword 0x1758c76c57e32cec
24369_t1_des_alignment_array:
24370 .xword 4
24371 .xword 15
24372 .xword 3
24373 .xword 3
24374 .xword 11
24375 .xword 6
24376 .xword 1
24377 .xword 2
24378 .xword 4
24379 .xword 11
24380 .xword 9
24381 .xword 6
24382 .xword 14
24383 .xword 7
24384 .xword 2
24385 .xword 12
24386 .xword 11
24387 .xword 4
24388 .xword 1
24389 .xword 9
24390 .xword 10
24391 .xword 4
24392 .xword 2
24393 .xword 4
24394 .xword 2
24395 .xword 12
24396 .xword 3
24397 .xword 14
24398 .xword 2
24399 .xword 4
24400 .xword 7
24401 .xword 15
24402 .xword 13
24403 .xword 2
24404 .xword 8
24405 .xword 4
24406 .xword 3
24407 .xword 15
24408 .xword 9
24409 .xword 15
24410 .xword 3
24411 .xword 3
24412 .xword 4
24413 .xword 9
24414 .xword 11
24415 .xword 13
24416 .xword 13
24417 .xword 2
24418 .xword 4
24419 .xword 4
24420 .xword 9
24421 .xword 10
24422 .xword 0
24423 .xword 5
24424 .xword 9
24425 .xword 11
24426 .xword 0
24427 .xword 12
24428 .xword 15
24429 .xword 11
24430 .xword 7
24431 .xword 0
24432 .xword 1
24433 .xword 14
24434 .xword 0
24435 .xword 14
24436 .xword 10
24437 .xword 14
24438 .xword 13
24439 .xword 10
24440 .xword 11
24441 .xword 7
24442 .xword 0
24443 .xword 13
24444 .xword 14
24445 .xword 2
24446 .xword 1
24447 .xword 2
24448 .xword 8
24449 .xword 9
24450 .xword 6
24451 .xword 14
24452 .xword 4
24453 .xword 1
24454 .xword 9
24455 .xword 3
24456 .xword 3
24457 .xword 2
24458 .xword 10
24459 .xword 9
24460 .xword 14
24461 .xword 7
24462 .xword 6
24463 .xword 13
24464 .xword 6
24465 .xword 4
24466 .xword 13
24467 .xword 8
24468 .xword 13
24469 .xword 11
24470 .xword 7
24471 .xword 13
24472 .xword 9
24473 .xword 12
24474 .xword 5
24475_t1_des_src:
24476 .xword 0xc420c3d00228a315
24477 .xword 0xa732977ce5eaba6b
24478 .xword 0x4332643f4d046473
24479 .xword 0xc517d86320b76097
24480 .xword 0x327fa084c7663a8c
24481 .xword 0xfa2205d2b20bba64
24482 .xword 0x243b45cefc167571
24483 .xword 0x25e6283f3fa52be5
24484 .xword 0x3866d2b63ca02c86
24485 .xword 0xc51535b2c58ca89d
24486 .xword 0xeb088a336d04bd67
24487 .xword 0x8a1c6f7310f369eb
24488 .xword 0x699215b41380f6fb
24489 .xword 0x8992a2ef2856c94a
24490 .xword 0xeb2d88436bb58ce3
24491 .xword 0x53f3da5cc85ad114
24492 .xword 0x87b20f1575f7dde2
24493 .xword 0x97cfb28438fe6bc3
24494 .xword 0xfac227c0d88ce0d5
24495 .xword 0x0378e2b4dec428b5
24496 .xword 0xf2420da1447dc566
24497 .xword 0x05ceab04cf6ef477
24498 .xword 0x473494e00547e7fe
24499 .xword 0xf24ecf8bd621a2a1
24500 .xword 0xf7abb0390c20b3d7
24501 .xword 0xd563c29f9628af35
24502 .xword 0x866d869cb4911fa9
24503 .xword 0x4aaff1c487852e17
24504 .xword 0x8c6f2bdec426cc34
24505 .xword 0x850ad9678cb84c8f
24506 .xword 0x65ca1b5ef40dd7e9
24507 .xword 0xcfc11720691f9c11
24508 .xword 0xa9474c3b2086ff08
24509 .xword 0x5b365efdaa2c9f38
24510 .xword 0x88c983c2cacd90d3
24511 .xword 0xe445476d901586d0
24512 .xword 0x3c1051f999886036
24513 .xword 0xbf58de13d9a8a8af
24514 .xword 0x387d80b08b463384
24515 .xword 0xa5dd25fc90792354
24516 .xword 0xcb73318bebeaed9c
24517 .xword 0x8725ef6365492555
24518 .xword 0xcdd982a6c0ec13d7
24519 .xword 0x5011f6b62c7f2797
24520 .xword 0x07046b93832c3cd0
24521 .xword 0xf7bd2c7ac022c6f8
24522 .xword 0x695387ce31488963
24523 .xword 0x1bb5bf2060a0e15a
24524 .xword 0x3d5a0afefebd97ad
24525 .xword 0x4d0c2f01d82cf07a
24526 .xword 0x18429a5b6d6add09
24527 .xword 0x03081b7115862c42
24528 .xword 0x16c06e6653a81406
24529 .xword 0x8a8b8528f9390e71
24530 .xword 0x38298d9d3669297a
24531 .xword 0xc0b3d5b882f0b875
24532 .xword 0x5ab9cfbb02685de3
24533 .xword 0x0282190a89b8e7ed
24534 .xword 0x9057163a81bdedfb
24535 .xword 0x86449739c1312660
24536 .xword 0x8cf42c560689fea5
24537 .xword 0xe3d876b4e8de4a9a
24538 .xword 0x0abcb59ef068fb20
24539 .xword 0x4b0b475a66b8bae3
24540 .xword 0x0073c28b9b876926
24541 .xword 0xb1b026768602361b
24542 .xword 0xa89eee844b1243cf
24543 .xword 0x79240701e9c0c5b4
24544 .xword 0xf0bf98581ebbf34f
24545 .xword 0x9b5cc5d0e5f7f199
24546 .xword 0x71d039e5ef5a39e5
24547 .xword 0x4247111e442b273e
24548 .xword 0x1c818c9d249c0e29
24549 .xword 0xa990d760c22f1c70
24550 .xword 0x1ecbb32fcba3c3fc
24551 .xword 0x2c763421a776f1ce
24552 .xword 0x7b8e10828856bac2
24553 .xword 0x5a783a7e8d019739
24554 .xword 0x39fb27bc078e8c8c
24555 .xword 0xb4e23f6600535391
24556 .xword 0x968106117d2ab289
24557 .xword 0x00408ad0a414d9d7
24558 .xword 0xdb87bed74c234788
24559 .xword 0xce2fc83c394e6c6d
24560 .xword 0x836d8ecfb33f28aa
24561 .xword 0x331217dd88aa452d
24562 .xword 0x56301484a30f4b7d
24563 .xword 0xa715ddf324fbbda2
24564 .xword 0xc7aeabc7babff505
24565 .xword 0x35872224f3d8b32b
24566 .xword 0x7f57b59ae3b8a877
24567 .xword 0xe7ca4b240f749d8a
24568 .xword 0x584fe41c561906a8
24569 .xword 0xeaf211930e97a9dd
24570 .xword 0xe913648ae1e81f41
24571 .xword 0x60abc19ab9c23ef6
24572 .xword 0xe0067eddfe933f06
24573 .xword 0x39764c640d82a284
24574 .xword 0xa2b81fb0ee7e6d38
24575 .xword 0xa68314a0c370957c
24576 .xword 0x3ac8485184f18b3b
24577 .xword 0xc1f71a3fe1ca6c9d
24578 .xword 0x505f442cb12a19c7
24579 .xword 0x8dc1e6ff11e46baa
24580 .xword 0x0a4ef405e7e7a139
24581 .xword 0x790a7babc53cb242
24582 .xword 0x47d913dab3bbe87c
24583 .xword 0xcf04e6c2dad8dd2a
24584 .xword 0x7edaeea0eea541dd
24585 .xword 0x677727ee854e9d00
24586 .xword 0x7be175d1e0621d3c
24587 .xword 0x32d986a60a397969
24588 .xword 0xc11600ebfb1c4c01
24589 .xword 0xe0719c7184b537b4
24590 .xword 0xc309302c7412e9b4
24591 .xword 0x16b84128c81b060b
24592 .xword 0x40ff7c447c7cc2cd
24593 .xword 0x52b1f35bb94e3f2b
24594 .xword 0x4af552f0a0fbbe78
24595 .xword 0x522818fb5f96e86d
24596 .xword 0x200a006ba02012cc
24597 .xword 0x3c9671cb704514ba
24598 .xword 0x59d63c0f5388db3d
24599 .xword 0x9e4fe293f66cec55
24600 .xword 0x18fd91e4f2dd343b
24601 .xword 0x3f6755e263771c71
24602 .xword 0x3f2b49366b52ca93
24603 .xword 0xaaf3b66d60ddde6b
24604 .xword 0xf552a084cea7010c
24605 .xword 0x0d3438340b856d26
24606 .xword 0xbbee7187f3708d6e
24607 .xword 0x2c665b182f08c787
24608 .xword 0x71ca4627ef716777
24609 .xword 0xf8a563430931be41
24610 .xword 0x22817b35799d4bcb
24611 .xword 0xd98cbec881b65f37
24612 .xword 0x5abd779ef7e8345a
24613 .xword 0xe9b2161abef5f6c2
24614 .xword 0x8068da9a36406505
24615 .xword 0x160c4c7eb09a75fc
24616 .xword 0x8f8c736ac52222f8
24617 .xword 0x7ca7b2fff52bebde
24618 .xword 0xe826c0f4a0e980e7
24619 .xword 0xbd4a1ce5845432fb
24620 .xword 0xa4833032542cf9ce
24621 .xword 0x4129556e0da153e4
24622 .xword 0xcc93afcc18144d66
24623 .xword 0x67c13a8b4ff4f821
24624 .xword 0x471bee0317ed5899
24625 .xword 0x94aded3327d5a175
24626 .xword 0x51adf46f2db98ccc
24627 .xword 0x63c42152d8b5feb5
24628 .xword 0x98c1cd97a59560c8
24629 .xword 0x839d031ee9df4921
24630 .xword 0x6c6d4f3afbe87d1c
24631 .xword 0xe2b993a9a2071588
24632 .xword 0xf4aee5ef122d2bbc
24633 .xword 0x146e3d72856f237d
24634 .xword 0x2f33958e8dc2805b
24635 .xword 0x75209b12ceb3d569
24636 .xword 0x88f5fa22a753c0fe
24637 .xword 0x2cc98a2b7d7768d7
24638 .xword 0xfaa9179f3b94ca09
24639 .xword 0x27644012f89e18fb
24640 .xword 0x3d887142bba9ab01
24641 .xword 0xfec4735eba3b9fba
24642 .xword 0x3b5af4bed5000d86
24643 .xword 0x5db479b89dc084d1
24644 .xword 0xd9fa6835c9ec892a
24645 .xword 0x804210670101d187
24646 .xword 0x92629a80dfbe9bc4
24647 .xword 0x8023291abdde0ec2
24648 .xword 0x2cedd2132850e328
24649 .xword 0x35dbb89d8a71eddc
24650 .xword 0x2c6f8f6b705307f3
24651 .xword 0x3a79ed8676ef83f3
24652 .xword 0xf52b3b2a0bb90d75
24653 .xword 0x9dd0118191536fa5
24654 .xword 0xfd43c8ab59ea6532
24655 .xword 0x20cb340bf097bb3d
24656 .xword 0x9fcf5777075c4dfe
24657 .xword 0xbcbe4f0649be29ae
24658 .xword 0x622f35d0756e9bc6
24659 .xword 0x97ba035548f51ec4
24660 .xword 0xd2a9fecdf74615e4
24661 .xword 0xe48b0c80ed325097
24662 .xword 0x1f272f2f88fcfed7
24663 .xword 0x3ca38ea0d58f43cf
24664 .xword 0x057efc35db657496
24665 .xword 0x923d9918651c404a
24666 .xword 0x95cbad112f5474ea
24667 .xword 0xa9f60e5838715c93
24668 .xword 0x958e7d500b911768
24669 .xword 0x16df31b4ad427d45
24670 .xword 0x1b7f4d34e05525e8
24671 .xword 0x9659413f59ff16ab
24672 .xword 0xd5048a32bcfd61aa
24673 .xword 0x3497892712b8eb39
24674 .xword 0x3fbb094eb0439d9a
24675 .xword 0xfdc1eabb555957a4
24676 .xword 0x5037bf7415bac57e
24677 .xword 0xe7c9a71523cf7a6f
24678 .xword 0xdfb24bb720f98f77
24679 .xword 0x5f8d8b7af5433fa4
24680 .xword 0x890deb041e9353f7
24681 .xword 0xfe56e0f2d98f582d
24682 .xword 0x7d69e73f7a664192
24683 .xword 0x3af682d07f60c021
24684 .xword 0xc2ed963484fa6179
24685 .xword 0x8b70bb19e8330838
24686 .xword 0xe0431aa5b8f82850
24687 .xword 0x180be185ee0e0e77
24688 .xword 0x945389175e71b66a
24689 .xword 0x47b6ef283ca1337d
24690 .xword 0xea4bbf748a226faa
24691 .xword 0x2741c30c90fcd984
24692 .xword 0x9a9c98095f8c1622
24693 .xword 0x6eb2fc473f5fbbae
24694 .xword 0x9d0ad50c45875727
24695 .xword 0x7bdaee330e52c865
24696 .xword 0x7e1f7bc60c33e06c
24697 .xword 0x736f58386d10e215
24698 .xword 0xefafba0f1ad84b84
24699 .xword 0x3bbe017be7ce6157
24700 .xword 0x81544df14d2b6c1e
24701 .xword 0x8d07c43fe495369f
24702 .xword 0xf625399966bad6c4
24703 .xword 0x41dc966de9939dda
24704 .xword 0x4f878c3079e9f26c
24705 .xword 0xfee14638b260fca8
24706 .xword 0xdd0d5b7bf41a9e7b
24707 .xword 0x52b05f38b6adb5e2
24708 .xword 0x817842c6d6641071
24709 .xword 0x62a3875835873268
24710 .xword 0x4ca32805db8ecd93
24711 .xword 0x350e11fdfd74d81c
24712 .xword 0x3ee7f54df063f606
24713 .xword 0x2886d772739db686
24714 .xword 0x40d0192def49a6ec
24715 .xword 0x45f4e10a95c16193
24716 .xword 0x2ddd4801f1fcc092
24717 .xword 0x966982b73c42e205
24718 .xword 0xdfd1134be78c3d7b
24719 .xword 0x46c7763aa8ae9ecc
24720 .xword 0xdd3dba342e628acc
24721 .xword 0x8e49793e4c876e23
24722 .xword 0x2780d821d21084a8
24723 .xword 0xf0351bd45f5dd7a4
24724 .xword 0xc9cb3c34862aa746
24725 .xword 0x1b11a30ed0523d1a
24726 .xword 0xb41c5e16e14ff7dc
24727 .xword 0xd5fdc83adbbbc09c
24728 .xword 0x09792708c3fadae4
24729 .xword 0x4d51ca942a240882
24730 .xword 0xb77a704ec9dcfdc3
24731 .xword 0x4d2661219c3c4aeb
24732 .xword 0x0cff4ede32867dc6
24733 .xword 0x4013a1f49d39a82a
24734 .xword 0x0b3fd3bbd852dd57
24735 .xword 0xecec4b93368be7a4
24736 .xword 0x3d34cb4722a85236
24737 .xword 0xe26433d47ec6468d
24738 .xword 0x97f05364df8e7c83
24739 .xword 0x5afd3c3743d99bbe
24740 .xword 0x08c0c7caf5177a34
24741 .xword 0x87985aea54a6c3a5
24742 .xword 0x0b85fe142b4ea1ae
24743 .xword 0x59e0c6e1f73badcd
24744 .xword 0xf5b6b6a257b45afb
24745 .xword 0x3903bc0add7e86c6
24746 .xword 0xc58ad6cbdd3edf58
24747 .xword 0xf305c9babe1e8baf
24748 .xword 0x275a64293aa2ed60
24749 .xword 0xe05d988d92bb3bdb
24750 .xword 0x589d3aa19620a7ba
24751_t1_des_dest:
24752 .xword 0xDEADBEEFDEADBEEF
24753 .xword 0xDEADBEEFDEADBEEF
24754 .xword 0xDEADBEEFDEADBEEF
24755 .xword 0xDEADBEEFDEADBEEF
24756 .xword 0xDEADBEEFDEADBEEF
24757 .xword 0xDEADBEEFDEADBEEF
24758 .xword 0xDEADBEEFDEADBEEF
24759 .xword 0xDEADBEEFDEADBEEF
24760 .xword 0xDEADBEEFDEADBEEF
24761 .xword 0xDEADBEEFDEADBEEF
24762 .xword 0xDEADBEEFDEADBEEF
24763 .xword 0xDEADBEEFDEADBEEF
24764 .xword 0xDEADBEEFDEADBEEF
24765 .xword 0xDEADBEEFDEADBEEF
24766 .xword 0xDEADBEEFDEADBEEF
24767 .xword 0xDEADBEEFDEADBEEF
24768 .xword 0xDEADBEEFDEADBEEF
24769 .xword 0xDEADBEEFDEADBEEF
24770 .xword 0xDEADBEEFDEADBEEF
24771 .xword 0xDEADBEEFDEADBEEF
24772 .xword 0xDEADBEEFDEADBEEF
24773 .xword 0xDEADBEEFDEADBEEF
24774 .xword 0xDEADBEEFDEADBEEF
24775 .xword 0xDEADBEEFDEADBEEF
24776 .xword 0xDEADBEEFDEADBEEF
24777 .xword 0xDEADBEEFDEADBEEF
24778 .xword 0xDEADBEEFDEADBEEF
24779 .xword 0xDEADBEEFDEADBEEF
24780 .xword 0xDEADBEEFDEADBEEF
24781 .xword 0xDEADBEEFDEADBEEF
24782 .xword 0xDEADBEEFDEADBEEF
24783 .xword 0xDEADBEEFDEADBEEF
24784 .xword 0xDEADBEEFDEADBEEF
24785 .xword 0xDEADBEEFDEADBEEF
24786 .xword 0xDEADBEEFDEADBEEF
24787 .xword 0xDEADBEEFDEADBEEF
24788 .xword 0xDEADBEEFDEADBEEF
24789 .xword 0xDEADBEEFDEADBEEF
24790 .xword 0xDEADBEEFDEADBEEF
24791 .xword 0xDEADBEEFDEADBEEF
24792 .xword 0xDEADBEEFDEADBEEF
24793 .xword 0xDEADBEEFDEADBEEF
24794 .xword 0xDEADBEEFDEADBEEF
24795 .xword 0xDEADBEEFDEADBEEF
24796 .xword 0xDEADBEEFDEADBEEF
24797 .xword 0xDEADBEEFDEADBEEF
24798 .xword 0xDEADBEEFDEADBEEF
24799 .xword 0xDEADBEEFDEADBEEF
24800 .xword 0xDEADBEEFDEADBEEF
24801 .xword 0xDEADBEEFDEADBEEF
24802 .xword 0xDEADBEEFDEADBEEF
24803 .xword 0xDEADBEEFDEADBEEF
24804 .xword 0xDEADBEEFDEADBEEF
24805 .xword 0xDEADBEEFDEADBEEF
24806 .xword 0xDEADBEEFDEADBEEF
24807 .xword 0xDEADBEEFDEADBEEF
24808 .xword 0xDEADBEEFDEADBEEF
24809 .xword 0xDEADBEEFDEADBEEF
24810 .xword 0xDEADBEEFDEADBEEF
24811 .xword 0xDEADBEEFDEADBEEF
24812 .xword 0xDEADBEEFDEADBEEF
24813 .xword 0xDEADBEEFDEADBEEF
24814 .xword 0xDEADBEEFDEADBEEF
24815 .xword 0xDEADBEEFDEADBEEF
24816 .xword 0xDEADBEEFDEADBEEF
24817 .xword 0xDEADBEEFDEADBEEF
24818 .xword 0xDEADBEEFDEADBEEF
24819 .xword 0xDEADBEEFDEADBEEF
24820 .xword 0xDEADBEEFDEADBEEF
24821 .xword 0xDEADBEEFDEADBEEF
24822 .xword 0xDEADBEEFDEADBEEF
24823 .xword 0xDEADBEEFDEADBEEF
24824 .xword 0xDEADBEEFDEADBEEF
24825 .xword 0xDEADBEEFDEADBEEF
24826 .xword 0xDEADBEEFDEADBEEF
24827 .xword 0xDEADBEEFDEADBEEF
24828 .xword 0xDEADBEEFDEADBEEF
24829 .xword 0xDEADBEEFDEADBEEF
24830 .xword 0xDEADBEEFDEADBEEF
24831 .xword 0xDEADBEEFDEADBEEF
24832 .xword 0xDEADBEEFDEADBEEF
24833 .xword 0xDEADBEEFDEADBEEF
24834 .xword 0xDEADBEEFDEADBEEF
24835 .xword 0xDEADBEEFDEADBEEF
24836 .xword 0xDEADBEEFDEADBEEF
24837 .xword 0xDEADBEEFDEADBEEF
24838 .xword 0xDEADBEEFDEADBEEF
24839 .xword 0xDEADBEEFDEADBEEF
24840 .xword 0xDEADBEEFDEADBEEF
24841 .xword 0xDEADBEEFDEADBEEF
24842 .xword 0xDEADBEEFDEADBEEF
24843 .xword 0xDEADBEEFDEADBEEF
24844 .xword 0xDEADBEEFDEADBEEF
24845 .xword 0xDEADBEEFDEADBEEF
24846 .xword 0xDEADBEEFDEADBEEF
24847 .xword 0xDEADBEEFDEADBEEF
24848 .xword 0xDEADBEEFDEADBEEF
24849 .xword 0xDEADBEEFDEADBEEF
24850 .xword 0xDEADBEEFDEADBEEF
24851 .xword 0xDEADBEEFDEADBEEF
24852 .xword 0xDEADBEEFDEADBEEF
24853 .xword 0xDEADBEEFDEADBEEF
24854 .xword 0xDEADBEEFDEADBEEF
24855 .xword 0xDEADBEEFDEADBEEF
24856 .xword 0xDEADBEEFDEADBEEF
24857 .xword 0xDEADBEEFDEADBEEF
24858 .xword 0xDEADBEEFDEADBEEF
24859 .xword 0xDEADBEEFDEADBEEF
24860 .xword 0xDEADBEEFDEADBEEF
24861 .xword 0xDEADBEEFDEADBEEF
24862 .xword 0xDEADBEEFDEADBEEF
24863 .xword 0xDEADBEEFDEADBEEF
24864 .xword 0xDEADBEEFDEADBEEF
24865 .xword 0xDEADBEEFDEADBEEF
24866 .xword 0xDEADBEEFDEADBEEF
24867 .xword 0xDEADBEEFDEADBEEF
24868 .xword 0xDEADBEEFDEADBEEF
24869 .xword 0xDEADBEEFDEADBEEF
24870 .xword 0xDEADBEEFDEADBEEF
24871 .xword 0xDEADBEEFDEADBEEF
24872 .xword 0xDEADBEEFDEADBEEF
24873 .xword 0xDEADBEEFDEADBEEF
24874 .xword 0xDEADBEEFDEADBEEF
24875 .xword 0xDEADBEEFDEADBEEF
24876 .xword 0xDEADBEEFDEADBEEF
24877 .xword 0xDEADBEEFDEADBEEF
24878 .xword 0xDEADBEEFDEADBEEF
24879 .xword 0xDEADBEEFDEADBEEF
24880 .xword 0xDEADBEEFDEADBEEF
24881 .xword 0xDEADBEEFDEADBEEF
24882 .xword 0xDEADBEEFDEADBEEF
24883 .xword 0xDEADBEEFDEADBEEF
24884 .xword 0xDEADBEEFDEADBEEF
24885 .xword 0xDEADBEEFDEADBEEF
24886 .xword 0xDEADBEEFDEADBEEF
24887 .xword 0xDEADBEEFDEADBEEF
24888 .xword 0xDEADBEEFDEADBEEF
24889 .xword 0xDEADBEEFDEADBEEF
24890 .xword 0xDEADBEEFDEADBEEF
24891 .xword 0xDEADBEEFDEADBEEF
24892 .xword 0xDEADBEEFDEADBEEF
24893 .xword 0xDEADBEEFDEADBEEF
24894 .xword 0xDEADBEEFDEADBEEF
24895 .xword 0xDEADBEEFDEADBEEF
24896 .xword 0xDEADBEEFDEADBEEF
24897 .xword 0xDEADBEEFDEADBEEF
24898 .xword 0xDEADBEEFDEADBEEF
24899 .xword 0xDEADBEEFDEADBEEF
24900 .xword 0xDEADBEEFDEADBEEF
24901 .xword 0xDEADBEEFDEADBEEF
24902 .xword 0xDEADBEEFDEADBEEF
24903 .xword 0xDEADBEEFDEADBEEF
24904 .xword 0xDEADBEEFDEADBEEF
24905 .xword 0xDEADBEEFDEADBEEF
24906 .xword 0xDEADBEEFDEADBEEF
24907 .xword 0xDEADBEEFDEADBEEF
24908 .xword 0xDEADBEEFDEADBEEF
24909 .xword 0xDEADBEEFDEADBEEF
24910 .xword 0xDEADBEEFDEADBEEF
24911 .xword 0xDEADBEEFDEADBEEF
24912 .xword 0xDEADBEEFDEADBEEF
24913 .xword 0xDEADBEEFDEADBEEF
24914 .xword 0xDEADBEEFDEADBEEF
24915 .xword 0xDEADBEEFDEADBEEF
24916 .xword 0xDEADBEEFDEADBEEF
24917 .xword 0xDEADBEEFDEADBEEF
24918 .xword 0xDEADBEEFDEADBEEF
24919 .xword 0xDEADBEEFDEADBEEF
24920 .xword 0xDEADBEEFDEADBEEF
24921 .xword 0xDEADBEEFDEADBEEF
24922 .xword 0xDEADBEEFDEADBEEF
24923 .xword 0xDEADBEEFDEADBEEF
24924 .xword 0xDEADBEEFDEADBEEF
24925 .xword 0xDEADBEEFDEADBEEF
24926 .xword 0xDEADBEEFDEADBEEF
24927 .xword 0xDEADBEEFDEADBEEF
24928 .xword 0xDEADBEEFDEADBEEF
24929 .xword 0xDEADBEEFDEADBEEF
24930 .xword 0xDEADBEEFDEADBEEF
24931 .xword 0xDEADBEEFDEADBEEF
24932 .xword 0xDEADBEEFDEADBEEF
24933 .xword 0xDEADBEEFDEADBEEF
24934 .xword 0xDEADBEEFDEADBEEF
24935 .xword 0xDEADBEEFDEADBEEF
24936 .xword 0xDEADBEEFDEADBEEF
24937 .xword 0xDEADBEEFDEADBEEF
24938 .xword 0xDEADBEEFDEADBEEF
24939 .xword 0xDEADBEEFDEADBEEF
24940 .xword 0xDEADBEEFDEADBEEF
24941 .xword 0xDEADBEEFDEADBEEF
24942 .xword 0xDEADBEEFDEADBEEF
24943 .xword 0xDEADBEEFDEADBEEF
24944 .xword 0xDEADBEEFDEADBEEF
24945 .xword 0xDEADBEEFDEADBEEF
24946 .xword 0xDEADBEEFDEADBEEF
24947 .xword 0xDEADBEEFDEADBEEF
24948 .xword 0xDEADBEEFDEADBEEF
24949 .xword 0xDEADBEEFDEADBEEF
24950 .xword 0xDEADBEEFDEADBEEF
24951 .xword 0xDEADBEEFDEADBEEF
24952 .xword 0xDEADBEEFDEADBEEF
24953 .xword 0xDEADBEEFDEADBEEF
24954 .xword 0xDEADBEEFDEADBEEF
24955 .xword 0xDEADBEEFDEADBEEF
24956 .xword 0xDEADBEEFDEADBEEF
24957 .xword 0xDEADBEEFDEADBEEF
24958 .xword 0xDEADBEEFDEADBEEF
24959 .xword 0xDEADBEEFDEADBEEF
24960 .xword 0xDEADBEEFDEADBEEF
24961 .xword 0xDEADBEEFDEADBEEF
24962 .xword 0xDEADBEEFDEADBEEF
24963 .xword 0xDEADBEEFDEADBEEF
24964 .xword 0xDEADBEEFDEADBEEF
24965 .xword 0xDEADBEEFDEADBEEF
24966 .xword 0xDEADBEEFDEADBEEF
24967 .xword 0xDEADBEEFDEADBEEF
24968 .xword 0xDEADBEEFDEADBEEF
24969 .xword 0xDEADBEEFDEADBEEF
24970 .xword 0xDEADBEEFDEADBEEF
24971 .xword 0xDEADBEEFDEADBEEF
24972 .xword 0xDEADBEEFDEADBEEF
24973 .xword 0xDEADBEEFDEADBEEF
24974 .xword 0xDEADBEEFDEADBEEF
24975 .xword 0xDEADBEEFDEADBEEF
24976 .xword 0xDEADBEEFDEADBEEF
24977 .xword 0xDEADBEEFDEADBEEF
24978 .xword 0xDEADBEEFDEADBEEF
24979 .xword 0xDEADBEEFDEADBEEF
24980 .xword 0xDEADBEEFDEADBEEF
24981 .xword 0xDEADBEEFDEADBEEF
24982 .xword 0xDEADBEEFDEADBEEF
24983 .xword 0xDEADBEEFDEADBEEF
24984 .xword 0xDEADBEEFDEADBEEF
24985 .xword 0xDEADBEEFDEADBEEF
24986 .xword 0xDEADBEEFDEADBEEF
24987 .xword 0xDEADBEEFDEADBEEF
24988 .xword 0xDEADBEEFDEADBEEF
24989 .xword 0xDEADBEEFDEADBEEF
24990 .xword 0xDEADBEEFDEADBEEF
24991 .xword 0xDEADBEEFDEADBEEF
24992 .xword 0xDEADBEEFDEADBEEF
24993 .xword 0xDEADBEEFDEADBEEF
24994 .xword 0xDEADBEEFDEADBEEF
24995 .xword 0xDEADBEEFDEADBEEF
24996 .xword 0xDEADBEEFDEADBEEF
24997 .xword 0xDEADBEEFDEADBEEF
24998 .xword 0xDEADBEEFDEADBEEF
24999 .xword 0xDEADBEEFDEADBEEF
25000 .xword 0xDEADBEEFDEADBEEF
25001 .xword 0xDEADBEEFDEADBEEF
25002 .xword 0xDEADBEEFDEADBEEF
25003 .xword 0xDEADBEEFDEADBEEF
25004 .xword 0xDEADBEEFDEADBEEF
25005 .xword 0xDEADBEEFDEADBEEF
25006 .xword 0xDEADBEEFDEADBEEF
25007 .xword 0xDEADBEEFDEADBEEF
25008 .xword 0xDEADBEEFDEADBEEF
25009 .xword 0xDEADBEEFDEADBEEF
25010 .xword 0xDEADBEEFDEADBEEF
25011 .xword 0xDEADBEEFDEADBEEF
25012 .xword 0xDEADBEEFDEADBEEF
25013 .xword 0xDEADBEEFDEADBEEF
25014 .xword 0xDEADBEEFDEADBEEF
25015 .xword 0xDEADBEEFDEADBEEF
25016 .xword 0xDEADBEEFDEADBEEF
25017 .xword 0xDEADBEEFDEADBEEF
25018 .xword 0xDEADBEEFDEADBEEF
25019 .xword 0xDEADBEEFDEADBEEF
25020 .xword 0xDEADBEEFDEADBEEF
25021 .xword 0xDEADBEEFDEADBEEF
25022 .xword 0xDEADBEEFDEADBEEF
25023 .xword 0xDEADBEEFDEADBEEF
25024 .xword 0xDEADBEEFDEADBEEF
25025 .xword 0xDEADBEEFDEADBEEF
25026 .xword 0xDEADBEEFDEADBEEF
25027_t1_des_auth_key:
25028 .xword 0xe82da352cd3162c7
25029 .xword 0xdc7f153f2b73a0d7
25030 .xword 0xb52857edfcba7f24
25031 .xword 0x4588bbf28339ccfd
25032 .xword 0x5fd3831a9fbfa16a
25033 .xword 0x6a940cf1beafb1b2
25034 .xword 0x91e115c2309ae845
25035 .xword 0xe70adb4351182a99
25036 .xword 0xd2aa76d3c917d75d
25037 .xword 0xc8162be9955d1964
25038 .xword 0xd31035c53cb33918
25039 .xword 0xd6cc0caaf938dce5
25040 .xword 0x620110bf3a6a47c4
25041 .xword 0xe9b148f22cac486d
25042 .xword 0x917081a9d866e955
25043 .xword 0x540c07289524fd96
25044 .xword 0x38191a6bad8519f5
25045 .xword 0xb51542bfaee3890d
25046 .xword 0x3b5c3da446b9cfd8
25047 .xword 0x447a5e4e6969377d
25048 .xword 0xd3ba00512c92dbf1
25049 .xword 0x32a66a9c1aef57e8
25050 .xword 0xb8f3c5c3bdeed015
25051_t1_des_auth_iv:
25052 .xword 0xfc083e3cf79b6329
25053 .xword 0xc694c6a3394129de
25054 .xword 0x056ebe18ee02ef32
25055 .xword 0x5cef04f4b3ba3278
25056 .xword 0xed1a8b71131f8b83
25057 .xword 0xf783b39dda888ea6
25058 .xword 0xeacfab3d4a96ac98
25059 .xword 0x3caf23a0097f4b84
25060 .xword 0x6e4b4f35ef604d62
25061 .xword 0xfd059dd87959fdf0
25062 .xword 0xb7f8299c28212b6c
25063 .xword 0x200cd1f4fcb26f2c
25064 .xword 0x7ab2be5ae494680f
25065 .xword 0x47f8959613a72cd4
25066 .xword 0x339defd01b0c8922
25067 .xword 0x0da55c87b16b3141
25068 .xword 0xc8c7bc8fc3586f88
25069 .xword 0x7a3d9feb5efa6555
25070 .xword 0x6e2692176c290e38
25071 .xword 0xd6846714c56c8573
25072 .xword 0xd7a3bfabb637487d
25073 .xword 0x3056898865e8d1ca
25074 .xword 0xec1267fa8ac1ec92
25075_t1_des_fas_result:
25076 .xword 0xDEADBEEFDEADBEEF
25077 .xword 0xDEADBEEFDEADBEEF
25078 .xword 0xDEADBEEFDEADBEEF
25079 .xword 0xDEADBEEFDEADBEEF
25080 .xword 0xDEADBEEFDEADBEEF
25081 .xword 0xDEADBEEFDEADBEEF
25082 .xword 0xDEADBEEFDEADBEEF
25083 .xword 0xDEADBEEFDEADBEEF
25084 .xword 0xDEADBEEFDEADBEEF
25085 .xword 0xDEADBEEFDEADBEEF
25086 .xword 0xDEADBEEFDEADBEEF
25087 .xword 0xDEADBEEFDEADBEEF
25088 .xword 0xDEADBEEFDEADBEEF
25089 .xword 0xDEADBEEFDEADBEEF
25090 .xword 0xDEADBEEFDEADBEEF
25091 .xword 0xDEADBEEFDEADBEEF
25092 .xword 0xDEADBEEFDEADBEEF
25093 .xword 0xDEADBEEFDEADBEEF
25094 .xword 0xDEADBEEFDEADBEEF
25095 .xword 0xDEADBEEFDEADBEEF
25096 .xword 0xDEADBEEFDEADBEEF
25097 .xword 0xDEADBEEFDEADBEEF
25098 .xword 0xDEADBEEFDEADBEEF
25099_t1_copy_key_array:
25100 .xword 0xdef9c5b05e3e7236
25101 .xword 0x1c0a343fa6b311dc
25102 .xword 0x0856ac69ded0b829
25103 .xword 0xfcc576ddd65d2495
25104 .xword 0xcee6bb4242370e0d
25105 .xword 0xd34cf4b6b410b266
25106 .xword 0x5bf2ff4adffe7536
25107 .xword 0x00d1c64ceb6cc732
25108 .xword 0x15648bc74bd8804c
25109 .xword 0xf6d81564f92cb4ef
25110 .xword 0xdb4342539c5100b2
25111 .xword 0xb2442df9319b6d53
25112 .xword 0xea331ebefc73a27c
25113 .xword 0x271abb66cf3bbd13
25114 .xword 0xf047f570fc9d61d3
25115 .xword 0x9852ec9aa603c9b7
25116 .xword 0x65e8732c23e3a55b
25117 .xword 0x0c627c21098e2743
25118 .xword 0x8b76fef7684fc4f1
25119 .xword 0x92d5c5518d872618
25120 .xword 0x1e0d2e493f9a05c9
25121 .xword 0xcf368e2fe9c65b65
25122 .xword 0x38a9204d9b39aeff
25123 .xword 0x73edea74806620ae
25124 .xword 0x57fce0500505736f
25125 .xword 0xd929ef57ef345f54
25126 .xword 0x48bdee6a0072d599
25127 .xword 0x5010475d359158bd
25128 .xword 0xd034307b7ba96f5b
25129 .xword 0x42535388a14abf3d
25130 .xword 0x236a2926ac286ccb
25131 .xword 0xbde9371a19e2e2eb
25132 .xword 0x87dc3df258ac5866
25133 .xword 0xf1718d1e8d964178
25134 .xword 0x35dd5e55aa9bf7c4
25135 .xword 0x9f034fe8020d349b
25136 .xword 0x15fdc015f6a1fa8a
25137 .xword 0x0d03438719d225c2
25138 .xword 0xe2431dc409ac9c0a
25139 .xword 0x9e332360379dc5e9
25140 .xword 0x95162a13dc77e357
25141 .xword 0xbca595f1849e3584
25142 .xword 0xc4fb86a4f050c681
25143 .xword 0x8be59be4f2ab7846
25144 .xword 0x358f8ff5c0021635
25145 .xword 0x2c3dea85496d330c
25146 .xword 0xce4de3257be4cc50
25147 .xword 0x97b83b4e4b3cccc6
25148 .xword 0x46e2148b05d31b57
25149 .xword 0x403db317b879fb25
25150 .xword 0x3aff2d4da3e40171
25151_t1_copy_iv_array:
25152 .xword 0x7e73d4fa7f245d99
25153 .xword 0x4c5e79e3a982ad04
25154 .xword 0x0e6070b4d93b3fbe
25155 .xword 0xa73ec298686846fb
25156 .xword 0x02552886b8a30838
25157 .xword 0x196ba1efc3065861
25158 .xword 0xf13acddf0d090707
25159 .xword 0x5155248279c4f20e
25160 .xword 0xb281ab03d797a937
25161 .xword 0x2575f72673c2031d
25162 .xword 0xbaaa8c231974b0a3
25163 .xword 0x9f841b63498a6945
25164 .xword 0xe30c0fe3057c8b6c
25165 .xword 0x0da5aad1023922da
25166 .xword 0xd76ed81ce2d9b682
25167 .xword 0x4948bde32581b4c2
25168 .xword 0xa3377c318f0a5555
25169 .xword 0xdf81b212ddb5181e
25170 .xword 0x87fdede639ff64ce
25171 .xword 0xb3aec43c7f6794a7
25172 .xword 0x3582f441ed6db84e
25173 .xword 0xeb943aa99c89a240
25174 .xword 0xd7d4d33851d33926
25175 .xword 0x7f11906b7ced4120
25176 .xword 0xeb3a640b0b1133b1
25177 .xword 0x99bb0e11e4f68b1b
25178 .xword 0xe4c986549a100b33
25179 .xword 0xeb06c8922840dc9e
25180 .xword 0x9e330801c2f328dc
25181 .xword 0xd01b24ccec5267b8
25182 .xword 0xef157719fdced64f
25183 .xword 0xbab4d90e16d87f53
25184 .xword 0xe12d67a88aef1a16
25185 .xword 0x154c70abfc9f57ca
25186 .xword 0x0edd3156d3df5461
25187 .xword 0xa8999079bf91a313
25188 .xword 0xeffa3ec34d64e630
25189 .xword 0x77391ce01fd66f51
25190 .xword 0x607f252604aa2923
25191 .xword 0x8a89c02ccd7c6f1b
25192 .xword 0x8f1988514a5e0b61
25193 .xword 0x2268d6d7f74c0171
25194 .xword 0x2de0b4549a1caaf3
25195 .xword 0x69e9f7241c5364be
25196 .xword 0x813334d671078ae1
25197_t1_copy_alignment_array:
25198 .xword 8
25199 .xword 1
25200 .xword 5
25201 .xword 11
25202 .xword 5
25203 .xword 5
25204 .xword 15
25205 .xword 14
25206 .xword 9
25207 .xword 12
25208 .xword 6
25209 .xword 6
25210 .xword 9
25211 .xword 9
25212 .xword 2
25213 .xword 1
25214 .xword 14
25215 .xword 15
25216 .xword 13
25217 .xword 4
25218 .xword 12
25219 .xword 12
25220 .xword 1
25221 .xword 9
25222 .xword 2
25223 .xword 10
25224 .xword 2
25225 .xword 5
25226 .xword 14
25227 .xword 15
25228 .xword 5
25229 .xword 1
25230 .xword 0
25231 .xword 14
25232 .xword 7
25233 .xword 0
25234 .xword 3
25235 .xword 13
25236 .xword 2
25237 .xword 5
25238 .xword 11
25239 .xword 3
25240 .xword 4
25241 .xword 15
25242 .xword 12
25243 .xword 5
25244 .xword 10
25245 .xword 9
25246 .xword 8
25247 .xword 0
25248 .xword 13
25249 .xword 7
25250 .xword 12
25251 .xword 4
25252 .xword 5
25253 .xword 2
25254 .xword 9
25255 .xword 11
25256 .xword 0
25257 .xword 11
25258 .xword 5
25259 .xword 8
25260 .xword 6
25261 .xword 3
25262 .xword 12
25263 .xword 6
25264 .xword 14
25265 .xword 0
25266 .xword 5
25267 .xword 14
25268 .xword 5
25269 .xword 14
25270 .xword 1
25271 .xword 6
25272 .xword 9
25273 .xword 6
25274 .xword 4
25275 .xword 7
25276 .xword 5
25277 .xword 10
25278 .xword 13
25279 .xword 11
25280 .xword 10
25281 .xword 9
25282 .xword 8
25283 .xword 10
25284 .xword 8
25285 .xword 1
25286 .xword 10
25287 .xword 11
25288 .xword 1
25289 .xword 4
25290 .xword 1
25291 .xword 4
25292 .xword 1
25293 .xword 6
25294 .xword 4
25295 .xword 2
25296 .xword 2
25297 .xword 7
25298 .xword 15
25299 .xword 1
25300 .xword 1
25301 .xword 9
25302 .xword 15
25303_t1_copy_src:
25304 .xword 0x050e9f768a889402
25305 .xword 0x61085baab1f37ec0
25306 .xword 0x6d204e1bec44f76e
25307 .xword 0xdd0607c7e315ebbe
25308 .xword 0x3184379c25d01755
25309 .xword 0x6f20412214beeab5
25310 .xword 0xda0026792d49dcca
25311 .xword 0xb4a3b1b672d9daa4
25312 .xword 0x6877e1a3a820a0f3
25313 .xword 0x6de4cda1e2d5e6c1
25314 .xword 0x4aaf430c3a28bf55
25315 .xword 0x4bbce0bf2a3469d0
25316 .xword 0xdd17d5e8419d0f34
25317 .xword 0x449575ad89614579
25318 .xword 0x4ea3a93198b0ac29
25319 .xword 0x0546abe56f02904f
25320 .xword 0xba16a5de69147af6
25321 .xword 0x4075f4e154f37dba
25322 .xword 0xf819bbad201cf952
25323 .xword 0xa32be375776e2009
25324 .xword 0xb6811664ac368b20
25325 .xword 0xb6bb00878c2588d6
25326 .xword 0x8297f0a5f95efc81
25327 .xword 0xe9b2d16aca2e7630
25328 .xword 0xe5e996586c15c06e
25329 .xword 0x92feb1d6bdbdcf4b
25330 .xword 0xcbbe8a18cb99429b
25331 .xword 0xc17710acd2181a9f
25332 .xword 0x0ca9ef2af1f6bcc8
25333 .xword 0x9c5dbd00ac32679f
25334 .xword 0x5cab3a256ad826ca
25335 .xword 0xfe715b6e8ca49398
25336 .xword 0xfeb0ea49e688a571
25337 .xword 0xb25b64b7232cfe82
25338 .xword 0x5e50e544ab3bad41
25339 .xword 0x9122ba0ec34d8176
25340 .xword 0x96bfd7151c0408f2
25341 .xword 0x457eb245311512d6
25342 .xword 0x5a60450ae15a3dfa
25343 .xword 0x066cd4bd18792442
25344 .xword 0x1960f7688b6b9acc
25345 .xword 0x5a5c362d82f6cdcb
25346 .xword 0x5e811e014df7a069
25347 .xword 0x16c28d9285ce0879
25348 .xword 0x1e8b92f91c85df93
25349 .xword 0x217502622f0e6837
25350 .xword 0x4ee198908d5dec72
25351 .xword 0x9628823469a0a0b4
25352 .xword 0x1b0f2c17af14ea55
25353 .xword 0xdbf91396dfe5a0ee
25354 .xword 0x82ab4294a35e636f
25355 .xword 0xb3b0b212096da754
25356 .xword 0x3b2e0907cab06558
25357 .xword 0x1f173c24f5eb7d6f
25358 .xword 0x7f8d1ce647a88934
25359 .xword 0xfe015ca0b5b738e6
25360 .xword 0x9cd137aa3e978e89
25361 .xword 0xdaf37aaa1df11108
25362 .xword 0xae3c1c2a6732c8f4
25363 .xword 0x8d8fe9e1b0d575c3
25364 .xword 0x1079c5188e1d2a36
25365 .xword 0x63d37e0617e631e6
25366 .xword 0x20d7a7bc68fbf800
25367 .xword 0xc806a37c74a66f48
25368 .xword 0xd7ccbe81fb9336e2
25369 .xword 0x5112c78e2d5ae8bb
25370 .xword 0x475b53f87c0be513
25371 .xword 0xd277e02844333009
25372 .xword 0xc10cb7e0c05bad7f
25373 .xword 0xce0b0df0345c2029
25374 .xword 0x6418c9eb2cf7874b
25375 .xword 0x0d7646a38599dbe8
25376 .xword 0xfac019befb07437d
25377 .xword 0x77b424bb99f5d45f
25378 .xword 0x364f3dbbfe382114
25379 .xword 0xe5adc00bdd66c885
25380 .xword 0xec2174aac50beb85
25381 .xword 0x34582136367f3298
25382 .xword 0x0604988f11dbaa2d
25383 .xword 0x2e7ada6b3cb9ca57
25384 .xword 0x45211221aa812f06
25385 .xword 0xe31f781d4869f4e9
25386 .xword 0x20bc1dcf1090ecd3
25387 .xword 0xfd091d3dd55b5405
25388 .xword 0xaa8c86ec9c149006
25389 .xword 0x0665ba30e04cc903
25390 .xword 0xd6a5e8240370c4c9
25391 .xword 0x4a658b97cde50efe
25392 .xword 0x9d4230909c55adad
25393 .xword 0x8afad32f60651c5b
25394 .xword 0x5d359a2f3e6b0259
25395 .xword 0x59630fad10df17cf
25396 .xword 0x586f7e3aa1ecb080
25397 .xword 0xeac8cc253481ff32
25398 .xword 0x0953a99106d1cf72
25399 .xword 0xa31c17adb5312891
25400 .xword 0x7cfe94a702f9e47f
25401 .xword 0x533393c4dfaa8300
25402 .xword 0x5cbf753a14144eb8
25403 .xword 0x4084bcc2aa73c599
25404 .xword 0x935c276ed899f515
25405 .xword 0x6366486a7ef7b725
25406 .xword 0xf63f7bfe1dbf6e3e
25407 .xword 0x9e27f75b7a5d5476
25408 .xword 0x46026f998dedaabf
25409 .xword 0x7ddaa77d1636455e
25410 .xword 0xc1843e6ae985c15e
25411 .xword 0x7488de33b8eba0ee
25412 .xword 0x4a3a2d9d73398ca9
25413 .xword 0x5071f433e73c3785
25414 .xword 0x09ea44f23ef8e6ce
25415 .xword 0x9765f7042fbc9004
25416 .xword 0x5306853ec702046f
25417 .xword 0x783dab8dc774e3b9
25418 .xword 0xc14e49a42bbe3cb0
25419 .xword 0x929d718b7350f287
25420 .xword 0x3949868a90e3649a
25421 .xword 0x58aad4b0815bdb94
25422 .xword 0x1c50b691176c00f1
25423 .xword 0x20f1593c9a33fd71
25424 .xword 0x96e6b33468e903e9
25425 .xword 0x390d86a481b31790
25426 .xword 0x18b62c6343024087
25427 .xword 0x7add1036ad4501eb
25428 .xword 0xdfa0b93c2603fd2b
25429 .xword 0xb241cb1fdb2c4e23
25430 .xword 0x5a06db640ffa6ed5
25431 .xword 0x0b3a064c73c794be
25432 .xword 0x6e367951eaf67b4a
25433 .xword 0x0f8b5945f7baca70
25434 .xword 0x948345065891182c
25435 .xword 0x5ab9351500c7479c
25436 .xword 0x2c421d348218978d
25437 .xword 0x72d81692ab2ddace
25438 .xword 0x9530925f341c7799
25439 .xword 0x33c7cbb131ad40a4
25440 .xword 0x3908fd1a83ac334e
25441 .xword 0x46ac7302f421a9e4
25442 .xword 0x221c8c46c2ca9761
25443 .xword 0x6fa83dd8bc1a55cf
25444 .xword 0x55c4a1e7a09ee4af
25445 .xword 0x68437dd7af7c0f0a
25446 .xword 0x9a40169b30418b93
25447 .xword 0xaba9bdbe0637232a
25448 .xword 0x8d2380aa7ebb3e7a
25449 .xword 0xbad0e8f3f2fba2ec
25450 .xword 0xaabe1fc14d4b4ae5
25451 .xword 0x43c57240de933532
25452 .xword 0x388cd755560e03e8
25453 .xword 0x3fd967e5eba8ca26
25454 .xword 0xa117f4f6e37b8943
25455 .xword 0xdec196e8c01368ad
25456 .xword 0x1809ec762f3d7f86
25457 .xword 0xa372920141d63dfa
25458 .xword 0x5f2b37c3c97d4bf0
25459 .xword 0x3bd88148a1ac87ba
25460 .xword 0x967ab4088e6a6119
25461 .xword 0x547421f134965b4f
25462 .xword 0x01c8d11b766143e8
25463 .xword 0xc90c77221c199144
25464 .xword 0x56c837a722a53f71
25465 .xword 0x21278126e44ba6b0
25466 .xword 0xf77a6c009f27ee66
25467 .xword 0xf1fd0f0a4ad659e6
25468 .xword 0x1ac78efd1d128455
25469 .xword 0x4fb2dfb57018efe0
25470 .xword 0xb2ac152c8461a007
25471 .xword 0x3a7a22449c181e2c
25472 .xword 0x92ab18630ebd9f07
25473 .xword 0xffca2e78e05e4c28
25474 .xword 0x3f674f6c1860e031
25475 .xword 0x04f0ddb45dbf8b85
25476 .xword 0x917df6871218cffe
25477 .xword 0x3a611c6b9a5c26fe
25478 .xword 0xf23c176127a89b2d
25479 .xword 0x85c0d3b39b77f83b
25480 .xword 0x936fc954567cf0ca
25481 .xword 0xfb32dd116ba5562e
25482 .xword 0xeb0864bdc229ae61
25483 .xword 0xfdee1f8480d2629f
25484 .xword 0x45251dc75906748c
25485 .xword 0xf9e4db670b621244
25486 .xword 0xf0f36a119ded7035
25487 .xword 0x1f508dea5c2b5472
25488 .xword 0x6577f8e15182c2f4
25489 .xword 0xafc6ded09c4a6f5f
25490 .xword 0x3e071f0dd0f6ab9e
25491 .xword 0x302da693dfe95184
25492 .xword 0x2f26be619d292bc6
25493 .xword 0x04bea02c46cf0125
25494 .xword 0x24a894d2c6e4c9b4
25495 .xword 0xbac4a48f563bdb8e
25496 .xword 0x2b85c7ef8014c43b
25497 .xword 0x95d78018698dd128
25498 .xword 0xce512add2d111383
25499 .xword 0xa8813cca3946c0ac
25500 .xword 0x272b81792486a6e2
25501 .xword 0x950f4a933d41cb93
25502 .xword 0x3af2e32628623cc0
25503 .xword 0x9c3136ca946ae997
25504 .xword 0x264dda5ca0b9b832
25505 .xword 0xf3094c5862413465
25506 .xword 0xa34a7551a9834aa3
25507 .xword 0x578250e7446d8ba7
25508 .xword 0xbe48ae67564ac698
25509 .xword 0x0012085f1a671577
25510 .xword 0x4a08cd068e8e42a5
25511 .xword 0x19ab4fbff68d980a
25512 .xword 0x476a8f4142e9c4aa
25513 .xword 0xe4714d476179cee2
25514 .xword 0xb5c6d959148a103f
25515 .xword 0x00d40cce9a2ea41c
25516 .xword 0xbec9ce0e90fa6513
25517 .xword 0xd7b0b24d2580b7a0
25518 .xword 0x676a31c562d9ac5e
25519 .xword 0x3b9546ec35a442e3
25520 .xword 0x565f55eb5f21fddf
25521 .xword 0x5021c2e7e28ca044
25522 .xword 0x5e189d2a41f71952
25523 .xword 0xdfb784ed7ef79e0f
25524 .xword 0xb02bf6b750ba5ec4
25525 .xword 0x5c4b5290ff4a0c2b
25526 .xword 0x80201dfd9843ab8d
25527 .xword 0xa746fa09b99bec23
25528 .xword 0x2ff8210cb2220cc9
25529 .xword 0x62a5a8ef84224f86
25530 .xword 0xbac7478f84414936
25531 .xword 0xa7cdce7abbac5337
25532 .xword 0xcd00eb1692bf0da8
25533 .xword 0x0e147b6c0871bceb
25534 .xword 0xac17064106e3a8e6
25535 .xword 0x464790dd4713ab9a
25536 .xword 0xc502e6b6b9b8c326
25537 .xword 0xf77192a4d7b60d8e
25538 .xword 0xf837304adb3f2563
25539 .xword 0x3d0baa4c6a793bc7
25540 .xword 0xa54486da335e0911
25541 .xword 0x0f336bae9ef77111
25542 .xword 0x7e44d50071eee003
25543 .xword 0x57800aab05dc04db
25544 .xword 0xcf8f3f122a06ff7d
25545 .xword 0xcb395f05dbdf0678
25546 .xword 0xa6352a956c2626c7
25547 .xword 0x682867fb318ee3d7
25548 .xword 0x46460349d1bc58df
25549 .xword 0x96250f766cc54874
25550 .xword 0xc974bfa27903bd39
25551 .xword 0x3e19c20beed4221f
25552 .xword 0x8c4231e394343881
25553 .xword 0xbbd005a64147e5c6
25554 .xword 0xc669ea9e39e423b5
25555 .xword 0x625a927517264333
25556 .xword 0x1a7023109f3ed38c
25557 .xword 0x2518dc32225a91a9
25558 .xword 0x5a3bd3fecdb42829
25559 .xword 0x641d33c28a44913f
25560 .xword 0x5717a6894c2fe5fc
25561 .xword 0x95e59bae316206ce
25562 .xword 0x017bcb857ff192e2
25563 .xword 0x26ecf98408ef6928
25564 .xword 0x20605d3f0dd59a85
25565 .xword 0xe232f58bdd9267ea
25566 .xword 0xe975dc1114aa6a36
25567 .xword 0xf3da908d9bd1c21f
25568 .xword 0x24c38c2a94ed9ac7
25569 .xword 0xe8a569cc2ce98604
25570 .xword 0x86c1fd216ad12f27
25571 .xword 0x0ea1c99a136da960
25572 .xword 0x8fabda0514014235
25573 .xword 0x7eabeb2ea21d16ef
25574 .xword 0xec0e0a1282035a06
25575 .xword 0x2c0211c4c0fd3d4e
25576 .xword 0x661be68f470d22d4
25577 .xword 0xa4ea10062ce1d1af
25578 .xword 0x8dc9cce25ed47683
25579_t1_copy_dest:
25580 .xword 0xDEADBEEFDEADBEEF
25581 .xword 0xDEADBEEFDEADBEEF
25582 .xword 0xDEADBEEFDEADBEEF
25583 .xword 0xDEADBEEFDEADBEEF
25584 .xword 0xDEADBEEFDEADBEEF
25585 .xword 0xDEADBEEFDEADBEEF
25586 .xword 0xDEADBEEFDEADBEEF
25587 .xword 0xDEADBEEFDEADBEEF
25588 .xword 0xDEADBEEFDEADBEEF
25589 .xword 0xDEADBEEFDEADBEEF
25590 .xword 0xDEADBEEFDEADBEEF
25591 .xword 0xDEADBEEFDEADBEEF
25592 .xword 0xDEADBEEFDEADBEEF
25593 .xword 0xDEADBEEFDEADBEEF
25594 .xword 0xDEADBEEFDEADBEEF
25595 .xword 0xDEADBEEFDEADBEEF
25596 .xword 0xDEADBEEFDEADBEEF
25597 .xword 0xDEADBEEFDEADBEEF
25598 .xword 0xDEADBEEFDEADBEEF
25599 .xword 0xDEADBEEFDEADBEEF
25600 .xword 0xDEADBEEFDEADBEEF
25601 .xword 0xDEADBEEFDEADBEEF
25602 .xword 0xDEADBEEFDEADBEEF
25603 .xword 0xDEADBEEFDEADBEEF
25604 .xword 0xDEADBEEFDEADBEEF
25605 .xword 0xDEADBEEFDEADBEEF
25606 .xword 0xDEADBEEFDEADBEEF
25607 .xword 0xDEADBEEFDEADBEEF
25608 .xword 0xDEADBEEFDEADBEEF
25609 .xword 0xDEADBEEFDEADBEEF
25610 .xword 0xDEADBEEFDEADBEEF
25611 .xword 0xDEADBEEFDEADBEEF
25612 .xword 0xDEADBEEFDEADBEEF
25613 .xword 0xDEADBEEFDEADBEEF
25614 .xword 0xDEADBEEFDEADBEEF
25615 .xword 0xDEADBEEFDEADBEEF
25616 .xword 0xDEADBEEFDEADBEEF
25617 .xword 0xDEADBEEFDEADBEEF
25618 .xword 0xDEADBEEFDEADBEEF
25619 .xword 0xDEADBEEFDEADBEEF
25620 .xword 0xDEADBEEFDEADBEEF
25621 .xword 0xDEADBEEFDEADBEEF
25622 .xword 0xDEADBEEFDEADBEEF
25623 .xword 0xDEADBEEFDEADBEEF
25624 .xword 0xDEADBEEFDEADBEEF
25625 .xword 0xDEADBEEFDEADBEEF
25626 .xword 0xDEADBEEFDEADBEEF
25627 .xword 0xDEADBEEFDEADBEEF
25628 .xword 0xDEADBEEFDEADBEEF
25629 .xword 0xDEADBEEFDEADBEEF
25630 .xword 0xDEADBEEFDEADBEEF
25631 .xword 0xDEADBEEFDEADBEEF
25632 .xword 0xDEADBEEFDEADBEEF
25633 .xword 0xDEADBEEFDEADBEEF
25634 .xword 0xDEADBEEFDEADBEEF
25635 .xword 0xDEADBEEFDEADBEEF
25636 .xword 0xDEADBEEFDEADBEEF
25637 .xword 0xDEADBEEFDEADBEEF
25638 .xword 0xDEADBEEFDEADBEEF
25639 .xword 0xDEADBEEFDEADBEEF
25640 .xword 0xDEADBEEFDEADBEEF
25641 .xword 0xDEADBEEFDEADBEEF
25642 .xword 0xDEADBEEFDEADBEEF
25643 .xword 0xDEADBEEFDEADBEEF
25644 .xword 0xDEADBEEFDEADBEEF
25645 .xword 0xDEADBEEFDEADBEEF
25646 .xword 0xDEADBEEFDEADBEEF
25647 .xword 0xDEADBEEFDEADBEEF
25648 .xword 0xDEADBEEFDEADBEEF
25649 .xword 0xDEADBEEFDEADBEEF
25650 .xword 0xDEADBEEFDEADBEEF
25651 .xword 0xDEADBEEFDEADBEEF
25652 .xword 0xDEADBEEFDEADBEEF
25653 .xword 0xDEADBEEFDEADBEEF
25654 .xword 0xDEADBEEFDEADBEEF
25655 .xword 0xDEADBEEFDEADBEEF
25656 .xword 0xDEADBEEFDEADBEEF
25657 .xword 0xDEADBEEFDEADBEEF
25658 .xword 0xDEADBEEFDEADBEEF
25659 .xword 0xDEADBEEFDEADBEEF
25660 .xword 0xDEADBEEFDEADBEEF
25661 .xword 0xDEADBEEFDEADBEEF
25662 .xword 0xDEADBEEFDEADBEEF
25663 .xword 0xDEADBEEFDEADBEEF
25664 .xword 0xDEADBEEFDEADBEEF
25665 .xword 0xDEADBEEFDEADBEEF
25666 .xword 0xDEADBEEFDEADBEEF
25667 .xword 0xDEADBEEFDEADBEEF
25668 .xword 0xDEADBEEFDEADBEEF
25669 .xword 0xDEADBEEFDEADBEEF
25670 .xword 0xDEADBEEFDEADBEEF
25671 .xword 0xDEADBEEFDEADBEEF
25672 .xword 0xDEADBEEFDEADBEEF
25673 .xword 0xDEADBEEFDEADBEEF
25674 .xword 0xDEADBEEFDEADBEEF
25675 .xword 0xDEADBEEFDEADBEEF
25676 .xword 0xDEADBEEFDEADBEEF
25677 .xword 0xDEADBEEFDEADBEEF
25678 .xword 0xDEADBEEFDEADBEEF
25679 .xword 0xDEADBEEFDEADBEEF
25680 .xword 0xDEADBEEFDEADBEEF
25681 .xword 0xDEADBEEFDEADBEEF
25682 .xword 0xDEADBEEFDEADBEEF
25683 .xword 0xDEADBEEFDEADBEEF
25684 .xword 0xDEADBEEFDEADBEEF
25685 .xword 0xDEADBEEFDEADBEEF
25686 .xword 0xDEADBEEFDEADBEEF
25687 .xword 0xDEADBEEFDEADBEEF
25688 .xword 0xDEADBEEFDEADBEEF
25689 .xword 0xDEADBEEFDEADBEEF
25690 .xword 0xDEADBEEFDEADBEEF
25691 .xword 0xDEADBEEFDEADBEEF
25692 .xword 0xDEADBEEFDEADBEEF
25693 .xword 0xDEADBEEFDEADBEEF
25694 .xword 0xDEADBEEFDEADBEEF
25695 .xword 0xDEADBEEFDEADBEEF
25696 .xword 0xDEADBEEFDEADBEEF
25697 .xword 0xDEADBEEFDEADBEEF
25698 .xword 0xDEADBEEFDEADBEEF
25699 .xword 0xDEADBEEFDEADBEEF
25700 .xword 0xDEADBEEFDEADBEEF
25701 .xword 0xDEADBEEFDEADBEEF
25702 .xword 0xDEADBEEFDEADBEEF
25703 .xword 0xDEADBEEFDEADBEEF
25704 .xword 0xDEADBEEFDEADBEEF
25705 .xword 0xDEADBEEFDEADBEEF
25706 .xword 0xDEADBEEFDEADBEEF
25707 .xword 0xDEADBEEFDEADBEEF
25708 .xword 0xDEADBEEFDEADBEEF
25709 .xword 0xDEADBEEFDEADBEEF
25710 .xword 0xDEADBEEFDEADBEEF
25711 .xword 0xDEADBEEFDEADBEEF
25712 .xword 0xDEADBEEFDEADBEEF
25713 .xword 0xDEADBEEFDEADBEEF
25714 .xword 0xDEADBEEFDEADBEEF
25715 .xword 0xDEADBEEFDEADBEEF
25716 .xword 0xDEADBEEFDEADBEEF
25717 .xword 0xDEADBEEFDEADBEEF
25718 .xword 0xDEADBEEFDEADBEEF
25719 .xword 0xDEADBEEFDEADBEEF
25720 .xword 0xDEADBEEFDEADBEEF
25721 .xword 0xDEADBEEFDEADBEEF
25722 .xword 0xDEADBEEFDEADBEEF
25723 .xword 0xDEADBEEFDEADBEEF
25724 .xword 0xDEADBEEFDEADBEEF
25725 .xword 0xDEADBEEFDEADBEEF
25726 .xword 0xDEADBEEFDEADBEEF
25727 .xword 0xDEADBEEFDEADBEEF
25728 .xword 0xDEADBEEFDEADBEEF
25729 .xword 0xDEADBEEFDEADBEEF
25730 .xword 0xDEADBEEFDEADBEEF
25731 .xword 0xDEADBEEFDEADBEEF
25732 .xword 0xDEADBEEFDEADBEEF
25733 .xword 0xDEADBEEFDEADBEEF
25734 .xword 0xDEADBEEFDEADBEEF
25735 .xword 0xDEADBEEFDEADBEEF
25736 .xword 0xDEADBEEFDEADBEEF
25737 .xword 0xDEADBEEFDEADBEEF
25738 .xword 0xDEADBEEFDEADBEEF
25739 .xword 0xDEADBEEFDEADBEEF
25740 .xword 0xDEADBEEFDEADBEEF
25741 .xword 0xDEADBEEFDEADBEEF
25742 .xword 0xDEADBEEFDEADBEEF
25743 .xword 0xDEADBEEFDEADBEEF
25744 .xword 0xDEADBEEFDEADBEEF
25745 .xword 0xDEADBEEFDEADBEEF
25746 .xword 0xDEADBEEFDEADBEEF
25747 .xword 0xDEADBEEFDEADBEEF
25748 .xword 0xDEADBEEFDEADBEEF
25749 .xword 0xDEADBEEFDEADBEEF
25750 .xword 0xDEADBEEFDEADBEEF
25751 .xword 0xDEADBEEFDEADBEEF
25752 .xword 0xDEADBEEFDEADBEEF
25753 .xword 0xDEADBEEFDEADBEEF
25754 .xword 0xDEADBEEFDEADBEEF
25755 .xword 0xDEADBEEFDEADBEEF
25756 .xword 0xDEADBEEFDEADBEEF
25757 .xword 0xDEADBEEFDEADBEEF
25758 .xword 0xDEADBEEFDEADBEEF
25759 .xword 0xDEADBEEFDEADBEEF
25760 .xword 0xDEADBEEFDEADBEEF
25761 .xword 0xDEADBEEFDEADBEEF
25762 .xword 0xDEADBEEFDEADBEEF
25763 .xword 0xDEADBEEFDEADBEEF
25764 .xword 0xDEADBEEFDEADBEEF
25765 .xword 0xDEADBEEFDEADBEEF
25766 .xword 0xDEADBEEFDEADBEEF
25767 .xword 0xDEADBEEFDEADBEEF
25768 .xword 0xDEADBEEFDEADBEEF
25769 .xword 0xDEADBEEFDEADBEEF
25770 .xword 0xDEADBEEFDEADBEEF
25771 .xword 0xDEADBEEFDEADBEEF
25772 .xword 0xDEADBEEFDEADBEEF
25773 .xword 0xDEADBEEFDEADBEEF
25774 .xword 0xDEADBEEFDEADBEEF
25775 .xword 0xDEADBEEFDEADBEEF
25776 .xword 0xDEADBEEFDEADBEEF
25777 .xword 0xDEADBEEFDEADBEEF
25778 .xword 0xDEADBEEFDEADBEEF
25779 .xword 0xDEADBEEFDEADBEEF
25780 .xword 0xDEADBEEFDEADBEEF
25781 .xword 0xDEADBEEFDEADBEEF
25782 .xword 0xDEADBEEFDEADBEEF
25783 .xword 0xDEADBEEFDEADBEEF
25784 .xword 0xDEADBEEFDEADBEEF
25785 .xword 0xDEADBEEFDEADBEEF
25786 .xword 0xDEADBEEFDEADBEEF
25787 .xword 0xDEADBEEFDEADBEEF
25788 .xword 0xDEADBEEFDEADBEEF
25789 .xword 0xDEADBEEFDEADBEEF
25790 .xword 0xDEADBEEFDEADBEEF
25791 .xword 0xDEADBEEFDEADBEEF
25792 .xword 0xDEADBEEFDEADBEEF
25793 .xword 0xDEADBEEFDEADBEEF
25794 .xword 0xDEADBEEFDEADBEEF
25795 .xword 0xDEADBEEFDEADBEEF
25796 .xword 0xDEADBEEFDEADBEEF
25797 .xword 0xDEADBEEFDEADBEEF
25798 .xword 0xDEADBEEFDEADBEEF
25799 .xword 0xDEADBEEFDEADBEEF
25800 .xword 0xDEADBEEFDEADBEEF
25801 .xword 0xDEADBEEFDEADBEEF
25802 .xword 0xDEADBEEFDEADBEEF
25803 .xword 0xDEADBEEFDEADBEEF
25804 .xword 0xDEADBEEFDEADBEEF
25805 .xword 0xDEADBEEFDEADBEEF
25806 .xword 0xDEADBEEFDEADBEEF
25807 .xword 0xDEADBEEFDEADBEEF
25808 .xword 0xDEADBEEFDEADBEEF
25809 .xword 0xDEADBEEFDEADBEEF
25810 .xword 0xDEADBEEFDEADBEEF
25811 .xword 0xDEADBEEFDEADBEEF
25812 .xword 0xDEADBEEFDEADBEEF
25813 .xword 0xDEADBEEFDEADBEEF
25814 .xword 0xDEADBEEFDEADBEEF
25815 .xword 0xDEADBEEFDEADBEEF
25816 .xword 0xDEADBEEFDEADBEEF
25817 .xword 0xDEADBEEFDEADBEEF
25818 .xword 0xDEADBEEFDEADBEEF
25819 .xword 0xDEADBEEFDEADBEEF
25820 .xword 0xDEADBEEFDEADBEEF
25821 .xword 0xDEADBEEFDEADBEEF
25822 .xword 0xDEADBEEFDEADBEEF
25823 .xword 0xDEADBEEFDEADBEEF
25824 .xword 0xDEADBEEFDEADBEEF
25825 .xword 0xDEADBEEFDEADBEEF
25826 .xword 0xDEADBEEFDEADBEEF
25827 .xword 0xDEADBEEFDEADBEEF
25828 .xword 0xDEADBEEFDEADBEEF
25829 .xword 0xDEADBEEFDEADBEEF
25830 .xword 0xDEADBEEFDEADBEEF
25831 .xword 0xDEADBEEFDEADBEEF
25832 .xword 0xDEADBEEFDEADBEEF
25833 .xword 0xDEADBEEFDEADBEEF
25834 .xword 0xDEADBEEFDEADBEEF
25835 .xword 0xDEADBEEFDEADBEEF
25836 .xword 0xDEADBEEFDEADBEEF
25837 .xword 0xDEADBEEFDEADBEEF
25838 .xword 0xDEADBEEFDEADBEEF
25839 .xword 0xDEADBEEFDEADBEEF
25840 .xword 0xDEADBEEFDEADBEEF
25841 .xword 0xDEADBEEFDEADBEEF
25842 .xword 0xDEADBEEFDEADBEEF
25843 .xword 0xDEADBEEFDEADBEEF
25844 .xword 0xDEADBEEFDEADBEEF
25845 .xword 0xDEADBEEFDEADBEEF
25846 .xword 0xDEADBEEFDEADBEEF
25847 .xword 0xDEADBEEFDEADBEEF
25848 .xword 0xDEADBEEFDEADBEEF
25849 .xword 0xDEADBEEFDEADBEEF
25850 .xword 0xDEADBEEFDEADBEEF
25851 .xword 0xDEADBEEFDEADBEEF
25852 .xword 0xDEADBEEFDEADBEEF
25853 .xword 0xDEADBEEFDEADBEEF
25854 .xword 0xDEADBEEFDEADBEEF
25855_t1_copy_auth_key:
25856 .xword 0x2475e11b5f7b2c4a
25857 .xword 0x40b1e38d876fc5e6
25858 .xword 0x43286720086035b6
25859 .xword 0x231fb3bff7f79926
25860 .xword 0xceea75c1fc093cb9
25861 .xword 0x446611cd9deb3e19
25862 .xword 0xa5721e6a2502cbd6
25863 .xword 0xa3e4623294f8fe26
25864 .xword 0xd7264fc7e243afc2
25865 .xword 0x9320d932ca625fdb
25866 .xword 0xfc0ac2b4827e0287
25867 .xword 0xf6061e3814c93fa4
25868 .xword 0x81c9e950870cdb04
25869 .xword 0xcd5c4c60ae5e3d69
25870 .xword 0x297f8e6f301aadb7
25871 .xword 0x15510d4ef1152db7
25872 .xword 0xbbcda5104125d9ec
25873 .xword 0x04c4052e392753cd
25874 .xword 0x030971e48f955477
25875 .xword 0x86626ffd667b1c67
25876 .xword 0x326e522a4269fd8f
25877 .xword 0xe1194eb923340586
25878 .xword 0xeca74f38c25ca6fc
25879_t1_copy_auth_iv:
25880 .xword 0x6c94409928e7d55d
25881 .xword 0x594821eb29e37a49
25882 .xword 0xaf925b185be4e67f
25883 .xword 0x8216b3823e0a953b
25884 .xword 0xe0fd53b3784bdc09
25885 .xword 0x9e198df4d071b358
25886 .xword 0x3b4410bffc6dceb4
25887 .xword 0x4ae31bf3229787d4
25888 .xword 0x5749015bfb0aaf8c
25889 .xword 0xc11ec9926b9ded16
25890 .xword 0x5d07a219d69d67e4
25891 .xword 0x5dc40a17f646599f
25892 .xword 0x2166db1d1d44fd01
25893 .xword 0xcf6dafb26e1921c8
25894 .xword 0x212c6d2612664eeb
25895 .xword 0x9a104481f982a69d
25896 .xword 0xfa4352b7289e722d
25897 .xword 0x6ea93925f30f27de
25898 .xword 0xa774f89b4f7e570a
25899 .xword 0x199cf4048519ee75
25900 .xword 0x906c316d7ceebdcd
25901 .xword 0x238d272bd466483e
25902 .xword 0x8bdc1d507f4631ac
25903_t1_copy_fas_result:
25904 .xword 0xDEADBEEFDEADBEEF
25905 .xword 0xDEADBEEFDEADBEEF
25906 .xword 0xDEADBEEFDEADBEEF
25907 .xword 0xDEADBEEFDEADBEEF
25908 .xword 0xDEADBEEFDEADBEEF
25909 .xword 0xDEADBEEFDEADBEEF
25910 .xword 0xDEADBEEFDEADBEEF
25911 .xword 0xDEADBEEFDEADBEEF
25912 .xword 0xDEADBEEFDEADBEEF
25913 .xword 0xDEADBEEFDEADBEEF
25914 .xword 0xDEADBEEFDEADBEEF
25915 .xword 0xDEADBEEFDEADBEEF
25916 .xword 0xDEADBEEFDEADBEEF
25917 .xword 0xDEADBEEFDEADBEEF
25918 .xword 0xDEADBEEFDEADBEEF
25919 .xword 0xDEADBEEFDEADBEEF
25920 .xword 0xDEADBEEFDEADBEEF
25921 .xword 0xDEADBEEFDEADBEEF
25922 .xword 0xDEADBEEFDEADBEEF
25923 .xword 0xDEADBEEFDEADBEEF
25924 .xword 0xDEADBEEFDEADBEEF
25925 .xword 0xDEADBEEFDEADBEEF
25926 .xword 0xDEADBEEFDEADBEEF
25927_t1_crc_key_array:
25928 .xword 0xf8d1c80a0e88864f
25929 .xword 0x90967cfae0817519
25930 .xword 0x6e8d94a9fda1776f
25931 .xword 0x4ead86f613775856
25932 .xword 0x4abfbf1094a92372
25933 .xword 0xb8859cb187237ded
25934 .xword 0x4a3f3182e9bde063
25935 .xword 0xc4241d636013d6d1
25936 .xword 0x6fa1b160f1e254c8
25937 .xword 0xc863e5f6c19873fd
25938 .xword 0x2f0fd4f9a449b77d
25939 .xword 0x92f620dfa13c4e3d
25940 .xword 0x9bde2815dde49fdb
25941 .xword 0x2a510c96d6bb356c
25942 .xword 0x16684e27ea737cb0
25943 .xword 0x3bcc3f711e2b70f2
25944 .xword 0x7315874da09d3f84
25945 .xword 0x06773cccbec1bddd
25946 .xword 0x9394ffd1057b6636
25947 .xword 0x0606507118848342
25948 .xword 0x9ec1a68577963e59
25949 .xword 0xbfb802b2b2996720
25950 .xword 0xba57e1c0bf37c30b
25951 .xword 0x22f60f1aa6c32a87
25952 .xword 0x7b8b06b516b5202f
25953 .xword 0xf93596abede4f7fa
25954 .xword 0xa4d5dc29f55b2d64
25955 .xword 0x54c060631169d7ad
25956 .xword 0x40ed18cfab18bc0d
25957 .xword 0x1c2b3074ef5a43dc
25958 .xword 0xc78192e75e4f9dce
25959 .xword 0xf618e91886817deb
25960 .xword 0xaef3c7bb2cfcbc7e
25961 .xword 0xe0ad7deb0d0271ff
25962 .xword 0xbf225df12341374d
25963 .xword 0x82a3df7796a539a8
25964 .xword 0xeb40646978cfe3c0
25965 .xword 0xb457beca0d5e32ad
25966 .xword 0xfc67d916b6543e7b
25967 .xword 0x376b3fb4ef2093e5
25968 .xword 0x4b7e67c06a2ad432
25969 .xword 0x8b04ed407021a1ea
25970 .xword 0x99430a76223a3915
25971 .xword 0x050ce375523d19b1
25972 .xword 0x72898ea6d3518cfc
25973 .xword 0xc13b92843197eced
25974 .xword 0x874933d62d7d1356
25975 .xword 0xa6de4e96da5e2b4f
25976 .xword 0x24f50cb70a7c1e4f
25977 .xword 0x74a4608eece6b0c7
25978 .xword 0xf75b54e31aeb4b21
25979_t1_crc_iv_array:
25980 .xword 0x319ef1adcdd522f5
25981 .xword 0xa28e6bf2861a4af9
25982 .xword 0xddaa4d41f665584f
25983 .xword 0xb732dca13811839e
25984 .xword 0x4ba80438371691d4
25985 .xword 0xc2e8bddccd5ff4a2
25986 .xword 0xff5ba6995aea9c2d
25987 .xword 0xa57458ecddc166ad
25988 .xword 0xb1c83128be5472d1
25989 .xword 0xb7a19aed326e04c8
25990 .xword 0xb09fe5cad093fbc8
25991 .xword 0x807ad455d0cb1cfe
25992 .xword 0x1c8e528ae9c4e358
25993 .xword 0xb5702bb814d07ca3
25994 .xword 0x988dde8fcc31b9ad
25995 .xword 0x031fc65522d06541
25996 .xword 0x7a5474c1663b8076
25997 .xword 0x3d86bad2b4bcfa84
25998 .xword 0xf0ae6b4f2e5a5d2a
25999 .xword 0x628efe02a9716f21
26000 .xword 0x19add9bdba7a8795
26001 .xword 0x3f2800d6cb726921
26002 .xword 0x3057a9f2b6a61f8b
26003 .xword 0xc650374568e60692
26004 .xword 0x23e181c332337b05
26005 .xword 0xae1a03a04259b4ff
26006 .xword 0x364fc8a5abe497a4
26007 .xword 0xbb9954a8d88e65bc
26008 .xword 0x4b0831d68293c4d9
26009 .xword 0x9b0db5fea6b20440
26010 .xword 0xb05738dabf5033e2
26011 .xword 0x2c81ab1285d5969b
26012 .xword 0xf80522bc4180e401
26013 .xword 0x8d8d6c3b6c6071e5
26014 .xword 0x901d48f043ef8f4c
26015 .xword 0x7cefe6528d9f056e
26016 .xword 0x3245a7ed3f4f9414
26017 .xword 0x6de338e0170a0ed9
26018 .xword 0x468ab1c1e38614ef
26019 .xword 0xb3c55fcaa268bb49
26020 .xword 0xea69b1ae948ef87d
26021 .xword 0x0557e6811b79dc0f
26022 .xword 0x0657e2fb92464d3e
26023 .xword 0x4235ed715cae3ce4
26024 .xword 0xc5538f767724507b
26025_t1_crc_alignment_array:
26026 .xword 4
26027 .xword 4
26028 .xword 15
26029 .xword 12
26030 .xword 4
26031 .xword 14
26032 .xword 14
26033 .xword 14
26034 .xword 15
26035 .xword 3
26036 .xword 11
26037 .xword 10
26038 .xword 0
26039 .xword 3
26040 .xword 8
26041 .xword 15
26042 .xword 13
26043 .xword 1
26044 .xword 15
26045 .xword 11
26046 .xword 9
26047 .xword 10
26048 .xword 1
26049 .xword 10
26050 .xword 7
26051 .xword 13
26052 .xword 5
26053 .xword 11
26054 .xword 14
26055 .xword 6
26056 .xword 10
26057 .xword 3
26058 .xword 9
26059 .xword 11
26060 .xword 12
26061 .xword 14
26062 .xword 2
26063 .xword 8
26064 .xword 0
26065 .xword 4
26066 .xword 8
26067 .xword 1
26068 .xword 0
26069 .xword 6
26070 .xword 2
26071 .xword 4
26072 .xword 9
26073 .xword 2
26074 .xword 10
26075 .xword 2
26076 .xword 0
26077 .xword 3
26078 .xword 9
26079 .xword 1
26080 .xword 14
26081 .xword 5
26082 .xword 8
26083 .xword 6
26084 .xword 6
26085 .xword 9
26086 .xword 12
26087 .xword 0
26088 .xword 15
26089 .xword 14
26090 .xword 15
26091 .xword 4
26092 .xword 3
26093 .xword 2
26094 .xword 0
26095 .xword 13
26096 .xword 15
26097 .xword 14
26098 .xword 7
26099 .xword 14
26100 .xword 8
26101 .xword 8
26102 .xword 0
26103 .xword 0
26104 .xword 9
26105 .xword 11
26106 .xword 15
26107 .xword 10
26108 .xword 15
26109 .xword 10
26110 .xword 9
26111 .xword 11
26112 .xword 13
26113 .xword 0
26114 .xword 0
26115 .xword 7
26116 .xword 3
26117 .xword 4
26118 .xword 1
26119 .xword 2
26120 .xword 3
26121 .xword 0
26122 .xword 8
26123 .xword 0
26124 .xword 11
26125 .xword 15
26126 .xword 6
26127 .xword 2
26128 .xword 1
26129 .xword 0
26130 .xword 11
26131_t1_crc_src:
26132 .xword 0x122fe16929425b51
26133 .xword 0x14341a1a99c2ce51
26134 .xword 0x3948fc700c9e13b1
26135 .xword 0xb546cd90f1d986b0
26136 .xword 0xc375025f7be11c9d
26137 .xword 0x518f7672ed11f761
26138 .xword 0x13cd79b0727a920a
26139 .xword 0xad23c93014101987
26140 .xword 0xc92474c8e505aa25
26141 .xword 0x4f9d9e6654cf30f4
26142 .xword 0xbe7f2cd522fda4dd
26143 .xword 0x4bab4f484ed43e6d
26144 .xword 0xc1f94f3de38a8859
26145 .xword 0x15652770d5d7d794
26146 .xword 0xe7cdec40a993ac54
26147 .xword 0xfb5f7faad0e97f6b
26148 .xword 0x6819d6bc81ebc625
26149 .xword 0x5cc5904270bf8615
26150 .xword 0xbafbbb5b6c032c00
26151 .xword 0x8cc10067c5865ca8
26152 .xword 0xa3cd28cdb146cb6a
26153 .xword 0x224a27e4fff23fa3
26154 .xword 0x0c7fb502c1970dcf
26155 .xword 0xbe76e61fdb8b5c53
26156 .xword 0x4201ef6e1fa0e4a2
26157 .xword 0x4e216c19a26830c8
26158 .xword 0x71b29c99987af888
26159 .xword 0xe122a0178cfa6f88
26160 .xword 0xb3c4f192b4fde8a1
26161 .xword 0xeb47b8a7a86ee3d3
26162 .xword 0xbb471934f3dc9b6d
26163 .xword 0xb302274af4148de6
26164 .xword 0x765d590e0cdc1aad
26165 .xword 0x3e4376dce982c979
26166 .xword 0xe42606e3bc1fe38c
26167 .xword 0xab08740c6c7638b8
26168 .xword 0x9b3edaa9f2e124c8
26169 .xword 0xb1a2673b7875a1eb
26170 .xword 0xfe047fa2218ae2a3
26171 .xword 0x2ff46a529f86b0b0
26172 .xword 0x0d48ddc8874f7ea7
26173 .xword 0x8e66b2dd5b344636
26174 .xword 0xd50a917e531c372b
26175 .xword 0x680e9874d8417fe0
26176 .xword 0xa8ba6aa60d5452d0
26177 .xword 0xd1941ef2a056ca26
26178 .xword 0xcb68f840850a504c
26179 .xword 0x1cf6b2ad9aa3b9c8
26180 .xword 0x403690451b77f4aa
26181 .xword 0xd9d012e4d4bf2ef6
26182 .xword 0xb390b1838741f0dd
26183 .xword 0x815839b6e5362823
26184 .xword 0x119fc72e5ed6df12
26185 .xword 0x99610cfe9404997d
26186 .xword 0x63895a6266feba92
26187 .xword 0xb37859a4b938915d
26188 .xword 0xaab22b2f75416688
26189 .xword 0x58fe7da24e813fe7
26190 .xword 0x2a5e5e7f7d70f824
26191 .xword 0x82ca811b20da9413
26192 .xword 0x170d830843e0106c
26193 .xword 0x4d347f0ccaea3d7a
26194 .xword 0xf8074e21649684ff
26195 .xword 0x58f7e57a2d1ae513
26196 .xword 0xdfb05b0e4ae18c54
26197 .xword 0x9ab4ebc91a3bad1c
26198 .xword 0x6793abd702c8ab2f
26199 .xword 0x2bfcb6eaac0d45cd
26200 .xword 0x21e2ebeff0ae0c67
26201 .xword 0x378e18db54412a15
26202 .xword 0x7dc8f333e42b6d76
26203 .xword 0xbadd45dfeeeadedd
26204 .xword 0xcb2cec75e871c066
26205 .xword 0x476d6ac351926ba4
26206 .xword 0x8bd9118846f58da3
26207 .xword 0x86d9235312d67538
26208 .xword 0x1775cd86b3165627
26209 .xword 0x278ffa74be37ea9f
26210 .xword 0x8cd0f97e271aec3c
26211 .xword 0x1e4a3897f5540e94
26212 .xword 0xa5a0858893202fc6
26213 .xword 0x6e4140998a8148a1
26214 .xword 0x646810dcc3a70a06
26215 .xword 0x0b62271442dc2e30
26216 .xword 0xa4049d067c30f45c
26217 .xword 0x4f07fb17d3588844
26218 .xword 0x6345d1bed10a2419
26219 .xword 0xfc1431633e741135
26220 .xword 0x604087128ebb2173
26221 .xword 0x0be8498395604ec8
26222 .xword 0x22a1eb306bf3e799
26223 .xword 0x58de2239b2c7a77d
26224 .xword 0x3b620f3b5f8301d8
26225 .xword 0xd7916ccfbbce4654
26226 .xword 0x2e52cce27f6b12c5
26227 .xword 0x5c3444399f85e4fe
26228 .xword 0xa8eb22398ce78996
26229 .xword 0xc21b994ef5c40d47
26230 .xword 0xffb0c60514548126
26231 .xword 0xc4db9c64a4e775fb
26232 .xword 0xb81421141635cf3a
26233 .xword 0x2ad535efc6cc046c
26234 .xword 0x3edab7a15cf829c9
26235 .xword 0xd80c2b6592bb027f
26236 .xword 0xbe8b8b4ebcf9761a
26237 .xword 0xa0aaba47fe025e55
26238 .xword 0xc8a3a937bddee482
26239 .xword 0xb81bbbc2f8b0a74c
26240 .xword 0x55de92080917906c
26241 .xword 0x4accca4a14173c74
26242 .xword 0xb563d54ccd7c1545
26243 .xword 0x95f9dc5530c6e9bc
26244 .xword 0xffa989da0af2f2e1
26245 .xword 0x74c400fe508a98a4
26246 .xword 0xc7d1bf48137eddd8
26247 .xword 0xe8dddd1177d7c1ae
26248 .xword 0xfee41d9bb7fc30c3
26249 .xword 0xacaf308c4d8d64ed
26250 .xword 0xde56b208e1937f6b
26251 .xword 0xb2b03951d70acc0f
26252 .xword 0x799251b700ab86f3
26253 .xword 0x15d43352874b3a5f
26254 .xword 0xebde4ef4d3fe3d5d
26255 .xword 0x6790ff89f3f1602c
26256 .xword 0x2771540e0cd2e337
26257 .xword 0x6128ecb2d215f6af
26258 .xword 0x267a35a577d9f50f
26259 .xword 0x5cbde44cd2bc834b
26260 .xword 0x1f0427a666db5b7d
26261 .xword 0x65ceed70580066dd
26262 .xword 0xaaa94eec771935a2
26263 .xword 0x536e098596934d33
26264 .xword 0xee7c2a0fe9385418
26265 .xword 0x692c5dffab272e49
26266 .xword 0x91c28383907b0591
26267 .xword 0x0998b6a481d19fe5
26268 .xword 0xf6d94280e318a8bd
26269 .xword 0x759cf707195d6428
26270 .xword 0xa6542ab9df42fdd9
26271 .xword 0xb953b02ee60bfd12
26272 .xword 0xe1be771ed3b846a9
26273 .xword 0xad24f9d0b1bdb88e
26274 .xword 0xcd458095a6580488
26275 .xword 0x0c5206608f2a1a1b
26276 .xword 0xbf25af79b3dfd2d2
26277 .xword 0xe84f2603a9a14248
26278 .xword 0xe2ab6a88c024b7cb
26279 .xword 0x97571f4c3e04f7d9
26280 .xword 0x3121173ece16a95c
26281 .xword 0x8a9c2dd9f150a922
26282 .xword 0x106e89941fe4b91f
26283 .xword 0x274f7ecbd335840a
26284 .xword 0x45bf9009229c2534
26285 .xword 0x8a230dee89d0f428
26286 .xword 0x3d40140dc8a8a62d
26287 .xword 0xbfee703578b70408
26288 .xword 0x7d5b0e6fb4eab219
26289 .xword 0xef633e2f85af54f7
26290 .xword 0x4f402aa420c2a15f
26291 .xword 0x646f85eb62e7f199
26292 .xword 0x764f61fa491ed37b
26293 .xword 0x715788f9e2da197e
26294 .xword 0xd43aa74ed71276f3
26295 .xword 0x88bc5a8ac95a2222
26296 .xword 0x39df9089ff6e0d79
26297 .xword 0xba3b245341ff87c5
26298 .xword 0xe96405f2af7ca127
26299 .xword 0xf7207e64b98b4f28
26300 .xword 0x14acfd75059cd26b
26301 .xword 0x2d649ad6e00a1a06
26302 .xword 0xcdbb43663ccc739c
26303 .xword 0x78a64c58e3c7dc49
26304 .xword 0xd313d432f0ff4d7f
26305 .xword 0x43e5680f482082fb
26306 .xword 0xe4d0b458f3442004
26307 .xword 0x63661c9582fdb177
26308 .xword 0xcdc0ff3cfb64f5c9
26309 .xword 0xad80713104095234
26310 .xword 0x8bb6814f67f807ca
26311 .xword 0xd63ad073881d769f
26312 .xword 0x261417e4bd218cec
26313 .xword 0xcb5735016c8c5a24
26314 .xword 0x58526761ac3e590c
26315 .xword 0x358716395c95699e
26316 .xword 0x3f82db165901be6c
26317 .xword 0x59c2f7681cb66f7e
26318 .xword 0x763b1844872088f0
26319 .xword 0x3f305250823e0eb1
26320 .xword 0xe77ba0ea13fa36f5
26321 .xword 0x886274337d354621
26322 .xword 0x259ba4fa69ea0cba
26323 .xword 0x7e1e7534703d792d
26324 .xword 0xe28404de580bd7f1
26325 .xword 0x5a6613c26de52ef0
26326 .xword 0x00fe473a74f124fc
26327 .xword 0x9d6969282fb83336
26328 .xword 0x8a7724e163cc1aba
26329 .xword 0xfe4e0c02cddf6f15
26330 .xword 0x149f85c8f33da11c
26331 .xword 0xe0b34ac1cdd3d9fe
26332 .xword 0xb5b5fcb0e70388e5
26333 .xword 0x3b47759cd91edba4
26334 .xword 0xad8e5555141e5a15
26335 .xword 0x23871756647954e6
26336 .xword 0x69b306c0aa4f15ca
26337 .xword 0xac7c46eb071495ca
26338 .xword 0x5d97d183f5a2cfaa
26339 .xword 0x550d206b24651a65
26340 .xword 0x04c1f8994bfbff51
26341 .xword 0xd2f3b925256468a7
26342 .xword 0x7b56c7d8a67ba090
26343 .xword 0xc6f1b78714a8df99
26344 .xword 0x80f90837d67cc443
26345 .xword 0x78f6b31001de8696
26346 .xword 0x1d777a5712321553
26347 .xword 0xc5fe2e2795425498
26348 .xword 0x4c1bf1f34a0bfdf2
26349 .xword 0x82aca220565154fb
26350 .xword 0xe744da7e87f218d5
26351 .xword 0x31e1820c32a082ec
26352 .xword 0x9bde8c4f6d1936a2
26353 .xword 0xea456ec85a484b05
26354 .xword 0xd0497cc8fea5abee
26355 .xword 0xc1e1cc819b502d03
26356 .xword 0x4b064ef77dc41708
26357 .xword 0xf676bc3fd011b044
26358 .xword 0x476574bf9408153d
26359 .xword 0x2ad3099ea6659960
26360 .xword 0x16d3377ab1fe0b31
26361 .xword 0x69f4f9cee8599558
26362 .xword 0x95994734fd20aa25
26363 .xword 0xe0534e60fb3d3790
26364 .xword 0x4e4aad94a6a1002d
26365 .xword 0x0bd56fc05173dc53
26366 .xword 0x05a73784b2eada8e
26367 .xword 0x09e235ca9f4c2d36
26368 .xword 0x272d4fb8eb9b9f7f
26369 .xword 0xb3f8cc9b4a54adb3
26370 .xword 0xc2a844d055fb7450
26371 .xword 0xe21068414e78b054
26372 .xword 0x60e9979c5cef4f15
26373 .xword 0x760d42bc0c43d7b2
26374 .xword 0x4c36aa39ec3608f4
26375 .xword 0x5a86fa306f65580f
26376 .xword 0xe3ea7bce3a9717ee
26377 .xword 0x40f0450a72338868
26378 .xword 0x1104c887520f1799
26379 .xword 0xbb2720d0459d251a
26380 .xword 0x9fcfa409b426f9ac
26381 .xword 0xabce8ebddbcc04d4
26382 .xword 0x146ca471dcaee831
26383 .xword 0x696486200f5cde86
26384 .xword 0xb0685bc11a094030
26385 .xword 0x9dc6299eeebb17f6
26386 .xword 0x18ac611822e43707
26387 .xword 0xd27122fd22716e57
26388 .xword 0x37776a8210200a2c
26389 .xword 0x43e25d1a20db1a74
26390 .xword 0x88b7d9e8b1db6c3e
26391 .xword 0x42cf3f3fb8f4a294
26392 .xword 0xe0b9fd91bbf5668d
26393 .xword 0xab04d4e501bc6d94
26394 .xword 0x48e683d733f321fd
26395 .xword 0x31d419026e316867
26396 .xword 0xa3eb06549784862b
26397 .xword 0xdf26c94642460a63
26398 .xword 0x48f1fe09670e99d4
26399 .xword 0x0974d56446626068
26400 .xword 0x3fc6eb48973467fc
26401 .xword 0x5db5804d4ed848cd
26402 .xword 0x3f6c0c7676be3baa
26403 .xword 0xa89a50940b12a341
26404 .xword 0x70303d7ab4530923
26405 .xword 0xbd14c26ed4d13a4b
26406 .xword 0xda56bbc81793ca1e
26407_t1_crc_dest:
26408 .xword 0xDEADBEEFDEADBEEF
26409 .xword 0xDEADBEEFDEADBEEF
26410 .xword 0xDEADBEEFDEADBEEF
26411 .xword 0xDEADBEEFDEADBEEF
26412 .xword 0xDEADBEEFDEADBEEF
26413 .xword 0xDEADBEEFDEADBEEF
26414 .xword 0xDEADBEEFDEADBEEF
26415 .xword 0xDEADBEEFDEADBEEF
26416 .xword 0xDEADBEEFDEADBEEF
26417 .xword 0xDEADBEEFDEADBEEF
26418 .xword 0xDEADBEEFDEADBEEF
26419 .xword 0xDEADBEEFDEADBEEF
26420 .xword 0xDEADBEEFDEADBEEF
26421 .xword 0xDEADBEEFDEADBEEF
26422 .xword 0xDEADBEEFDEADBEEF
26423 .xword 0xDEADBEEFDEADBEEF
26424 .xword 0xDEADBEEFDEADBEEF
26425 .xword 0xDEADBEEFDEADBEEF
26426 .xword 0xDEADBEEFDEADBEEF
26427 .xword 0xDEADBEEFDEADBEEF
26428 .xword 0xDEADBEEFDEADBEEF
26429 .xword 0xDEADBEEFDEADBEEF
26430 .xword 0xDEADBEEFDEADBEEF
26431 .xword 0xDEADBEEFDEADBEEF
26432 .xword 0xDEADBEEFDEADBEEF
26433 .xword 0xDEADBEEFDEADBEEF
26434 .xword 0xDEADBEEFDEADBEEF
26435 .xword 0xDEADBEEFDEADBEEF
26436 .xword 0xDEADBEEFDEADBEEF
26437 .xword 0xDEADBEEFDEADBEEF
26438 .xword 0xDEADBEEFDEADBEEF
26439 .xword 0xDEADBEEFDEADBEEF
26440 .xword 0xDEADBEEFDEADBEEF
26441 .xword 0xDEADBEEFDEADBEEF
26442 .xword 0xDEADBEEFDEADBEEF
26443 .xword 0xDEADBEEFDEADBEEF
26444 .xword 0xDEADBEEFDEADBEEF
26445 .xword 0xDEADBEEFDEADBEEF
26446 .xword 0xDEADBEEFDEADBEEF
26447 .xword 0xDEADBEEFDEADBEEF
26448 .xword 0xDEADBEEFDEADBEEF
26449 .xword 0xDEADBEEFDEADBEEF
26450 .xword 0xDEADBEEFDEADBEEF
26451 .xword 0xDEADBEEFDEADBEEF
26452 .xword 0xDEADBEEFDEADBEEF
26453 .xword 0xDEADBEEFDEADBEEF
26454 .xword 0xDEADBEEFDEADBEEF
26455 .xword 0xDEADBEEFDEADBEEF
26456 .xword 0xDEADBEEFDEADBEEF
26457 .xword 0xDEADBEEFDEADBEEF
26458 .xword 0xDEADBEEFDEADBEEF
26459 .xword 0xDEADBEEFDEADBEEF
26460 .xword 0xDEADBEEFDEADBEEF
26461 .xword 0xDEADBEEFDEADBEEF
26462 .xword 0xDEADBEEFDEADBEEF
26463 .xword 0xDEADBEEFDEADBEEF
26464 .xword 0xDEADBEEFDEADBEEF
26465 .xword 0xDEADBEEFDEADBEEF
26466 .xword 0xDEADBEEFDEADBEEF
26467 .xword 0xDEADBEEFDEADBEEF
26468 .xword 0xDEADBEEFDEADBEEF
26469 .xword 0xDEADBEEFDEADBEEF
26470 .xword 0xDEADBEEFDEADBEEF
26471 .xword 0xDEADBEEFDEADBEEF
26472 .xword 0xDEADBEEFDEADBEEF
26473 .xword 0xDEADBEEFDEADBEEF
26474 .xword 0xDEADBEEFDEADBEEF
26475 .xword 0xDEADBEEFDEADBEEF
26476 .xword 0xDEADBEEFDEADBEEF
26477 .xword 0xDEADBEEFDEADBEEF
26478 .xword 0xDEADBEEFDEADBEEF
26479 .xword 0xDEADBEEFDEADBEEF
26480 .xword 0xDEADBEEFDEADBEEF
26481 .xword 0xDEADBEEFDEADBEEF
26482 .xword 0xDEADBEEFDEADBEEF
26483 .xword 0xDEADBEEFDEADBEEF
26484 .xword 0xDEADBEEFDEADBEEF
26485 .xword 0xDEADBEEFDEADBEEF
26486 .xword 0xDEADBEEFDEADBEEF
26487 .xword 0xDEADBEEFDEADBEEF
26488 .xword 0xDEADBEEFDEADBEEF
26489 .xword 0xDEADBEEFDEADBEEF
26490 .xword 0xDEADBEEFDEADBEEF
26491 .xword 0xDEADBEEFDEADBEEF
26492 .xword 0xDEADBEEFDEADBEEF
26493 .xword 0xDEADBEEFDEADBEEF
26494 .xword 0xDEADBEEFDEADBEEF
26495 .xword 0xDEADBEEFDEADBEEF
26496 .xword 0xDEADBEEFDEADBEEF
26497 .xword 0xDEADBEEFDEADBEEF
26498 .xword 0xDEADBEEFDEADBEEF
26499 .xword 0xDEADBEEFDEADBEEF
26500 .xword 0xDEADBEEFDEADBEEF
26501 .xword 0xDEADBEEFDEADBEEF
26502 .xword 0xDEADBEEFDEADBEEF
26503 .xword 0xDEADBEEFDEADBEEF
26504 .xword 0xDEADBEEFDEADBEEF
26505 .xword 0xDEADBEEFDEADBEEF
26506 .xword 0xDEADBEEFDEADBEEF
26507 .xword 0xDEADBEEFDEADBEEF
26508 .xword 0xDEADBEEFDEADBEEF
26509 .xword 0xDEADBEEFDEADBEEF
26510 .xword 0xDEADBEEFDEADBEEF
26511 .xword 0xDEADBEEFDEADBEEF
26512 .xword 0xDEADBEEFDEADBEEF
26513 .xword 0xDEADBEEFDEADBEEF
26514 .xword 0xDEADBEEFDEADBEEF
26515 .xword 0xDEADBEEFDEADBEEF
26516 .xword 0xDEADBEEFDEADBEEF
26517 .xword 0xDEADBEEFDEADBEEF
26518 .xword 0xDEADBEEFDEADBEEF
26519 .xword 0xDEADBEEFDEADBEEF
26520 .xword 0xDEADBEEFDEADBEEF
26521 .xword 0xDEADBEEFDEADBEEF
26522 .xword 0xDEADBEEFDEADBEEF
26523 .xword 0xDEADBEEFDEADBEEF
26524 .xword 0xDEADBEEFDEADBEEF
26525 .xword 0xDEADBEEFDEADBEEF
26526 .xword 0xDEADBEEFDEADBEEF
26527 .xword 0xDEADBEEFDEADBEEF
26528 .xword 0xDEADBEEFDEADBEEF
26529 .xword 0xDEADBEEFDEADBEEF
26530 .xword 0xDEADBEEFDEADBEEF
26531 .xword 0xDEADBEEFDEADBEEF
26532 .xword 0xDEADBEEFDEADBEEF
26533 .xword 0xDEADBEEFDEADBEEF
26534 .xword 0xDEADBEEFDEADBEEF
26535 .xword 0xDEADBEEFDEADBEEF
26536 .xword 0xDEADBEEFDEADBEEF
26537 .xword 0xDEADBEEFDEADBEEF
26538 .xword 0xDEADBEEFDEADBEEF
26539 .xword 0xDEADBEEFDEADBEEF
26540 .xword 0xDEADBEEFDEADBEEF
26541 .xword 0xDEADBEEFDEADBEEF
26542 .xword 0xDEADBEEFDEADBEEF
26543 .xword 0xDEADBEEFDEADBEEF
26544 .xword 0xDEADBEEFDEADBEEF
26545 .xword 0xDEADBEEFDEADBEEF
26546 .xword 0xDEADBEEFDEADBEEF
26547 .xword 0xDEADBEEFDEADBEEF
26548 .xword 0xDEADBEEFDEADBEEF
26549 .xword 0xDEADBEEFDEADBEEF
26550 .xword 0xDEADBEEFDEADBEEF
26551 .xword 0xDEADBEEFDEADBEEF
26552 .xword 0xDEADBEEFDEADBEEF
26553 .xword 0xDEADBEEFDEADBEEF
26554 .xword 0xDEADBEEFDEADBEEF
26555 .xword 0xDEADBEEFDEADBEEF
26556 .xword 0xDEADBEEFDEADBEEF
26557 .xword 0xDEADBEEFDEADBEEF
26558 .xword 0xDEADBEEFDEADBEEF
26559 .xword 0xDEADBEEFDEADBEEF
26560 .xword 0xDEADBEEFDEADBEEF
26561 .xword 0xDEADBEEFDEADBEEF
26562 .xword 0xDEADBEEFDEADBEEF
26563 .xword 0xDEADBEEFDEADBEEF
26564 .xword 0xDEADBEEFDEADBEEF
26565 .xword 0xDEADBEEFDEADBEEF
26566 .xword 0xDEADBEEFDEADBEEF
26567 .xword 0xDEADBEEFDEADBEEF
26568 .xword 0xDEADBEEFDEADBEEF
26569 .xword 0xDEADBEEFDEADBEEF
26570 .xword 0xDEADBEEFDEADBEEF
26571 .xword 0xDEADBEEFDEADBEEF
26572 .xword 0xDEADBEEFDEADBEEF
26573 .xword 0xDEADBEEFDEADBEEF
26574 .xword 0xDEADBEEFDEADBEEF
26575 .xword 0xDEADBEEFDEADBEEF
26576 .xword 0xDEADBEEFDEADBEEF
26577 .xword 0xDEADBEEFDEADBEEF
26578 .xword 0xDEADBEEFDEADBEEF
26579 .xword 0xDEADBEEFDEADBEEF
26580 .xword 0xDEADBEEFDEADBEEF
26581 .xword 0xDEADBEEFDEADBEEF
26582 .xword 0xDEADBEEFDEADBEEF
26583 .xword 0xDEADBEEFDEADBEEF
26584 .xword 0xDEADBEEFDEADBEEF
26585 .xword 0xDEADBEEFDEADBEEF
26586 .xword 0xDEADBEEFDEADBEEF
26587 .xword 0xDEADBEEFDEADBEEF
26588 .xword 0xDEADBEEFDEADBEEF
26589 .xword 0xDEADBEEFDEADBEEF
26590 .xword 0xDEADBEEFDEADBEEF
26591 .xword 0xDEADBEEFDEADBEEF
26592 .xword 0xDEADBEEFDEADBEEF
26593 .xword 0xDEADBEEFDEADBEEF
26594 .xword 0xDEADBEEFDEADBEEF
26595 .xword 0xDEADBEEFDEADBEEF
26596 .xword 0xDEADBEEFDEADBEEF
26597 .xword 0xDEADBEEFDEADBEEF
26598 .xword 0xDEADBEEFDEADBEEF
26599 .xword 0xDEADBEEFDEADBEEF
26600 .xword 0xDEADBEEFDEADBEEF
26601 .xword 0xDEADBEEFDEADBEEF
26602 .xword 0xDEADBEEFDEADBEEF
26603 .xword 0xDEADBEEFDEADBEEF
26604 .xword 0xDEADBEEFDEADBEEF
26605 .xword 0xDEADBEEFDEADBEEF
26606 .xword 0xDEADBEEFDEADBEEF
26607 .xword 0xDEADBEEFDEADBEEF
26608 .xword 0xDEADBEEFDEADBEEF
26609 .xword 0xDEADBEEFDEADBEEF
26610 .xword 0xDEADBEEFDEADBEEF
26611 .xword 0xDEADBEEFDEADBEEF
26612 .xword 0xDEADBEEFDEADBEEF
26613 .xword 0xDEADBEEFDEADBEEF
26614 .xword 0xDEADBEEFDEADBEEF
26615 .xword 0xDEADBEEFDEADBEEF
26616 .xword 0xDEADBEEFDEADBEEF
26617 .xword 0xDEADBEEFDEADBEEF
26618 .xword 0xDEADBEEFDEADBEEF
26619 .xword 0xDEADBEEFDEADBEEF
26620 .xword 0xDEADBEEFDEADBEEF
26621 .xword 0xDEADBEEFDEADBEEF
26622 .xword 0xDEADBEEFDEADBEEF
26623 .xword 0xDEADBEEFDEADBEEF
26624 .xword 0xDEADBEEFDEADBEEF
26625 .xword 0xDEADBEEFDEADBEEF
26626 .xword 0xDEADBEEFDEADBEEF
26627 .xword 0xDEADBEEFDEADBEEF
26628 .xword 0xDEADBEEFDEADBEEF
26629 .xword 0xDEADBEEFDEADBEEF
26630 .xword 0xDEADBEEFDEADBEEF
26631 .xword 0xDEADBEEFDEADBEEF
26632 .xword 0xDEADBEEFDEADBEEF
26633 .xword 0xDEADBEEFDEADBEEF
26634 .xword 0xDEADBEEFDEADBEEF
26635 .xword 0xDEADBEEFDEADBEEF
26636 .xword 0xDEADBEEFDEADBEEF
26637 .xword 0xDEADBEEFDEADBEEF
26638 .xword 0xDEADBEEFDEADBEEF
26639 .xword 0xDEADBEEFDEADBEEF
26640 .xword 0xDEADBEEFDEADBEEF
26641 .xword 0xDEADBEEFDEADBEEF
26642 .xword 0xDEADBEEFDEADBEEF
26643 .xword 0xDEADBEEFDEADBEEF
26644 .xword 0xDEADBEEFDEADBEEF
26645 .xword 0xDEADBEEFDEADBEEF
26646 .xword 0xDEADBEEFDEADBEEF
26647 .xword 0xDEADBEEFDEADBEEF
26648 .xword 0xDEADBEEFDEADBEEF
26649 .xword 0xDEADBEEFDEADBEEF
26650 .xword 0xDEADBEEFDEADBEEF
26651 .xword 0xDEADBEEFDEADBEEF
26652 .xword 0xDEADBEEFDEADBEEF
26653 .xword 0xDEADBEEFDEADBEEF
26654 .xword 0xDEADBEEFDEADBEEF
26655 .xword 0xDEADBEEFDEADBEEF
26656 .xword 0xDEADBEEFDEADBEEF
26657 .xword 0xDEADBEEFDEADBEEF
26658 .xword 0xDEADBEEFDEADBEEF
26659 .xword 0xDEADBEEFDEADBEEF
26660 .xword 0xDEADBEEFDEADBEEF
26661 .xword 0xDEADBEEFDEADBEEF
26662 .xword 0xDEADBEEFDEADBEEF
26663 .xword 0xDEADBEEFDEADBEEF
26664 .xword 0xDEADBEEFDEADBEEF
26665 .xword 0xDEADBEEFDEADBEEF
26666 .xword 0xDEADBEEFDEADBEEF
26667 .xword 0xDEADBEEFDEADBEEF
26668 .xword 0xDEADBEEFDEADBEEF
26669 .xword 0xDEADBEEFDEADBEEF
26670 .xword 0xDEADBEEFDEADBEEF
26671 .xword 0xDEADBEEFDEADBEEF
26672 .xword 0xDEADBEEFDEADBEEF
26673 .xword 0xDEADBEEFDEADBEEF
26674 .xword 0xDEADBEEFDEADBEEF
26675 .xword 0xDEADBEEFDEADBEEF
26676 .xword 0xDEADBEEFDEADBEEF
26677 .xword 0xDEADBEEFDEADBEEF
26678 .xword 0xDEADBEEFDEADBEEF
26679 .xword 0xDEADBEEFDEADBEEF
26680 .xword 0xDEADBEEFDEADBEEF
26681 .xword 0xDEADBEEFDEADBEEF
26682 .xword 0xDEADBEEFDEADBEEF
26683_t1_crc_auth_key:
26684 .xword 0x98be4a4200a1ca2c
26685 .xword 0xdbd58ef65a903550
26686 .xword 0x72badac6abb94251
26687 .xword 0xbf96c7c9dfea0d1a
26688 .xword 0x37e54b723d5c4185
26689 .xword 0xdc01e9e41ed1c0a2
26690 .xword 0x4c77c8f28caccf9d
26691 .xword 0x43b729a0b3113b68
26692 .xword 0x6920a5fb546d5e0b
26693 .xword 0x569afdf8c383c676
26694 .xword 0x898be595cf945be5
26695 .xword 0xecad691187502d30
26696 .xword 0xb3bd6ab5f5507ef1
26697 .xword 0x013860dc03db8d74
26698 .xword 0xd99c25c6fe3d5daa
26699 .xword 0x5c955704fcbe7252
26700 .xword 0x784f71588f4d2915
26701 .xword 0xbdb234fd20e7244b
26702 .xword 0x8a34cc621bc0c1cf
26703 .xword 0x9a34f6005927e85e
26704 .xword 0x963f59d644318a40
26705 .xword 0xf3e185329904630d
26706 .xword 0x9149fd4447f0d8ba
26707_t1_crc_auth_iv:
26708 .xword 0x5060d2e4c3399b98
26709 .xword 0x913f4e37ffe828ec
26710 .xword 0x9581aa0558c73ead
26711 .xword 0x1505bc92f1c63327
26712 .xword 0x61f51e44abb5a695
26713 .xword 0x215de9a8fcabb2d4
26714 .xword 0xb846d5c82a4452d3
26715 .xword 0xf3615344ca3cae28
26716 .xword 0x627ee32bfb9b8a39
26717 .xword 0x0d3b41b92cac5476
26718 .xword 0x1373108b7a3bca05
26719 .xword 0x2eef59d24b768190
26720 .xword 0x99f9d2f197749d8e
26721 .xword 0x46bd9c620a576188
26722 .xword 0x16fc45b4cb6569e5
26723 .xword 0xd3e0ee7422bbd431
26724 .xword 0x358354f4e8f7309d
26725 .xword 0xa59d4b5440f8374a
26726 .xword 0x624374a344de6f66
26727 .xword 0xe0d60c6fe62b18fc
26728 .xword 0xec53ca29e657ea3a
26729 .xword 0x7500b85936e4c69d
26730 .xword 0xbe437bb59626e088
26731_t1_crc_fas_result:
26732 .xword 0xDEADBEEFDEADBEEF
26733 .xword 0xDEADBEEFDEADBEEF
26734 .xword 0xDEADBEEFDEADBEEF
26735 .xword 0xDEADBEEFDEADBEEF
26736 .xword 0xDEADBEEFDEADBEEF
26737 .xword 0xDEADBEEFDEADBEEF
26738 .xword 0xDEADBEEFDEADBEEF
26739 .xword 0xDEADBEEFDEADBEEF
26740 .xword 0xDEADBEEFDEADBEEF
26741 .xword 0xDEADBEEFDEADBEEF
26742 .xword 0xDEADBEEFDEADBEEF
26743 .xword 0xDEADBEEFDEADBEEF
26744 .xword 0xDEADBEEFDEADBEEF
26745 .xword 0xDEADBEEFDEADBEEF
26746 .xword 0xDEADBEEFDEADBEEF
26747 .xword 0xDEADBEEFDEADBEEF
26748 .xword 0xDEADBEEFDEADBEEF
26749 .xword 0xDEADBEEFDEADBEEF
26750 .xword 0xDEADBEEFDEADBEEF
26751 .xword 0xDEADBEEFDEADBEEF
26752 .xword 0xDEADBEEFDEADBEEF
26753 .xword 0xDEADBEEFDEADBEEF
26754 .xword 0xDEADBEEFDEADBEEF
26755_t1_hash_key_array:
26756 .xword 0x8dadd04c22d8858d
26757 .xword 0x45c4ca612589f6f9
26758 .xword 0x4d0f289a938b136b
26759 .xword 0x1ed7908ef400ced8
26760 .xword 0x2ffc36d6cbcf56bb
26761 .xword 0xa739e1daad192403
26762 .xword 0x7ad53b0b99c750b0
26763 .xword 0x03d89168b5489382
26764 .xword 0x49b5f2b304ea91ce
26765 .xword 0x917ea2f99a619d00
26766 .xword 0xfc3816e4db5e2417
26767 .xword 0x9aa9784ffa64ac66
26768 .xword 0x9fa1dc3fe8ed0ee3
26769 .xword 0x1821ae7c88206e90
26770 .xword 0xdc2c4c19091f68c3
26771 .xword 0x29f726e12f77779c
26772 .xword 0xa8896af7f19de607
26773 .xword 0xf3e34982b1b2966f
26774 .xword 0x1418122f2d664f3d
26775 .xword 0x08d8561ea4b79c5f
26776 .xword 0x3cfa62c595243542
26777 .xword 0xfa4dbacaa192c67f
26778 .xword 0xeb8cd09b035a38ff
26779 .xword 0xd55bd6d3862353d6
26780 .xword 0x843bf68ad7acdb51
26781 .xword 0xe3228ec027bcfbaf
26782 .xword 0x4604a305e5a1ab5e
26783 .xword 0x7351e5490bdb6597
26784 .xword 0x530fc98c20dfe2ba
26785 .xword 0xf8f8a2cb3eed5416
26786 .xword 0xce05646464675051
26787 .xword 0xb74b0d3dd3cb35ba
26788 .xword 0x5ee4165fb181478f
26789 .xword 0xb83ff2c7f772dbe3
26790 .xword 0x09fff1c8f285d5f8
26791 .xword 0xdac37dc3f7bb4a39
26792 .xword 0x34f623f9f27b49c5
26793 .xword 0xc227949ff8fb072f
26794 .xword 0x2ebe891c2acdddd9
26795 .xword 0x143474db240a813f
26796 .xword 0x78d6dd52da2a462e
26797 .xword 0x19eab414ff16fc89
26798 .xword 0xc990d593ddc240f5
26799 .xword 0x90cb5ba87e11cfa2
26800 .xword 0xa8d04296aca51d9d
26801 .xword 0x24b3bc6f3569ad47
26802 .xword 0xd0848277249c2070
26803 .xword 0x36d50c59db35eb3d
26804 .xword 0x0915a59e134231cf
26805 .xword 0x78fc793a09623cfb
26806 .xword 0x828e7b9c1e8744c6
26807_t1_hash_iv_array:
26808 .xword 0xed434fcd94eefa84
26809 .xword 0x47d37fefc44e4b15
26810 .xword 0xe5063e221e0031de
26811 .xword 0x10f5f30e7cd1a3cf
26812 .xword 0xaa382a944aaa3db4
26813 .xword 0xcb4a2d86ed98bd3f
26814 .xword 0xaea09047ab1f40db
26815 .xword 0x11c457d3437958d1
26816 .xword 0x4d3476e660ca981d
26817 .xword 0xb460e5067911153f
26818 .xword 0x4e16bc7045ad56c8
26819 .xword 0x81cac7cb7711abbe
26820 .xword 0xa5bfdc6c2403b920
26821 .xword 0xfd27481f2df52ee9
26822 .xword 0x3587b728edcd8e75
26823 .xword 0x76e225a0f27cac6a
26824 .xword 0x957b13f98cfd9e12
26825 .xword 0x5d235fdd0f987f7d
26826 .xword 0x9dbfe147cf897042
26827 .xword 0x5f5b5676a3366ee0
26828 .xword 0x18e27036b52d7999
26829 .xword 0x7eb63e329a85ee54
26830 .xword 0xb77e33a58777c1e1
26831 .xword 0xc6a3355a8ca901b0
26832 .xword 0xc603cd446044fa47
26833 .xword 0x5fc6ba352a327139
26834 .xword 0x1dc4c38a73550b61
26835 .xword 0x52c5d929ace7e47c
26836 .xword 0x36d142550f517e5e
26837 .xword 0xad8a40e770cffce7
26838 .xword 0x4acc245f5442cefb
26839 .xword 0x182d58a650e01e77
26840 .xword 0x5ab69919ced7cc05
26841 .xword 0x28df2c72cc62b9aa
26842 .xword 0x672eed33fb5997ae
26843 .xword 0x9bea34b60f977399
26844 .xword 0xdfc3c194f507824b
26845 .xword 0x5e2fd82b6b10ce38
26846 .xword 0x0a66f041d07fdc8d
26847 .xword 0x7b927bd55de2602a
26848 .xword 0x37594f328fa58348
26849 .xword 0x8ef14752a74af71d
26850 .xword 0xb3340a66e33c47c5
26851 .xword 0x3bce4b6031c41724
26852 .xword 0xb999240393d18ad7
26853_t1_hash_alignment_array:
26854 .xword 0
26855 .xword 13
26856 .xword 7
26857 .xword 7
26858 .xword 10
26859 .xword 3
26860 .xword 2
26861 .xword 4
26862 .xword 5
26863 .xword 2
26864 .xword 4
26865 .xword 9
26866 .xword 15
26867 .xword 13
26868 .xword 13
26869 .xword 5
26870 .xword 10
26871 .xword 14
26872 .xword 7
26873 .xword 15
26874 .xword 1
26875 .xword 12
26876 .xword 7
26877 .xword 12
26878 .xword 14
26879 .xword 4
26880 .xword 9
26881 .xword 11
26882 .xword 3
26883 .xword 8
26884 .xword 1
26885 .xword 7
26886 .xword 13
26887 .xword 8
26888 .xword 2
26889 .xword 1
26890 .xword 4
26891 .xword 6
26892 .xword 8
26893 .xword 15
26894 .xword 2
26895 .xword 7
26896 .xword 3
26897 .xword 4
26898 .xword 0
26899 .xword 0
26900 .xword 15
26901 .xword 3
26902 .xword 10
26903 .xword 8
26904 .xword 12
26905 .xword 13
26906 .xword 4
26907 .xword 13
26908 .xword 10
26909 .xword 11
26910 .xword 10
26911 .xword 12
26912 .xword 8
26913 .xword 7
26914 .xword 11
26915 .xword 9
26916 .xword 12
26917 .xword 10
26918 .xword 10
26919 .xword 2
26920 .xword 8
26921 .xword 2
26922 .xword 5
26923 .xword 11
26924 .xword 2
26925 .xword 7
26926 .xword 5
26927 .xword 1
26928 .xword 14
26929 .xword 15
26930 .xword 1
26931 .xword 15
26932 .xword 8
26933 .xword 3
26934 .xword 0
26935 .xword 9
26936 .xword 14
26937 .xword 5
26938 .xword 7
26939 .xword 11
26940 .xword 8
26941 .xword 0
26942 .xword 8
26943 .xword 13
26944 .xword 9
26945 .xword 10
26946 .xword 0
26947 .xword 0
26948 .xword 14
26949 .xword 3
26950 .xword 11
26951 .xword 13
26952 .xword 2
26953 .xword 15
26954 .xword 3
26955 .xword 3
26956 .xword 8
26957 .xword 5
26958 .xword 10
26959_t1_hash_src:
26960 .xword 0x77f340881b440c88
26961 .xword 0x3687adc3850a78fe
26962 .xword 0xe6d496a4172b72d3
26963 .xword 0x9b3d1cf795f4e5af
26964 .xword 0xbf48ccef23a24c88
26965 .xword 0x4bae29439c2e63f9
26966 .xword 0xa2939143dd4018b7
26967 .xword 0xe40e40d8826f3f0a
26968 .xword 0x91f4cecd9ea1b2e9
26969 .xword 0x64b16bdd7b560118
26970 .xword 0xe95f28476867edf9
26971 .xword 0x08a3e4315434f414
26972 .xword 0x3971682a681d1ee6
26973 .xword 0x3daa3f19d19d5fc3
26974 .xword 0xa66b0f57b215d22a
26975 .xword 0x3c4607288b91b088
26976 .xword 0x24c1e5da5981a73e
26977 .xword 0xc7ba466fe96e4122
26978 .xword 0x9d8be4d75909e2a8
26979 .xword 0x5ec9d41d4400102c
26980 .xword 0x21411e2a782cf4eb
26981 .xword 0xbf78b0dbe98e7549
26982 .xword 0x3bb8a9d858ad1e5c
26983 .xword 0xbd72a4e1dd3255ac
26984 .xword 0x6b50bf4f5ebc31b6
26985 .xword 0x457275bc19541249
26986 .xword 0xda130a6472ea45f5
26987 .xword 0xade030b5d4b46ac2
26988 .xword 0x4c2b53619890af47
26989 .xword 0x64cabcb650887385
26990 .xword 0x585e241242fa9bdc
26991 .xword 0x6063592f6600e744
26992 .xword 0x56ed1302b7a95073
26993 .xword 0xce248ba3aebd220f
26994 .xword 0x06a719026682ac21
26995 .xword 0x61b5a99f3c794264
26996 .xword 0x9827f5ea60da4525
26997 .xword 0xaf53aec05f31378d
26998 .xword 0xf51a75d3bca0b735
26999 .xword 0x7be4e424334cb329
27000 .xword 0x044aa4b36c05083f
27001 .xword 0x5a03fd90c96014da
27002 .xword 0x3901c4ff0b41a8e4
27003 .xword 0x7b274b0bf024f4b4
27004 .xword 0xa1ffcc17185989dd
27005 .xword 0x346c5370953d1e9b
27006 .xword 0x49785dc50efa2c00
27007 .xword 0x5d230614f56a664b
27008 .xword 0x2b2341b7a36e18e8
27009 .xword 0x78fd5342ad009e2a
27010 .xword 0x4ce2a7bf683f81de
27011 .xword 0x8c7482ac9de7b51f
27012 .xword 0xb548447f3459c7ea
27013 .xword 0xdc1f07a0567cbd1c
27014 .xword 0x88df22a1c0a8157a
27015 .xword 0x4a99272ee58a68ad
27016 .xword 0x7f0583d5fd0593a6
27017 .xword 0x66451803237405f2
27018 .xword 0xfba69193f04efd24
27019 .xword 0x26e94370bd13d31f
27020 .xword 0xdddf10b59c1bda5a
27021 .xword 0xc34e4bdd43ced26a
27022 .xword 0xab2d5ef33d7ed4b5
27023 .xword 0x9435e18a9772ceeb
27024 .xword 0x14b8ae632de16f0c
27025 .xword 0x10ef1ee165e75afb
27026 .xword 0x50d8a3eaa99214c6
27027 .xword 0x632f7a084eb875d4
27028 .xword 0x94100645e05d2093
27029 .xword 0x48032b761f580534
27030 .xword 0x6e51dc3699ea1799
27031 .xword 0x3a57310763914e86
27032 .xword 0xb821366c6e6995d6
27033 .xword 0x244dcc2e4fe0fdd7
27034 .xword 0x2a4283050ee01105
27035 .xword 0x1de541736e63e147
27036 .xword 0x86b54a4ab68df4a4
27037 .xword 0x188fa08b27d81d1b
27038 .xword 0x152e1c16cac5d777
27039 .xword 0x619103324b60a51f
27040 .xword 0x8231bc49f6bd299c
27041 .xword 0xc1ce03ec1bd351a2
27042 .xword 0xa4d7f030029d2141
27043 .xword 0x27ab68125547ab62
27044 .xword 0xfd841401e21ec278
27045 .xword 0x31be66d84bade0cb
27046 .xword 0x33de979296b5eaa3
27047 .xword 0x3423d5710a59fba1
27048 .xword 0xa1f5ad6a238231e6
27049 .xword 0x63dfdd3f9a04f663
27050 .xword 0x302bf0e93f93e858
27051 .xword 0xc1bd3641801dd19d
27052 .xword 0x762ff7fcd5147cb3
27053 .xword 0x350d56430db88405
27054 .xword 0xca2abba1714789bd
27055 .xword 0x63b5f7baeeacc10b
27056 .xword 0x09936ebd838d5167
27057 .xword 0x5bd3a452d3b05466
27058 .xword 0x528a4f18fb5e449b
27059 .xword 0x789a9ddb9ab761c8
27060 .xword 0x8878a8a8a99a74d6
27061 .xword 0x7dcc50b226cef139
27062 .xword 0xf00c0c6c330c23b9
27063 .xword 0x240992765f2b9ccb
27064 .xword 0x3dfd4dece494bdaf
27065 .xword 0x1b7c957e902d3c02
27066 .xword 0x2eb76459f8a22094
27067 .xword 0xbda12508ecd13598
27068 .xword 0x5f536fa017c6da1c
27069 .xword 0xee910b7aa6a44ff9
27070 .xword 0x10d6ef6be7240437
27071 .xword 0xb21de1117522d4dc
27072 .xword 0x39fabd46f0c297ac
27073 .xword 0xc5c0df5c5397500d
27074 .xword 0x81dc95ebf0a8a8d2
27075 .xword 0xb6cdf4359af40c81
27076 .xword 0x3cbf754f44979d6c
27077 .xword 0xd44bd78bcd809226
27078 .xword 0x8873b88e46fec418
27079 .xword 0x9ee340cec11a5dd1
27080 .xword 0x732072908f7bdaa4
27081 .xword 0x66cd4174c36c86a0
27082 .xword 0xc97be8aeebb44aae
27083 .xword 0x077b3ba44dfc9b78
27084 .xword 0xe4fa9bf50e5a1447
27085 .xword 0xdd7cfd7ae2cef35a
27086 .xword 0x656c951bb71ea309
27087 .xword 0x93f2bba026c1cc18
27088 .xword 0xcff1db1f759e13b9
27089 .xword 0x7c808b20b32faa94
27090 .xword 0x4ee538466a097db6
27091 .xword 0x19a4951b0fd8fee7
27092 .xword 0x4e083823dc16efd9
27093 .xword 0x221ba78ce4bc3daa
27094 .xword 0x86c185c02fe881bd
27095 .xword 0x2473a5c9e02352cb
27096 .xword 0xfd87abea66973cb6
27097 .xword 0x17183e220b6d103a
27098 .xword 0xbad81e4dc22bb9de
27099 .xword 0xef9e176d0fdaf441
27100 .xword 0xf34888b620097a8c
27101 .xword 0x1c28b342bdd98b7d
27102 .xword 0x188a76ca592a5970
27103 .xword 0xc01cd60e78f812fa
27104 .xword 0x638762faf55788e5
27105 .xword 0xe875d73b23789117
27106 .xword 0xe091155e77a560d9
27107 .xword 0xda1cbffa04c8438a
27108 .xword 0x010cc5af264445ad
27109 .xword 0xf42aff56069cfd9a
27110 .xword 0x3b793434e43e85f8
27111 .xword 0x8fdcb9acb4750f62
27112 .xword 0xfb45563466c1b831
27113 .xword 0x23e9b9c45020937e
27114 .xword 0x50521708f49b903f
27115 .xword 0x0b27f6a5b627028b
27116 .xword 0x75e8024540d8a9e8
27117 .xword 0x32399eb2a8888224
27118 .xword 0x946e31c80e7d6bd8
27119 .xword 0x5ffb48639d271582
27120 .xword 0x81649c37552ae6d2
27121 .xword 0x12d54a05985cc055
27122 .xword 0x593f51fd34a163e3
27123 .xword 0x9f077bc8b15e283f
27124 .xword 0x887451579089040f
27125 .xword 0x9a167450277f7d32
27126 .xword 0x35fdef437c53c804
27127 .xword 0x74af56d11e863829
27128 .xword 0x09c69397c0a8d17b
27129 .xword 0x46cee87fa53701a5
27130 .xword 0xc7140e65c5946bc9
27131 .xword 0xb4c67a3270e9750a
27132 .xword 0x6f8040fc78166146
27133 .xword 0xbb93aa4bd4b3aad4
27134 .xword 0x5f7e0998d9f84a24
27135 .xword 0x8205b7a894295157
27136 .xword 0x54060d484002846f
27137 .xword 0xd12f4c305d1b20ad
27138 .xword 0xc5daf837cde4392b
27139 .xword 0x5e7619671e8ae81d
27140 .xword 0xc60d712388f8e638
27141 .xword 0x42d6b4e48097310f
27142 .xword 0x89e5cfaa857e81f5
27143 .xword 0x95ca4a7108bea295
27144 .xword 0xf3c16e98e78f02b6
27145 .xword 0x8640f14bd2e0e7c1
27146 .xword 0x6a4725dc0ae81a1f
27147 .xword 0x1a2328f9ae0e3789
27148 .xword 0x539432f9ea23cabd
27149 .xword 0xa8005e3d9d6a6c72
27150 .xword 0x203f6d3004e73583
27151 .xword 0xcfc7791e69da272d
27152 .xword 0x6b1663fd683ec47e
27153 .xword 0x8cc1839c0b45d2fa
27154 .xword 0x44b889cb7361592a
27155 .xword 0xde09f8280e6ac873
27156 .xword 0x87690844b83f73ed
27157 .xword 0x0600feac0a33d4c5
27158 .xword 0x45692c6e80b22bd5
27159 .xword 0xb81e890f78b00942
27160 .xword 0x0b59a1287e49aad6
27161 .xword 0x5d6e662349cfb8ea
27162 .xword 0x8148e8c8c43d91e6
27163 .xword 0xb736d75ce255fdfc
27164 .xword 0x0f14df79d452d15c
27165 .xword 0xb531fbd95d16e17a
27166 .xword 0x58df4aef14801348
27167 .xword 0x74a0f175eb342ef0
27168 .xword 0x87a2ebcadcf87b05
27169 .xword 0xc29e75fc9d2dae49
27170 .xword 0x2166889b49c2d81c
27171 .xword 0xf19d024d0bfd86c3
27172 .xword 0xe9d625565a4c4a23
27173 .xword 0xb001f551a77eee59
27174 .xword 0xcbe0f59fa89a8532
27175 .xword 0xec4b072d6cf47442
27176 .xword 0xfff622dabd93e26c
27177 .xword 0xabd1d059a8af89b6
27178 .xword 0x5891daee199f611c
27179 .xword 0xd251f9d5064a7824
27180 .xword 0x1871299ce3f0dd5f
27181 .xword 0xe3957dfed7841676
27182 .xword 0x196b58b4d5080f9e
27183 .xword 0x0c2191867bdeb4dc
27184 .xword 0xabe9ebe9e5bd0c76
27185 .xword 0x693272c492551d32
27186 .xword 0xf1659712606d4c25
27187 .xword 0x717477b49f129c6c
27188 .xword 0x1eddeb460ca4d4af
27189 .xword 0xafa216c2f707d21c
27190 .xword 0x52920046d2f08c4d
27191 .xword 0xa489da19b36d45bd
27192 .xword 0xd90d6d98e4a72f7e
27193 .xword 0x4ab060ed648f0e40
27194 .xword 0x2554e93cd2da89e2
27195 .xword 0x60918991c87f066b
27196 .xword 0x7218d36f82c6a525
27197 .xword 0x9c61bb498b064337
27198 .xword 0x6c3668f740829262
27199 .xword 0x455d5b5d78c46fe2
27200 .xword 0x1bfc0917f9ba0b3c
27201 .xword 0x801bb83b6230d0c9
27202 .xword 0xf192f1f4438149e1
27203 .xword 0xeae4ff0cdd522a9a
27204 .xword 0x299c7436c08c1cf8
27205 .xword 0xf02861cbe6de6aa6
27206 .xword 0x5bf3251e0fb6cedb
27207 .xword 0xf68ea2a784c77b52
27208 .xword 0xe851814840a1d153
27209 .xword 0x387ba22778065235
27210 .xword 0x8177841da3deb99c
27211 .xword 0x93b3ece682cf2ac5
27212 .xword 0xa66c2ad1619f136e
27213 .xword 0xe5bae66f2e772477
27214 .xword 0x1ef9a2fa9da66942
27215 .xword 0x792e6d75fc0f23b0
27216 .xword 0xc493c1fbbc5b4981
27217 .xword 0x337f1fc048725da2
27218 .xword 0xb178c015c555324a
27219 .xword 0xe1339d0c484d4ab8
27220 .xword 0x9d33112e7d114e96
27221 .xword 0x73949b1d8b88ae4a
27222 .xword 0x8eb87da33055c2f9
27223 .xword 0xf1325961f646b819
27224 .xword 0x3875e4d2e87f2283
27225 .xword 0x811cd1714608a6b0
27226 .xword 0x1f2d3e2a18a8fe17
27227 .xword 0x27bdfe59b352ab62
27228 .xword 0x2e13ab12fca29fb2
27229 .xword 0x84a1414632343016
27230 .xword 0x6c8b9eec1699304d
27231 .xword 0x16ab035198d04f59
27232 .xword 0x104d2382af0c33f7
27233 .xword 0x8d283442c811ce13
27234 .xword 0x89811e483778da5a
27235_t1_hash_dest:
27236 .xword 0xDEADBEEFDEADBEEF
27237 .xword 0xDEADBEEFDEADBEEF
27238 .xword 0xDEADBEEFDEADBEEF
27239 .xword 0xDEADBEEFDEADBEEF
27240 .xword 0xDEADBEEFDEADBEEF
27241 .xword 0xDEADBEEFDEADBEEF
27242 .xword 0xDEADBEEFDEADBEEF
27243 .xword 0xDEADBEEFDEADBEEF
27244 .xword 0xDEADBEEFDEADBEEF
27245 .xword 0xDEADBEEFDEADBEEF
27246 .xword 0xDEADBEEFDEADBEEF
27247 .xword 0xDEADBEEFDEADBEEF
27248 .xword 0xDEADBEEFDEADBEEF
27249 .xword 0xDEADBEEFDEADBEEF
27250 .xword 0xDEADBEEFDEADBEEF
27251 .xword 0xDEADBEEFDEADBEEF
27252 .xword 0xDEADBEEFDEADBEEF
27253 .xword 0xDEADBEEFDEADBEEF
27254 .xword 0xDEADBEEFDEADBEEF
27255 .xword 0xDEADBEEFDEADBEEF
27256 .xword 0xDEADBEEFDEADBEEF
27257 .xword 0xDEADBEEFDEADBEEF
27258 .xword 0xDEADBEEFDEADBEEF
27259 .xword 0xDEADBEEFDEADBEEF
27260 .xword 0xDEADBEEFDEADBEEF
27261 .xword 0xDEADBEEFDEADBEEF
27262 .xword 0xDEADBEEFDEADBEEF
27263 .xword 0xDEADBEEFDEADBEEF
27264 .xword 0xDEADBEEFDEADBEEF
27265 .xword 0xDEADBEEFDEADBEEF
27266 .xword 0xDEADBEEFDEADBEEF
27267 .xword 0xDEADBEEFDEADBEEF
27268 .xword 0xDEADBEEFDEADBEEF
27269 .xword 0xDEADBEEFDEADBEEF
27270 .xword 0xDEADBEEFDEADBEEF
27271 .xword 0xDEADBEEFDEADBEEF
27272 .xword 0xDEADBEEFDEADBEEF
27273 .xword 0xDEADBEEFDEADBEEF
27274 .xword 0xDEADBEEFDEADBEEF
27275 .xword 0xDEADBEEFDEADBEEF
27276 .xword 0xDEADBEEFDEADBEEF
27277 .xword 0xDEADBEEFDEADBEEF
27278 .xword 0xDEADBEEFDEADBEEF
27279 .xword 0xDEADBEEFDEADBEEF
27280 .xword 0xDEADBEEFDEADBEEF
27281 .xword 0xDEADBEEFDEADBEEF
27282 .xword 0xDEADBEEFDEADBEEF
27283 .xword 0xDEADBEEFDEADBEEF
27284 .xword 0xDEADBEEFDEADBEEF
27285 .xword 0xDEADBEEFDEADBEEF
27286 .xword 0xDEADBEEFDEADBEEF
27287 .xword 0xDEADBEEFDEADBEEF
27288 .xword 0xDEADBEEFDEADBEEF
27289 .xword 0xDEADBEEFDEADBEEF
27290 .xword 0xDEADBEEFDEADBEEF
27291 .xword 0xDEADBEEFDEADBEEF
27292 .xword 0xDEADBEEFDEADBEEF
27293 .xword 0xDEADBEEFDEADBEEF
27294 .xword 0xDEADBEEFDEADBEEF
27295 .xword 0xDEADBEEFDEADBEEF
27296 .xword 0xDEADBEEFDEADBEEF
27297 .xword 0xDEADBEEFDEADBEEF
27298 .xword 0xDEADBEEFDEADBEEF
27299 .xword 0xDEADBEEFDEADBEEF
27300 .xword 0xDEADBEEFDEADBEEF
27301 .xword 0xDEADBEEFDEADBEEF
27302 .xword 0xDEADBEEFDEADBEEF
27303 .xword 0xDEADBEEFDEADBEEF
27304 .xword 0xDEADBEEFDEADBEEF
27305 .xword 0xDEADBEEFDEADBEEF
27306 .xword 0xDEADBEEFDEADBEEF
27307 .xword 0xDEADBEEFDEADBEEF
27308 .xword 0xDEADBEEFDEADBEEF
27309 .xword 0xDEADBEEFDEADBEEF
27310 .xword 0xDEADBEEFDEADBEEF
27311 .xword 0xDEADBEEFDEADBEEF
27312 .xword 0xDEADBEEFDEADBEEF
27313 .xword 0xDEADBEEFDEADBEEF
27314 .xword 0xDEADBEEFDEADBEEF
27315 .xword 0xDEADBEEFDEADBEEF
27316 .xword 0xDEADBEEFDEADBEEF
27317 .xword 0xDEADBEEFDEADBEEF
27318 .xword 0xDEADBEEFDEADBEEF
27319 .xword 0xDEADBEEFDEADBEEF
27320 .xword 0xDEADBEEFDEADBEEF
27321 .xword 0xDEADBEEFDEADBEEF
27322 .xword 0xDEADBEEFDEADBEEF
27323 .xword 0xDEADBEEFDEADBEEF
27324 .xword 0xDEADBEEFDEADBEEF
27325 .xword 0xDEADBEEFDEADBEEF
27326 .xword 0xDEADBEEFDEADBEEF
27327 .xword 0xDEADBEEFDEADBEEF
27328 .xword 0xDEADBEEFDEADBEEF
27329 .xword 0xDEADBEEFDEADBEEF
27330 .xword 0xDEADBEEFDEADBEEF
27331 .xword 0xDEADBEEFDEADBEEF
27332 .xword 0xDEADBEEFDEADBEEF
27333 .xword 0xDEADBEEFDEADBEEF
27334 .xword 0xDEADBEEFDEADBEEF
27335 .xword 0xDEADBEEFDEADBEEF
27336 .xword 0xDEADBEEFDEADBEEF
27337 .xword 0xDEADBEEFDEADBEEF
27338 .xword 0xDEADBEEFDEADBEEF
27339 .xword 0xDEADBEEFDEADBEEF
27340 .xword 0xDEADBEEFDEADBEEF
27341 .xword 0xDEADBEEFDEADBEEF
27342 .xword 0xDEADBEEFDEADBEEF
27343 .xword 0xDEADBEEFDEADBEEF
27344 .xword 0xDEADBEEFDEADBEEF
27345 .xword 0xDEADBEEFDEADBEEF
27346 .xword 0xDEADBEEFDEADBEEF
27347 .xword 0xDEADBEEFDEADBEEF
27348 .xword 0xDEADBEEFDEADBEEF
27349 .xword 0xDEADBEEFDEADBEEF
27350 .xword 0xDEADBEEFDEADBEEF
27351 .xword 0xDEADBEEFDEADBEEF
27352 .xword 0xDEADBEEFDEADBEEF
27353 .xword 0xDEADBEEFDEADBEEF
27354 .xword 0xDEADBEEFDEADBEEF
27355 .xword 0xDEADBEEFDEADBEEF
27356 .xword 0xDEADBEEFDEADBEEF
27357 .xword 0xDEADBEEFDEADBEEF
27358 .xword 0xDEADBEEFDEADBEEF
27359 .xword 0xDEADBEEFDEADBEEF
27360 .xword 0xDEADBEEFDEADBEEF
27361 .xword 0xDEADBEEFDEADBEEF
27362 .xword 0xDEADBEEFDEADBEEF
27363 .xword 0xDEADBEEFDEADBEEF
27364 .xword 0xDEADBEEFDEADBEEF
27365 .xword 0xDEADBEEFDEADBEEF
27366 .xword 0xDEADBEEFDEADBEEF
27367 .xword 0xDEADBEEFDEADBEEF
27368 .xword 0xDEADBEEFDEADBEEF
27369 .xword 0xDEADBEEFDEADBEEF
27370 .xword 0xDEADBEEFDEADBEEF
27371 .xword 0xDEADBEEFDEADBEEF
27372 .xword 0xDEADBEEFDEADBEEF
27373 .xword 0xDEADBEEFDEADBEEF
27374 .xword 0xDEADBEEFDEADBEEF
27375 .xword 0xDEADBEEFDEADBEEF
27376 .xword 0xDEADBEEFDEADBEEF
27377 .xword 0xDEADBEEFDEADBEEF
27378 .xword 0xDEADBEEFDEADBEEF
27379 .xword 0xDEADBEEFDEADBEEF
27380 .xword 0xDEADBEEFDEADBEEF
27381 .xword 0xDEADBEEFDEADBEEF
27382 .xword 0xDEADBEEFDEADBEEF
27383 .xword 0xDEADBEEFDEADBEEF
27384 .xword 0xDEADBEEFDEADBEEF
27385 .xword 0xDEADBEEFDEADBEEF
27386 .xword 0xDEADBEEFDEADBEEF
27387 .xword 0xDEADBEEFDEADBEEF
27388 .xword 0xDEADBEEFDEADBEEF
27389 .xword 0xDEADBEEFDEADBEEF
27390 .xword 0xDEADBEEFDEADBEEF
27391 .xword 0xDEADBEEFDEADBEEF
27392 .xword 0xDEADBEEFDEADBEEF
27393 .xword 0xDEADBEEFDEADBEEF
27394 .xword 0xDEADBEEFDEADBEEF
27395 .xword 0xDEADBEEFDEADBEEF
27396 .xword 0xDEADBEEFDEADBEEF
27397 .xword 0xDEADBEEFDEADBEEF
27398 .xword 0xDEADBEEFDEADBEEF
27399 .xword 0xDEADBEEFDEADBEEF
27400 .xword 0xDEADBEEFDEADBEEF
27401 .xword 0xDEADBEEFDEADBEEF
27402 .xword 0xDEADBEEFDEADBEEF
27403 .xword 0xDEADBEEFDEADBEEF
27404 .xword 0xDEADBEEFDEADBEEF
27405 .xword 0xDEADBEEFDEADBEEF
27406 .xword 0xDEADBEEFDEADBEEF
27407 .xword 0xDEADBEEFDEADBEEF
27408 .xword 0xDEADBEEFDEADBEEF
27409 .xword 0xDEADBEEFDEADBEEF
27410 .xword 0xDEADBEEFDEADBEEF
27411 .xword 0xDEADBEEFDEADBEEF
27412 .xword 0xDEADBEEFDEADBEEF
27413 .xword 0xDEADBEEFDEADBEEF
27414 .xword 0xDEADBEEFDEADBEEF
27415 .xword 0xDEADBEEFDEADBEEF
27416 .xword 0xDEADBEEFDEADBEEF
27417 .xword 0xDEADBEEFDEADBEEF
27418 .xword 0xDEADBEEFDEADBEEF
27419 .xword 0xDEADBEEFDEADBEEF
27420 .xword 0xDEADBEEFDEADBEEF
27421 .xword 0xDEADBEEFDEADBEEF
27422 .xword 0xDEADBEEFDEADBEEF
27423 .xword 0xDEADBEEFDEADBEEF
27424 .xword 0xDEADBEEFDEADBEEF
27425 .xword 0xDEADBEEFDEADBEEF
27426 .xword 0xDEADBEEFDEADBEEF
27427 .xword 0xDEADBEEFDEADBEEF
27428 .xword 0xDEADBEEFDEADBEEF
27429 .xword 0xDEADBEEFDEADBEEF
27430 .xword 0xDEADBEEFDEADBEEF
27431 .xword 0xDEADBEEFDEADBEEF
27432 .xword 0xDEADBEEFDEADBEEF
27433 .xword 0xDEADBEEFDEADBEEF
27434 .xword 0xDEADBEEFDEADBEEF
27435 .xword 0xDEADBEEFDEADBEEF
27436 .xword 0xDEADBEEFDEADBEEF
27437 .xword 0xDEADBEEFDEADBEEF
27438 .xword 0xDEADBEEFDEADBEEF
27439 .xword 0xDEADBEEFDEADBEEF
27440 .xword 0xDEADBEEFDEADBEEF
27441 .xword 0xDEADBEEFDEADBEEF
27442 .xword 0xDEADBEEFDEADBEEF
27443 .xword 0xDEADBEEFDEADBEEF
27444 .xword 0xDEADBEEFDEADBEEF
27445 .xword 0xDEADBEEFDEADBEEF
27446 .xword 0xDEADBEEFDEADBEEF
27447 .xword 0xDEADBEEFDEADBEEF
27448 .xword 0xDEADBEEFDEADBEEF
27449 .xword 0xDEADBEEFDEADBEEF
27450 .xword 0xDEADBEEFDEADBEEF
27451 .xword 0xDEADBEEFDEADBEEF
27452 .xword 0xDEADBEEFDEADBEEF
27453 .xword 0xDEADBEEFDEADBEEF
27454 .xword 0xDEADBEEFDEADBEEF
27455 .xword 0xDEADBEEFDEADBEEF
27456 .xword 0xDEADBEEFDEADBEEF
27457 .xword 0xDEADBEEFDEADBEEF
27458 .xword 0xDEADBEEFDEADBEEF
27459 .xword 0xDEADBEEFDEADBEEF
27460 .xword 0xDEADBEEFDEADBEEF
27461 .xword 0xDEADBEEFDEADBEEF
27462 .xword 0xDEADBEEFDEADBEEF
27463 .xword 0xDEADBEEFDEADBEEF
27464 .xword 0xDEADBEEFDEADBEEF
27465 .xword 0xDEADBEEFDEADBEEF
27466 .xword 0xDEADBEEFDEADBEEF
27467 .xword 0xDEADBEEFDEADBEEF
27468 .xword 0xDEADBEEFDEADBEEF
27469 .xword 0xDEADBEEFDEADBEEF
27470 .xword 0xDEADBEEFDEADBEEF
27471 .xword 0xDEADBEEFDEADBEEF
27472 .xword 0xDEADBEEFDEADBEEF
27473 .xword 0xDEADBEEFDEADBEEF
27474 .xword 0xDEADBEEFDEADBEEF
27475 .xword 0xDEADBEEFDEADBEEF
27476 .xword 0xDEADBEEFDEADBEEF
27477 .xword 0xDEADBEEFDEADBEEF
27478 .xword 0xDEADBEEFDEADBEEF
27479 .xword 0xDEADBEEFDEADBEEF
27480 .xword 0xDEADBEEFDEADBEEF
27481 .xword 0xDEADBEEFDEADBEEF
27482 .xword 0xDEADBEEFDEADBEEF
27483 .xword 0xDEADBEEFDEADBEEF
27484 .xword 0xDEADBEEFDEADBEEF
27485 .xword 0xDEADBEEFDEADBEEF
27486 .xword 0xDEADBEEFDEADBEEF
27487 .xword 0xDEADBEEFDEADBEEF
27488 .xword 0xDEADBEEFDEADBEEF
27489 .xword 0xDEADBEEFDEADBEEF
27490 .xword 0xDEADBEEFDEADBEEF
27491 .xword 0xDEADBEEFDEADBEEF
27492 .xword 0xDEADBEEFDEADBEEF
27493 .xword 0xDEADBEEFDEADBEEF
27494 .xword 0xDEADBEEFDEADBEEF
27495 .xword 0xDEADBEEFDEADBEEF
27496 .xword 0xDEADBEEFDEADBEEF
27497 .xword 0xDEADBEEFDEADBEEF
27498 .xword 0xDEADBEEFDEADBEEF
27499 .xword 0xDEADBEEFDEADBEEF
27500 .xword 0xDEADBEEFDEADBEEF
27501 .xword 0xDEADBEEFDEADBEEF
27502 .xword 0xDEADBEEFDEADBEEF
27503 .xword 0xDEADBEEFDEADBEEF
27504 .xword 0xDEADBEEFDEADBEEF
27505 .xword 0xDEADBEEFDEADBEEF
27506 .xword 0xDEADBEEFDEADBEEF
27507 .xword 0xDEADBEEFDEADBEEF
27508 .xword 0xDEADBEEFDEADBEEF
27509 .xword 0xDEADBEEFDEADBEEF
27510 .xword 0xDEADBEEFDEADBEEF
27511_t1_hash_auth_key:
27512 .xword 0x4cb82bfdfbc48d18
27513 .xword 0x74cbd07db6221fa3
27514 .xword 0x8e1bb0eebf8e628f
27515 .xword 0x94bf10be285a1502
27516 .xword 0xcfcd452b6178663c
27517 .xword 0x79452c3f1e9f8755
27518 .xword 0x0e127e25a4e5e8e0
27519 .xword 0xea42fdaf84ac02db
27520 .xword 0x48d2eea6e9b804e6
27521 .xword 0xb3d5ed8d70dbd531
27522 .xword 0xbb7f61a2b40a28ff
27523 .xword 0xdde97e7591984ac8
27524 .xword 0x769b46f0df66d0f5
27525 .xword 0x9ed90896493b686d
27526 .xword 0x7cd5f3d1bb0de190
27527 .xword 0x223a9ba052f6b258
27528 .xword 0xa236616264434a13
27529 .xword 0xb3dc54095ec65763
27530 .xword 0x5649471faec37af1
27531 .xword 0x1d21137d7b3b26f4
27532 .xword 0x909e19835cbb2048
27533 .xword 0xa36545308dbc7d31
27534 .xword 0xe9519c7bb6133207
27535_t1_hash_auth_iv:
27536 .xword 0x5dd3663f5ddd582a
27537 .xword 0xab03c9ef992c7164
27538 .xword 0x675875b4d9e9b37a
27539 .xword 0x8366f7420ba17cb8
27540 .xword 0xb45fcadfbd906b31
27541 .xword 0x264ee76b661dff8c
27542 .xword 0xded4ecb86b2333a8
27543 .xword 0xed28111bd76654f3
27544 .xword 0x6acc8e642b30c893
27545 .xword 0x7f2079158749dcc8
27546 .xword 0xb1c4397ee25d90e7
27547 .xword 0x94bdbbc006f62ca5
27548 .xword 0x1285278137c36428
27549 .xword 0xc050a1a0be6c2eb2
27550 .xword 0x9e4b158abc0b8288
27551 .xword 0xc202fd323ecd7855
27552 .xword 0xea649a854e4d4f0a
27553 .xword 0xf608374efa6d0b67
27554 .xword 0xcfca301629d598d9
27555 .xword 0x2676e6f0fb9bffa1
27556 .xword 0x876c1ba5a02187db
27557 .xword 0x84443d158d4b6de5
27558 .xword 0x68edd367f80d569a
27559_t1_hash_fas_result:
27560 .xword 0xDEADBEEFDEADBEEF
27561 .xword 0xDEADBEEFDEADBEEF
27562 .xword 0xDEADBEEFDEADBEEF
27563 .xword 0xDEADBEEFDEADBEEF
27564 .xword 0xDEADBEEFDEADBEEF
27565 .xword 0xDEADBEEFDEADBEEF
27566 .xword 0xDEADBEEFDEADBEEF
27567 .xword 0xDEADBEEFDEADBEEF
27568 .xword 0xDEADBEEFDEADBEEF
27569 .xword 0xDEADBEEFDEADBEEF
27570 .xword 0xDEADBEEFDEADBEEF
27571 .xword 0xDEADBEEFDEADBEEF
27572 .xword 0xDEADBEEFDEADBEEF
27573 .xword 0xDEADBEEFDEADBEEF
27574 .xword 0xDEADBEEFDEADBEEF
27575 .xword 0xDEADBEEFDEADBEEF
27576 .xword 0xDEADBEEFDEADBEEF
27577 .xword 0xDEADBEEFDEADBEEF
27578 .xword 0xDEADBEEFDEADBEEF
27579 .xword 0xDEADBEEFDEADBEEF
27580 .xword 0xDEADBEEFDEADBEEF
27581 .xword 0xDEADBEEFDEADBEEF
27582 .xword 0xDEADBEEFDEADBEEF
27583_t1_hmac_key_array:
27584 .xword 0xfb2a22e6bc2ceee7
27585 .xword 0x14616b1b0aae626d
27586 .xword 0xd4b2775110161b88
27587 .xword 0x6f502ccb9f8093b6
27588 .xword 0xfaa773be2ef977cf
27589 .xword 0x49e775482b1d2633
27590 .xword 0xb122807c8a90e9a6
27591 .xword 0x02dcab838cefdb8c
27592 .xword 0x1fb54ffaa5c042ec
27593 .xword 0x81abb3e9f1804846
27594 .xword 0xb61581bc57fc016d
27595 .xword 0xab4dc6a52b0c8444
27596 .xword 0x408f62299db6535f
27597 .xword 0x850954baea589533
27598 .xword 0x6c2dc974acc3fdbb
27599 .xword 0x9a2145b5932a231a
27600 .xword 0x247da611428374f1
27601 .xword 0xbb4c1ea57f8bdb36
27602 .xword 0x201b420fa40ad951
27603 .xword 0x8ff3620ec7fb4016
27604 .xword 0x237fbcef968475a1
27605 .xword 0xeeb0623bd11dff09
27606 .xword 0xf826c957dc738327
27607 .xword 0xdaa2b532068c8541
27608 .xword 0x4635696fc778cf4b
27609 .xword 0x64b46825ae618418
27610 .xword 0x197f8c60833cbb9c
27611 .xword 0xc8f6678772de6907
27612 .xword 0x098d0cb12cea99bc
27613 .xword 0x93ac4d3b3c6e2515
27614 .xword 0x36145c47e9d44ee6
27615 .xword 0xe315bc36fc6111c8
27616 .xword 0x4630404400cc7823
27617 .xword 0x93011c0536be2756
27618 .xword 0x70306f32f44867c9
27619 .xword 0x8ca288c4dbb87e75
27620 .xword 0x3e8c9d24a45d6418
27621 .xword 0x9ceb9238b9979be0
27622 .xword 0x63d78a82308a2c78
27623 .xword 0x512c9885fe2e5e0c
27624 .xword 0xf47726dbe66511a1
27625 .xword 0x836bfcb8cbca3313
27626 .xword 0xd228d1ee38e6d78b
27627 .xword 0x759f9b62ec2ab737
27628 .xword 0x9ebd6836b71db352
27629 .xword 0xe914cc0a3dc4aa68
27630 .xword 0xf838e4a82cf9e2bd
27631 .xword 0x6148900561557e00
27632 .xword 0xdf02d06947f12ca5
27633 .xword 0x5f865d37175482aa
27634 .xword 0xa20bd0996242d06d
27635_t1_hmac_iv_array:
27636 .xword 0xb27e1edebc4dd9e0
27637 .xword 0x0d826a9c30b4783c
27638 .xword 0xf9df0beb704a8f14
27639 .xword 0x69dd329cbadeea54
27640 .xword 0x7706496006e62443
27641 .xword 0xce80e1843733ee0d
27642 .xword 0x5e71579fdf12558d
27643 .xword 0x717702ce26d027fc
27644 .xword 0x21ea6e7b195d2e20
27645 .xword 0xc7a1e33e14fe5d53
27646 .xword 0x8592c996e9ce2956
27647 .xword 0x60c7bf0cfb65eda7
27648 .xword 0xf5323382c158c0e3
27649 .xword 0x5f93f9c9788fce55
27650 .xword 0x4b776aac213b3806
27651 .xword 0x6569f7253c5d9e7e
27652 .xword 0x44910a64ab5ce39c
27653 .xword 0x9a4691627aa11e73
27654 .xword 0x802a0446790933a9
27655 .xword 0x9a71baf416053d6a
27656 .xword 0xf88d4465dcf3ef38
27657 .xword 0x39c7daca306564c5
27658 .xword 0xa67e835e8393490d
27659 .xword 0xa111bd3fca4365d4
27660 .xword 0xa92e42c165ea3b0c
27661 .xword 0x160ba9fae1082705
27662 .xword 0x0229d6912489f1c8
27663 .xword 0xe8b29a8594381ba6
27664 .xword 0xb739cae0502eca1f
27665 .xword 0xab339668a3525281
27666 .xword 0x2ac1f83d037827c3
27667 .xword 0xf53fcbf9ebc91647
27668 .xword 0xfa1a10402cdbd114
27669 .xword 0x81e645c88ad78622
27670 .xword 0xf3e8c42992414033
27671 .xword 0x02ed461eefa05ae8
27672 .xword 0x1a96d6c94aef9d2e
27673 .xword 0x70dfb9099e5a96a6
27674 .xword 0x9c4e4082c1914209
27675 .xword 0xf3c9708d7ea1f640
27676 .xword 0x462ca136efe30af1
27677 .xword 0x487af5d963a840fb
27678 .xword 0xfcc3e8d00166fd50
27679 .xword 0xa91228005acc042f
27680 .xword 0x955e8b2b9648e9f5
27681_t1_hmac_alignment_array:
27682 .xword 8
27683 .xword 11
27684 .xword 12
27685 .xword 15
27686 .xword 1
27687 .xword 0
27688 .xword 1
27689 .xword 5
27690 .xword 8
27691 .xword 12
27692 .xword 5
27693 .xword 6
27694 .xword 2
27695 .xword 6
27696 .xword 7
27697 .xword 13
27698 .xword 2
27699 .xword 7
27700 .xword 2
27701 .xword 1
27702 .xword 7
27703 .xword 9
27704 .xword 4
27705 .xword 15
27706 .xword 14
27707 .xword 6
27708 .xword 4
27709 .xword 11
27710 .xword 3
27711 .xword 13
27712 .xword 8
27713 .xword 13
27714 .xword 9
27715 .xword 4
27716 .xword 1
27717 .xword 7
27718 .xword 11
27719 .xword 4
27720 .xword 15
27721 .xword 9
27722 .xword 5
27723 .xword 11
27724 .xword 9
27725 .xword 5
27726 .xword 12
27727 .xword 12
27728 .xword 9
27729 .xword 1
27730 .xword 1
27731 .xword 11
27732 .xword 4
27733 .xword 6
27734 .xword 8
27735 .xword 2
27736 .xword 1
27737 .xword 5
27738 .xword 12
27739 .xword 6
27740 .xword 6
27741 .xword 10
27742 .xword 11
27743 .xword 6
27744 .xword 5
27745 .xword 7
27746 .xword 1
27747 .xword 10
27748 .xword 15
27749 .xword 3
27750 .xword 5
27751 .xword 14
27752 .xword 10
27753 .xword 7
27754 .xword 12
27755 .xword 2
27756 .xword 12
27757 .xword 3
27758 .xword 7
27759 .xword 11
27760 .xword 8
27761 .xword 7
27762 .xword 13
27763 .xword 12
27764 .xword 9
27765 .xword 6
27766 .xword 11
27767 .xword 3
27768 .xword 7
27769 .xword 1
27770 .xword 13
27771 .xword 14
27772 .xword 7
27773 .xword 15
27774 .xword 15
27775 .xword 14
27776 .xword 2
27777 .xword 1
27778 .xword 0
27779 .xword 6
27780 .xword 1
27781 .xword 3
27782 .xword 9
27783 .xword 11
27784 .xword 10
27785 .xword 10
27786 .xword 14
27787_t1_hmac_src:
27788 .xword 0x00ef017326cf161b
27789 .xword 0x67d8b7ffd972ce3c
27790 .xword 0x16a199b3dd636437
27791 .xword 0x16e66b4960cc25db
27792 .xword 0xbef64efe7f0817b3
27793 .xword 0x42fdb680220c2276
27794 .xword 0xc2d913e6f858175f
27795 .xword 0x20cd43f6e82c98b3
27796 .xword 0x120511f034cd5f82
27797 .xword 0x72d1f6fe136d773d
27798 .xword 0x382a319702919647
27799 .xword 0xac23edb11f9cb149
27800 .xword 0x97138f4d761edaae
27801 .xword 0x54e88af71ca950d3
27802 .xword 0xadfee2db2959657b
27803 .xword 0x61598428a10ebf61
27804 .xword 0x3080dd0425da3f5b
27805 .xword 0x21b30ee4d2610cc3
27806 .xword 0x7a2d7c5dd996fce7
27807 .xword 0x51f061fc47aee756
27808 .xword 0xa8fd744ed3ad6aa3
27809 .xword 0xae6023c68414e875
27810 .xword 0xb0fa858a72cc02d1
27811 .xword 0xad4c3ba060e4739f
27812 .xword 0x4f3c6c5f4c49948f
27813 .xword 0x19b9b3ffc68f089c
27814 .xword 0x3f5ceaff74c0d54c
27815 .xword 0xfb358ac7b51a2d81
27816 .xword 0xc5c53f00ab9d7da2
27817 .xword 0xb7b052b09cfbcf03
27818 .xword 0x79fc701e1c3de6ef
27819 .xword 0x8cd596c8f98a0000
27820 .xword 0xe2b153a53cf43ec7
27821 .xword 0x42990b69621c89de
27822 .xword 0x263b31699006e17e
27823 .xword 0xc947fc088f308945
27824 .xword 0x41ef08c280a73d48
27825 .xword 0xb15115a06e789ee4
27826 .xword 0xca9b771e80b3350a
27827 .xword 0xb62a00523b75da5b
27828 .xword 0x735180b976db4e81
27829 .xword 0x876761cf5a5f0a77
27830 .xword 0x9d038c021c737b3a
27831 .xword 0xb7b1d94a85b29f03
27832 .xword 0xa1ed122e288b32aa
27833 .xword 0x9a3584971665d814
27834 .xword 0xa3deb6c5f8abf58f
27835 .xword 0xf8bce7fed65fb011
27836 .xword 0xc52ef97f3f1c837c
27837 .xword 0xc0bcc887de063705
27838 .xword 0xa55074116afebe43
27839 .xword 0x621783c9aab1d873
27840 .xword 0x6c55a60a8c1682bf
27841 .xword 0x54943dc2e691649d
27842 .xword 0xf18156d5a8234cf4
27843 .xword 0xb56c68f5e000eb51
27844 .xword 0x0b52203558b4ddc7
27845 .xword 0x40fa0ee49014e724
27846 .xword 0xce91b37336e2b373
27847 .xword 0x44304a822951c468
27848 .xword 0xad3a958680bf5740
27849 .xword 0x3f9f407920acd4ee
27850 .xword 0x6a19e1b9ed2028ba
27851 .xword 0x711cec815fac7eee
27852 .xword 0x12486c3f45f30d35
27853 .xword 0x823a2e14b4398c9e
27854 .xword 0x60f716ed719eb8f8
27855 .xword 0x346783a4867df4e1
27856 .xword 0x5f909a4d7e020605
27857 .xword 0xf3a8334461a1de0a
27858 .xword 0xfb056e4de63c8ca1
27859 .xword 0xe518fe720a8d2cff
27860 .xword 0x1b48f5456c386721
27861 .xword 0x864d9c551cdfde35
27862 .xword 0xf45a7cc14a018b0e
27863 .xword 0x78576c345de7e3bb
27864 .xword 0x69fc1100573a7d61
27865 .xword 0x95f211a3d7604ef5
27866 .xword 0xa54aae9777ebfaa5
27867 .xword 0x21fbae597d4b7d2f
27868 .xword 0xb0a56bf35c3c31f2
27869 .xword 0x8ae15f7c4b8f1118
27870 .xword 0x3fe18dcb1103b70d
27871 .xword 0xa2817a50530c0d36
27872 .xword 0x6e65b8b3accc8646
27873 .xword 0x013df62ed122b728
27874 .xword 0x6608bcf211cab2da
27875 .xword 0x331e94a0e006857e
27876 .xword 0x0b44563252b58b13
27877 .xword 0x4dae720b457c1603
27878 .xword 0xf17e4de05bba7b18
27879 .xword 0x5e20be6677d9c8e1
27880 .xword 0x6a6c8e1742e9e101
27881 .xword 0x9b334db6f0175f1b
27882 .xword 0x1449cdb3c8cd14dd
27883 .xword 0x7dd8706b19eb6b95
27884 .xword 0x87f8bf42473d58d8
27885 .xword 0x5c46f66175c1efda
27886 .xword 0x5bae69e7769d941b
27887 .xword 0x152998315a30c0e9
27888 .xword 0xe8c012156a1bfd92
27889 .xword 0x3a244dbc489ca019
27890 .xword 0xf07596e8b0085096
27891 .xword 0x5ef22e1241f49c0f
27892 .xword 0x2b560b484cf88fd4
27893 .xword 0xca5fb26968fce532
27894 .xword 0x1dc9f2159b1b576c
27895 .xword 0xaa8bff004d088bc1
27896 .xword 0x7f6d5800da12fdba
27897 .xword 0x6864b3489c9e4745
27898 .xword 0x62600192e2a695a9
27899 .xword 0xaf62b8fc55e41a12
27900 .xword 0xd39adc4380fbf46e
27901 .xword 0xe58aae1671425eee
27902 .xword 0x27f18758848adc34
27903 .xword 0xe2fce894316bed76
27904 .xword 0xe63d1bbb943111ef
27905 .xword 0xf06bb8e6b51f031c
27906 .xword 0xefa9ca35c35ba2a1
27907 .xword 0xd5985e19f46470e1
27908 .xword 0xd82965dc9c633c41
27909 .xword 0x617bda90962b8570
27910 .xword 0x587deb76a463acf3
27911 .xword 0xfb790f59403c2d20
27912 .xword 0x4cbb19ff28c45238
27913 .xword 0x737723fcd00e0d31
27914 .xword 0xd929acc95431e6e6
27915 .xword 0x430f9b22500b12bc
27916 .xword 0xf9fbad34876dce1c
27917 .xword 0xdd58a16e17e7b34c
27918 .xword 0x1e70bc2abe314c35
27919 .xword 0x9e285b23b533ff62
27920 .xword 0xfb339b5b81f65df6
27921 .xword 0xaf1d4946e2046573
27922 .xword 0xb27c4679c6caf1dc
27923 .xword 0x2e3bc2961e49b63c
27924 .xword 0xe4d932ebde229d15
27925 .xword 0x6148f1a1431c1902
27926 .xword 0xae5658b5e0e4b2b6
27927 .xword 0xf6f435ce6667ac7d
27928 .xword 0x47d5adef7b27ae13
27929 .xword 0x958b7149776d4eb5
27930 .xword 0xe08b149948e526da
27931 .xword 0xe26b5d10bffda4ac
27932 .xword 0x3e59d73f5c64cc0f
27933 .xword 0xc795632a92045e42
27934 .xword 0x944d8b417d372fd4
27935 .xword 0x2e7a743e87ee165f
27936 .xword 0x01f29151d7fa6c36
27937 .xword 0x6d5d96721bef5863
27938 .xword 0x97ed8588dd6d0563
27939 .xword 0xacc0f019f0e091e8
27940 .xword 0xc99d833af3670352
27941 .xword 0xceb736e3c8c82ea2
27942 .xword 0x27ed2a51eb32d2ee
27943 .xword 0xe96d6f72563e7366
27944 .xword 0x580b8fbca53e265b
27945 .xword 0x653ec797784541bc
27946 .xword 0xe9a2ffebc14a9c34
27947 .xword 0xa9c7a89e9d71f4e7
27948 .xword 0x795b56b2925eeaf2
27949 .xword 0x251b88e4eeac5c32
27950 .xword 0xb805f7719a56dda7
27951 .xword 0x2bc6c70ee88fae1c
27952 .xword 0x174518e5dfb3ad9b
27953 .xword 0xcc62f5957ddd7d11
27954 .xword 0x10ec3cf2162aff94
27955 .xword 0xaa8b7341e8971f2e
27956 .xword 0x4a881bdd89180602
27957 .xword 0x12c56fe7209ef16a
27958 .xword 0x1d43ea33d78d6d1d
27959 .xword 0x72b05d0d08b13431
27960 .xword 0x7be5dd549cc327fc
27961 .xword 0x7b8bded5b660f155
27962 .xword 0x034296e65cf746e9
27963 .xword 0x573cb2567ecf3d2f
27964 .xword 0x1cd9d6e094bce4ca
27965 .xword 0x8422774fb1ca78a3
27966 .xword 0x38da570fac6609d8
27967 .xword 0x32e62c8331fedf83
27968 .xword 0xe0ac8aa6e12f6dd5
27969 .xword 0xbacd84d381643c0a
27970 .xword 0xc0e09cd317af5982
27971 .xword 0xc282795c5757efd8
27972 .xword 0x5a98bdedfc10ec49
27973 .xword 0x6c10beb8e136ccf5
27974 .xword 0x8e037c892dc86f25
27975 .xword 0xd2e9abc06888a5b6
27976 .xword 0x8c6305dc21c1aaa6
27977 .xword 0x49ebec59c53610aa
27978 .xword 0xc04e517f1cc57133
27979 .xword 0x3bd901db73d7f984
27980 .xword 0x63c9c680098856da
27981 .xword 0x23eee741888f43f4
27982 .xword 0xf2d417ceeaf76b11
27983 .xword 0x05fd79226fddae90
27984 .xword 0xf451a60e65e3a87f
27985 .xword 0xcd9738140e9371e5
27986 .xword 0x376f78cadfc2486c
27987 .xword 0x08b0f4770866f75a
27988 .xword 0x284363a67b6e19a3
27989 .xword 0x0cd15ce17edad2a0
27990 .xword 0xddbd19c5c37b6d59
27991 .xword 0x0565724ba9fb4f7f
27992 .xword 0xb810186367301404
27993 .xword 0x63007eca9696fe74
27994 .xword 0x3811aba61f422bd7
27995 .xword 0xa6f73b4e96345ad8
27996 .xword 0x7c01d9161fbf41c8
27997 .xword 0x4f0f2a0124a2d04e
27998 .xword 0xc1b22075a857ccbe
27999 .xword 0x77821ff3d8ea6525
28000 .xword 0x6ab8884b0f823eb4
28001 .xword 0xfeaaeb1c8b507f56
28002 .xword 0x50452f33ec6c7772
28003 .xword 0xf6f2204d580e70d1
28004 .xword 0x8eeae331b7a401da
28005 .xword 0x09b291716275f0b1
28006 .xword 0x51e5f32bfb87af93
28007 .xword 0xd4f519f03f966812
28008 .xword 0x69541fde3a659399
28009 .xword 0x71649126283cfa55
28010 .xword 0xfb0705c74583ea4d
28011 .xword 0xf481099504f3aa7a
28012 .xword 0x1e866c3fc0fa75e2
28013 .xword 0x1c162439e13aef3a
28014 .xword 0x356c7aa26dcc7e94
28015 .xword 0x9ba2fd26ef861e44
28016 .xword 0x393c5ba9b6dbe724
28017 .xword 0x0c6bf27bdf7364a5
28018 .xword 0x8c16dc9672a9df84
28019 .xword 0x26b0e65fe5f0ce67
28020 .xword 0x18805af3c5b500fb
28021 .xword 0xb6dd834519b93eca
28022 .xword 0x371dd89f64411c37
28023 .xword 0xd915f45dfa8b0ca8
28024 .xword 0x840ee0cd56dca714
28025 .xword 0x50e97fb475236cd6
28026 .xword 0x0bdfa47c1aca0825
28027 .xword 0x691f5c806f15e7b1
28028 .xword 0xa3bdd6e5527e056c
28029 .xword 0x5c789cdd659672d7
28030 .xword 0x1c5b373ebc6a9842
28031 .xword 0xc9929722bd33ecbf
28032 .xword 0xa5d9fbc2a725471f
28033 .xword 0xd65107adf9eb117d
28034 .xword 0x771d862a2d564388
28035 .xword 0xc242cd4ed16b435c
28036 .xword 0x0763c54f766de3b9
28037 .xword 0xef62842c45f767f6
28038 .xword 0xdf15d182b4feab3c
28039 .xword 0xfce57b52fbeac85e
28040 .xword 0x1c4b7ab3b81a99a7
28041 .xword 0x0de3f82fda5eb9a9
28042 .xword 0x0f3f58d54922e259
28043 .xword 0x3d087ffd8b0fb1cc
28044 .xword 0x1378ce947ed9d02f
28045 .xword 0x8141c808a09f15c0
28046 .xword 0x60452b06c491abef
28047 .xword 0x03521676c3222d49
28048 .xword 0xb850144d68db5bcc
28049 .xword 0xe0700e78dfdff38f
28050 .xword 0x42e32fd860c6681a
28051 .xword 0xda3341b9644feaae
28052 .xword 0x62d9a715a14dd305
28053 .xword 0x048bfc5c92a4c7b6
28054 .xword 0xc17609fa9b5494a4
28055 .xword 0x234807bd6a8d1590
28056 .xword 0x058142e6aa2ee6c3
28057 .xword 0x2e5dc02bf5a9b144
28058 .xword 0x5c84e6bda787d809
28059 .xword 0x7a00fe251cbb909b
28060 .xword 0xa31ff2ed55d87e8e
28061 .xword 0xd60c2643a649097a
28062 .xword 0x3fc027e356881701
28063_t1_hmac_dest:
28064 .xword 0xDEADBEEFDEADBEEF
28065 .xword 0xDEADBEEFDEADBEEF
28066 .xword 0xDEADBEEFDEADBEEF
28067 .xword 0xDEADBEEFDEADBEEF
28068 .xword 0xDEADBEEFDEADBEEF
28069 .xword 0xDEADBEEFDEADBEEF
28070 .xword 0xDEADBEEFDEADBEEF
28071 .xword 0xDEADBEEFDEADBEEF
28072 .xword 0xDEADBEEFDEADBEEF
28073 .xword 0xDEADBEEFDEADBEEF
28074 .xword 0xDEADBEEFDEADBEEF
28075 .xword 0xDEADBEEFDEADBEEF
28076 .xword 0xDEADBEEFDEADBEEF
28077 .xword 0xDEADBEEFDEADBEEF
28078 .xword 0xDEADBEEFDEADBEEF
28079 .xword 0xDEADBEEFDEADBEEF
28080 .xword 0xDEADBEEFDEADBEEF
28081 .xword 0xDEADBEEFDEADBEEF
28082 .xword 0xDEADBEEFDEADBEEF
28083 .xword 0xDEADBEEFDEADBEEF
28084 .xword 0xDEADBEEFDEADBEEF
28085 .xword 0xDEADBEEFDEADBEEF
28086 .xword 0xDEADBEEFDEADBEEF
28087 .xword 0xDEADBEEFDEADBEEF
28088 .xword 0xDEADBEEFDEADBEEF
28089 .xword 0xDEADBEEFDEADBEEF
28090 .xword 0xDEADBEEFDEADBEEF
28091 .xword 0xDEADBEEFDEADBEEF
28092 .xword 0xDEADBEEFDEADBEEF
28093 .xword 0xDEADBEEFDEADBEEF
28094 .xword 0xDEADBEEFDEADBEEF
28095 .xword 0xDEADBEEFDEADBEEF
28096 .xword 0xDEADBEEFDEADBEEF
28097 .xword 0xDEADBEEFDEADBEEF
28098 .xword 0xDEADBEEFDEADBEEF
28099 .xword 0xDEADBEEFDEADBEEF
28100 .xword 0xDEADBEEFDEADBEEF
28101 .xword 0xDEADBEEFDEADBEEF
28102 .xword 0xDEADBEEFDEADBEEF
28103 .xword 0xDEADBEEFDEADBEEF
28104 .xword 0xDEADBEEFDEADBEEF
28105 .xword 0xDEADBEEFDEADBEEF
28106 .xword 0xDEADBEEFDEADBEEF
28107 .xword 0xDEADBEEFDEADBEEF
28108 .xword 0xDEADBEEFDEADBEEF
28109 .xword 0xDEADBEEFDEADBEEF
28110 .xword 0xDEADBEEFDEADBEEF
28111 .xword 0xDEADBEEFDEADBEEF
28112 .xword 0xDEADBEEFDEADBEEF
28113 .xword 0xDEADBEEFDEADBEEF
28114 .xword 0xDEADBEEFDEADBEEF
28115 .xword 0xDEADBEEFDEADBEEF
28116 .xword 0xDEADBEEFDEADBEEF
28117 .xword 0xDEADBEEFDEADBEEF
28118 .xword 0xDEADBEEFDEADBEEF
28119 .xword 0xDEADBEEFDEADBEEF
28120 .xword 0xDEADBEEFDEADBEEF
28121 .xword 0xDEADBEEFDEADBEEF
28122 .xword 0xDEADBEEFDEADBEEF
28123 .xword 0xDEADBEEFDEADBEEF
28124 .xword 0xDEADBEEFDEADBEEF
28125 .xword 0xDEADBEEFDEADBEEF
28126 .xword 0xDEADBEEFDEADBEEF
28127 .xword 0xDEADBEEFDEADBEEF
28128 .xword 0xDEADBEEFDEADBEEF
28129 .xword 0xDEADBEEFDEADBEEF
28130 .xword 0xDEADBEEFDEADBEEF
28131 .xword 0xDEADBEEFDEADBEEF
28132 .xword 0xDEADBEEFDEADBEEF
28133 .xword 0xDEADBEEFDEADBEEF
28134 .xword 0xDEADBEEFDEADBEEF
28135 .xword 0xDEADBEEFDEADBEEF
28136 .xword 0xDEADBEEFDEADBEEF
28137 .xword 0xDEADBEEFDEADBEEF
28138 .xword 0xDEADBEEFDEADBEEF
28139 .xword 0xDEADBEEFDEADBEEF
28140 .xword 0xDEADBEEFDEADBEEF
28141 .xword 0xDEADBEEFDEADBEEF
28142 .xword 0xDEADBEEFDEADBEEF
28143 .xword 0xDEADBEEFDEADBEEF
28144 .xword 0xDEADBEEFDEADBEEF
28145 .xword 0xDEADBEEFDEADBEEF
28146 .xword 0xDEADBEEFDEADBEEF
28147 .xword 0xDEADBEEFDEADBEEF
28148 .xword 0xDEADBEEFDEADBEEF
28149 .xword 0xDEADBEEFDEADBEEF
28150 .xword 0xDEADBEEFDEADBEEF
28151 .xword 0xDEADBEEFDEADBEEF
28152 .xword 0xDEADBEEFDEADBEEF
28153 .xword 0xDEADBEEFDEADBEEF
28154 .xword 0xDEADBEEFDEADBEEF
28155 .xword 0xDEADBEEFDEADBEEF
28156 .xword 0xDEADBEEFDEADBEEF
28157 .xword 0xDEADBEEFDEADBEEF
28158 .xword 0xDEADBEEFDEADBEEF
28159 .xword 0xDEADBEEFDEADBEEF
28160 .xword 0xDEADBEEFDEADBEEF
28161 .xword 0xDEADBEEFDEADBEEF
28162 .xword 0xDEADBEEFDEADBEEF
28163 .xword 0xDEADBEEFDEADBEEF
28164 .xword 0xDEADBEEFDEADBEEF
28165 .xword 0xDEADBEEFDEADBEEF
28166 .xword 0xDEADBEEFDEADBEEF
28167 .xword 0xDEADBEEFDEADBEEF
28168 .xword 0xDEADBEEFDEADBEEF
28169 .xword 0xDEADBEEFDEADBEEF
28170 .xword 0xDEADBEEFDEADBEEF
28171 .xword 0xDEADBEEFDEADBEEF
28172 .xword 0xDEADBEEFDEADBEEF
28173 .xword 0xDEADBEEFDEADBEEF
28174 .xword 0xDEADBEEFDEADBEEF
28175 .xword 0xDEADBEEFDEADBEEF
28176 .xword 0xDEADBEEFDEADBEEF
28177 .xword 0xDEADBEEFDEADBEEF
28178 .xword 0xDEADBEEFDEADBEEF
28179 .xword 0xDEADBEEFDEADBEEF
28180 .xword 0xDEADBEEFDEADBEEF
28181 .xword 0xDEADBEEFDEADBEEF
28182 .xword 0xDEADBEEFDEADBEEF
28183 .xword 0xDEADBEEFDEADBEEF
28184 .xword 0xDEADBEEFDEADBEEF
28185 .xword 0xDEADBEEFDEADBEEF
28186 .xword 0xDEADBEEFDEADBEEF
28187 .xword 0xDEADBEEFDEADBEEF
28188 .xword 0xDEADBEEFDEADBEEF
28189 .xword 0xDEADBEEFDEADBEEF
28190 .xword 0xDEADBEEFDEADBEEF
28191 .xword 0xDEADBEEFDEADBEEF
28192 .xword 0xDEADBEEFDEADBEEF
28193 .xword 0xDEADBEEFDEADBEEF
28194 .xword 0xDEADBEEFDEADBEEF
28195 .xword 0xDEADBEEFDEADBEEF
28196 .xword 0xDEADBEEFDEADBEEF
28197 .xword 0xDEADBEEFDEADBEEF
28198 .xword 0xDEADBEEFDEADBEEF
28199 .xword 0xDEADBEEFDEADBEEF
28200 .xword 0xDEADBEEFDEADBEEF
28201 .xword 0xDEADBEEFDEADBEEF
28202 .xword 0xDEADBEEFDEADBEEF
28203 .xword 0xDEADBEEFDEADBEEF
28204 .xword 0xDEADBEEFDEADBEEF
28205 .xword 0xDEADBEEFDEADBEEF
28206 .xword 0xDEADBEEFDEADBEEF
28207 .xword 0xDEADBEEFDEADBEEF
28208 .xword 0xDEADBEEFDEADBEEF
28209 .xword 0xDEADBEEFDEADBEEF
28210 .xword 0xDEADBEEFDEADBEEF
28211 .xword 0xDEADBEEFDEADBEEF
28212 .xword 0xDEADBEEFDEADBEEF
28213 .xword 0xDEADBEEFDEADBEEF
28214 .xword 0xDEADBEEFDEADBEEF
28215 .xword 0xDEADBEEFDEADBEEF
28216 .xword 0xDEADBEEFDEADBEEF
28217 .xword 0xDEADBEEFDEADBEEF
28218 .xword 0xDEADBEEFDEADBEEF
28219 .xword 0xDEADBEEFDEADBEEF
28220 .xword 0xDEADBEEFDEADBEEF
28221 .xword 0xDEADBEEFDEADBEEF
28222 .xword 0xDEADBEEFDEADBEEF
28223 .xword 0xDEADBEEFDEADBEEF
28224 .xword 0xDEADBEEFDEADBEEF
28225 .xword 0xDEADBEEFDEADBEEF
28226 .xword 0xDEADBEEFDEADBEEF
28227 .xword 0xDEADBEEFDEADBEEF
28228 .xword 0xDEADBEEFDEADBEEF
28229 .xword 0xDEADBEEFDEADBEEF
28230 .xword 0xDEADBEEFDEADBEEF
28231 .xword 0xDEADBEEFDEADBEEF
28232 .xword 0xDEADBEEFDEADBEEF
28233 .xword 0xDEADBEEFDEADBEEF
28234 .xword 0xDEADBEEFDEADBEEF
28235 .xword 0xDEADBEEFDEADBEEF
28236 .xword 0xDEADBEEFDEADBEEF
28237 .xword 0xDEADBEEFDEADBEEF
28238 .xword 0xDEADBEEFDEADBEEF
28239 .xword 0xDEADBEEFDEADBEEF
28240 .xword 0xDEADBEEFDEADBEEF
28241 .xword 0xDEADBEEFDEADBEEF
28242 .xword 0xDEADBEEFDEADBEEF
28243 .xword 0xDEADBEEFDEADBEEF
28244 .xword 0xDEADBEEFDEADBEEF
28245 .xword 0xDEADBEEFDEADBEEF
28246 .xword 0xDEADBEEFDEADBEEF
28247 .xword 0xDEADBEEFDEADBEEF
28248 .xword 0xDEADBEEFDEADBEEF
28249 .xword 0xDEADBEEFDEADBEEF
28250 .xword 0xDEADBEEFDEADBEEF
28251 .xword 0xDEADBEEFDEADBEEF
28252 .xword 0xDEADBEEFDEADBEEF
28253 .xword 0xDEADBEEFDEADBEEF
28254 .xword 0xDEADBEEFDEADBEEF
28255 .xword 0xDEADBEEFDEADBEEF
28256 .xword 0xDEADBEEFDEADBEEF
28257 .xword 0xDEADBEEFDEADBEEF
28258 .xword 0xDEADBEEFDEADBEEF
28259 .xword 0xDEADBEEFDEADBEEF
28260 .xword 0xDEADBEEFDEADBEEF
28261 .xword 0xDEADBEEFDEADBEEF
28262 .xword 0xDEADBEEFDEADBEEF
28263 .xword 0xDEADBEEFDEADBEEF
28264 .xword 0xDEADBEEFDEADBEEF
28265 .xword 0xDEADBEEFDEADBEEF
28266 .xword 0xDEADBEEFDEADBEEF
28267 .xword 0xDEADBEEFDEADBEEF
28268 .xword 0xDEADBEEFDEADBEEF
28269 .xword 0xDEADBEEFDEADBEEF
28270 .xword 0xDEADBEEFDEADBEEF
28271 .xword 0xDEADBEEFDEADBEEF
28272 .xword 0xDEADBEEFDEADBEEF
28273 .xword 0xDEADBEEFDEADBEEF
28274 .xword 0xDEADBEEFDEADBEEF
28275 .xword 0xDEADBEEFDEADBEEF
28276 .xword 0xDEADBEEFDEADBEEF
28277 .xword 0xDEADBEEFDEADBEEF
28278 .xword 0xDEADBEEFDEADBEEF
28279 .xword 0xDEADBEEFDEADBEEF
28280 .xword 0xDEADBEEFDEADBEEF
28281 .xword 0xDEADBEEFDEADBEEF
28282 .xword 0xDEADBEEFDEADBEEF
28283 .xword 0xDEADBEEFDEADBEEF
28284 .xword 0xDEADBEEFDEADBEEF
28285 .xword 0xDEADBEEFDEADBEEF
28286 .xword 0xDEADBEEFDEADBEEF
28287 .xword 0xDEADBEEFDEADBEEF
28288 .xword 0xDEADBEEFDEADBEEF
28289 .xword 0xDEADBEEFDEADBEEF
28290 .xword 0xDEADBEEFDEADBEEF
28291 .xword 0xDEADBEEFDEADBEEF
28292 .xword 0xDEADBEEFDEADBEEF
28293 .xword 0xDEADBEEFDEADBEEF
28294 .xword 0xDEADBEEFDEADBEEF
28295 .xword 0xDEADBEEFDEADBEEF
28296 .xword 0xDEADBEEFDEADBEEF
28297 .xword 0xDEADBEEFDEADBEEF
28298 .xword 0xDEADBEEFDEADBEEF
28299 .xword 0xDEADBEEFDEADBEEF
28300 .xword 0xDEADBEEFDEADBEEF
28301 .xword 0xDEADBEEFDEADBEEF
28302 .xword 0xDEADBEEFDEADBEEF
28303 .xword 0xDEADBEEFDEADBEEF
28304 .xword 0xDEADBEEFDEADBEEF
28305 .xword 0xDEADBEEFDEADBEEF
28306 .xword 0xDEADBEEFDEADBEEF
28307 .xword 0xDEADBEEFDEADBEEF
28308 .xword 0xDEADBEEFDEADBEEF
28309 .xword 0xDEADBEEFDEADBEEF
28310 .xword 0xDEADBEEFDEADBEEF
28311 .xword 0xDEADBEEFDEADBEEF
28312 .xword 0xDEADBEEFDEADBEEF
28313 .xword 0xDEADBEEFDEADBEEF
28314 .xword 0xDEADBEEFDEADBEEF
28315 .xword 0xDEADBEEFDEADBEEF
28316 .xword 0xDEADBEEFDEADBEEF
28317 .xword 0xDEADBEEFDEADBEEF
28318 .xword 0xDEADBEEFDEADBEEF
28319 .xword 0xDEADBEEFDEADBEEF
28320 .xword 0xDEADBEEFDEADBEEF
28321 .xword 0xDEADBEEFDEADBEEF
28322 .xword 0xDEADBEEFDEADBEEF
28323 .xword 0xDEADBEEFDEADBEEF
28324 .xword 0xDEADBEEFDEADBEEF
28325 .xword 0xDEADBEEFDEADBEEF
28326 .xword 0xDEADBEEFDEADBEEF
28327 .xword 0xDEADBEEFDEADBEEF
28328 .xword 0xDEADBEEFDEADBEEF
28329 .xword 0xDEADBEEFDEADBEEF
28330 .xword 0xDEADBEEFDEADBEEF
28331 .xword 0xDEADBEEFDEADBEEF
28332 .xword 0xDEADBEEFDEADBEEF
28333 .xword 0xDEADBEEFDEADBEEF
28334 .xword 0xDEADBEEFDEADBEEF
28335 .xword 0xDEADBEEFDEADBEEF
28336 .xword 0xDEADBEEFDEADBEEF
28337 .xword 0xDEADBEEFDEADBEEF
28338 .xword 0xDEADBEEFDEADBEEF
28339_t1_hmac_auth_key:
28340 .xword 0x1db29059c72f2e78
28341 .xword 0x4d538ce730195702
28342 .xword 0x947213bb81031e69
28343 .xword 0x5e0c5913d2513cc6
28344 .xword 0x972d17add0a472d3
28345 .xword 0x0f6719c6c513d22a
28346 .xword 0x3c0378d0c8f2d15c
28347 .xword 0x0c198246cde55d98
28348 .xword 0xab0f9f775cc603f1
28349 .xword 0x064eaf6aca2b59ad
28350 .xword 0xdd8e6f9abeaac408
28351 .xword 0xb24f4c57b7e2b8f2
28352 .xword 0xe1c2e711fe7f6fec
28353 .xword 0x73b92f84207125c3
28354 .xword 0x947ba5a12ffc367a
28355 .xword 0x39c33fb6ae5ad00c
28356 .xword 0xf730dfed4690d03a
28357 .xword 0x696ac8da8509e54c
28358 .xword 0xa04cd98f98b3ae57
28359 .xword 0xf8f2dc1a62d1c059
28360 .xword 0xe86a7e928de742cd
28361 .xword 0xa46816457dc20574
28362 .xword 0xc81841bbad734194
28363_t1_hmac_auth_iv:
28364 .xword 0x4bb9a2b8c17922a5
28365 .xword 0x9d7a035329c30f1f
28366 .xword 0xa2450c194e8a7444
28367 .xword 0xe3ec1b80159ff1ed
28368 .xword 0x49dbdd66e6227103
28369 .xword 0x5380de72ed3d586e
28370 .xword 0xe10a339bc2729573
28371 .xword 0xf8dbbc9a4840aa52
28372 .xword 0x3ef0654cc85e8ca0
28373 .xword 0xaa5e5ab756b3f510
28374 .xword 0x8805a51dc01d65ae
28375 .xword 0xb19a405d08bf0fa7
28376 .xword 0x776a03b78b488e3f
28377 .xword 0x421f7834f6023643
28378 .xword 0x446d00045a439686
28379 .xword 0x96e19fa9f5d1fc70
28380 .xword 0xc2303b075d96540e
28381 .xword 0xe6445c2d14b47b05
28382 .xword 0x711ff0e23c21935a
28383 .xword 0xfe017c835db03b50
28384 .xword 0xdb0442506164de75
28385 .xword 0x920c4d4c0b81f52d
28386 .xword 0x1773406bcfaf2975
28387_t1_hmac_fas_result:
28388 .xword 0xDEADBEEFDEADBEEF
28389 .xword 0xDEADBEEFDEADBEEF
28390 .xword 0xDEADBEEFDEADBEEF
28391 .xword 0xDEADBEEFDEADBEEF
28392 .xword 0xDEADBEEFDEADBEEF
28393 .xword 0xDEADBEEFDEADBEEF
28394 .xword 0xDEADBEEFDEADBEEF
28395 .xword 0xDEADBEEFDEADBEEF
28396 .xword 0xDEADBEEFDEADBEEF
28397 .xword 0xDEADBEEFDEADBEEF
28398 .xword 0xDEADBEEFDEADBEEF
28399 .xword 0xDEADBEEFDEADBEEF
28400 .xword 0xDEADBEEFDEADBEEF
28401 .xword 0xDEADBEEFDEADBEEF
28402 .xword 0xDEADBEEFDEADBEEF
28403 .xword 0xDEADBEEFDEADBEEF
28404 .xword 0xDEADBEEFDEADBEEF
28405 .xword 0xDEADBEEFDEADBEEF
28406 .xword 0xDEADBEEFDEADBEEF
28407 .xword 0xDEADBEEFDEADBEEF
28408 .xword 0xDEADBEEFDEADBEEF
28409 .xword 0xDEADBEEFDEADBEEF
28410 .xword 0xDEADBEEFDEADBEEF
28411_t1_rc4_key_array:
28412 .xword 0x90a707f1f94b71dc
28413 .xword 0x6e73bd03856f0647
28414 .xword 0x1ef81c6749ede6ac
28415 .xword 0xa81d5694716e0079
28416 .xword 0x1aaed3cd6166ac3e
28417 .xword 0x49a6609163dc4637
28418 .xword 0x866158896279320d
28419 .xword 0xb02ab70ef36735f5
28420 .xword 0x3800b88a8f2489ee
28421 .xword 0x8a449dfc282a49d0
28422 .xword 0x8042c448e05af04a
28423 .xword 0xd326225d41fe42d5
28424 .xword 0xdac18e078a1a9ed8
28425 .xword 0x7556d3a25beca221
28426 .xword 0xc245568646d2a306
28427 .xword 0xd936d194585f3f9d
28428 .xword 0x2536ce662d7a8f79
28429 .xword 0x96eaa80d44b31005
28430 .xword 0x6f2f08e1bdfa9cb2
28431 .xword 0xda4cb3c000909fc7
28432 .xword 0x89c89314c769d5df
28433 .xword 0x8b573c5a20fd8296
28434 .xword 0x0af0bcd82d6cd9c0
28435 .xword 0xe1277146fe9dbc4c
28436 .xword 0x549b19e342725692
28437 .xword 0x56b6a0c25edad04d
28438 .xword 0x0a1136c3791252a4
28439 .xword 0xc20862de7cd56eef
28440 .xword 0x0d3906d891adc2eb
28441 .xword 0x0905571a37724c95
28442 .xword 0x9a385d1d9e8bd220
28443 .xword 0xfa544d9a944adab6
28444 .xword 0xb0e4eaf8f93658f4
28445 .xword 0x74668947b95d3b5f
28446 .xword 0xf8e20a1093b756ff
28447 .xword 0x68db70f533f6d354
28448 .xword 0xac3c966aa3d367c6
28449 .xword 0x05c02fc4764c26b3
28450 .xword 0x3d37ec2c0005887c
28451 .xword 0x1c7ab33cde8a7c9a
28452 .xword 0xe3672c7a0be16bea
28453 .xword 0x23163417237da3c6
28454 .xword 0x15d07e33ff2680ae
28455 .xword 0x2cfeb123ea53c10b
28456 .xword 0x26edd2a821768151
28457 .xword 0x5f1ca3ee956cdde3
28458 .xword 0xc1eb81b084e23f4b
28459 .xword 0x7e1250b18d5cb1e2
28460 .xword 0xc3d1cf03d2913aab
28461 .xword 0x450cf8b75f40a539
28462 .xword 0xc7ca62d0f7eb9823
28463_t1_rc4_iv_array:
28464 .xword 0xd9e4dc864da2fbf5
28465 .xword 0xa8533d268c8d5905
28466 .xword 0xaa0c95beb47c1371
28467 .xword 0xcbc7109236d28925
28468 .xword 0x080f66c7d3406190
28469 .xword 0x7073e570fa08fc83
28470 .xword 0xc48be856f7eee6a4
28471 .xword 0x5ae71e9f7d7cb76f
28472 .xword 0x85a235e19fed5865
28473 .xword 0xc963f53c9ee1ec11
28474 .xword 0x9b8845bfa8b035a0
28475 .xword 0xa1697ca8979cefe8
28476 .xword 0x675275cf330fdf04
28477 .xword 0xf071daf5d4ca2f13
28478 .xword 0xa24450158bc8ee7e
28479 .xword 0x96703aa17ee0462d
28480 .xword 0x36a697b9966c0e97
28481 .xword 0xd7aa1b4e74547b3d
28482 .xword 0xc9c3e76f2b433017
28483 .xword 0x4a2365af9c8ebd02
28484 .xword 0x079f501a016bd95b
28485 .xword 0xdd309865fd3118a8
28486 .xword 0x77cddc3445b95dd0
28487 .xword 0x40d2dd33428a8335
28488 .xword 0x591ae83375335096
28489 .xword 0xec393db3328724b8
28490 .xword 0xfaca7766170333d0
28491 .xword 0x91a25e472ce9ae83
28492 .xword 0x4e19dcb1abe781da
28493 .xword 0x40d44179b9c1db61
28494 .xword 0xa206b797ce446fb3
28495 .xword 0xe2eeb15b6c981c40
28496 .xword 0xff678fb6796005a9
28497 .xword 0x6472ef12d4dfc80c
28498 .xword 0x81f3ec211dbc5481
28499 .xword 0x8781e3dd44901d60
28500 .xword 0x7c5ac749e2436256
28501 .xword 0xd088ab7796d6355c
28502 .xword 0x0faa5833365c9e96
28503 .xword 0x7caf7f5999217e8a
28504 .xword 0x13f55b931d61b4ed
28505 .xword 0xf43855c83330a45d
28506 .xword 0xec5ed8b47bf77cf6
28507 .xword 0x02e7f99fb11c23ce
28508 .xword 0xe419f97f361200bc
28509_t1_rc4_alignment_array:
28510 .xword 1
28511 .xword 1
28512 .xword 10
28513 .xword 8
28514 .xword 1
28515 .xword 13
28516 .xword 3
28517 .xword 11
28518 .xword 12
28519 .xword 0
28520 .xword 15
28521 .xword 6
28522 .xword 9
28523 .xword 10
28524 .xword 14
28525 .xword 14
28526 .xword 11
28527 .xword 15
28528 .xword 12
28529 .xword 5
28530 .xword 6
28531 .xword 1
28532 .xword 13
28533 .xword 10
28534 .xword 14
28535 .xword 7
28536 .xword 6
28537 .xword 15
28538 .xword 4
28539 .xword 8
28540 .xword 3
28541 .xword 2
28542 .xword 7
28543 .xword 8
28544 .xword 1
28545 .xword 4
28546 .xword 10
28547 .xword 1
28548 .xword 1
28549 .xword 3
28550 .xword 10
28551 .xword 15
28552 .xword 1
28553 .xword 12
28554 .xword 11
28555 .xword 7
28556 .xword 6
28557 .xword 0
28558 .xword 6
28559 .xword 11
28560 .xword 8
28561 .xword 13
28562 .xword 6
28563 .xword 14
28564 .xword 14
28565 .xword 5
28566 .xword 5
28567 .xword 13
28568 .xword 11
28569 .xword 7
28570 .xword 5
28571 .xword 8
28572 .xword 1
28573 .xword 5
28574 .xword 6
28575 .xword 14
28576 .xword 9
28577 .xword 5
28578 .xword 4
28579 .xword 1
28580 .xword 10
28581 .xword 2
28582 .xword 12
28583 .xword 12
28584 .xword 4
28585 .xword 3
28586 .xword 13
28587 .xword 2
28588 .xword 11
28589 .xword 6
28590 .xword 5
28591 .xword 13
28592 .xword 5
28593 .xword 12
28594 .xword 5
28595 .xword 0
28596 .xword 7
28597 .xword 14
28598 .xword 8
28599 .xword 13
28600 .xword 4
28601 .xword 4
28602 .xword 5
28603 .xword 8
28604 .xword 5
28605 .xword 2
28606 .xword 13
28607 .xword 5
28608 .xword 10
28609 .xword 9
28610 .xword 13
28611 .xword 9
28612 .xword 7
28613 .xword 4
28614 .xword 2
28615_t1_rc4_src:
28616 .xword 0x525410eaffe1b730
28617 .xword 0x87b9c0e535478acb
28618 .xword 0xfefad5cf7d6b88f7
28619 .xword 0x078f8699184ae45d
28620 .xword 0xeaa1b2a711c30e3d
28621 .xword 0x5c7db27a868dae2e
28622 .xword 0xe13f440276b29f08
28623 .xword 0xb0e8b46726670d9d
28624 .xword 0x1582c5373a2f9c7f
28625 .xword 0x8a0a32bc14dafed7
28626 .xword 0xc96277d562eadeb9
28627 .xword 0x65013ddb85c4da87
28628 .xword 0xd916bec5f6cd6dc1
28629 .xword 0x286f7e49ae73b8cb
28630 .xword 0xedde095c43335d0e
28631 .xword 0xb02a2de2e42de32d
28632 .xword 0xcae237c4909837d8
28633 .xword 0xa6f5252c0565e2e8
28634 .xword 0xb912722f913348a1
28635 .xword 0xb6afdfeb561e024c
28636 .xword 0x83e2c509f6a24022
28637 .xword 0xbf2fc6d4f2a93820
28638 .xword 0x899d710f6f994295
28639 .xword 0x3bf1401c471e56af
28640 .xword 0xdbd53ebbe04c68db
28641 .xword 0x7de9f0b534e6822e
28642 .xword 0x0390097b7b00d44d
28643 .xword 0xd248b56eaef9bef8
28644 .xword 0xdf3db08b8dcb8017
28645 .xword 0x02c355ffa0e98c07
28646 .xword 0xe29862d942784100
28647 .xword 0x697e004bbf9781df
28648 .xword 0xf1c35f7d2ed931ea
28649 .xword 0xdec5900c268fc893
28650 .xword 0x803a23a67c6bcac0
28651 .xword 0x8788b3947b73dd24
28652 .xword 0x0c1918848111d2fa
28653 .xword 0x0f6657b1e3b2a8a3
28654 .xword 0xcf37fef69f5aa854
28655 .xword 0xd4cf00daf0af772a
28656 .xword 0xeac4ebdadac65324
28657 .xword 0x8d7eb6c643d2b54e
28658 .xword 0x9e5e61a5f23b3e0a
28659 .xword 0xec38a1486172d941
28660 .xword 0xddc70004379f04b7
28661 .xword 0x0799ea818efd6135
28662 .xword 0xa116d635da608e87
28663 .xword 0x2deddccf34f5ec4f
28664 .xword 0x35edc6ae24267e8a
28665 .xword 0x57a9866ef1ab426d
28666 .xword 0x18ab03ee1e61cf7b
28667 .xword 0x5645b3d7dd7acbad
28668 .xword 0x664350af5d729c8c
28669 .xword 0xde23c1b884f2a580
28670 .xword 0xb9412d94fd0b3558
28671 .xword 0x98173324b80875ab
28672 .xword 0x9246d63d78dfa068
28673 .xword 0x6297d6380a7e8b6a
28674 .xword 0x0aa28ef9f2d3089f
28675 .xword 0x07bc2cd77bbd9486
28676 .xword 0xf924ac90626af9c8
28677 .xword 0x5fe9c916f0b884db
28678 .xword 0x668808a0b11c337e
28679 .xword 0x4308765bd5fd0ae7
28680 .xword 0xf1a4e44f027c06cc
28681 .xword 0xf02a9cefeae3b345
28682 .xword 0xdaf9f7ab750c7906
28683 .xword 0x06321d758693b732
28684 .xword 0xcaf0d5d186fbfd76
28685 .xword 0x27b88b16a4a5a8ed
28686 .xword 0x2d60221eb0d3109a
28687 .xword 0x779fdb07c8d885b2
28688 .xword 0x00f1f41ffe8e9a01
28689 .xword 0x35ade79710a9691c
28690 .xword 0x61ad7c22edd0a73a
28691 .xword 0x5b82888969824b00
28692 .xword 0xc920af657833c0bd
28693 .xword 0x059695f19074516d
28694 .xword 0x79c68a1bc8d90f3a
28695 .xword 0xd176ecf025de023a
28696 .xword 0x3fb60331fe530f86
28697 .xword 0x27cfe58dfdb3e366
28698 .xword 0xe5e171f5b7e7e0f4
28699 .xword 0xde35a2008de30372
28700 .xword 0xf017636760055a12
28701 .xword 0x593b917cbf30b3d6
28702 .xword 0x65845f463f1f065f
28703 .xword 0xb956a48ddb10b6a4
28704 .xword 0x00d25193f4d1069c
28705 .xword 0x170608709cc29b02
28706 .xword 0x6891390be9cba020
28707 .xword 0xc9989d99fc528496
28708 .xword 0xa9da18846e959daf
28709 .xword 0xc729b9a8a8520893
28710 .xword 0xee656ae990b4b88d
28711 .xword 0x6d7224712cc8de02
28712 .xword 0xf53f82bd69ca044f
28713 .xword 0x5f3cc0076b7a861e
28714 .xword 0x3ae59adeb80d3f8e
28715 .xword 0xbd6bd0f6f0f532dd
28716 .xword 0x6342d12da3249490
28717 .xword 0xaa99527f7218c5bc
28718 .xword 0x152e25b2a5b13a54
28719 .xword 0x9dd2ac425bad1fcb
28720 .xword 0x83cd4ffb8e854e28
28721 .xword 0xd9a8945ea5c6b7c6
28722 .xword 0x70411d6a8fc639ae
28723 .xword 0xa285c99385748e08
28724 .xword 0x21e8f1bd210197cf
28725 .xword 0x16a8fb862d05ac45
28726 .xword 0x6a5ad081c0a31556
28727 .xword 0x2f7c75aeed20014d
28728 .xword 0x6d78ce749a58a294
28729 .xword 0x13857fb75e1a4b50
28730 .xword 0x5a76cc8f4a0fd3e2
28731 .xword 0x3d9abd19405ce5fd
28732 .xword 0x7d82c62059e3c71d
28733 .xword 0xad7c7eec5dfdbae0
28734 .xword 0xe0245e081a9d1950
28735 .xword 0x3a1ae9e81dd83f11
28736 .xword 0xba699fc5b627d170
28737 .xword 0xabed6df7e7f25133
28738 .xword 0x774720db8543446f
28739 .xword 0xd3cd3331b6dbee68
28740 .xword 0x1d12e1948953434e
28741 .xword 0x5cb8cfee0bb03924
28742 .xword 0x4a5e4e091ffcec19
28743 .xword 0xf9949173395b2f76
28744 .xword 0x8ba30d7fa7a55cd3
28745 .xword 0xa278cdf8979eab2b
28746 .xword 0xb07e20b2873d9b42
28747 .xword 0x9f72ef3439684762
28748 .xword 0xc1f021ca789e6a33
28749 .xword 0x1fc51a0d01b4e4ab
28750 .xword 0x985cdf1a406606f7
28751 .xword 0x1b2dd4ba457b25c9
28752 .xword 0x2864e44d91f1305b
28753 .xword 0x0dd2161593619abf
28754 .xword 0x937f5520c0592fb3
28755 .xword 0x895859095f0d722b
28756 .xword 0xf62283f8c8e7547b
28757 .xword 0xe9834384efb5f2c5
28758 .xword 0x1874f057c0f4a621
28759 .xword 0xc8d4cd29871d9a29
28760 .xword 0xb2cea4fdb9e602e8
28761 .xword 0xae004419f9fb714f
28762 .xword 0x2699b9ab0b42154c
28763 .xword 0x4425c3dd4d73c40a
28764 .xword 0xe506c56afd21b9f5
28765 .xword 0xe69f6b2af4304f65
28766 .xword 0xc96b25cb133cccf0
28767 .xword 0xfe0a62e98199be7c
28768 .xword 0x2c4407cd66d608bb
28769 .xword 0xc2a9f596a932d6cb
28770 .xword 0x4fdcb1f36654c6e0
28771 .xword 0x623158141f7cba4c
28772 .xword 0x2bcd52f91e0615a7
28773 .xword 0x497f509d6d5e6149
28774 .xword 0x909fcfbb150b4f85
28775 .xword 0x97ee5fc2398d3ebb
28776 .xword 0x379b1e4de0b17da7
28777 .xword 0x4626ca87b9f9b497
28778 .xword 0x213784eba14be89b
28779 .xword 0x4ecc51b8a7f423f4
28780 .xword 0xe48c4b21d295406b
28781 .xword 0x2196712a9a94cb6d
28782 .xword 0x03d5b367899ca7af
28783 .xword 0xbfa80889434102f1
28784 .xword 0x70bfdbf6e427b853
28785 .xword 0xd05b93d09ceb88dd
28786 .xword 0xa18f2506d3100fb5
28787 .xword 0x39f34981d71bf6fb
28788 .xword 0x2cda8ade0e2cf1ad
28789 .xword 0x220fb56ed544aeb2
28790 .xword 0x640a19b0c827f1e0
28791 .xword 0xbf7faba0b1b055f2
28792 .xword 0x8594f3911029d345
28793 .xword 0x97a06aa98b8025b7
28794 .xword 0xb49eef6c688f1e83
28795 .xword 0xf7e2db8103267922
28796 .xword 0x275cb1be96e5cdf5
28797 .xword 0x9bcff1b8aa00d1cf
28798 .xword 0xa76a777c30284944
28799 .xword 0xb95259cf825f0c75
28800 .xword 0x2b0f8fdb8e97fe83
28801 .xword 0x8e74a79e6b1fcd4a
28802 .xword 0x863c6969b949e660
28803 .xword 0x38db03d15899c10a
28804 .xword 0xeb1ab8c65bce4954
28805 .xword 0x9c2a2a5d363c048e
28806 .xword 0x505baef9ca9130be
28807 .xword 0xa42a0470c5877ade
28808 .xword 0x8eb55d5b58bbaa86
28809 .xword 0xfc8ebf93b3c90de0
28810 .xword 0x1606aab3e5d59542
28811 .xword 0xd4054ee8a3119041
28812 .xword 0xb41ce027a8f47202
28813 .xword 0x4395f37a4b589af0
28814 .xword 0xc481ee876c03ff32
28815 .xword 0x4f729a0a032bf5c1
28816 .xword 0x8557f258a2a8fa29
28817 .xword 0xe5a35579c3d9d21d
28818 .xword 0xf2f50c2fdca915b4
28819 .xword 0x07c1ea8789edcf22
28820 .xword 0x6ee496ebcb0ca623
28821 .xword 0xb59bec764fc69292
28822 .xword 0x9a0de14c42c0b989
28823 .xword 0x58bb01f862d9c9fe
28824 .xword 0x28f9c91278a4df1a
28825 .xword 0x3dcb4cf9f85acf78
28826 .xword 0x27c548c0b777e676
28827 .xword 0x4ebf67df88291932
28828 .xword 0x7f146acd64e970cf
28829 .xword 0x9db3cac4e6ca4be5
28830 .xword 0xd306967ffe0ddc69
28831 .xword 0xe7200a6b187cd438
28832 .xword 0xb2fa6bcff127aff4
28833 .xword 0x164ae90aaf19e5f1
28834 .xword 0x7361c9055e3c0130
28835 .xword 0xcd275d950a394338
28836 .xword 0xf54b7033fe46c47f
28837 .xword 0x123ff7d5f2ae0e41
28838 .xword 0xc731f031af84fde0
28839 .xword 0x5781f36ce0821b51
28840 .xword 0xf68f1a2818892eea
28841 .xword 0x710106f8595cfdc6
28842 .xword 0x6389aaa2dfe76c99
28843 .xword 0x1276c1a2b91049e8
28844 .xword 0xb365639234dd3f18
28845 .xword 0x79899569bba6f4ca
28846 .xword 0xacde243bc0a5f09b
28847 .xword 0xc063f63f73695a03
28848 .xword 0x320806c0d0f86602
28849 .xword 0x3f4582cd0ada2fb8
28850 .xword 0xd207cf09c2748850
28851 .xword 0x2c0f75b18fdf51fb
28852 .xword 0xd6e5d8c02ff28793
28853 .xword 0xb6b0481bc80333ae
28854 .xword 0xf312b142326cee03
28855 .xword 0x8f4e270e94d9d15d
28856 .xword 0x93fed81f75ed87a7
28857 .xword 0xfe677ec9f6014f0b
28858 .xword 0x886539bcb2ac9a84
28859 .xword 0x037c8f02f8db06ce
28860 .xword 0xd17db4938a097ab9
28861 .xword 0xd854355c31f0e815
28862 .xword 0x81f8e907ef4cb177
28863 .xword 0x59c6108cbbe3acdd
28864 .xword 0xa665797cd06eec29
28865 .xword 0x1d79a2e0764a91c4
28866 .xword 0x9d1c91a5c20e777a
28867 .xword 0xe2ac44fba7ba3880
28868 .xword 0x99fff4d1c74e25a8
28869 .xword 0x5a969037db401a58
28870 .xword 0xa35780f357ff7c85
28871 .xword 0x86bf2134735a5237
28872 .xword 0xaf0eb88e944a7841
28873 .xword 0x4b1e774b4590b273
28874 .xword 0xa04a91ed9c425600
28875 .xword 0xf93c7014f0f9d3ea
28876 .xword 0xb52f14cca5ce9dd9
28877 .xword 0xe8fb82ede147891a
28878 .xword 0xf99da291e2ab0ef8
28879 .xword 0xd83051fd2550945c
28880 .xword 0x4ac972b326db391e
28881 .xword 0x9d8437da93434f06
28882 .xword 0x6e20ab474461d3c3
28883 .xword 0x756bab91b9ddac05
28884 .xword 0xf7e5703175f52965
28885 .xword 0xe00d8d62a3f159ec
28886 .xword 0x5c45d8fa25b53da6
28887 .xword 0x9c46b1110738871f
28888 .xword 0xf09e53f18ed829dd
28889 .xword 0xd6a6243199655245
28890 .xword 0xff130ddd334b9cb1
28891_t1_rc4_dest:
28892 .xword 0xDEADBEEFDEADBEEF
28893 .xword 0xDEADBEEFDEADBEEF
28894 .xword 0xDEADBEEFDEADBEEF
28895 .xword 0xDEADBEEFDEADBEEF
28896 .xword 0xDEADBEEFDEADBEEF
28897 .xword 0xDEADBEEFDEADBEEF
28898 .xword 0xDEADBEEFDEADBEEF
28899 .xword 0xDEADBEEFDEADBEEF
28900 .xword 0xDEADBEEFDEADBEEF
28901 .xword 0xDEADBEEFDEADBEEF
28902 .xword 0xDEADBEEFDEADBEEF
28903 .xword 0xDEADBEEFDEADBEEF
28904 .xword 0xDEADBEEFDEADBEEF
28905 .xword 0xDEADBEEFDEADBEEF
28906 .xword 0xDEADBEEFDEADBEEF
28907 .xword 0xDEADBEEFDEADBEEF
28908 .xword 0xDEADBEEFDEADBEEF
28909 .xword 0xDEADBEEFDEADBEEF
28910 .xword 0xDEADBEEFDEADBEEF
28911 .xword 0xDEADBEEFDEADBEEF
28912 .xword 0xDEADBEEFDEADBEEF
28913 .xword 0xDEADBEEFDEADBEEF
28914 .xword 0xDEADBEEFDEADBEEF
28915 .xword 0xDEADBEEFDEADBEEF
28916 .xword 0xDEADBEEFDEADBEEF
28917 .xword 0xDEADBEEFDEADBEEF
28918 .xword 0xDEADBEEFDEADBEEF
28919 .xword 0xDEADBEEFDEADBEEF
28920 .xword 0xDEADBEEFDEADBEEF
28921 .xword 0xDEADBEEFDEADBEEF
28922 .xword 0xDEADBEEFDEADBEEF
28923 .xword 0xDEADBEEFDEADBEEF
28924 .xword 0xDEADBEEFDEADBEEF
28925 .xword 0xDEADBEEFDEADBEEF
28926 .xword 0xDEADBEEFDEADBEEF
28927 .xword 0xDEADBEEFDEADBEEF
28928 .xword 0xDEADBEEFDEADBEEF
28929 .xword 0xDEADBEEFDEADBEEF
28930 .xword 0xDEADBEEFDEADBEEF
28931 .xword 0xDEADBEEFDEADBEEF
28932 .xword 0xDEADBEEFDEADBEEF
28933 .xword 0xDEADBEEFDEADBEEF
28934 .xword 0xDEADBEEFDEADBEEF
28935 .xword 0xDEADBEEFDEADBEEF
28936 .xword 0xDEADBEEFDEADBEEF
28937 .xword 0xDEADBEEFDEADBEEF
28938 .xword 0xDEADBEEFDEADBEEF
28939 .xword 0xDEADBEEFDEADBEEF
28940 .xword 0xDEADBEEFDEADBEEF
28941 .xword 0xDEADBEEFDEADBEEF
28942 .xword 0xDEADBEEFDEADBEEF
28943 .xword 0xDEADBEEFDEADBEEF
28944 .xword 0xDEADBEEFDEADBEEF
28945 .xword 0xDEADBEEFDEADBEEF
28946 .xword 0xDEADBEEFDEADBEEF
28947 .xword 0xDEADBEEFDEADBEEF
28948 .xword 0xDEADBEEFDEADBEEF
28949 .xword 0xDEADBEEFDEADBEEF
28950 .xword 0xDEADBEEFDEADBEEF
28951 .xword 0xDEADBEEFDEADBEEF
28952 .xword 0xDEADBEEFDEADBEEF
28953 .xword 0xDEADBEEFDEADBEEF
28954 .xword 0xDEADBEEFDEADBEEF
28955 .xword 0xDEADBEEFDEADBEEF
28956 .xword 0xDEADBEEFDEADBEEF
28957 .xword 0xDEADBEEFDEADBEEF
28958 .xword 0xDEADBEEFDEADBEEF
28959 .xword 0xDEADBEEFDEADBEEF
28960 .xword 0xDEADBEEFDEADBEEF
28961 .xword 0xDEADBEEFDEADBEEF
28962 .xword 0xDEADBEEFDEADBEEF
28963 .xword 0xDEADBEEFDEADBEEF
28964 .xword 0xDEADBEEFDEADBEEF
28965 .xword 0xDEADBEEFDEADBEEF
28966 .xword 0xDEADBEEFDEADBEEF
28967 .xword 0xDEADBEEFDEADBEEF
28968 .xword 0xDEADBEEFDEADBEEF
28969 .xword 0xDEADBEEFDEADBEEF
28970 .xword 0xDEADBEEFDEADBEEF
28971 .xword 0xDEADBEEFDEADBEEF
28972 .xword 0xDEADBEEFDEADBEEF
28973 .xword 0xDEADBEEFDEADBEEF
28974 .xword 0xDEADBEEFDEADBEEF
28975 .xword 0xDEADBEEFDEADBEEF
28976 .xword 0xDEADBEEFDEADBEEF
28977 .xword 0xDEADBEEFDEADBEEF
28978 .xword 0xDEADBEEFDEADBEEF
28979 .xword 0xDEADBEEFDEADBEEF
28980 .xword 0xDEADBEEFDEADBEEF
28981 .xword 0xDEADBEEFDEADBEEF
28982 .xword 0xDEADBEEFDEADBEEF
28983 .xword 0xDEADBEEFDEADBEEF
28984 .xword 0xDEADBEEFDEADBEEF
28985 .xword 0xDEADBEEFDEADBEEF
28986 .xword 0xDEADBEEFDEADBEEF
28987 .xword 0xDEADBEEFDEADBEEF
28988 .xword 0xDEADBEEFDEADBEEF
28989 .xword 0xDEADBEEFDEADBEEF
28990 .xword 0xDEADBEEFDEADBEEF
28991 .xword 0xDEADBEEFDEADBEEF
28992 .xword 0xDEADBEEFDEADBEEF
28993 .xword 0xDEADBEEFDEADBEEF
28994 .xword 0xDEADBEEFDEADBEEF
28995 .xword 0xDEADBEEFDEADBEEF
28996 .xword 0xDEADBEEFDEADBEEF
28997 .xword 0xDEADBEEFDEADBEEF
28998 .xword 0xDEADBEEFDEADBEEF
28999 .xword 0xDEADBEEFDEADBEEF
29000 .xword 0xDEADBEEFDEADBEEF
29001 .xword 0xDEADBEEFDEADBEEF
29002 .xword 0xDEADBEEFDEADBEEF
29003 .xword 0xDEADBEEFDEADBEEF
29004 .xword 0xDEADBEEFDEADBEEF
29005 .xword 0xDEADBEEFDEADBEEF
29006 .xword 0xDEADBEEFDEADBEEF
29007 .xword 0xDEADBEEFDEADBEEF
29008 .xword 0xDEADBEEFDEADBEEF
29009 .xword 0xDEADBEEFDEADBEEF
29010 .xword 0xDEADBEEFDEADBEEF
29011 .xword 0xDEADBEEFDEADBEEF
29012 .xword 0xDEADBEEFDEADBEEF
29013 .xword 0xDEADBEEFDEADBEEF
29014 .xword 0xDEADBEEFDEADBEEF
29015 .xword 0xDEADBEEFDEADBEEF
29016 .xword 0xDEADBEEFDEADBEEF
29017 .xword 0xDEADBEEFDEADBEEF
29018 .xword 0xDEADBEEFDEADBEEF
29019 .xword 0xDEADBEEFDEADBEEF
29020 .xword 0xDEADBEEFDEADBEEF
29021 .xword 0xDEADBEEFDEADBEEF
29022 .xword 0xDEADBEEFDEADBEEF
29023 .xword 0xDEADBEEFDEADBEEF
29024 .xword 0xDEADBEEFDEADBEEF
29025 .xword 0xDEADBEEFDEADBEEF
29026 .xword 0xDEADBEEFDEADBEEF
29027 .xword 0xDEADBEEFDEADBEEF
29028 .xword 0xDEADBEEFDEADBEEF
29029 .xword 0xDEADBEEFDEADBEEF
29030 .xword 0xDEADBEEFDEADBEEF
29031 .xword 0xDEADBEEFDEADBEEF
29032 .xword 0xDEADBEEFDEADBEEF
29033 .xword 0xDEADBEEFDEADBEEF
29034 .xword 0xDEADBEEFDEADBEEF
29035 .xword 0xDEADBEEFDEADBEEF
29036 .xword 0xDEADBEEFDEADBEEF
29037 .xword 0xDEADBEEFDEADBEEF
29038 .xword 0xDEADBEEFDEADBEEF
29039 .xword 0xDEADBEEFDEADBEEF
29040 .xword 0xDEADBEEFDEADBEEF
29041 .xword 0xDEADBEEFDEADBEEF
29042 .xword 0xDEADBEEFDEADBEEF
29043 .xword 0xDEADBEEFDEADBEEF
29044 .xword 0xDEADBEEFDEADBEEF
29045 .xword 0xDEADBEEFDEADBEEF
29046 .xword 0xDEADBEEFDEADBEEF
29047 .xword 0xDEADBEEFDEADBEEF
29048 .xword 0xDEADBEEFDEADBEEF
29049 .xword 0xDEADBEEFDEADBEEF
29050 .xword 0xDEADBEEFDEADBEEF
29051 .xword 0xDEADBEEFDEADBEEF
29052 .xword 0xDEADBEEFDEADBEEF
29053 .xword 0xDEADBEEFDEADBEEF
29054 .xword 0xDEADBEEFDEADBEEF
29055 .xword 0xDEADBEEFDEADBEEF
29056 .xword 0xDEADBEEFDEADBEEF
29057 .xword 0xDEADBEEFDEADBEEF
29058 .xword 0xDEADBEEFDEADBEEF
29059 .xword 0xDEADBEEFDEADBEEF
29060 .xword 0xDEADBEEFDEADBEEF
29061 .xword 0xDEADBEEFDEADBEEF
29062 .xword 0xDEADBEEFDEADBEEF
29063 .xword 0xDEADBEEFDEADBEEF
29064 .xword 0xDEADBEEFDEADBEEF
29065 .xword 0xDEADBEEFDEADBEEF
29066 .xword 0xDEADBEEFDEADBEEF
29067 .xword 0xDEADBEEFDEADBEEF
29068 .xword 0xDEADBEEFDEADBEEF
29069 .xword 0xDEADBEEFDEADBEEF
29070 .xword 0xDEADBEEFDEADBEEF
29071 .xword 0xDEADBEEFDEADBEEF
29072 .xword 0xDEADBEEFDEADBEEF
29073 .xword 0xDEADBEEFDEADBEEF
29074 .xword 0xDEADBEEFDEADBEEF
29075 .xword 0xDEADBEEFDEADBEEF
29076 .xword 0xDEADBEEFDEADBEEF
29077 .xword 0xDEADBEEFDEADBEEF
29078 .xword 0xDEADBEEFDEADBEEF
29079 .xword 0xDEADBEEFDEADBEEF
29080 .xword 0xDEADBEEFDEADBEEF
29081 .xword 0xDEADBEEFDEADBEEF
29082 .xword 0xDEADBEEFDEADBEEF
29083 .xword 0xDEADBEEFDEADBEEF
29084 .xword 0xDEADBEEFDEADBEEF
29085 .xword 0xDEADBEEFDEADBEEF
29086 .xword 0xDEADBEEFDEADBEEF
29087 .xword 0xDEADBEEFDEADBEEF
29088 .xword 0xDEADBEEFDEADBEEF
29089 .xword 0xDEADBEEFDEADBEEF
29090 .xword 0xDEADBEEFDEADBEEF
29091 .xword 0xDEADBEEFDEADBEEF
29092 .xword 0xDEADBEEFDEADBEEF
29093 .xword 0xDEADBEEFDEADBEEF
29094 .xword 0xDEADBEEFDEADBEEF
29095 .xword 0xDEADBEEFDEADBEEF
29096 .xword 0xDEADBEEFDEADBEEF
29097 .xword 0xDEADBEEFDEADBEEF
29098 .xword 0xDEADBEEFDEADBEEF
29099 .xword 0xDEADBEEFDEADBEEF
29100 .xword 0xDEADBEEFDEADBEEF
29101 .xword 0xDEADBEEFDEADBEEF
29102 .xword 0xDEADBEEFDEADBEEF
29103 .xword 0xDEADBEEFDEADBEEF
29104 .xword 0xDEADBEEFDEADBEEF
29105 .xword 0xDEADBEEFDEADBEEF
29106 .xword 0xDEADBEEFDEADBEEF
29107 .xword 0xDEADBEEFDEADBEEF
29108 .xword 0xDEADBEEFDEADBEEF
29109 .xword 0xDEADBEEFDEADBEEF
29110 .xword 0xDEADBEEFDEADBEEF
29111 .xword 0xDEADBEEFDEADBEEF
29112 .xword 0xDEADBEEFDEADBEEF
29113 .xword 0xDEADBEEFDEADBEEF
29114 .xword 0xDEADBEEFDEADBEEF
29115 .xword 0xDEADBEEFDEADBEEF
29116 .xword 0xDEADBEEFDEADBEEF
29117 .xword 0xDEADBEEFDEADBEEF
29118 .xword 0xDEADBEEFDEADBEEF
29119 .xword 0xDEADBEEFDEADBEEF
29120 .xword 0xDEADBEEFDEADBEEF
29121 .xword 0xDEADBEEFDEADBEEF
29122 .xword 0xDEADBEEFDEADBEEF
29123 .xword 0xDEADBEEFDEADBEEF
29124 .xword 0xDEADBEEFDEADBEEF
29125 .xword 0xDEADBEEFDEADBEEF
29126 .xword 0xDEADBEEFDEADBEEF
29127 .xword 0xDEADBEEFDEADBEEF
29128 .xword 0xDEADBEEFDEADBEEF
29129 .xword 0xDEADBEEFDEADBEEF
29130 .xword 0xDEADBEEFDEADBEEF
29131 .xword 0xDEADBEEFDEADBEEF
29132 .xword 0xDEADBEEFDEADBEEF
29133 .xword 0xDEADBEEFDEADBEEF
29134 .xword 0xDEADBEEFDEADBEEF
29135 .xword 0xDEADBEEFDEADBEEF
29136 .xword 0xDEADBEEFDEADBEEF
29137 .xword 0xDEADBEEFDEADBEEF
29138 .xword 0xDEADBEEFDEADBEEF
29139 .xword 0xDEADBEEFDEADBEEF
29140 .xword 0xDEADBEEFDEADBEEF
29141 .xword 0xDEADBEEFDEADBEEF
29142 .xword 0xDEADBEEFDEADBEEF
29143 .xword 0xDEADBEEFDEADBEEF
29144 .xword 0xDEADBEEFDEADBEEF
29145 .xword 0xDEADBEEFDEADBEEF
29146 .xword 0xDEADBEEFDEADBEEF
29147 .xword 0xDEADBEEFDEADBEEF
29148 .xword 0xDEADBEEFDEADBEEF
29149 .xword 0xDEADBEEFDEADBEEF
29150 .xword 0xDEADBEEFDEADBEEF
29151 .xword 0xDEADBEEFDEADBEEF
29152 .xword 0xDEADBEEFDEADBEEF
29153 .xword 0xDEADBEEFDEADBEEF
29154 .xword 0xDEADBEEFDEADBEEF
29155 .xword 0xDEADBEEFDEADBEEF
29156 .xword 0xDEADBEEFDEADBEEF
29157 .xword 0xDEADBEEFDEADBEEF
29158 .xword 0xDEADBEEFDEADBEEF
29159 .xword 0xDEADBEEFDEADBEEF
29160 .xword 0xDEADBEEFDEADBEEF
29161 .xword 0xDEADBEEFDEADBEEF
29162 .xword 0xDEADBEEFDEADBEEF
29163 .xword 0xDEADBEEFDEADBEEF
29164 .xword 0xDEADBEEFDEADBEEF
29165 .xword 0xDEADBEEFDEADBEEF
29166 .xword 0xDEADBEEFDEADBEEF
29167_t1_rc4_auth_key:
29168 .xword 0xee20f5016f57af2b
29169 .xword 0xc7c0e85e0f59b81f
29170 .xword 0xd205b2c1df166734
29171 .xword 0xf6ac7dab95f45004
29172 .xword 0x8190f7ffe830b476
29173 .xword 0xf30c473b1288be89
29174 .xword 0xc65a9ea7553cd3af
29175 .xword 0x6a43ec0e7875420b
29176 .xword 0xae808a5852d38cab
29177 .xword 0xbdbcd80d494bcbf5
29178 .xword 0xe9eb78e555901c0a
29179 .xword 0xef182feba5bc6b81
29180 .xword 0x5fc28d67b222d075
29181 .xword 0xbd9b0f53e5ce8c02
29182 .xword 0x74765486592e22ee
29183 .xword 0x7ec2aed58ab14a3a
29184 .xword 0x7dda889de57bee42
29185 .xword 0x6cf6d800c4606bf3
29186 .xword 0x4e70426ff3eefeae
29187 .xword 0x7005ea720a73083b
29188 .xword 0xa99133e24ef406b2
29189 .xword 0x528bcfd1fdc7fce5
29190 .xword 0xe32158bbecd1baa4
29191_t1_rc4_auth_iv:
29192 .xword 0x00870f89034ddceb
29193 .xword 0x8feee882422fc33f
29194 .xword 0x6fa3f0a54cd22bb7
29195 .xword 0xff03119b39e878a6
29196 .xword 0x47a72cd9b504f5e0
29197 .xword 0xf5d7a90833f12354
29198 .xword 0x2e30ceb4995095d5
29199 .xword 0xf91e4f4d8bb7c66a
29200 .xword 0x288a031694531f5c
29201 .xword 0x7fbe330f670852ab
29202 .xword 0x845c1947a78bf2d5
29203 .xword 0x7937c617b678309d
29204 .xword 0xb7a850bb6c78a3bb
29205 .xword 0xfc9454f0384f5f66
29206 .xword 0xbf1d8065014e1795
29207 .xword 0x582f553e171c45f3
29208 .xword 0x12cf0b8df29cb88c
29209 .xword 0x5acb157ada6d0c33
29210 .xword 0xe9b9fbfc4c5c812c
29211 .xword 0x89aac2637c358525
29212 .xword 0x333875debcb21ea1
29213 .xword 0x455015eed8f46b74
29214 .xword 0x2bd2c507c3a2ad1e
29215_t1_rc4_fas_result:
29216 .xword 0xDEADBEEFDEADBEEF
29217 .xword 0xDEADBEEFDEADBEEF
29218 .xword 0xDEADBEEFDEADBEEF
29219 .xword 0xDEADBEEFDEADBEEF
29220 .xword 0xDEADBEEFDEADBEEF
29221 .xword 0xDEADBEEFDEADBEEF
29222 .xword 0xDEADBEEFDEADBEEF
29223 .xword 0xDEADBEEFDEADBEEF
29224 .xword 0xDEADBEEFDEADBEEF
29225 .xword 0xDEADBEEFDEADBEEF
29226 .xword 0xDEADBEEFDEADBEEF
29227 .xword 0xDEADBEEFDEADBEEF
29228 .xword 0xDEADBEEFDEADBEEF
29229 .xword 0xDEADBEEFDEADBEEF
29230 .xword 0xDEADBEEFDEADBEEF
29231 .xword 0xDEADBEEFDEADBEEF
29232 .xword 0xDEADBEEFDEADBEEF
29233 .xword 0xDEADBEEFDEADBEEF
29234 .xword 0xDEADBEEFDEADBEEF
29235 .xword 0xDEADBEEFDEADBEEF
29236 .xword 0xDEADBEEFDEADBEEF
29237 .xword 0xDEADBEEFDEADBEEF
29238 .xword 0xDEADBEEFDEADBEEF
29239_t1_sslkey_key_array:
29240 .xword 0x151afac4aed2e0d1
29241 .xword 0x497cd542cf88834a
29242 .xword 0x2d858b319467931d
29243 .xword 0x903e6dcf3b45ecd0
29244 .xword 0x35f31225239a8560
29245 .xword 0xc9b872e5cb1c67e4
29246 .xword 0x8492c0f0212ff738
29247 .xword 0xa5540a5bb86e1a13
29248 .xword 0x3c4a063dcea09264
29249 .xword 0xf893880157471eda
29250 .xword 0x95fafff02bf9a37a
29251 .xword 0xc1a04c7f22fff760
29252 .xword 0xf272f26fbf54890e
29253 .xword 0x5d68bf70012739fe
29254 .xword 0xea43d89d2635e043
29255 .xword 0xcc4871a5a45a6574
29256 .xword 0xf513fa6997ca14b2
29257 .xword 0x06a93eb7a8df7a21
29258 .xword 0x5ff2e268da5de20b
29259 .xword 0xc5013ee29429c24f
29260 .xword 0x284ff76f4f0ed2dc
29261 .xword 0xecabcb06102ffe31
29262 .xword 0xeb12f2743306f635
29263 .xword 0x295c9d9c79a4a0ad
29264 .xword 0x85a563c32e7f70f7
29265 .xword 0x26b2fbf583fad962
29266 .xword 0xb201b5ec23edc719
29267 .xword 0x51ec68f8ccd572d4
29268 .xword 0xe71a340749a0890a
29269 .xword 0xbd832444b4e3bae5
29270 .xword 0x5b82066956cb6d96
29271 .xword 0x5904bf80085265ac
29272 .xword 0x7ef251dcf90038b5
29273 .xword 0xb1e037cf5a35efbe
29274 .xword 0x98ebc32264948328
29275 .xword 0x391d7a720471aae6
29276 .xword 0xc0b322f19649c8e8
29277 .xword 0x22841d68b34dd280
29278 .xword 0x6eee9d60edb4c5fc
29279 .xword 0x727e597693a370a5
29280 .xword 0x704dbfb787307f25
29281 .xword 0x822ea5d6de535c4f
29282 .xword 0xd7d2d300354c5cff
29283 .xword 0x6b04af23c28e92bc
29284 .xword 0x645e153d1b451b4e
29285 .xword 0x75501428ce0b38bd
29286 .xword 0x5516bb7ccf118cc7
29287 .xword 0x1548bdbc8ea5bc1f
29288 .xword 0xe5182e275d54685b
29289 .xword 0x3fd1203e765ecf49
29290 .xword 0x728bf9a0aaa15d53
29291_t1_sslkey_iv_array:
29292 .xword 0xec7ba624cde3b09e
29293 .xword 0x8091a75028c484fe
29294 .xword 0x070c3a56e359d10f
29295 .xword 0xf79f4f0346253590
29296 .xword 0xd42599a7dcc922f2
29297 .xword 0x9256dc7adf0be7ae
29298 .xword 0x7b92a7bd14512b2b
29299 .xword 0x5546636e3c29a99b
29300 .xword 0xc537f25fa6d96082
29301 .xword 0xcd57c2e324e6e2a5
29302 .xword 0x1ad8854a41ff3c40
29303 .xword 0x97bf37c946351d8d
29304 .xword 0x2c619f016d2c3bf2
29305 .xword 0xa37296fd9245c6cc
29306 .xword 0xb710ea78644c5578
29307 .xword 0xe2b1d8a52d4abb66
29308 .xword 0x0b07f021a022c95a
29309 .xword 0x7077504e75ac7d55
29310 .xword 0x91d9d37ab385425f
29311 .xword 0xe5277859a6e313f5
29312 .xword 0x857bc13fcefdf26a
29313 .xword 0x692b2ae44045676e
29314 .xword 0xe368e1cea025d7d5
29315 .xword 0xc389cf3d61682fee
29316 .xword 0xd8b6cdc8649b5fe9
29317 .xword 0x53d6bb50f1f698ff
29318 .xword 0x2d8c3e46913618c6
29319 .xword 0x2dc48023d73eb1c4
29320 .xword 0x49820635d72831e2
29321 .xword 0x38ad82ed535f6c5b
29322 .xword 0x5b1e6d76d3d034e7
29323 .xword 0xd133c37414c2eff4
29324 .xword 0x91ccc4eda43db96e
29325 .xword 0xfe6fd3c44989bf9e
29326 .xword 0x3dbafafcc5238767
29327 .xword 0x6d13fdcc688b989d
29328 .xword 0xb3fbbaa0af078eef
29329 .xword 0xb577b77aa28072ea
29330 .xword 0x08995a171d08b9ba
29331 .xword 0x3972b037c3f78768
29332 .xword 0x605bf34d42cc6c32
29333 .xword 0x8fd92ac7327db28f
29334 .xword 0xcb168f46c2cd9828
29335 .xword 0xf89e87561e60861a
29336 .xword 0x278037b5cb0cc1fe
29337_t1_sslkey_alignment_array:
29338 .xword 0
29339 .xword 0
29340 .xword 0
29341 .xword 0
29342 .xword 0
29343 .xword 0
29344 .xword 0
29345 .xword 0
29346 .xword 0
29347 .xword 0
29348 .xword 0
29349 .xword 0
29350 .xword 0
29351 .xword 0
29352 .xword 0
29353 .xword 0
29354 .xword 0
29355 .xword 0
29356 .xword 0
29357 .xword 0
29358 .xword 0
29359 .xword 0
29360 .xword 0
29361 .xword 0
29362 .xword 0
29363 .xword 0
29364 .xword 0
29365 .xword 0
29366 .xword 0
29367 .xword 0
29368 .xword 0
29369 .xword 0
29370 .xword 0
29371 .xword 0
29372 .xword 0
29373 .xword 0
29374 .xword 0
29375 .xword 0
29376 .xword 0
29377 .xword 0
29378 .xword 0
29379 .xword 0
29380 .xword 0
29381 .xword 0
29382 .xword 0
29383 .xword 0
29384 .xword 0
29385 .xword 0
29386 .xword 0
29387 .xword 0
29388 .xword 0
29389 .xword 0
29390 .xword 0
29391 .xword 0
29392 .xword 0
29393 .xword 0
29394 .xword 0
29395 .xword 0
29396 .xword 0
29397 .xword 0
29398 .xword 0
29399 .xword 0
29400 .xword 0
29401 .xword 0
29402 .xword 0
29403 .xword 0
29404 .xword 0
29405 .xword 0
29406 .xword 0
29407 .xword 0
29408 .xword 0
29409 .xword 0
29410 .xword 0
29411 .xword 0
29412 .xword 0
29413 .xword 0
29414 .xword 0
29415 .xword 0
29416 .xword 0
29417 .xword 0
29418 .xword 0
29419 .xword 0
29420 .xword 0
29421 .xword 0
29422 .xword 0
29423 .xword 0
29424 .xword 0
29425 .xword 0
29426 .xword 0
29427 .xword 0
29428 .xword 0
29429 .xword 0
29430 .xword 0
29431 .xword 0
29432 .xword 0
29433 .xword 0
29434 .xword 0
29435 .xword 0
29436 .xword 0
29437 .xword 0
29438 .xword 0
29439 .xword 0
29440 .xword 0
29441 .xword 0
29442 .xword 0
29443_t1_sslkey_src:
29444 .xword 0xae451ef0c5a336b6
29445 .xword 0x784e493ca54d3d46
29446 .xword 0xa183d6412da9e581
29447 .xword 0xf8e76947dd5c2b4f
29448 .xword 0x9fd75076d833329d
29449 .xword 0xe6239c2822c4342b
29450 .xword 0xecbab41f6b8c48ad
29451 .xword 0xc6cbf4cd0b308112
29452 .xword 0xc94b63ebf815c6bb
29453 .xword 0x4b9083a6044450d7
29454 .xword 0xcb2552ff0bd0797a
29455 .xword 0x7c2da98d2a0a1c7b
29456 .xword 0x6838e847f716b06f
29457 .xword 0xa4484e286a1903ca
29458 .xword 0x0ad6200929f2a93f
29459 .xword 0x5e07181f464b2aee
29460 .xword 0xc6f1ed4e3c5cbafa
29461 .xword 0xb02c27e883413db8
29462 .xword 0x2082792eeddbedac
29463 .xword 0x539cf07dc3a64b11
29464 .xword 0xca0929ba0bf6030a
29465 .xword 0x45d2633ffc79f99c
29466 .xword 0xeb5b091ff18e9f45
29467 .xword 0xd1706714614f7e52
29468 .xword 0x60eb52a2303be6c8
29469 .xword 0xec3a7d1788bd56bb
29470 .xword 0xbaf8fcf267eaee5f
29471 .xword 0x7d302a4446475411
29472 .xword 0xf0337f1c3b616d14
29473 .xword 0xf36cbb49c658f9dc
29474 .xword 0x67a5ace7a676ab0d
29475 .xword 0x869d8f6640a20070
29476 .xword 0x98bc1165a102a9af
29477 .xword 0x8ee96dfd8b424f34
29478 .xword 0x006151346c2d23ef
29479 .xword 0x0d8b967f6f67ee35
29480 .xword 0x44d38b2ce93d2258
29481 .xword 0xd973a178bb45395b
29482 .xword 0xf7697319d2b711a6
29483 .xword 0x4e103a2906c09951
29484 .xword 0x422ca8bc1f2592dc
29485 .xword 0x8e4c7010a987efbd
29486 .xword 0xbe2172bffa274924
29487 .xword 0xd65723d1ae960906
29488 .xword 0x4948130213d6cb95
29489 .xword 0x686dbe4d06b99d96
29490 .xword 0xc955bd51633147bb
29491 .xword 0x3b5e60a57474202d
29492 .xword 0x438e91dfc7897000
29493 .xword 0xafa7ec9696d55508
29494 .xword 0x550f78adcc467908
29495 .xword 0x92c297b00f485c57
29496 .xword 0xc616ee9f93af4843
29497 .xword 0x53bc649a14fa5e08
29498 .xword 0xc5ea084a1bd6f4ad
29499 .xword 0x7c46e98a83e23519
29500 .xword 0xf52f8cebb00c9f63
29501 .xword 0x52cd08dc2e251973
29502 .xword 0x6a239673e971c143
29503 .xword 0x8f416cbd471662c3
29504 .xword 0x50244544503850c5
29505 .xword 0x13628e6efdcf8421
29506 .xword 0x4b52af3866088328
29507 .xword 0xc19448b8c2c584ce
29508 .xword 0xf3b1fb5751671019
29509 .xword 0xc2767643a4d8380b
29510 .xword 0x19fd633f1760147d
29511 .xword 0x08a12aa2ab75c83d
29512 .xword 0xc2bf5edd8a5140ef
29513 .xword 0xf5f6c579a2a234b0
29514 .xword 0xc1160924c2540f0a
29515 .xword 0x85f22828358c33f1
29516 .xword 0x2f7c3bf66b84e72a
29517 .xword 0xbea02cb8134dc2b4
29518 .xword 0x5969ab5ee93a06ce
29519 .xword 0xdc726132bd4afaa6
29520 .xword 0xbfbfa04fc1632bc3
29521 .xword 0x816df9f089d39dcf
29522 .xword 0xc8b86ab227b80b5b
29523 .xword 0x9a071c61bb698126
29524 .xword 0x2b0d1d4091ad9078
29525 .xword 0x68fbb76fcf5f0ef8
29526 .xword 0x001b298fa0d88cc0
29527 .xword 0x717aaa35088f7967
29528 .xword 0x4c4fbfc7d14f343a
29529 .xword 0xc69eecf27d7da1cd
29530 .xword 0x9af33675bae749d5
29531 .xword 0x23649aead93ab6cf
29532 .xword 0xe76210baf277a16c
29533 .xword 0x6a81e1f06a0918e8
29534 .xword 0x13f7f62de43d8386
29535 .xword 0xabc80d0f7e2a20e2
29536 .xword 0x7385a762e1fce10e
29537 .xword 0x274ea5e2aaf13868
29538 .xword 0x248ef564c46ad90f
29539 .xword 0x1f99b0d7f245a46a
29540 .xword 0x07f4f38944f33158
29541 .xword 0x24da42909dff2584
29542 .xword 0x7e08e1505264d024
29543 .xword 0xc365d683ce3c2d1e
29544 .xword 0xa4c278fe27515eff
29545 .xword 0x35822ce62d4f85a7
29546 .xword 0x564837cdfd339d60
29547 .xword 0xef110427f23a8162
29548 .xword 0x27fa4728dba3c098
29549 .xword 0x01f977a86f2e8485
29550 .xword 0xfaeddc36d47e5674
29551 .xword 0x32f8793be4149a1e
29552 .xword 0x60a5899b477e800b
29553 .xword 0xeeb20fce4c3373f9
29554 .xword 0x55c2a6397aaec9e2
29555 .xword 0x3569cbcdcc8658b6
29556 .xword 0xeb68eb767242514d
29557 .xword 0xb9069cd6e2d72e98
29558 .xword 0xf9b2142c4bd7fd53
29559 .xword 0xd6427c312e42a2c7
29560 .xword 0x3933bc1afdadaa9a
29561 .xword 0x45de43b3e109c7f6
29562 .xword 0xda56c24111adea4c
29563 .xword 0x54bef8c11a3427c2
29564 .xword 0xfb08050d0890de93
29565 .xword 0xe65795a108c53681
29566 .xword 0xa341172ccc6575e0
29567 .xword 0x52dc83a8ed4a4131
29568 .xword 0x64f8ca38fba6d26f
29569 .xword 0x52366062a9ce5842
29570 .xword 0xfa1ff1f94b632198
29571 .xword 0x54bf741baa38799d
29572 .xword 0x525de5d6577e5b89
29573 .xword 0xf9df1d83f760ebd9
29574 .xword 0xa4fd14293123e489
29575 .xword 0x05484318aa814ed7
29576 .xword 0x99f945183e018a6b
29577 .xword 0x0153367a255dfb14
29578 .xword 0x3275b7f8bed88276
29579 .xword 0x6dd70611d1b93dd0
29580 .xword 0x2495a85a932e0f88
29581 .xword 0xb82a59df896ad271
29582 .xword 0xb52bfdd8027f4230
29583 .xword 0x210091c6e81ac820
29584 .xword 0xe24afcaaba50e839
29585 .xword 0xadb371b61a598990
29586 .xword 0xf796995253c4e1bc
29587 .xword 0xd6f8888206aa59af
29588 .xword 0xaaf456fc78aca494
29589 .xword 0x75de913630e8de00
29590 .xword 0x37255e10734d9ceb
29591 .xword 0xe97578fb2cc95780
29592 .xword 0x42d64743d3604132
29593 .xword 0xb612f54337f822f5
29594 .xword 0xad61b999d2378542
29595 .xword 0x518d8fb8b1bd7e08
29596 .xword 0x705ee5f1441e744d
29597 .xword 0x900c7a952dcce9d9
29598 .xword 0xcf3770ae502dc13e
29599 .xword 0xa84c2469116b3620
29600 .xword 0x3d6870467d92c323
29601 .xword 0x0a85d7d15560dd23
29602 .xword 0xa82231bfff6faa27
29603 .xword 0xf98ae77d7d8be3fc
29604 .xword 0x94be5b411de2b513
29605 .xword 0x9c97c558c0170191
29606 .xword 0xccc3b3618f9b1ca9
29607 .xword 0xd5120db6c6ff9c85
29608 .xword 0xef56f083f7a57437
29609 .xword 0xebbb98289e6e0372
29610 .xword 0x77acc467ddf78a35
29611 .xword 0xfc76fa9554f3a03f
29612 .xword 0x51c83c35a2d7f566
29613 .xword 0x06197cc4cc8c8a16
29614 .xword 0x02723f81f4cead9a
29615 .xword 0xc63e40eecbf59d7a
29616 .xword 0xd398d105cef88c74
29617 .xword 0x9c484b7f5f21063e
29618 .xword 0xcbe3a50c7aa461b8
29619 .xword 0xa6862b2ca12a3122
29620 .xword 0x6c5e88529ca8df2c
29621 .xword 0x6cbc5e672ecd2341
29622 .xword 0x0da42116311b59d9
29623 .xword 0x50d78df0ae07dc63
29624 .xword 0x7f28d4257f4c150c
29625 .xword 0xfb833b71bbebdfd6
29626 .xword 0xef0b2186b30ab50c
29627 .xword 0x40e0d3c03bbacdcd
29628 .xword 0x051956ede531a532
29629 .xword 0x7926f4522c405965
29630 .xword 0x2627a81ac50944df
29631 .xword 0x353ad47e16f2ded1
29632 .xword 0x47692ec6614e35a5
29633 .xword 0x0b39df2f4a644ee0
29634 .xword 0x18275e2376c6e9a1
29635 .xword 0x44deb2740b2b577c
29636 .xword 0xf33ee1318f4b0379
29637 .xword 0x1ebddcd2925bfc9f
29638 .xword 0xb4d05238a20f4222
29639 .xword 0xfd853b179ce508e7
29640 .xword 0x5e4360d960ffd144
29641 .xword 0x193a156002c2a06c
29642 .xword 0xafe5a19f308c17b2
29643 .xword 0x9028c57e51169914
29644 .xword 0x8e2327e25abd4abd
29645 .xword 0x775c0c9a16cadf0c
29646 .xword 0xb98a56e16ecf5cae
29647 .xword 0xd76c58c898360b53
29648 .xword 0x50668147bdeeafdd
29649 .xword 0x5b40105ca3ac1983
29650 .xword 0x9e43ef10295497e1
29651 .xword 0x09b5a78dbf67955f
29652 .xword 0x19b2a0a12cab9060
29653 .xword 0x20e65530147583b3
29654 .xword 0xd2c1a38c862772a6
29655 .xword 0x281909b4570d6bad
29656 .xword 0xad93862f8546cfe5
29657 .xword 0x2be91555ceaac28e
29658 .xword 0x6fe861f258dd685f
29659 .xword 0x2c48181278892e12
29660 .xword 0x4d1d0435e4078625
29661 .xword 0xe31aeea3c30e0d34
29662 .xword 0x96d859f5f2c488ee
29663 .xword 0xca037db95f621335
29664 .xword 0xb4f5b9d76be751a2
29665 .xword 0x9370fe0979e0455e
29666 .xword 0x974c848a2a135e5b
29667 .xword 0xfb63a2d4de820227
29668 .xword 0x11d8a76382640f19
29669 .xword 0x4e52ea2b08c61813
29670 .xword 0xbb1640e7b35727a4
29671 .xword 0xf3c82f696fca62f9
29672 .xword 0x5297f99de4f6e255
29673 .xword 0x81b0010760823115
29674 .xword 0x0503cc66f763df4c
29675 .xword 0x2e4caaa95785776c
29676 .xword 0x624c0037b0ac3e98
29677 .xword 0xa484ab597cf07e50
29678 .xword 0x489c6000dca863db
29679 .xword 0xeb00049e4a9f653e
29680 .xword 0x717b6ed3f06b822e
29681 .xword 0x29494f63e3cb2581
29682 .xword 0xdf346dcaa02d9fe4
29683 .xword 0x2f2abadc405e71c5
29684 .xword 0xd8e566d02252e044
29685 .xword 0x52f3aaee4a4595df
29686 .xword 0x3dda174c096a8494
29687 .xword 0x17c93307e5ae1653
29688 .xword 0x1016701d197b01f5
29689 .xword 0xb35e7ea79c1e9672
29690 .xword 0xcfd284510d086fc7
29691 .xword 0x019ffbd0b9e8a328
29692 .xword 0x9ec8e2fb5c6aabe0
29693 .xword 0x5bf845af88de8e5c
29694 .xword 0x6b0e974423a79b18
29695 .xword 0xe9893a9b4645ec56
29696 .xword 0x7bf1d94a23700353
29697 .xword 0x93281b6d2c10ea5d
29698 .xword 0xbbcca9173f0d5a7e
29699 .xword 0x6e3bbef44a6f9eca
29700 .xword 0x0e7f04782c5d119e
29701 .xword 0x14239473ddbf501d
29702 .xword 0xec3076c7e243d9b0
29703 .xword 0xcd2d9eeac3799831
29704 .xword 0x65441b7bffaaaeb5
29705 .xword 0x07e23396fcfed7aa
29706 .xword 0x76c9d04a656c52a5
29707 .xword 0x413c4cdb759ecbc5
29708 .xword 0x5e603bde1a555911
29709 .xword 0x7d9523a8eb67f3a6
29710 .xword 0x508ead351053506a
29711 .xword 0xd7711869a0df6dfd
29712 .xword 0x682f0805e8c68f66
29713 .xword 0x5a5a47b680eeab8b
29714 .xword 0x47591ea2b9390f1c
29715 .xword 0x2bfac2df22bb2ee3
29716 .xword 0xeb53b4c6bb999790
29717 .xword 0xa5bbec6cbf2d2d18
29718 .xword 0xe18ab728b637d71d
29719_t1_sslkey_dest:
29720 .xword 0xDEADBEEFDEADBEEF
29721 .xword 0xDEADBEEFDEADBEEF
29722 .xword 0xDEADBEEFDEADBEEF
29723 .xword 0xDEADBEEFDEADBEEF
29724 .xword 0xDEADBEEFDEADBEEF
29725 .xword 0xDEADBEEFDEADBEEF
29726 .xword 0xDEADBEEFDEADBEEF
29727 .xword 0xDEADBEEFDEADBEEF
29728 .xword 0xDEADBEEFDEADBEEF
29729 .xword 0xDEADBEEFDEADBEEF
29730 .xword 0xDEADBEEFDEADBEEF
29731 .xword 0xDEADBEEFDEADBEEF
29732 .xword 0xDEADBEEFDEADBEEF
29733 .xword 0xDEADBEEFDEADBEEF
29734 .xword 0xDEADBEEFDEADBEEF
29735 .xword 0xDEADBEEFDEADBEEF
29736 .xword 0xDEADBEEFDEADBEEF
29737 .xword 0xDEADBEEFDEADBEEF
29738 .xword 0xDEADBEEFDEADBEEF
29739 .xword 0xDEADBEEFDEADBEEF
29740 .xword 0xDEADBEEFDEADBEEF
29741 .xword 0xDEADBEEFDEADBEEF
29742 .xword 0xDEADBEEFDEADBEEF
29743 .xword 0xDEADBEEFDEADBEEF
29744 .xword 0xDEADBEEFDEADBEEF
29745 .xword 0xDEADBEEFDEADBEEF
29746 .xword 0xDEADBEEFDEADBEEF
29747 .xword 0xDEADBEEFDEADBEEF
29748 .xword 0xDEADBEEFDEADBEEF
29749 .xword 0xDEADBEEFDEADBEEF
29750 .xword 0xDEADBEEFDEADBEEF
29751 .xword 0xDEADBEEFDEADBEEF
29752 .xword 0xDEADBEEFDEADBEEF
29753 .xword 0xDEADBEEFDEADBEEF
29754 .xword 0xDEADBEEFDEADBEEF
29755 .xword 0xDEADBEEFDEADBEEF
29756 .xword 0xDEADBEEFDEADBEEF
29757 .xword 0xDEADBEEFDEADBEEF
29758 .xword 0xDEADBEEFDEADBEEF
29759 .xword 0xDEADBEEFDEADBEEF
29760 .xword 0xDEADBEEFDEADBEEF
29761 .xword 0xDEADBEEFDEADBEEF
29762 .xword 0xDEADBEEFDEADBEEF
29763 .xword 0xDEADBEEFDEADBEEF
29764 .xword 0xDEADBEEFDEADBEEF
29765 .xword 0xDEADBEEFDEADBEEF
29766 .xword 0xDEADBEEFDEADBEEF
29767 .xword 0xDEADBEEFDEADBEEF
29768 .xword 0xDEADBEEFDEADBEEF
29769 .xword 0xDEADBEEFDEADBEEF
29770 .xword 0xDEADBEEFDEADBEEF
29771 .xword 0xDEADBEEFDEADBEEF
29772 .xword 0xDEADBEEFDEADBEEF
29773 .xword 0xDEADBEEFDEADBEEF
29774 .xword 0xDEADBEEFDEADBEEF
29775 .xword 0xDEADBEEFDEADBEEF
29776 .xword 0xDEADBEEFDEADBEEF
29777 .xword 0xDEADBEEFDEADBEEF
29778 .xword 0xDEADBEEFDEADBEEF
29779 .xword 0xDEADBEEFDEADBEEF
29780 .xword 0xDEADBEEFDEADBEEF
29781 .xword 0xDEADBEEFDEADBEEF
29782 .xword 0xDEADBEEFDEADBEEF
29783 .xword 0xDEADBEEFDEADBEEF
29784 .xword 0xDEADBEEFDEADBEEF
29785 .xword 0xDEADBEEFDEADBEEF
29786 .xword 0xDEADBEEFDEADBEEF
29787 .xword 0xDEADBEEFDEADBEEF
29788 .xword 0xDEADBEEFDEADBEEF
29789 .xword 0xDEADBEEFDEADBEEF
29790 .xword 0xDEADBEEFDEADBEEF
29791 .xword 0xDEADBEEFDEADBEEF
29792 .xword 0xDEADBEEFDEADBEEF
29793 .xword 0xDEADBEEFDEADBEEF
29794 .xword 0xDEADBEEFDEADBEEF
29795 .xword 0xDEADBEEFDEADBEEF
29796 .xword 0xDEADBEEFDEADBEEF
29797 .xword 0xDEADBEEFDEADBEEF
29798 .xword 0xDEADBEEFDEADBEEF
29799 .xword 0xDEADBEEFDEADBEEF
29800 .xword 0xDEADBEEFDEADBEEF
29801 .xword 0xDEADBEEFDEADBEEF
29802 .xword 0xDEADBEEFDEADBEEF
29803 .xword 0xDEADBEEFDEADBEEF
29804 .xword 0xDEADBEEFDEADBEEF
29805 .xword 0xDEADBEEFDEADBEEF
29806 .xword 0xDEADBEEFDEADBEEF
29807 .xword 0xDEADBEEFDEADBEEF
29808 .xword 0xDEADBEEFDEADBEEF
29809 .xword 0xDEADBEEFDEADBEEF
29810 .xword 0xDEADBEEFDEADBEEF
29811 .xword 0xDEADBEEFDEADBEEF
29812 .xword 0xDEADBEEFDEADBEEF
29813 .xword 0xDEADBEEFDEADBEEF
29814 .xword 0xDEADBEEFDEADBEEF
29815 .xword 0xDEADBEEFDEADBEEF
29816 .xword 0xDEADBEEFDEADBEEF
29817 .xword 0xDEADBEEFDEADBEEF
29818 .xword 0xDEADBEEFDEADBEEF
29819 .xword 0xDEADBEEFDEADBEEF
29820 .xword 0xDEADBEEFDEADBEEF
29821 .xword 0xDEADBEEFDEADBEEF
29822 .xword 0xDEADBEEFDEADBEEF
29823 .xword 0xDEADBEEFDEADBEEF
29824 .xword 0xDEADBEEFDEADBEEF
29825 .xword 0xDEADBEEFDEADBEEF
29826 .xword 0xDEADBEEFDEADBEEF
29827 .xword 0xDEADBEEFDEADBEEF
29828 .xword 0xDEADBEEFDEADBEEF
29829 .xword 0xDEADBEEFDEADBEEF
29830 .xword 0xDEADBEEFDEADBEEF
29831 .xword 0xDEADBEEFDEADBEEF
29832 .xword 0xDEADBEEFDEADBEEF
29833 .xword 0xDEADBEEFDEADBEEF
29834 .xword 0xDEADBEEFDEADBEEF
29835 .xword 0xDEADBEEFDEADBEEF
29836 .xword 0xDEADBEEFDEADBEEF
29837 .xword 0xDEADBEEFDEADBEEF
29838 .xword 0xDEADBEEFDEADBEEF
29839 .xword 0xDEADBEEFDEADBEEF
29840 .xword 0xDEADBEEFDEADBEEF
29841 .xword 0xDEADBEEFDEADBEEF
29842 .xword 0xDEADBEEFDEADBEEF
29843 .xword 0xDEADBEEFDEADBEEF
29844 .xword 0xDEADBEEFDEADBEEF
29845 .xword 0xDEADBEEFDEADBEEF
29846 .xword 0xDEADBEEFDEADBEEF
29847 .xword 0xDEADBEEFDEADBEEF
29848 .xword 0xDEADBEEFDEADBEEF
29849 .xword 0xDEADBEEFDEADBEEF
29850 .xword 0xDEADBEEFDEADBEEF
29851 .xword 0xDEADBEEFDEADBEEF
29852 .xword 0xDEADBEEFDEADBEEF
29853 .xword 0xDEADBEEFDEADBEEF
29854 .xword 0xDEADBEEFDEADBEEF
29855 .xword 0xDEADBEEFDEADBEEF
29856 .xword 0xDEADBEEFDEADBEEF
29857 .xword 0xDEADBEEFDEADBEEF
29858 .xword 0xDEADBEEFDEADBEEF
29859 .xword 0xDEADBEEFDEADBEEF
29860 .xword 0xDEADBEEFDEADBEEF
29861 .xword 0xDEADBEEFDEADBEEF
29862 .xword 0xDEADBEEFDEADBEEF
29863 .xword 0xDEADBEEFDEADBEEF
29864 .xword 0xDEADBEEFDEADBEEF
29865 .xword 0xDEADBEEFDEADBEEF
29866 .xword 0xDEADBEEFDEADBEEF
29867 .xword 0xDEADBEEFDEADBEEF
29868 .xword 0xDEADBEEFDEADBEEF
29869 .xword 0xDEADBEEFDEADBEEF
29870 .xword 0xDEADBEEFDEADBEEF
29871 .xword 0xDEADBEEFDEADBEEF
29872 .xword 0xDEADBEEFDEADBEEF
29873 .xword 0xDEADBEEFDEADBEEF
29874 .xword 0xDEADBEEFDEADBEEF
29875 .xword 0xDEADBEEFDEADBEEF
29876 .xword 0xDEADBEEFDEADBEEF
29877 .xword 0xDEADBEEFDEADBEEF
29878 .xword 0xDEADBEEFDEADBEEF
29879 .xword 0xDEADBEEFDEADBEEF
29880 .xword 0xDEADBEEFDEADBEEF
29881 .xword 0xDEADBEEFDEADBEEF
29882 .xword 0xDEADBEEFDEADBEEF
29883 .xword 0xDEADBEEFDEADBEEF
29884 .xword 0xDEADBEEFDEADBEEF
29885 .xword 0xDEADBEEFDEADBEEF
29886 .xword 0xDEADBEEFDEADBEEF
29887 .xword 0xDEADBEEFDEADBEEF
29888 .xword 0xDEADBEEFDEADBEEF
29889 .xword 0xDEADBEEFDEADBEEF
29890 .xword 0xDEADBEEFDEADBEEF
29891 .xword 0xDEADBEEFDEADBEEF
29892 .xword 0xDEADBEEFDEADBEEF
29893 .xword 0xDEADBEEFDEADBEEF
29894 .xword 0xDEADBEEFDEADBEEF
29895 .xword 0xDEADBEEFDEADBEEF
29896 .xword 0xDEADBEEFDEADBEEF
29897 .xword 0xDEADBEEFDEADBEEF
29898 .xword 0xDEADBEEFDEADBEEF
29899 .xword 0xDEADBEEFDEADBEEF
29900 .xword 0xDEADBEEFDEADBEEF
29901 .xword 0xDEADBEEFDEADBEEF
29902 .xword 0xDEADBEEFDEADBEEF
29903 .xword 0xDEADBEEFDEADBEEF
29904 .xword 0xDEADBEEFDEADBEEF
29905 .xword 0xDEADBEEFDEADBEEF
29906 .xword 0xDEADBEEFDEADBEEF
29907 .xword 0xDEADBEEFDEADBEEF
29908 .xword 0xDEADBEEFDEADBEEF
29909 .xword 0xDEADBEEFDEADBEEF
29910 .xword 0xDEADBEEFDEADBEEF
29911 .xword 0xDEADBEEFDEADBEEF
29912 .xword 0xDEADBEEFDEADBEEF
29913 .xword 0xDEADBEEFDEADBEEF
29914 .xword 0xDEADBEEFDEADBEEF
29915 .xword 0xDEADBEEFDEADBEEF
29916 .xword 0xDEADBEEFDEADBEEF
29917 .xword 0xDEADBEEFDEADBEEF
29918 .xword 0xDEADBEEFDEADBEEF
29919 .xword 0xDEADBEEFDEADBEEF
29920 .xword 0xDEADBEEFDEADBEEF
29921 .xword 0xDEADBEEFDEADBEEF
29922 .xword 0xDEADBEEFDEADBEEF
29923 .xword 0xDEADBEEFDEADBEEF
29924 .xword 0xDEADBEEFDEADBEEF
29925 .xword 0xDEADBEEFDEADBEEF
29926 .xword 0xDEADBEEFDEADBEEF
29927 .xword 0xDEADBEEFDEADBEEF
29928 .xword 0xDEADBEEFDEADBEEF
29929 .xword 0xDEADBEEFDEADBEEF
29930 .xword 0xDEADBEEFDEADBEEF
29931 .xword 0xDEADBEEFDEADBEEF
29932 .xword 0xDEADBEEFDEADBEEF
29933 .xword 0xDEADBEEFDEADBEEF
29934 .xword 0xDEADBEEFDEADBEEF
29935 .xword 0xDEADBEEFDEADBEEF
29936 .xword 0xDEADBEEFDEADBEEF
29937 .xword 0xDEADBEEFDEADBEEF
29938 .xword 0xDEADBEEFDEADBEEF
29939 .xword 0xDEADBEEFDEADBEEF
29940 .xword 0xDEADBEEFDEADBEEF
29941 .xword 0xDEADBEEFDEADBEEF
29942 .xword 0xDEADBEEFDEADBEEF
29943 .xword 0xDEADBEEFDEADBEEF
29944 .xword 0xDEADBEEFDEADBEEF
29945 .xword 0xDEADBEEFDEADBEEF
29946 .xword 0xDEADBEEFDEADBEEF
29947 .xword 0xDEADBEEFDEADBEEF
29948 .xword 0xDEADBEEFDEADBEEF
29949 .xword 0xDEADBEEFDEADBEEF
29950 .xword 0xDEADBEEFDEADBEEF
29951 .xword 0xDEADBEEFDEADBEEF
29952 .xword 0xDEADBEEFDEADBEEF
29953 .xword 0xDEADBEEFDEADBEEF
29954 .xword 0xDEADBEEFDEADBEEF
29955 .xword 0xDEADBEEFDEADBEEF
29956 .xword 0xDEADBEEFDEADBEEF
29957 .xword 0xDEADBEEFDEADBEEF
29958 .xword 0xDEADBEEFDEADBEEF
29959 .xword 0xDEADBEEFDEADBEEF
29960 .xword 0xDEADBEEFDEADBEEF
29961 .xword 0xDEADBEEFDEADBEEF
29962 .xword 0xDEADBEEFDEADBEEF
29963 .xword 0xDEADBEEFDEADBEEF
29964 .xword 0xDEADBEEFDEADBEEF
29965 .xword 0xDEADBEEFDEADBEEF
29966 .xword 0xDEADBEEFDEADBEEF
29967 .xword 0xDEADBEEFDEADBEEF
29968 .xword 0xDEADBEEFDEADBEEF
29969 .xword 0xDEADBEEFDEADBEEF
29970 .xword 0xDEADBEEFDEADBEEF
29971 .xword 0xDEADBEEFDEADBEEF
29972 .xword 0xDEADBEEFDEADBEEF
29973 .xword 0xDEADBEEFDEADBEEF
29974 .xword 0xDEADBEEFDEADBEEF
29975 .xword 0xDEADBEEFDEADBEEF
29976 .xword 0xDEADBEEFDEADBEEF
29977 .xword 0xDEADBEEFDEADBEEF
29978 .xword 0xDEADBEEFDEADBEEF
29979 .xword 0xDEADBEEFDEADBEEF
29980 .xword 0xDEADBEEFDEADBEEF
29981 .xword 0xDEADBEEFDEADBEEF
29982 .xword 0xDEADBEEFDEADBEEF
29983 .xword 0xDEADBEEFDEADBEEF
29984 .xword 0xDEADBEEFDEADBEEF
29985 .xword 0xDEADBEEFDEADBEEF
29986 .xword 0xDEADBEEFDEADBEEF
29987 .xword 0xDEADBEEFDEADBEEF
29988 .xword 0xDEADBEEFDEADBEEF
29989 .xword 0xDEADBEEFDEADBEEF
29990 .xword 0xDEADBEEFDEADBEEF
29991 .xword 0xDEADBEEFDEADBEEF
29992 .xword 0xDEADBEEFDEADBEEF
29993 .xword 0xDEADBEEFDEADBEEF
29994 .xword 0xDEADBEEFDEADBEEF
29995_t1_sslkey_auth_key:
29996 .xword 0xd7ac71ca8451d382
29997 .xword 0x9ed1f65b210eb9e5
29998 .xword 0x798a1b99e1ca81ca
29999 .xword 0xa68a34b00b5deb54
30000 .xword 0x188e10b493da73f6
30001 .xword 0x15e17eb2f6fe60ec
30002 .xword 0x676bf241f30bb04e
30003 .xword 0x4473b2e86290f27f
30004 .xword 0x1d47aa2dad40541c
30005 .xword 0xacfc7978a32c9563
30006 .xword 0x3e521a27da037c29
30007 .xword 0x87d67ec0aeed735f
30008 .xword 0x56391457ae761ba4
30009 .xword 0xf87bfb2a3bbe1543
30010 .xword 0x6c41c82ab94b80fe
30011 .xword 0x4eec02289b0359e0
30012 .xword 0xb6ca24697d9082ad
30013 .xword 0x5438125ea17bd7dc
30014 .xword 0x8979312953ad363d
30015 .xword 0x37b9864e8982fee9
30016 .xword 0xe5777db06c6472c9
30017 .xword 0xfc5ae6f527b6d20f
30018 .xword 0x81a4e2fa2cdd33f4
30019_t1_sslkey_auth_iv:
30020 .xword 0xbb987274c61d08d2
30021 .xword 0xb98a8918a26b2293
30022 .xword 0x2423e339fdc86554
30023 .xword 0x558bb32ae52458fe
30024 .xword 0x625297e69dac8efe
30025 .xword 0xdda69edf82659174
30026 .xword 0x2e918cb77ae89a85
30027 .xword 0x1e0e66428e8bebf9
30028 .xword 0xf4d365f73cc1d817
30029 .xword 0x9c2a9bc6abbdef48
30030 .xword 0x8644f07738d51378
30031 .xword 0x1a5748cae704f50e
30032 .xword 0xad98a78142c1370e
30033 .xword 0x4111402b0cc5601f
30034 .xword 0x0f0e5acf91a486db
30035 .xword 0x0328d6d7deac3608
30036 .xword 0x2ad2f42ed185e413
30037 .xword 0xe2b7b97b2c16e395
30038 .xword 0xea78efa4ef24cae6
30039 .xword 0x2ce9214ea161f3fa
30040 .xword 0x360245e361ff2c2b
30041 .xword 0x17858f9b20660af7
30042 .xword 0x5ee563bc653e03a3
30043_t1_sslkey_fas_result:
30044 .xword 0xDEADBEEFDEADBEEF
30045 .xword 0xDEADBEEFDEADBEEF
30046 .xword 0xDEADBEEFDEADBEEF
30047 .xword 0xDEADBEEFDEADBEEF
30048 .xword 0xDEADBEEFDEADBEEF
30049 .xword 0xDEADBEEFDEADBEEF
30050 .xword 0xDEADBEEFDEADBEEF
30051 .xword 0xDEADBEEFDEADBEEF
30052 .xword 0xDEADBEEFDEADBEEF
30053 .xword 0xDEADBEEFDEADBEEF
30054 .xword 0xDEADBEEFDEADBEEF
30055 .xword 0xDEADBEEFDEADBEEF
30056 .xword 0xDEADBEEFDEADBEEF
30057 .xword 0xDEADBEEFDEADBEEF
30058 .xword 0xDEADBEEFDEADBEEF
30059 .xword 0xDEADBEEFDEADBEEF
30060 .xword 0xDEADBEEFDEADBEEF
30061 .xword 0xDEADBEEFDEADBEEF
30062 .xword 0xDEADBEEFDEADBEEF
30063 .xword 0xDEADBEEFDEADBEEF
30064 .xword 0xDEADBEEFDEADBEEF
30065 .xword 0xDEADBEEFDEADBEEF
30066 .xword 0xDEADBEEFDEADBEEF
30067_t1_aes_toc:
30068 .xword _t1_aes_cwd_array
30069 .xword _t1_aes_src
30070 .xword _t1_aes_auth_key
30071 .xword _t1_aes_auth_iv
30072 .xword _t1_aes_fas_result
30073 .xword _t1_aes_key_array
30074 .xword _t1_aes_iv_array
30075 .xword _t1_aes_dest
30076 .xword _t1_aes_alignment_array
30077_t1_des_toc:
30078 .xword _t1_des_cwd_array
30079 .xword _t1_des_src
30080 .xword _t1_des_auth_key
30081 .xword _t1_des_auth_iv
30082 .xword _t1_des_fas_result
30083 .xword _t1_des_key_array
30084 .xword _t1_des_iv_array
30085 .xword _t1_des_dest
30086 .xword _t1_des_alignment_array
30087_t1_copy_toc:
30088 .xword _t1_copy_cwd_array
30089 .xword _t1_copy_src
30090 .xword _t1_copy_auth_key
30091 .xword _t1_copy_auth_iv
30092 .xword _t1_copy_fas_result
30093 .xword _t1_copy_key_array
30094 .xword _t1_copy_iv_array
30095 .xword _t1_copy_dest
30096 .xword _t1_copy_alignment_array
30097_t1_crc_toc:
30098 .xword _t1_crc_cwd_array
30099 .xword _t1_crc_src
30100 .xword _t1_crc_auth_key
30101 .xword _t1_crc_auth_iv
30102 .xword _t1_crc_fas_result
30103 .xword _t1_crc_key_array
30104 .xword _t1_crc_iv_array
30105 .xword _t1_crc_dest
30106 .xword _t1_crc_alignment_array
30107_t1_hash_toc:
30108 .xword _t1_hash_cwd_array
30109 .xword _t1_hash_src
30110 .xword _t1_hash_auth_key
30111 .xword _t1_hash_auth_iv
30112 .xword _t1_hash_fas_result
30113 .xword _t1_hash_key_array
30114 .xword _t1_hash_iv_array
30115 .xword _t1_hash_dest
30116 .xword _t1_hash_alignment_array
30117_t1_hmac_toc:
30118 .xword _t1_hmac_cwd_array
30119 .xword _t1_hmac_src
30120 .xword _t1_hmac_auth_key
30121 .xword _t1_hmac_auth_iv
30122 .xword _t1_hmac_fas_result
30123 .xword _t1_hmac_key_array
30124 .xword _t1_hmac_iv_array
30125 .xword _t1_hmac_dest
30126 .xword _t1_hmac_alignment_array
30127_t1_rc4_toc:
30128 .xword _t1_rc4_cwd_array
30129 .xword _t1_rc4_src
30130 .xword _t1_rc4_auth_key
30131 .xword _t1_rc4_auth_iv
30132 .xword _t1_rc4_fas_result
30133 .xword _t1_rc4_key_array
30134 .xword _t1_rc4_iv_array
30135 .xword _t1_rc4_dest
30136 .xword _t1_rc4_alignment_array
30137_t1_sslkey_toc:
30138 .xword _t1_sslkey_cwd_array
30139 .xword _t1_sslkey_src
30140 .xword _t1_sslkey_auth_key
30141 .xword _t1_sslkey_auth_iv
30142 .xword _t1_sslkey_fas_result
30143 .xword _t1_sslkey_key_array
30144 .xword _t1_sslkey_iv_array
30145 .xword _t1_sslkey_dest
30146 .xword _t1_sslkey_alignment_array
30147_t1_table_of_context1:
30148 .xword _t1_aes_toc
30149 .xword _t1_des_toc
30150 .xword _t1_copy_toc
30151 .xword _t1_crc_toc
30152 .xword _t1_hash_toc
30153 .xword _t1_hmac_toc
30154 .xword _t1_rc4_toc
30155 .xword _t1_sslkey_toc
30156
30157!# CWQ data area, set aside 512 CW's worth
30158!# 512*8*8 = 32KB
30159.align 32*1024
30160_t1_cwq_base1:
30161 .xword 0xAAAAAAAAAAAAAAA
30162 .xword 0xAAAAAAAAAAAAAAA
30163 .xword 0xAAAAAAAAAAAAAAA
30164 .xword 0xAAAAAAAAAAAAAAA
30165 .xword 0xAAAAAAAAAAAAAAA
30166 .xword 0xAAAAAAAAAAAAAAA
30167 .xword 0xAAAAAAAAAAAAAAA
30168 .xword 0xAAAAAAAAAAAAAAA
30169.align 32*1024
30170_t1_cwq_last1:
30171
30172SECTION ._t1_T_CWQ_DATA2 DATA_VA=287309824
30173attr_data {
30174 Name = ._t1_T_CWQ_DATA2
30175 hypervisor
30176}
30177 .data
30178_t1_user_data_start2:
30179_t1_scratch_area2:
30180
30181.align 16
30182_t1_spu_op_array2:
30183 .xword 2
30184 .xword 6
30185 .xword 2
30186 .xword 4
30187 .xword 6
30188 .xword 5
30189 .xword 6
30190 .xword 1
30191 .xword 1
30192 .xword 1
30193 .xword 4
30194 .xword 0
30195 .xword 7
30196 .xword 7
30197 .xword 1
30198_t1_aes_cwd_array2:
30199 .xword 0x406100601800001f
30200 .xword 0xc0e000001300003f
30201 .xword 0x40e100201100001f
30202 .xword 0xc06100a01b00001f
30203 .xword 0xc0e000801500000f
30204 .xword 0x406100401300001f
30205 .xword 0xc0e100601300003f
30206 .xword 0xc0e100a01000003f
30207 .xword 0xc0e100801b00003f
30208 .xword 0x406000a01500002f
30209 .xword 0x406100801700001f
30210 .xword 0x406100001800002f
30211 .xword 0xc0e000801300001f
30212 .xword 0x406100001500001f
30213 .xword 0xc06100e01900003f
30214_t1_des_cwd_array2:
30215 .xword 0x406100c00d000017
30216 .xword 0x406000e00d00001f
30217 .xword 0x40e000200800000f
30218 .xword 0x40e000e00e00000f
30219 .xword 0x406100800a000007
30220 .xword 0xc06000400c00001f
30221 .xword 0x406100200800000f
30222 .xword 0x40e000000e000017
30223 .xword 0xc0e000a00a000017
30224 .xword 0xc06100400a00000f
30225 .xword 0x40e000e00c000007
30226 .xword 0xc0e100600d00000f
30227 .xword 0xc0e000e00d000007
30228 .xword 0x4061008008000017
30229 .xword 0xc06000e00a00000f
30230_t1_copy_cwd_array2:
30231 .xword 0xa060008000000002
30232 .xword 0xa061004000000003
30233 .xword 0xa061000000000007
30234 .xword 0x206000e000000007
30235 .xword 0x206000200000000a
30236 .xword 0xa060008000000006
30237 .xword 0x206100a000000007
30238 .xword 0x206000c00000000e
30239 .xword 0x206000c000000004
30240 .xword 0xa06000e000000000
30241 .xword 0xa06000400000000f
30242 .xword 0x206000800000000a
30243 .xword 0xa06100e00000000f
30244 .xword 0x2060006000000005
30245 .xword 0xa06100a000000007
30246_t1_crc_cwd_array2:
30247 .xword 0x416303a400000002
30248 .xword 0x4163018800000002
30249 .xword 0x416303e400000008
30250 .xword 0x4161012800000006
30251 .xword 0xc16303a400000001
30252 .xword 0x416001c80000000a
30253 .xword 0xc160036400000003
30254 .xword 0x4160014800000009
30255 .xword 0x416303a400000000
30256 .xword 0x416001680000000c
30257 .xword 0x4163036400000009
30258 .xword 0x4162010800000008
30259 .xword 0xc16103240000000e
30260 .xword 0x416101c80000000f
30261_t1_hash_cwd_array2:
30262 .xword 0x416000a100000024
30263 .xword 0xc160062100000033
30264 .xword 0xc1631dc30000000f
30265 .xword 0x4161064100000030
30266 .xword 0xc16105810000003c
30267 .xword 0x41610fa10000001e
30268 .xword 0x41600e010000001d
30269 .xword 0xc16102e10000003b
30270 .xword 0x4161060100000024
30271 .xword 0xc160078100000030
30272 .xword 0x416301610000001f
30273 .xword 0xc160030200000014
30274 .xword 0x41610e8100000024
30275 .xword 0x41610ba100000037
30276 .xword 0x4163040100000038
30277_t1_hmac_cwd_array2:
30278 .xword 0x4163096b001f001f
30279 .xword 0xc1610669000f0036
30280 .xword 0xc1620909000f0001
30281 .xword 0x416009e5000f0000
30282 .xword 0xc1620e69000f0025
30283 .xword 0xc16007ea0013002c
30284 .xword 0x416003cb001f001d
30285 .xword 0xc16309e5000f0035
30286 .xword 0xc1631be7001f003a
30287 .xword 0xc1600a07001f0031
30288 .xword 0xc1621d27001f0027
30289 .xword 0xc16305c9000f0006
30290 .xword 0x41600f49000f0003
30291 .xword 0x41600465000f0032
30292 .xword 0x416008aa00130034
30293_t1_rc4_cwd_array2:
30294 .xword 0xc0e1008004000005
30295 .xword 0x40e1008004000008
30296 .xword 0x40e1004000000008
30297 .xword 0xc0e100600400000c
30298 .xword 0xc0e1004000000008
30299 .xword 0xc0e0004000000003
30300 .xword 0xc0e100400400000f
30301 .xword 0xc0e1000000000003
30302 .xword 0x40e0000004000003
30303 .xword 0x40e1000000000000
30304 .xword 0x40e100000400000b
30305 .xword 0xc0e0002000000003
30306 .xword 0xc0e0002000000005
30307 .xword 0x40e0000004000007
30308 .xword 0xc0e000800400000a
30309_t1_sslkey_cwd_array2:
30310 .xword 0x9060208000000000, 0
30311 .xword 0x10602e4000000000, 0
30312 .xword 0x906040a000000000, 0
30313 .xword 0x10600fc000000000, 0
30314 .xword 0x90600fa000000000, 0
30315 .xword 0x10600cc000000000, 0
30316 .xword 0x106014a000000000, 0
30317 .xword 0x10601cc000000000, 0
30318 .xword 0x9060396000000000, 0
30319 .xword 0x1060356000000000, 0
30320 .xword 0x9060356000000000, 0
30321 .xword 0x90600c2000000000, 0
30322 .xword 0x90601da000000000, 0
30323 .xword 0x9060068000000000, 0
30324 .xword 0x90601ae000000000, 0
30325_t1_aes_key_array:
30326 .xword 0xb9e43d5af6a2b5e8
30327 .xword 0xeb4c2844af569c3f
30328 .xword 0x0c3519b38aff449c
30329 .xword 0xbc91c6fb8bd82e9b
30330 .xword 0xb648407a5e50cc6f
30331 .xword 0x1e540fd98cf78603
30332 .xword 0x2329708b23f37865
30333 .xword 0x11b7c9bcee3cee98
30334 .xword 0xe32bd628cdd544f8
30335 .xword 0x43bccb82c7a7d998
30336 .xword 0x3dcadc49ea3021cb
30337 .xword 0x1e0a06d7f621ab7a
30338 .xword 0x7374952e8d4b1e3a
30339 .xword 0xc21faad47b7052f6
30340 .xword 0xcf5e7d0967a3c5dc
30341 .xword 0x29937f36289c43ab
30342 .xword 0xc3cb276007942eab
30343 .xword 0x075a6c0ef4cc34ef
30344 .xword 0xa44a8c0dd831030e
30345 .xword 0x58eb6d1f38cbb4b9
30346 .xword 0x593266ccdd5abf3e
30347 .xword 0x303362b4fdc4698c
30348 .xword 0x56ca24fd71fa6776
30349 .xword 0x7af8cc6faaadf31c
30350 .xword 0x335edfbc9cffc34e
30351 .xword 0xa74bde164375798e
30352 .xword 0xdbfabd0add1b2285
30353 .xword 0x15e22e01679097d1
30354 .xword 0x073e90fa86578bd0
30355 .xword 0x2769f3f414de0ae2
30356 .xword 0x5f02c7e965e534ee
30357 .xword 0x81ddc5c25185008b
30358 .xword 0xb7fe522d2b9c4f63
30359 .xword 0xa572df19f0510395
30360 .xword 0x61bff3473632c273
30361 .xword 0x9f3f46126fae8cf0
30362 .xword 0x270f376f11c9e5da
30363 .xword 0x780eb670149a1bc1
30364 .xword 0xd1134a3910382d60
30365 .xword 0x6f96e5d2df5712fe
30366 .xword 0x6cf1ea691b029f81
30367 .xword 0xdf3ec498c8aa99a6
30368 .xword 0x9265f32056a2646a
30369 .xword 0x53d85295350ab51e
30370 .xword 0x94e2cd4af549d403
30371 .xword 0x7bcbf0a4c6a1c7ed
30372 .xword 0x08be888c1b1b19e8
30373 .xword 0xb34accc1b8071931
30374 .xword 0x818ca3daad332537
30375 .xword 0x055782ecd96a8a85
30376 .xword 0x975bfdd59a3887d9
30377_t1_aes_iv_array:
30378 .xword 0x4098dae942444053
30379 .xword 0xffe318c851ee3e0e
30380 .xword 0xc02f2a07954f7cd4
30381 .xword 0x52b91bfde8de7eb6
30382 .xword 0xfc3e09e9144d233a
30383 .xword 0x9223f03ebe6ce8e1
30384 .xword 0x435747565c2725f7
30385 .xword 0x88842ac6ca1d22cb
30386 .xword 0x30f0072f1761c238
30387 .xword 0x7cbd5d013a51f9ea
30388 .xword 0xbd053b7a8bb68761
30389 .xword 0x1306778b9f9f1420
30390 .xword 0xb9fc9af146b331de
30391 .xword 0x4432ac1126d7d8e8
30392 .xword 0x22419533ed40a090
30393 .xword 0xe3dbe8465bdb6a7b
30394 .xword 0xfb5aa923c3f3e463
30395 .xword 0xd3846193946a4276
30396 .xword 0x37a2e03aa7400c24
30397 .xword 0x24b6fe2224458fa0
30398 .xword 0x3d49dd3defedc698
30399 .xword 0xb9a1862125aa1683
30400 .xword 0x6d2952227bf5c42b
30401 .xword 0xdaa07107481dcb7f
30402 .xword 0x0eb02f3c4cac1ae7
30403 .xword 0x3d0247fef0ce1aef
30404 .xword 0xb1cd8127b1a57c7a
30405 .xword 0x62ab2cd5d64dc813
30406 .xword 0xf4cbb6c1b75dfdc0
30407 .xword 0xda343f181a15a718
30408 .xword 0x7038e1216cf0c2b2
30409 .xword 0x7d6e74dd06ee9cdc
30410 .xword 0x016bfd3fa6bdf9ab
30411 .xword 0x9d731764b5ef90a5
30412 .xword 0x17003801ae17b84a
30413 .xword 0x3bb4bcd29ae83571
30414 .xword 0x7144fc0d96117d9e
30415 .xword 0xc1397a0954efe0ce
30416 .xword 0x36701548dad9251c
30417 .xword 0x92e6af5c73ea0193
30418 .xword 0xde9308cefd0ba897
30419 .xword 0x8c7b59abae92d5da
30420 .xword 0xa3fec85fbbb36a00
30421 .xword 0xc7de58fe83e18463
30422 .xword 0x3904ddb1776c63ee
30423_t1_aes_alignment_array:
30424 .xword 3
30425 .xword 3
30426 .xword 13
30427 .xword 10
30428 .xword 4
30429 .xword 6
30430 .xword 9
30431 .xword 0
30432 .xword 4
30433 .xword 0
30434 .xword 5
30435 .xword 15
30436 .xword 11
30437 .xword 4
30438 .xword 9
30439 .xword 10
30440 .xword 4
30441 .xword 13
30442 .xword 1
30443 .xword 11
30444 .xword 4
30445 .xword 0
30446 .xword 9
30447 .xword 9
30448 .xword 2
30449 .xword 1
30450 .xword 15
30451 .xword 3
30452 .xword 15
30453 .xword 0
30454 .xword 10
30455 .xword 0
30456 .xword 9
30457 .xword 13
30458 .xword 15
30459 .xword 11
30460 .xword 8
30461 .xword 2
30462 .xword 9
30463 .xword 8
30464 .xword 2
30465 .xword 2
30466 .xword 14
30467 .xword 7
30468 .xword 2
30469 .xword 0
30470 .xword 4
30471 .xword 4
30472 .xword 2
30473 .xword 5
30474 .xword 9
30475 .xword 4
30476 .xword 10
30477 .xword 2
30478 .xword 2
30479 .xword 8
30480 .xword 1
30481 .xword 13
30482 .xword 3
30483 .xword 3
30484 .xword 12
30485 .xword 11
30486 .xword 1
30487 .xword 14
30488 .xword 3
30489 .xword 15
30490 .xword 1
30491 .xword 2
30492 .xword 7
30493 .xword 14
30494 .xword 7
30495 .xword 13
30496 .xword 7
30497 .xword 1
30498 .xword 4
30499 .xword 2
30500 .xword 4
30501 .xword 11
30502 .xword 13
30503 .xword 1
30504 .xword 2
30505 .xword 4
30506 .xword 1
30507 .xword 11
30508 .xword 9
30509 .xword 11
30510 .xword 7
30511 .xword 12
30512 .xword 15
30513 .xword 12
30514 .xword 3
30515 .xword 10
30516 .xword 1
30517 .xword 11
30518 .xword 5
30519 .xword 14
30520 .xword 0
30521 .xword 0
30522 .xword 7
30523 .xword 5
30524 .xword 15
30525 .xword 2
30526 .xword 11
30527 .xword 0
30528 .xword 10
30529_t1_aes_src:
30530 .xword 0xca2792cbd9615db1
30531 .xword 0x092e899b099be308
30532 .xword 0x7d6ddd51f785c241
30533 .xword 0xda2653f5aea7a1ac
30534 .xword 0x97dfe68c17eccf90
30535 .xword 0x1a29d6da19c83634
30536 .xword 0x578687a276928ce9
30537 .xword 0x18382e373fd7b6e9
30538 .xword 0x69b54dabc67e5f26
30539 .xword 0x34ad5cf4d2db72e5
30540 .xword 0x7e48f2326f3a9999
30541 .xword 0xd5af34a5db1ad793
30542 .xword 0x31332d0e3f3a569f
30543 .xword 0x1f1cc376ea7a9f7c
30544 .xword 0xb03f79b4b41db5b5
30545 .xword 0x6f499421ffa5cd96
30546 .xword 0xfd0d82ac43efbe1d
30547 .xword 0xd25a589d7fe16958
30548 .xword 0xab2e360761946a22
30549 .xword 0x3fb8be9c204d8a53
30550 .xword 0x1e7cd342b7fa11fc
30551 .xword 0x44bea7d14765624d
30552 .xword 0x52dc0f3b9a69aec5
30553 .xword 0x2e1351928fa26e1a
30554 .xword 0xe13898e0ff27771f
30555 .xword 0xcb472e476ad6d762
30556 .xword 0x5bb22b591ef37ab2
30557 .xword 0xb649c6a3ee7575dd
30558 .xword 0xd3c9e78196f4b4bb
30559 .xword 0x9972c9f90aae1502
30560 .xword 0x9cdb3956ecb5e9ff
30561 .xword 0xf22b1ce0f1d0a583
30562 .xword 0xd2853c8eec584969
30563 .xword 0x5d35a2cc69103e88
30564 .xword 0xe7212b8b9bdc70a2
30565 .xword 0x445466f3eb55dc09
30566 .xword 0x4561c3468847e279
30567 .xword 0xc7fa2b6910d7b0a1
30568 .xword 0x72ca0a7809cb23ba
30569 .xword 0x5704975bc3a89678
30570 .xword 0x9fe940f3718ac8c4
30571 .xword 0x7851872c4f472d5f
30572 .xword 0x54176df3ab242cab
30573 .xword 0xfed1350be273acf3
30574 .xword 0x1ed05805dc92831e
30575 .xword 0xd3da88d8575f184b
30576 .xword 0xf163c929f0574543
30577 .xword 0xf298add3666aeb7d
30578 .xword 0x112f5fd17b79797a
30579 .xword 0xd8b0e060ebdca66f
30580 .xword 0x09c5a8258474e413
30581 .xword 0xe2887ee6f41b1df9
30582 .xword 0x9ec355c527316cd4
30583 .xword 0x26b92bcdc9dcc150
30584 .xword 0xf79e85b7aa64986b
30585 .xword 0xc555eb4a3568ae11
30586 .xword 0xf5fe1f7ae412711f
30587 .xword 0xc8dc10b11c76b9de
30588 .xword 0x0863d367072f0f98
30589 .xword 0x72efb1658aa6fa29
30590 .xword 0x99d7b691639f78f6
30591 .xword 0xf831e7d93ab4ae60
30592 .xword 0x6ec11b654251572e
30593 .xword 0x940b3b2423247f82
30594 .xword 0x1a6a83e0c9cfd16c
30595 .xword 0x7ec8f779c4d6b82d
30596 .xword 0x4e003f886f094dc3
30597 .xword 0xa5b2de14c8c25373
30598 .xword 0x546b6d1c8c1c600a
30599 .xword 0xdf3f28ac6a231f78
30600 .xword 0x8d59f39671130b28
30601 .xword 0xcedca237ae2ab794
30602 .xword 0xa0d929567d821105
30603 .xword 0x705e6d16667d2b18
30604 .xword 0x806e0bc91ea1a32e
30605 .xword 0x67486c93b5b9efa2
30606 .xword 0x0815ec01942481e5
30607 .xword 0x1768148f36a97b13
30608 .xword 0x44f6cde84e53fc1d
30609 .xword 0x22181952a0fb2265
30610 .xword 0x30842c756588e711
30611 .xword 0xc8b633566b17e800
30612 .xword 0x369bd6bdb44c6326
30613 .xword 0x8d0df31a1ddd1a37
30614 .xword 0xf89a8a9dd84f5719
30615 .xword 0x309f65bb059f196b
30616 .xword 0x5c4f5d3c6e300712
30617 .xword 0x8551bc622466919d
30618 .xword 0xa0e153d9509bd173
30619 .xword 0xde5d54c1f4b8d382
30620 .xword 0x8bff94d85117e62b
30621 .xword 0x215ccc7b5bf322c2
30622 .xword 0xb482c6000e142fb1
30623 .xword 0x63f7fd335af4ca35
30624 .xword 0x0c52d5f4d61d2f4f
30625 .xword 0xa21570c433a6ee6b
30626 .xword 0x675df850fbad2a76
30627 .xword 0xf16213f82bcdf107
30628 .xword 0x00216b2040cb3038
30629 .xword 0x1bcd36858d72a78b
30630 .xword 0x30b130a8974ce683
30631 .xword 0x63051b6eef65d49c
30632 .xword 0x239060ca1e7a2573
30633 .xword 0xfc10ab65329472bf
30634 .xword 0x600be35e7c244b94
30635 .xword 0x67a93f6855ac5d85
30636 .xword 0x4a7592e5a8df18f8
30637 .xword 0xad4561ad0a2d2178
30638 .xword 0xe67d2c5c5b7a8b6d
30639 .xword 0x2168ba1dc6a5d7f4
30640 .xword 0x08de8be2370e2b3f
30641 .xword 0x2604002b85c039c0
30642 .xword 0xa3f3129095d56a44
30643 .xword 0x2133240c51bbc3b3
30644 .xword 0x22f2ea8e21f99dde
30645 .xword 0x95601bb49796dcf7
30646 .xword 0xa0f906cd0aaa06f0
30647 .xword 0x69741833ae9cd8e5
30648 .xword 0xf1e20edc0d5c5594
30649 .xword 0x555d1c10a53eed2f
30650 .xword 0x3e2727d4ef82dbed
30651 .xword 0xf64a65afe5d3b016
30652 .xword 0xbbb14891a4f8d002
30653 .xword 0xf7bbdc57f0f3c2d2
30654 .xword 0x4cfea3032b81802c
30655 .xword 0xf1931b0153cf819c
30656 .xword 0x2a0e842286e41f54
30657 .xword 0x27edd9267d65a63a
30658 .xword 0x9d735aa5d9f35c14
30659 .xword 0x4d2b1d5eb4580249
30660 .xword 0x90307bfdfa74547d
30661 .xword 0xec206f0ab3fa61fd
30662 .xword 0x322cffc41298b27b
30663 .xword 0xd3a3605f650f6179
30664 .xword 0x81565fb0ce480375
30665 .xword 0xe3a1794ea0a6c820
30666 .xword 0x562fee6a56cb1683
30667 .xword 0x7e76cc26b5f25464
30668 .xword 0xc58c90568cb1ccce
30669 .xword 0x990a992ab0a91dfb
30670 .xword 0xea5255328001352a
30671 .xword 0x3b101a32aff80255
30672 .xword 0xcc2c8da3c1540eae
30673 .xword 0xbd94f1205244564f
30674 .xword 0x42a769629ce18ff8
30675 .xword 0x3b18b4c98b80e6ec
30676 .xword 0xc28648371e8377cc
30677 .xword 0xc393b6abb4ec74e3
30678 .xword 0xd68168fd42b3820a
30679 .xword 0xf49d8d5da055f686
30680 .xword 0x858b8846e23a2d78
30681 .xword 0x5216b3bcb8c2213f
30682 .xword 0x0d49d258bdc9db7b
30683 .xword 0xca7b62499f23ce10
30684 .xword 0xfd5a895f244ac560
30685 .xword 0x49485380ecb1a16a
30686 .xword 0x3d433ce8dc3d9051
30687 .xword 0x1c10604f78dd1a53
30688 .xword 0x8ee0b583cd98b4e1
30689 .xword 0xdecec8c9f725b526
30690 .xword 0x9e28af825afe4bdf
30691 .xword 0x062867a09b5144b6
30692 .xword 0xc3fab44748d56b87
30693 .xword 0x3aed1a53835d7af7
30694 .xword 0x7552a5c57e59b40b
30695 .xword 0x95c5b92e9272dd33
30696 .xword 0xdb0b25f9fbe9cfa2
30697 .xword 0x22039f03e35e2036
30698 .xword 0xca14801b5f8b7af0
30699 .xword 0xe1dd53091b1fd992
30700 .xword 0x3af25a4bc884a713
30701 .xword 0xc78dd0a51bf451a5
30702 .xword 0xb68bfe2c46f9d998
30703 .xword 0x354f1b13c461eb0f
30704 .xword 0x5b00eb726342ee87
30705 .xword 0x64bccaf85cbc9952
30706 .xword 0xdb90226409a76434
30707 .xword 0x156ecca1d6347bae
30708 .xword 0x6e96fea642013153
30709 .xword 0xf9a9c38f29299f8e
30710 .xword 0x8d3914b021f73197
30711 .xword 0x25be9733f9025551
30712 .xword 0x8a47e6a91e3563d7
30713 .xword 0x7c4a24eba3a26082
30714 .xword 0x2446616e49669a8d
30715 .xword 0xdb5eb9e4f4d4fdd8
30716 .xword 0xa30a0f8c65195fab
30717 .xword 0x9a34ab4ca5ab2440
30718 .xword 0xd5c8ebb01092c0da
30719 .xword 0xfc6c7e1af6a95b7b
30720 .xword 0xf3b6fce3dd5436a8
30721 .xword 0xd0a6912b318ed734
30722 .xword 0x5d7b50703ec70669
30723 .xword 0xbc58e20909dc5b27
30724 .xword 0x788a4e9f7cc11720
30725 .xword 0xec947da9fb90a0b7
30726 .xword 0x446268510ce31ce4
30727 .xword 0x83996d95827ecec1
30728 .xword 0xa569fd082c2f1cb5
30729 .xword 0x12ef135f6b0893ba
30730 .xword 0x5ac42e4518c9afa7
30731 .xword 0x8bc97c6be507969e
30732 .xword 0xb78e799b3655348f
30733 .xword 0x2dab267c808fb72d
30734 .xword 0xc30e8e053985a1cd
30735 .xword 0xaf3a93cdd5ed6933
30736 .xword 0xf57f5900ce189c88
30737 .xword 0x2fe134a15f573883
30738 .xword 0x0deab15ac2426791
30739 .xword 0x5033c50168bd6e9f
30740 .xword 0xdd88905527c10120
30741 .xword 0x3746099a41502c40
30742 .xword 0x6ac0913d1d74bdfe
30743 .xword 0x93c08102e6d8d1ed
30744 .xword 0xa6d62af85eed8115
30745 .xword 0x56edbde40d9b0bf6
30746 .xword 0x38882014898b788f
30747 .xword 0x4e51add6f04163da
30748 .xword 0x80abbf734fe76480
30749 .xword 0x75fb8f03740e3b26
30750 .xword 0xfcf2cf142a0053bf
30751 .xword 0x5e6df9e6daab1fff
30752 .xword 0x14d395810aa8ae86
30753 .xword 0x63c4509fb8ff87a6
30754 .xword 0xdd009e5c8d2da337
30755 .xword 0xc7857c226c1fef4c
30756 .xword 0xbb26e69580bd3af5
30757 .xword 0x495b1930ba8f7ef5
30758 .xword 0x7ffef5778b920c2b
30759 .xword 0x81b4157f01591026
30760 .xword 0x8b96ca31fe8fbebe
30761 .xword 0x428ed09cd8c8e4f0
30762 .xword 0x69492ce7b770826f
30763 .xword 0x33693b95849e6bdc
30764 .xword 0xe83a428e097f2f37
30765 .xword 0xc80d0dcccd132399
30766 .xword 0x9c72a636b76d7d4f
30767 .xword 0x6cae3add36401764
30768 .xword 0xcd54424731657c47
30769 .xword 0x54f25e279efe5bd8
30770 .xword 0x8d388c2cfa9b6768
30771 .xword 0x49541dd5e69c6e17
30772 .xword 0x7d02f072e5a34cd0
30773 .xword 0x00f1deb38c8822cc
30774 .xword 0x6f875b8e36c2bfcb
30775 .xword 0x9ae906a589ca3195
30776 .xword 0x2bd17f22bd790ea5
30777 .xword 0xd8e3c3e06de58d11
30778 .xword 0x02625e241006e9f2
30779 .xword 0x16aeb4325c93f59c
30780 .xword 0x3990e38295de5682
30781 .xword 0x3074719758a5e89f
30782 .xword 0x6c0a2bc277bfdf66
30783 .xword 0x62bb600ae0b2c955
30784 .xword 0x1af5ce45f90786ef
30785 .xword 0x765a3da8ffe1b584
30786 .xword 0xe062db200090c973
30787 .xword 0x7565c94d51fa1552
30788 .xword 0xc2e9a2ae3879aed4
30789 .xword 0x8a4ae747aff532a1
30790 .xword 0x65ea6722b137ce7b
30791 .xword 0x7f53cf6ab28d7c2d
30792 .xword 0xb37f0fcb84a402f1
30793 .xword 0xc86f1e547434313b
30794 .xword 0xf455f92612292138
30795 .xword 0xbe5006e43c4ce101
30796 .xword 0x521da693dd8c1fa5
30797 .xword 0x72578b827e140fa9
30798 .xword 0xe81372ddf46ce24c
30799 .xword 0x448f09550e8b5d8f
30800 .xword 0x288dcd6efddaef7f
30801 .xword 0x62d518cab688db82
30802 .xword 0x04e18aab8c52b11c
30803 .xword 0x13b3ea3e52fcdc01
30804 .xword 0xb13465fadf4724ad
30805_t1_aes_dest:
30806 .xword 0xDEADBEEFDEADBEEF
30807 .xword 0xDEADBEEFDEADBEEF
30808 .xword 0xDEADBEEFDEADBEEF
30809 .xword 0xDEADBEEFDEADBEEF
30810 .xword 0xDEADBEEFDEADBEEF
30811 .xword 0xDEADBEEFDEADBEEF
30812 .xword 0xDEADBEEFDEADBEEF
30813 .xword 0xDEADBEEFDEADBEEF
30814 .xword 0xDEADBEEFDEADBEEF
30815 .xword 0xDEADBEEFDEADBEEF
30816 .xword 0xDEADBEEFDEADBEEF
30817 .xword 0xDEADBEEFDEADBEEF
30818 .xword 0xDEADBEEFDEADBEEF
30819 .xword 0xDEADBEEFDEADBEEF
30820 .xword 0xDEADBEEFDEADBEEF
30821 .xword 0xDEADBEEFDEADBEEF
30822 .xword 0xDEADBEEFDEADBEEF
30823 .xword 0xDEADBEEFDEADBEEF
30824 .xword 0xDEADBEEFDEADBEEF
30825 .xword 0xDEADBEEFDEADBEEF
30826 .xword 0xDEADBEEFDEADBEEF
30827 .xword 0xDEADBEEFDEADBEEF
30828 .xword 0xDEADBEEFDEADBEEF
30829 .xword 0xDEADBEEFDEADBEEF
30830 .xword 0xDEADBEEFDEADBEEF
30831 .xword 0xDEADBEEFDEADBEEF
30832 .xword 0xDEADBEEFDEADBEEF
30833 .xword 0xDEADBEEFDEADBEEF
30834 .xword 0xDEADBEEFDEADBEEF
30835 .xword 0xDEADBEEFDEADBEEF
30836 .xword 0xDEADBEEFDEADBEEF
30837 .xword 0xDEADBEEFDEADBEEF
30838 .xword 0xDEADBEEFDEADBEEF
30839 .xword 0xDEADBEEFDEADBEEF
30840 .xword 0xDEADBEEFDEADBEEF
30841 .xword 0xDEADBEEFDEADBEEF
30842 .xword 0xDEADBEEFDEADBEEF
30843 .xword 0xDEADBEEFDEADBEEF
30844 .xword 0xDEADBEEFDEADBEEF
30845 .xword 0xDEADBEEFDEADBEEF
30846 .xword 0xDEADBEEFDEADBEEF
30847 .xword 0xDEADBEEFDEADBEEF
30848 .xword 0xDEADBEEFDEADBEEF
30849 .xword 0xDEADBEEFDEADBEEF
30850 .xword 0xDEADBEEFDEADBEEF
30851 .xword 0xDEADBEEFDEADBEEF
30852 .xword 0xDEADBEEFDEADBEEF
30853 .xword 0xDEADBEEFDEADBEEF
30854 .xword 0xDEADBEEFDEADBEEF
30855 .xword 0xDEADBEEFDEADBEEF
30856 .xword 0xDEADBEEFDEADBEEF
30857 .xword 0xDEADBEEFDEADBEEF
30858 .xword 0xDEADBEEFDEADBEEF
30859 .xword 0xDEADBEEFDEADBEEF
30860 .xword 0xDEADBEEFDEADBEEF
30861 .xword 0xDEADBEEFDEADBEEF
30862 .xword 0xDEADBEEFDEADBEEF
30863 .xword 0xDEADBEEFDEADBEEF
30864 .xword 0xDEADBEEFDEADBEEF
30865 .xword 0xDEADBEEFDEADBEEF
30866 .xword 0xDEADBEEFDEADBEEF
30867 .xword 0xDEADBEEFDEADBEEF
30868 .xword 0xDEADBEEFDEADBEEF
30869 .xword 0xDEADBEEFDEADBEEF
30870 .xword 0xDEADBEEFDEADBEEF
30871 .xword 0xDEADBEEFDEADBEEF
30872 .xword 0xDEADBEEFDEADBEEF
30873 .xword 0xDEADBEEFDEADBEEF
30874 .xword 0xDEADBEEFDEADBEEF
30875 .xword 0xDEADBEEFDEADBEEF
30876 .xword 0xDEADBEEFDEADBEEF
30877 .xword 0xDEADBEEFDEADBEEF
30878 .xword 0xDEADBEEFDEADBEEF
30879 .xword 0xDEADBEEFDEADBEEF
30880 .xword 0xDEADBEEFDEADBEEF
30881 .xword 0xDEADBEEFDEADBEEF
30882 .xword 0xDEADBEEFDEADBEEF
30883 .xword 0xDEADBEEFDEADBEEF
30884 .xword 0xDEADBEEFDEADBEEF
30885 .xword 0xDEADBEEFDEADBEEF
30886 .xword 0xDEADBEEFDEADBEEF
30887 .xword 0xDEADBEEFDEADBEEF
30888 .xword 0xDEADBEEFDEADBEEF
30889 .xword 0xDEADBEEFDEADBEEF
30890 .xword 0xDEADBEEFDEADBEEF
30891 .xword 0xDEADBEEFDEADBEEF
30892 .xword 0xDEADBEEFDEADBEEF
30893 .xword 0xDEADBEEFDEADBEEF
30894 .xword 0xDEADBEEFDEADBEEF
30895 .xword 0xDEADBEEFDEADBEEF
30896 .xword 0xDEADBEEFDEADBEEF
30897 .xword 0xDEADBEEFDEADBEEF
30898 .xword 0xDEADBEEFDEADBEEF
30899 .xword 0xDEADBEEFDEADBEEF
30900 .xword 0xDEADBEEFDEADBEEF
30901 .xword 0xDEADBEEFDEADBEEF
30902 .xword 0xDEADBEEFDEADBEEF
30903 .xword 0xDEADBEEFDEADBEEF
30904 .xword 0xDEADBEEFDEADBEEF
30905 .xword 0xDEADBEEFDEADBEEF
30906 .xword 0xDEADBEEFDEADBEEF
30907 .xword 0xDEADBEEFDEADBEEF
30908 .xword 0xDEADBEEFDEADBEEF
30909 .xword 0xDEADBEEFDEADBEEF
30910 .xword 0xDEADBEEFDEADBEEF
30911 .xword 0xDEADBEEFDEADBEEF
30912 .xword 0xDEADBEEFDEADBEEF
30913 .xword 0xDEADBEEFDEADBEEF
30914 .xword 0xDEADBEEFDEADBEEF
30915 .xword 0xDEADBEEFDEADBEEF
30916 .xword 0xDEADBEEFDEADBEEF
30917 .xword 0xDEADBEEFDEADBEEF
30918 .xword 0xDEADBEEFDEADBEEF
30919 .xword 0xDEADBEEFDEADBEEF
30920 .xword 0xDEADBEEFDEADBEEF
30921 .xword 0xDEADBEEFDEADBEEF
30922 .xword 0xDEADBEEFDEADBEEF
30923 .xword 0xDEADBEEFDEADBEEF
30924 .xword 0xDEADBEEFDEADBEEF
30925 .xword 0xDEADBEEFDEADBEEF
30926 .xword 0xDEADBEEFDEADBEEF
30927 .xword 0xDEADBEEFDEADBEEF
30928 .xword 0xDEADBEEFDEADBEEF
30929 .xword 0xDEADBEEFDEADBEEF
30930 .xword 0xDEADBEEFDEADBEEF
30931 .xword 0xDEADBEEFDEADBEEF
30932 .xword 0xDEADBEEFDEADBEEF
30933 .xword 0xDEADBEEFDEADBEEF
30934 .xword 0xDEADBEEFDEADBEEF
30935 .xword 0xDEADBEEFDEADBEEF
30936 .xword 0xDEADBEEFDEADBEEF
30937 .xword 0xDEADBEEFDEADBEEF
30938 .xword 0xDEADBEEFDEADBEEF
30939 .xword 0xDEADBEEFDEADBEEF
30940 .xword 0xDEADBEEFDEADBEEF
30941 .xword 0xDEADBEEFDEADBEEF
30942 .xword 0xDEADBEEFDEADBEEF
30943 .xword 0xDEADBEEFDEADBEEF
30944 .xword 0xDEADBEEFDEADBEEF
30945 .xword 0xDEADBEEFDEADBEEF
30946 .xword 0xDEADBEEFDEADBEEF
30947 .xword 0xDEADBEEFDEADBEEF
30948 .xword 0xDEADBEEFDEADBEEF
30949 .xword 0xDEADBEEFDEADBEEF
30950 .xword 0xDEADBEEFDEADBEEF
30951 .xword 0xDEADBEEFDEADBEEF
30952 .xword 0xDEADBEEFDEADBEEF
30953 .xword 0xDEADBEEFDEADBEEF
30954 .xword 0xDEADBEEFDEADBEEF
30955 .xword 0xDEADBEEFDEADBEEF
30956 .xword 0xDEADBEEFDEADBEEF
30957 .xword 0xDEADBEEFDEADBEEF
30958 .xword 0xDEADBEEFDEADBEEF
30959 .xword 0xDEADBEEFDEADBEEF
30960 .xword 0xDEADBEEFDEADBEEF
30961 .xword 0xDEADBEEFDEADBEEF
30962 .xword 0xDEADBEEFDEADBEEF
30963 .xword 0xDEADBEEFDEADBEEF
30964 .xword 0xDEADBEEFDEADBEEF
30965 .xword 0xDEADBEEFDEADBEEF
30966 .xword 0xDEADBEEFDEADBEEF
30967 .xword 0xDEADBEEFDEADBEEF
30968 .xword 0xDEADBEEFDEADBEEF
30969 .xword 0xDEADBEEFDEADBEEF
30970 .xword 0xDEADBEEFDEADBEEF
30971 .xword 0xDEADBEEFDEADBEEF
30972 .xword 0xDEADBEEFDEADBEEF
30973 .xword 0xDEADBEEFDEADBEEF
30974 .xword 0xDEADBEEFDEADBEEF
30975 .xword 0xDEADBEEFDEADBEEF
30976 .xword 0xDEADBEEFDEADBEEF
30977 .xword 0xDEADBEEFDEADBEEF
30978 .xword 0xDEADBEEFDEADBEEF
30979 .xword 0xDEADBEEFDEADBEEF
30980 .xword 0xDEADBEEFDEADBEEF
30981 .xword 0xDEADBEEFDEADBEEF
30982 .xword 0xDEADBEEFDEADBEEF
30983 .xword 0xDEADBEEFDEADBEEF
30984 .xword 0xDEADBEEFDEADBEEF
30985 .xword 0xDEADBEEFDEADBEEF
30986 .xword 0xDEADBEEFDEADBEEF
30987 .xword 0xDEADBEEFDEADBEEF
30988 .xword 0xDEADBEEFDEADBEEF
30989 .xword 0xDEADBEEFDEADBEEF
30990 .xword 0xDEADBEEFDEADBEEF
30991 .xword 0xDEADBEEFDEADBEEF
30992 .xword 0xDEADBEEFDEADBEEF
30993 .xword 0xDEADBEEFDEADBEEF
30994 .xword 0xDEADBEEFDEADBEEF
30995 .xword 0xDEADBEEFDEADBEEF
30996 .xword 0xDEADBEEFDEADBEEF
30997 .xword 0xDEADBEEFDEADBEEF
30998 .xword 0xDEADBEEFDEADBEEF
30999 .xword 0xDEADBEEFDEADBEEF
31000 .xword 0xDEADBEEFDEADBEEF
31001 .xword 0xDEADBEEFDEADBEEF
31002 .xword 0xDEADBEEFDEADBEEF
31003 .xword 0xDEADBEEFDEADBEEF
31004 .xword 0xDEADBEEFDEADBEEF
31005 .xword 0xDEADBEEFDEADBEEF
31006 .xword 0xDEADBEEFDEADBEEF
31007 .xword 0xDEADBEEFDEADBEEF
31008 .xword 0xDEADBEEFDEADBEEF
31009 .xword 0xDEADBEEFDEADBEEF
31010 .xword 0xDEADBEEFDEADBEEF
31011 .xword 0xDEADBEEFDEADBEEF
31012 .xword 0xDEADBEEFDEADBEEF
31013 .xword 0xDEADBEEFDEADBEEF
31014 .xword 0xDEADBEEFDEADBEEF
31015 .xword 0xDEADBEEFDEADBEEF
31016 .xword 0xDEADBEEFDEADBEEF
31017 .xword 0xDEADBEEFDEADBEEF
31018 .xword 0xDEADBEEFDEADBEEF
31019 .xword 0xDEADBEEFDEADBEEF
31020 .xword 0xDEADBEEFDEADBEEF
31021 .xword 0xDEADBEEFDEADBEEF
31022 .xword 0xDEADBEEFDEADBEEF
31023 .xword 0xDEADBEEFDEADBEEF
31024 .xword 0xDEADBEEFDEADBEEF
31025 .xword 0xDEADBEEFDEADBEEF
31026 .xword 0xDEADBEEFDEADBEEF
31027 .xword 0xDEADBEEFDEADBEEF
31028 .xword 0xDEADBEEFDEADBEEF
31029 .xword 0xDEADBEEFDEADBEEF
31030 .xword 0xDEADBEEFDEADBEEF
31031 .xword 0xDEADBEEFDEADBEEF
31032 .xword 0xDEADBEEFDEADBEEF
31033 .xword 0xDEADBEEFDEADBEEF
31034 .xword 0xDEADBEEFDEADBEEF
31035 .xword 0xDEADBEEFDEADBEEF
31036 .xword 0xDEADBEEFDEADBEEF
31037 .xword 0xDEADBEEFDEADBEEF
31038 .xword 0xDEADBEEFDEADBEEF
31039 .xword 0xDEADBEEFDEADBEEF
31040 .xword 0xDEADBEEFDEADBEEF
31041 .xword 0xDEADBEEFDEADBEEF
31042 .xword 0xDEADBEEFDEADBEEF
31043 .xword 0xDEADBEEFDEADBEEF
31044 .xword 0xDEADBEEFDEADBEEF
31045 .xword 0xDEADBEEFDEADBEEF
31046 .xword 0xDEADBEEFDEADBEEF
31047 .xword 0xDEADBEEFDEADBEEF
31048 .xword 0xDEADBEEFDEADBEEF
31049 .xword 0xDEADBEEFDEADBEEF
31050 .xword 0xDEADBEEFDEADBEEF
31051 .xword 0xDEADBEEFDEADBEEF
31052 .xword 0xDEADBEEFDEADBEEF
31053 .xword 0xDEADBEEFDEADBEEF
31054 .xword 0xDEADBEEFDEADBEEF
31055 .xword 0xDEADBEEFDEADBEEF
31056 .xword 0xDEADBEEFDEADBEEF
31057 .xword 0xDEADBEEFDEADBEEF
31058 .xword 0xDEADBEEFDEADBEEF
31059 .xword 0xDEADBEEFDEADBEEF
31060 .xword 0xDEADBEEFDEADBEEF
31061 .xword 0xDEADBEEFDEADBEEF
31062 .xword 0xDEADBEEFDEADBEEF
31063 .xword 0xDEADBEEFDEADBEEF
31064 .xword 0xDEADBEEFDEADBEEF
31065 .xword 0xDEADBEEFDEADBEEF
31066 .xword 0xDEADBEEFDEADBEEF
31067 .xword 0xDEADBEEFDEADBEEF
31068 .xword 0xDEADBEEFDEADBEEF
31069 .xword 0xDEADBEEFDEADBEEF
31070 .xword 0xDEADBEEFDEADBEEF
31071 .xword 0xDEADBEEFDEADBEEF
31072 .xword 0xDEADBEEFDEADBEEF
31073 .xword 0xDEADBEEFDEADBEEF
31074 .xword 0xDEADBEEFDEADBEEF
31075 .xword 0xDEADBEEFDEADBEEF
31076 .xword 0xDEADBEEFDEADBEEF
31077 .xword 0xDEADBEEFDEADBEEF
31078 .xword 0xDEADBEEFDEADBEEF
31079 .xword 0xDEADBEEFDEADBEEF
31080 .xword 0xDEADBEEFDEADBEEF
31081_t1_aes_auth_key:
31082 .xword 0xefa1f2f1295b7db5
31083 .xword 0x1251388e08b06be7
31084 .xword 0x963da1e12ba469bf
31085 .xword 0xa35dc37bbf3065c6
31086 .xword 0x2bea0332924e93e2
31087 .xword 0x88d7b3ebacf4ec57
31088 .xword 0x112d15c94ff3a4f2
31089 .xword 0xa38ea16746e23c3f
31090 .xword 0x301d267ebc744f76
31091 .xword 0x64d6f00bf2e4e3f4
31092 .xword 0x3ed5cc1945a5a7a4
31093 .xword 0xfc7a804a91c57531
31094 .xword 0x33645469a336f09f
31095 .xword 0xfed6c6ef3c2a8966
31096 .xword 0x14c2054908d96010
31097 .xword 0xfc5b76ab2f7c3fce
31098 .xword 0xbf7ff9ef4e10c2db
31099 .xword 0xb5eb52db3ba5a1a7
31100 .xword 0x099205e12e2702da
31101 .xword 0xec806796d19ec885
31102 .xword 0x9a39b8a0b5e48c23
31103 .xword 0x447f0a83fa677183
31104 .xword 0xe8f44d3e0160dc7a
31105_t1_aes_auth_iv:
31106 .xword 0x72d2502f763d1193
31107 .xword 0x345ce6581ba184e1
31108 .xword 0xb8bf6000e1330fd2
31109 .xword 0xacf0447ab24c8725
31110 .xword 0x7689ba1cf6a7f0f7
31111 .xword 0x500bc0dccc0ef34d
31112 .xword 0x2069a9dbf3866af2
31113 .xword 0x9e27624a2439f2e0
31114 .xword 0xfbb5fa3c705d10b6
31115 .xword 0xee2b6baa7b951464
31116 .xword 0xef7d748dda22a439
31117 .xword 0xa99833362324e303
31118 .xword 0x7974ee4a7eee5ad8
31119 .xword 0x7390e2fdf35fe7c5
31120 .xword 0x8d425cca212e92e8
31121 .xword 0xd5fd327c8c5f8d6c
31122 .xword 0xdb741b2d6298f6c9
31123 .xword 0x288cbb7d639681dd
31124 .xword 0x72e0476fc03b3e08
31125 .xword 0xad7c6fc876cae671
31126 .xword 0x160e8b0c8d4995ff
31127 .xword 0x77c9e90d68d766b5
31128 .xword 0x26b9a544a0a60dfc
31129_t1_aes_fas_result:
31130 .xword 0xDEADBEEFDEADBEEF
31131 .xword 0xDEADBEEFDEADBEEF
31132 .xword 0xDEADBEEFDEADBEEF
31133 .xword 0xDEADBEEFDEADBEEF
31134 .xword 0xDEADBEEFDEADBEEF
31135 .xword 0xDEADBEEFDEADBEEF
31136 .xword 0xDEADBEEFDEADBEEF
31137 .xword 0xDEADBEEFDEADBEEF
31138 .xword 0xDEADBEEFDEADBEEF
31139 .xword 0xDEADBEEFDEADBEEF
31140 .xword 0xDEADBEEFDEADBEEF
31141 .xword 0xDEADBEEFDEADBEEF
31142 .xword 0xDEADBEEFDEADBEEF
31143 .xword 0xDEADBEEFDEADBEEF
31144 .xword 0xDEADBEEFDEADBEEF
31145 .xword 0xDEADBEEFDEADBEEF
31146 .xword 0xDEADBEEFDEADBEEF
31147 .xword 0xDEADBEEFDEADBEEF
31148 .xword 0xDEADBEEFDEADBEEF
31149 .xword 0xDEADBEEFDEADBEEF
31150 .xword 0xDEADBEEFDEADBEEF
31151 .xword 0xDEADBEEFDEADBEEF
31152 .xword 0xDEADBEEFDEADBEEF
31153_t1_des_key_array:
31154 .xword 0x0a82afe9d1b0c8a7
31155 .xword 0x4b9591ce746ba0e3
31156 .xword 0xa44f523baa6dc4b8
31157 .xword 0xdc97cc7bcba84f96
31158 .xword 0xbeade432ce046a22
31159 .xword 0xb09fb13a80515fe9
31160 .xword 0x178a81646ffb8b8f
31161 .xword 0x63485e54e7889d4d
31162 .xword 0x69b17724dd47abc7
31163 .xword 0x034c89e3943aa087
31164 .xword 0xe9cca3b1c632691f
31165 .xword 0x4d432e61a6f30167
31166 .xword 0x06ecb3e0d7b71db6
31167 .xword 0xba2888769c8fa557
31168 .xword 0x5fa27f8c61c08c31
31169 .xword 0x5f5c58d548018c1b
31170 .xword 0x2669ee325244eeb8
31171 .xword 0xd123cfa9d30a6dbe
31172 .xword 0x709ca319a53060d3
31173 .xword 0x886849862031e4c4
31174 .xword 0x6d8290a634dac21c
31175 .xword 0x465eedab6b33e733
31176 .xword 0x0878973f9b87bbcf
31177 .xword 0xe99d08e88f07ea1c
31178 .xword 0xb8e40898378f8194
31179 .xword 0x5cc8484aa629679d
31180 .xword 0xdcf00db4f25d7cb4
31181 .xword 0x69124a59513d355b
31182 .xword 0xe96aaef18de89060
31183 .xword 0x7c8b0fe353f0207d
31184 .xword 0x1a1c658b42cb957f
31185 .xword 0xb94d76b1c09dacab
31186 .xword 0xff553f85dd8e0f26
31187 .xword 0x25e8e246695d440f
31188 .xword 0x498128b48e26bdbd
31189 .xword 0xe3e3ca08db6130a0
31190 .xword 0x8b877e66c1c348d8
31191 .xword 0xf59db2d235b2a2fe
31192 .xword 0xee51e8f14fc27dbd
31193 .xword 0x21200d4192efa499
31194 .xword 0x28ba3c0f8cbc2d4d
31195 .xword 0x881e09fe253b4c97
31196 .xword 0xe492e89c86a30af9
31197 .xword 0x72591cd59fd9d8a5
31198 .xword 0xd3291897b830d32a
31199 .xword 0x3bf138eee2f7c5ae
31200 .xword 0x905efdb6313887e5
31201 .xword 0xf848e7ec5d922985
31202 .xword 0x5f4862798628fe2e
31203 .xword 0x350df4b103a57296
31204 .xword 0x365009de82146417
31205_t1_des_iv_array:
31206 .xword 0xa94cf5c772d5f530
31207 .xword 0xb08ac517bbf9e09a
31208 .xword 0x16627061cdd58c8b
31209 .xword 0x64ac8f12098fbf41
31210 .xword 0x89bf32b1a7a438a7
31211 .xword 0x85641005758f6d17
31212 .xword 0x7189d725093cdde6
31213 .xword 0x17776f76396e08cb
31214 .xword 0xd1818fe0b9ce2638
31215 .xword 0x39f9e812c64b3f8c
31216 .xword 0x0519430ca670476c
31217 .xword 0x5afc66409f4de186
31218 .xword 0xf6e3fc2edbee9ab1
31219 .xword 0x1e7ce384c4a39385
31220 .xword 0xed82ba2a48a88632
31221 .xword 0x6545ace8557d4521
31222 .xword 0x6ab8b131f9189a90
31223 .xword 0x0b50fd6781e2a2e7
31224 .xword 0x7fde5735009e31ee
31225 .xword 0x960a55464547f563
31226 .xword 0x1480af05e1ea406a
31227 .xword 0x2c265cb56546889e
31228 .xword 0xb6fc21242843282c
31229 .xword 0xa45f4ecb395eb2f7
31230 .xword 0x9fb5888e1e07bb63
31231 .xword 0xead8615545a92b25
31232 .xword 0x0c7b361054ac20b8
31233 .xword 0x884dd45ba46a510f
31234 .xword 0x05e259a19f742dc4
31235 .xword 0xe4f4183638174a93
31236 .xword 0xe93fc5ba579eccb6
31237 .xword 0x1b45ed49b523d54a
31238 .xword 0xb3d1a7fcf5206317
31239 .xword 0x998fd85a428c4a11
31240 .xword 0xcc47858e5dcccaaa
31241 .xword 0xa70fbca542442d37
31242 .xword 0x0790b8b5ec416883
31243 .xword 0xe86c4526c01278f7
31244 .xword 0x3eeb55c315ab57e3
31245 .xword 0x856e3f1da5164d62
31246 .xword 0x6fd03b39d8533b01
31247 .xword 0xf1a07865ffde6f11
31248 .xword 0xe0faa20c61735473
31249 .xword 0xb854a5a132fbff15
31250 .xword 0xe3909fec4ff70f30
31251_t1_des_alignment_array:
31252 .xword 6
31253 .xword 2
31254 .xword 6
31255 .xword 6
31256 .xword 12
31257 .xword 15
31258 .xword 4
31259 .xword 1
31260 .xword 5
31261 .xword 14
31262 .xword 7
31263 .xword 10
31264 .xword 2
31265 .xword 1
31266 .xword 11
31267 .xword 5
31268 .xword 0
31269 .xword 4
31270 .xword 14
31271 .xword 12
31272 .xword 7
31273 .xword 0
31274 .xword 11
31275 .xword 8
31276 .xword 5
31277 .xword 14
31278 .xword 1
31279 .xword 7
31280 .xword 6
31281 .xword 15
31282 .xword 9
31283 .xword 7
31284 .xword 5
31285 .xword 1
31286 .xword 12
31287 .xword 1
31288 .xword 11
31289 .xword 10
31290 .xword 0
31291 .xword 15
31292 .xword 15
31293 .xword 6
31294 .xword 3
31295 .xword 0
31296 .xword 1
31297 .xword 7
31298 .xword 10
31299 .xword 14
31300 .xword 11
31301 .xword 11
31302 .xword 13
31303 .xword 11
31304 .xword 0
31305 .xword 0
31306 .xword 4
31307 .xword 10
31308 .xword 10
31309 .xword 1
31310 .xword 3
31311 .xword 5
31312 .xword 7
31313 .xword 9
31314 .xword 5
31315 .xword 7
31316 .xword 1
31317 .xword 1
31318 .xword 3
31319 .xword 3
31320 .xword 4
31321 .xword 11
31322 .xword 7
31323 .xword 1
31324 .xword 1
31325 .xword 5
31326 .xword 4
31327 .xword 6
31328 .xword 2
31329 .xword 6
31330 .xword 1
31331 .xword 11
31332 .xword 2
31333 .xword 6
31334 .xword 13
31335 .xword 5
31336 .xword 11
31337 .xword 12
31338 .xword 0
31339 .xword 2
31340 .xword 7
31341 .xword 6
31342 .xword 5
31343 .xword 14
31344 .xword 8
31345 .xword 13
31346 .xword 4
31347 .xword 6
31348 .xword 9
31349 .xword 6
31350 .xword 8
31351 .xword 15
31352 .xword 5
31353 .xword 8
31354 .xword 10
31355 .xword 13
31356 .xword 8
31357_t1_des_src:
31358 .xword 0x733f90f4d0082d70
31359 .xword 0xe11ccd490073b659
31360 .xword 0xce7bf5433dba22f2
31361 .xword 0x6d2b1ba7cf1a8ae5
31362 .xword 0x2b84fdda912ed18f
31363 .xword 0x9b1920cd3f140260
31364 .xword 0x63bdc7ecb8f46adb
31365 .xword 0xf9582ad80ff21962
31366 .xword 0xe6a974e4f7e24aa3
31367 .xword 0xf9c94d6ab2f1f69e
31368 .xword 0x69f07bb383207701
31369 .xword 0x32a284e86a4625a4
31370 .xword 0x0237b530a1129bd4
31371 .xword 0x220916c2caf52291
31372 .xword 0x5bf2c7d03ef9fa38
31373 .xword 0x6fb2267072e9cc99
31374 .xword 0xe084bcaad317e20e
31375 .xword 0xc6fefcfe53d879c0
31376 .xword 0xe6baab37220c4676
31377 .xword 0xf5944f177b556fd7
31378 .xword 0x93f0b30e0aed2753
31379 .xword 0x93f16d3a84069555
31380 .xword 0xa8113a74a88de398
31381 .xword 0x9b695fd9a64523bf
31382 .xword 0x31b2c957308c3c42
31383 .xword 0x2dd9c9b6dfb2b200
31384 .xword 0xa8d3bb21b742743b
31385 .xword 0xb05fa70529d8744a
31386 .xword 0x9a36e49fd29362fe
31387 .xword 0x862ae7ae6f34d752
31388 .xword 0x3675d1b3d34b53ce
31389 .xword 0x9a8e2d7db0760f2d
31390 .xword 0x72fcfe40c362057b
31391 .xword 0xa69d057ff5df3af2
31392 .xword 0x24f6ab78e4bca062
31393 .xword 0x1d8544bef887ab9f
31394 .xword 0x9ecec4dae41c42cf
31395 .xword 0x595109fcbb3b5276
31396 .xword 0x6be901d6b1648e17
31397 .xword 0x2e81f0fe4378cf6e
31398 .xword 0x2739deac913869fd
31399 .xword 0xe4dbea62f472b435
31400 .xword 0x4816f2a420f214c5
31401 .xword 0xa1db7eb1ee691649
31402 .xword 0x7e06fe9c1250eee6
31403 .xword 0x4a6fc65866a6f337
31404 .xword 0x1566e709ebfdeda5
31405 .xword 0xcb54eb3c18e3f82b
31406 .xword 0x916f0eb06adf977b
31407 .xword 0x2c2cc610b84222d7
31408 .xword 0x19b11213f00bfa43
31409 .xword 0xfa5c1bb9b5606b62
31410 .xword 0x8a9ca3814cfc8617
31411 .xword 0xb147772229338cd9
31412 .xword 0x4b30b9d6faf26e87
31413 .xword 0x964761b41f4f950f
31414 .xword 0xbd6f15193f70be61
31415 .xword 0xf6aeca4724d9733b
31416 .xword 0x0c7db4151a645685
31417 .xword 0x6981ee0ac1d3c291
31418 .xword 0x49896a5cbbed9c9f
31419 .xword 0xff8f19c7a3e05766
31420 .xword 0x98b9eba6c47b2116
31421 .xword 0x10480b87a002a762
31422 .xword 0x27cb061ba9a412b6
31423 .xword 0x6a2854b2e0384ff3
31424 .xword 0xd22bcf8a6626aabd
31425 .xword 0x99d9136b5abefd2b
31426 .xword 0xf53391d865e62adf
31427 .xword 0x840e11d019da067e
31428 .xword 0xe777201ed91a7957
31429 .xword 0x9651bdbf432210e8
31430 .xword 0x48ee5bb2809e65a3
31431 .xword 0x7ef7351a27661c75
31432 .xword 0x840350e9ab086ad8
31433 .xword 0x9b289bc6c174ba51
31434 .xword 0x1376fdfdf43988ef
31435 .xword 0x106e09b25796beca
31436 .xword 0x2709a6e22d83a0dd
31437 .xword 0x6cd9a88d301d6b52
31438 .xword 0xbe726cafb21f04dd
31439 .xword 0x02f3bc41f3e2a752
31440 .xword 0xcee395e08a9e9f84
31441 .xword 0x24bbe7c818c4ce90
31442 .xword 0x196f2a97d110cadd
31443 .xword 0xa61acd26abff3ea7
31444 .xword 0xfeb56ae09de1bcc6
31445 .xword 0xb63eef7ad3ef6a8f
31446 .xword 0xb0851f1c14d92998
31447 .xword 0xe6f8897f28e53b97
31448 .xword 0x3f1b267a25788120
31449 .xword 0x98398c4dde919496
31450 .xword 0x376130de453348d8
31451 .xword 0x0d4fee780c2cc0a8
31452 .xword 0x0d81e9629f555509
31453 .xword 0x3125c90d163a8dfa
31454 .xword 0x9affc533e702752e
31455 .xword 0xba99b5e7648ce6bd
31456 .xword 0x055cbe9a238f216f
31457 .xword 0x34fa554e1ddf7b92
31458 .xword 0x620f4c0507ee9893
31459 .xword 0x8a825cb728d78549
31460 .xword 0x3119d7669800b9a6
31461 .xword 0xd5b6c380de913da1
31462 .xword 0x530097103dfeac9f
31463 .xword 0xec3b3fd5a7d4f1c0
31464 .xword 0x0a04aad42e21295f
31465 .xword 0x000d65dcc49b1888
31466 .xword 0xf23fe66a5464c587
31467 .xword 0x9f8b0d0589c0f165
31468 .xword 0x5b0434a04c57224d
31469 .xword 0x07a40304452370bb
31470 .xword 0x2e373a30af2800d9
31471 .xword 0xfdef02deb47c0780
31472 .xword 0xd063a51f54403be7
31473 .xword 0x9a852a3e29a642c7
31474 .xword 0x73384ca55de5c2fa
31475 .xword 0x80f963efd27495a8
31476 .xword 0x1bdbeca321449c01
31477 .xword 0x8ea6ef9209e7c492
31478 .xword 0xc44d854114cf0bbf
31479 .xword 0xb9522690413dda21
31480 .xword 0x8cffbb65b5ece487
31481 .xword 0xcaaa14e56da237ac
31482 .xword 0xab23757dd430d4b0
31483 .xword 0x5c551d005ed0096a
31484 .xword 0x590381b76f53e071
31485 .xword 0x4d9186de174ca14d
31486 .xword 0x0d8d23c9d83ed173
31487 .xword 0xb9fc56d806c41df5
31488 .xword 0x05d02679419e6e56
31489 .xword 0xcbf5e379e1f20330
31490 .xword 0x46e3f9226a08a459
31491 .xword 0x0f8bdcd5684c14dc
31492 .xword 0x477fb31e92649a67
31493 .xword 0xd8d8e39b77137446
31494 .xword 0xc6476259aa517af3
31495 .xword 0xd40ac8133fad07d9
31496 .xword 0x78f2d005b4d0e951
31497 .xword 0x268150a85aadb86c
31498 .xword 0x13b17a96ae5611dd
31499 .xword 0x99e889913be81ed9
31500 .xword 0x855edc6fd35fd2a6
31501 .xword 0x46ca9ac0d6ec0742
31502 .xword 0xb3a8adeef9376fe0
31503 .xword 0xe071a9d233427e83
31504 .xword 0x6df51cc8454c9fec
31505 .xword 0x92ea0f4a9c9a0556
31506 .xword 0x3ffd72732b5d2d2a
31507 .xword 0x8193f9a61650b12c
31508 .xword 0x583b1f430176a8f5
31509 .xword 0x69de3362ffbba609
31510 .xword 0x2fe058e898b4160a
31511 .xword 0xb5192a8ef0178bdb
31512 .xword 0xf8b1cb9853f16818
31513 .xword 0x97308e9ae7be04fb
31514 .xword 0xd42ce9540491b8e5
31515 .xword 0x929bed8ede936ae1
31516 .xword 0x9bf78336bd433199
31517 .xword 0x6330f9a5702b819b
31518 .xword 0xf3c7d887fa2a1cee
31519 .xword 0x4edf0636a2f271ca
31520 .xword 0x4811b3167201d139
31521 .xword 0xe021c466443ef26b
31522 .xword 0xec1a2fe8f5433796
31523 .xword 0x3f85d3bf9d49b529
31524 .xword 0xaa150bffa03c8632
31525 .xword 0xe94c57fb285ca12d
31526 .xword 0x641a76115f7b74bf
31527 .xword 0xe82ae85a6edd4650
31528 .xword 0xd3b01cae920bc0e2
31529 .xword 0xce08dfaa1d29e6d4
31530 .xword 0xcf52e01cde020488
31531 .xword 0xdf594d385567e169
31532 .xword 0x2588964ae4e61541
31533 .xword 0x2ba4e25f9ffe4107
31534 .xword 0xd9c19a4dbc19c6ba
31535 .xword 0xf318de3f6fcf8b15
31536 .xword 0x11d8f1dcd7765855
31537 .xword 0x57af46c920b2d957
31538 .xword 0x6f6145bacf91da50
31539 .xword 0x7a6e04977d04b2d0
31540 .xword 0x8909dd1bf2c08598
31541 .xword 0xea562cd7a6eaecab
31542 .xword 0x7718548de90d74c0
31543 .xword 0x63f42d9943170e69
31544 .xword 0x7c2b25bc03254544
31545 .xword 0x4e2f426c69878aab
31546 .xword 0xa3635a698142f64c
31547 .xword 0x3b3cc1e64bd23762
31548 .xword 0x7d45d559f84352de
31549 .xword 0x350b0303fbf988da
31550 .xword 0xd3a7c8fcc396ecf5
31551 .xword 0x23eccc273b774300
31552 .xword 0xafadaf3e1516ba49
31553 .xword 0x45d30d71da5e57aa
31554 .xword 0x845216a044e5dc40
31555 .xword 0xf8d571fcdba49fd0
31556 .xword 0x0805324c206a85c4
31557 .xword 0x3356be52bd733e19
31558 .xword 0xc4cf5fa90547c99a
31559 .xword 0x2cb8fe4a74278dd6
31560 .xword 0x52f15cca65657775
31561 .xword 0x62f2675daa1e5a9d
31562 .xword 0x383b549394c8a745
31563 .xword 0x3e9571a2f0f6f40f
31564 .xword 0x4af82f1d2a1e6d68
31565 .xword 0xcde0527677caac6f
31566 .xword 0x8e1ee299c9fb4eb5
31567 .xword 0xfec4db1cc1d91809
31568 .xword 0x7406d031d4fbea6b
31569 .xword 0x7b6c430893fb07c2
31570 .xword 0xfdd8b1bad9426a7b
31571 .xword 0x689388cd0ca235d9
31572 .xword 0xf3c3e3660f0888d1
31573 .xword 0x673c4a8e75700461
31574 .xword 0x7063693d562c90d3
31575 .xword 0xe3eacd486856df86
31576 .xword 0xfdf7a2a8b4be1c90
31577 .xword 0x63c78d9b9fbe3f18
31578 .xword 0xebbd83c3c5d82c44
31579 .xword 0xb8a6082581dd909c
31580 .xword 0xe6712c60b01e5d9d
31581 .xword 0x238eea422c549e0a
31582 .xword 0x8806fe59e80ff2c2
31583 .xword 0x90e3eb9b3231ad44
31584 .xword 0x24d84eedc4741cc6
31585 .xword 0x93d6905a9543af5c
31586 .xword 0xb9faf4ad20db0dc3
31587 .xword 0x77b1e5183a799a72
31588 .xword 0xde76362d85df2060
31589 .xword 0xe7d7887650f0c9b4
31590 .xword 0xe55875ae9d83d02d
31591 .xword 0x7444aeeb2b7513db
31592 .xword 0x9e184d7a5d74afcd
31593 .xword 0x6cd0b4bb33dbd5b7
31594 .xword 0x4ebf8e66cd856e75
31595 .xword 0xe8a8d59a486a0dfe
31596 .xword 0xccaedd559c509487
31597 .xword 0x1b166d70ffbd40d4
31598 .xword 0x8285ad3f31941cbf
31599 .xword 0xba90ab140a629f62
31600 .xword 0x7f598c0ded475dcd
31601 .xword 0x1c5dde3ba6c533c0
31602 .xword 0x08572c9050f12793
31603 .xword 0xfbe6f142795b9635
31604 .xword 0xe447489fa01931b5
31605 .xword 0xb30c1bccbc6ab5d9
31606 .xword 0xcb77be855d64a8ba
31607 .xword 0x63731571d16fa643
31608 .xword 0xba3910cae2483b63
31609 .xword 0xc9a496b86656f045
31610 .xword 0x34478d14327ff1b6
31611 .xword 0xd35bf4ff3d9bc509
31612 .xword 0x32630b745a731850
31613 .xword 0x9fb2f8175e270b91
31614 .xword 0x2ffa80e5e46f3c01
31615 .xword 0xecce81018c7f5e67
31616 .xword 0x2afab5bf95803cd0
31617 .xword 0xc3910f212bccda5c
31618 .xword 0x87c4b8afab905de3
31619 .xword 0xc50be9e4f06a8e7d
31620 .xword 0x39984f365b27e07a
31621 .xword 0xa8bd468ba8259c56
31622 .xword 0x0b681411e6214ab5
31623 .xword 0x6b2a7653cb68069f
31624 .xword 0x0ffb97774c4a6617
31625 .xword 0x711e9f181ed1a56b
31626 .xword 0x37dec49c9b28b44b
31627 .xword 0x6c7fd9c4349b412e
31628 .xword 0x1c34e54cd5482d61
31629 .xword 0x1f22ac8d0099a5a0
31630 .xword 0x3bc71f6e35fe9b0b
31631 .xword 0x8e85ec26cde25507
31632 .xword 0xb8dcb773d1ce6e9a
31633_t1_des_dest:
31634 .xword 0xDEADBEEFDEADBEEF
31635 .xword 0xDEADBEEFDEADBEEF
31636 .xword 0xDEADBEEFDEADBEEF
31637 .xword 0xDEADBEEFDEADBEEF
31638 .xword 0xDEADBEEFDEADBEEF
31639 .xword 0xDEADBEEFDEADBEEF
31640 .xword 0xDEADBEEFDEADBEEF
31641 .xword 0xDEADBEEFDEADBEEF
31642 .xword 0xDEADBEEFDEADBEEF
31643 .xword 0xDEADBEEFDEADBEEF
31644 .xword 0xDEADBEEFDEADBEEF
31645 .xword 0xDEADBEEFDEADBEEF
31646 .xword 0xDEADBEEFDEADBEEF
31647 .xword 0xDEADBEEFDEADBEEF
31648 .xword 0xDEADBEEFDEADBEEF
31649 .xword 0xDEADBEEFDEADBEEF
31650 .xword 0xDEADBEEFDEADBEEF
31651 .xword 0xDEADBEEFDEADBEEF
31652 .xword 0xDEADBEEFDEADBEEF
31653 .xword 0xDEADBEEFDEADBEEF
31654 .xword 0xDEADBEEFDEADBEEF
31655 .xword 0xDEADBEEFDEADBEEF
31656 .xword 0xDEADBEEFDEADBEEF
31657 .xword 0xDEADBEEFDEADBEEF
31658 .xword 0xDEADBEEFDEADBEEF
31659 .xword 0xDEADBEEFDEADBEEF
31660 .xword 0xDEADBEEFDEADBEEF
31661 .xword 0xDEADBEEFDEADBEEF
31662 .xword 0xDEADBEEFDEADBEEF
31663 .xword 0xDEADBEEFDEADBEEF
31664 .xword 0xDEADBEEFDEADBEEF
31665 .xword 0xDEADBEEFDEADBEEF
31666 .xword 0xDEADBEEFDEADBEEF
31667 .xword 0xDEADBEEFDEADBEEF
31668 .xword 0xDEADBEEFDEADBEEF
31669 .xword 0xDEADBEEFDEADBEEF
31670 .xword 0xDEADBEEFDEADBEEF
31671 .xword 0xDEADBEEFDEADBEEF
31672 .xword 0xDEADBEEFDEADBEEF
31673 .xword 0xDEADBEEFDEADBEEF
31674 .xword 0xDEADBEEFDEADBEEF
31675 .xword 0xDEADBEEFDEADBEEF
31676 .xword 0xDEADBEEFDEADBEEF
31677 .xword 0xDEADBEEFDEADBEEF
31678 .xword 0xDEADBEEFDEADBEEF
31679 .xword 0xDEADBEEFDEADBEEF
31680 .xword 0xDEADBEEFDEADBEEF
31681 .xword 0xDEADBEEFDEADBEEF
31682 .xword 0xDEADBEEFDEADBEEF
31683 .xword 0xDEADBEEFDEADBEEF
31684 .xword 0xDEADBEEFDEADBEEF
31685 .xword 0xDEADBEEFDEADBEEF
31686 .xword 0xDEADBEEFDEADBEEF
31687 .xword 0xDEADBEEFDEADBEEF
31688 .xword 0xDEADBEEFDEADBEEF
31689 .xword 0xDEADBEEFDEADBEEF
31690 .xword 0xDEADBEEFDEADBEEF
31691 .xword 0xDEADBEEFDEADBEEF
31692 .xword 0xDEADBEEFDEADBEEF
31693 .xword 0xDEADBEEFDEADBEEF
31694 .xword 0xDEADBEEFDEADBEEF
31695 .xword 0xDEADBEEFDEADBEEF
31696 .xword 0xDEADBEEFDEADBEEF
31697 .xword 0xDEADBEEFDEADBEEF
31698 .xword 0xDEADBEEFDEADBEEF
31699 .xword 0xDEADBEEFDEADBEEF
31700 .xword 0xDEADBEEFDEADBEEF
31701 .xword 0xDEADBEEFDEADBEEF
31702 .xword 0xDEADBEEFDEADBEEF
31703 .xword 0xDEADBEEFDEADBEEF
31704 .xword 0xDEADBEEFDEADBEEF
31705 .xword 0xDEADBEEFDEADBEEF
31706 .xword 0xDEADBEEFDEADBEEF
31707 .xword 0xDEADBEEFDEADBEEF
31708 .xword 0xDEADBEEFDEADBEEF
31709 .xword 0xDEADBEEFDEADBEEF
31710 .xword 0xDEADBEEFDEADBEEF
31711 .xword 0xDEADBEEFDEADBEEF
31712 .xword 0xDEADBEEFDEADBEEF
31713 .xword 0xDEADBEEFDEADBEEF
31714 .xword 0xDEADBEEFDEADBEEF
31715 .xword 0xDEADBEEFDEADBEEF
31716 .xword 0xDEADBEEFDEADBEEF
31717 .xword 0xDEADBEEFDEADBEEF
31718 .xword 0xDEADBEEFDEADBEEF
31719 .xword 0xDEADBEEFDEADBEEF
31720 .xword 0xDEADBEEFDEADBEEF
31721 .xword 0xDEADBEEFDEADBEEF
31722 .xword 0xDEADBEEFDEADBEEF
31723 .xword 0xDEADBEEFDEADBEEF
31724 .xword 0xDEADBEEFDEADBEEF
31725 .xword 0xDEADBEEFDEADBEEF
31726 .xword 0xDEADBEEFDEADBEEF
31727 .xword 0xDEADBEEFDEADBEEF
31728 .xword 0xDEADBEEFDEADBEEF
31729 .xword 0xDEADBEEFDEADBEEF
31730 .xword 0xDEADBEEFDEADBEEF
31731 .xword 0xDEADBEEFDEADBEEF
31732 .xword 0xDEADBEEFDEADBEEF
31733 .xword 0xDEADBEEFDEADBEEF
31734 .xword 0xDEADBEEFDEADBEEF
31735 .xword 0xDEADBEEFDEADBEEF
31736 .xword 0xDEADBEEFDEADBEEF
31737 .xword 0xDEADBEEFDEADBEEF
31738 .xword 0xDEADBEEFDEADBEEF
31739 .xword 0xDEADBEEFDEADBEEF
31740 .xword 0xDEADBEEFDEADBEEF
31741 .xword 0xDEADBEEFDEADBEEF
31742 .xword 0xDEADBEEFDEADBEEF
31743 .xword 0xDEADBEEFDEADBEEF
31744 .xword 0xDEADBEEFDEADBEEF
31745 .xword 0xDEADBEEFDEADBEEF
31746 .xword 0xDEADBEEFDEADBEEF
31747 .xword 0xDEADBEEFDEADBEEF
31748 .xword 0xDEADBEEFDEADBEEF
31749 .xword 0xDEADBEEFDEADBEEF
31750 .xword 0xDEADBEEFDEADBEEF
31751 .xword 0xDEADBEEFDEADBEEF
31752 .xword 0xDEADBEEFDEADBEEF
31753 .xword 0xDEADBEEFDEADBEEF
31754 .xword 0xDEADBEEFDEADBEEF
31755 .xword 0xDEADBEEFDEADBEEF
31756 .xword 0xDEADBEEFDEADBEEF
31757 .xword 0xDEADBEEFDEADBEEF
31758 .xword 0xDEADBEEFDEADBEEF
31759 .xword 0xDEADBEEFDEADBEEF
31760 .xword 0xDEADBEEFDEADBEEF
31761 .xword 0xDEADBEEFDEADBEEF
31762 .xword 0xDEADBEEFDEADBEEF
31763 .xword 0xDEADBEEFDEADBEEF
31764 .xword 0xDEADBEEFDEADBEEF
31765 .xword 0xDEADBEEFDEADBEEF
31766 .xword 0xDEADBEEFDEADBEEF
31767 .xword 0xDEADBEEFDEADBEEF
31768 .xword 0xDEADBEEFDEADBEEF
31769 .xword 0xDEADBEEFDEADBEEF
31770 .xword 0xDEADBEEFDEADBEEF
31771 .xword 0xDEADBEEFDEADBEEF
31772 .xword 0xDEADBEEFDEADBEEF
31773 .xword 0xDEADBEEFDEADBEEF
31774 .xword 0xDEADBEEFDEADBEEF
31775 .xword 0xDEADBEEFDEADBEEF
31776 .xword 0xDEADBEEFDEADBEEF
31777 .xword 0xDEADBEEFDEADBEEF
31778 .xword 0xDEADBEEFDEADBEEF
31779 .xword 0xDEADBEEFDEADBEEF
31780 .xword 0xDEADBEEFDEADBEEF
31781 .xword 0xDEADBEEFDEADBEEF
31782 .xword 0xDEADBEEFDEADBEEF
31783 .xword 0xDEADBEEFDEADBEEF
31784 .xword 0xDEADBEEFDEADBEEF
31785 .xword 0xDEADBEEFDEADBEEF
31786 .xword 0xDEADBEEFDEADBEEF
31787 .xword 0xDEADBEEFDEADBEEF
31788 .xword 0xDEADBEEFDEADBEEF
31789 .xword 0xDEADBEEFDEADBEEF
31790 .xword 0xDEADBEEFDEADBEEF
31791 .xword 0xDEADBEEFDEADBEEF
31792 .xword 0xDEADBEEFDEADBEEF
31793 .xword 0xDEADBEEFDEADBEEF
31794 .xword 0xDEADBEEFDEADBEEF
31795 .xword 0xDEADBEEFDEADBEEF
31796 .xword 0xDEADBEEFDEADBEEF
31797 .xword 0xDEADBEEFDEADBEEF
31798 .xword 0xDEADBEEFDEADBEEF
31799 .xword 0xDEADBEEFDEADBEEF
31800 .xword 0xDEADBEEFDEADBEEF
31801 .xword 0xDEADBEEFDEADBEEF
31802 .xword 0xDEADBEEFDEADBEEF
31803 .xword 0xDEADBEEFDEADBEEF
31804 .xword 0xDEADBEEFDEADBEEF
31805 .xword 0xDEADBEEFDEADBEEF
31806 .xword 0xDEADBEEFDEADBEEF
31807 .xword 0xDEADBEEFDEADBEEF
31808 .xword 0xDEADBEEFDEADBEEF
31809 .xword 0xDEADBEEFDEADBEEF
31810 .xword 0xDEADBEEFDEADBEEF
31811 .xword 0xDEADBEEFDEADBEEF
31812 .xword 0xDEADBEEFDEADBEEF
31813 .xword 0xDEADBEEFDEADBEEF
31814 .xword 0xDEADBEEFDEADBEEF
31815 .xword 0xDEADBEEFDEADBEEF
31816 .xword 0xDEADBEEFDEADBEEF
31817 .xword 0xDEADBEEFDEADBEEF
31818 .xword 0xDEADBEEFDEADBEEF
31819 .xword 0xDEADBEEFDEADBEEF
31820 .xword 0xDEADBEEFDEADBEEF
31821 .xword 0xDEADBEEFDEADBEEF
31822 .xword 0xDEADBEEFDEADBEEF
31823 .xword 0xDEADBEEFDEADBEEF
31824 .xword 0xDEADBEEFDEADBEEF
31825 .xword 0xDEADBEEFDEADBEEF
31826 .xword 0xDEADBEEFDEADBEEF
31827 .xword 0xDEADBEEFDEADBEEF
31828 .xword 0xDEADBEEFDEADBEEF
31829 .xword 0xDEADBEEFDEADBEEF
31830 .xword 0xDEADBEEFDEADBEEF
31831 .xword 0xDEADBEEFDEADBEEF
31832 .xword 0xDEADBEEFDEADBEEF
31833 .xword 0xDEADBEEFDEADBEEF
31834 .xword 0xDEADBEEFDEADBEEF
31835 .xword 0xDEADBEEFDEADBEEF
31836 .xword 0xDEADBEEFDEADBEEF
31837 .xword 0xDEADBEEFDEADBEEF
31838 .xword 0xDEADBEEFDEADBEEF
31839 .xword 0xDEADBEEFDEADBEEF
31840 .xword 0xDEADBEEFDEADBEEF
31841 .xword 0xDEADBEEFDEADBEEF
31842 .xword 0xDEADBEEFDEADBEEF
31843 .xword 0xDEADBEEFDEADBEEF
31844 .xword 0xDEADBEEFDEADBEEF
31845 .xword 0xDEADBEEFDEADBEEF
31846 .xword 0xDEADBEEFDEADBEEF
31847 .xword 0xDEADBEEFDEADBEEF
31848 .xword 0xDEADBEEFDEADBEEF
31849 .xword 0xDEADBEEFDEADBEEF
31850 .xword 0xDEADBEEFDEADBEEF
31851 .xword 0xDEADBEEFDEADBEEF
31852 .xword 0xDEADBEEFDEADBEEF
31853 .xword 0xDEADBEEFDEADBEEF
31854 .xword 0xDEADBEEFDEADBEEF
31855 .xword 0xDEADBEEFDEADBEEF
31856 .xword 0xDEADBEEFDEADBEEF
31857 .xword 0xDEADBEEFDEADBEEF
31858 .xword 0xDEADBEEFDEADBEEF
31859 .xword 0xDEADBEEFDEADBEEF
31860 .xword 0xDEADBEEFDEADBEEF
31861 .xword 0xDEADBEEFDEADBEEF
31862 .xword 0xDEADBEEFDEADBEEF
31863 .xword 0xDEADBEEFDEADBEEF
31864 .xword 0xDEADBEEFDEADBEEF
31865 .xword 0xDEADBEEFDEADBEEF
31866 .xword 0xDEADBEEFDEADBEEF
31867 .xword 0xDEADBEEFDEADBEEF
31868 .xword 0xDEADBEEFDEADBEEF
31869 .xword 0xDEADBEEFDEADBEEF
31870 .xword 0xDEADBEEFDEADBEEF
31871 .xword 0xDEADBEEFDEADBEEF
31872 .xword 0xDEADBEEFDEADBEEF
31873 .xword 0xDEADBEEFDEADBEEF
31874 .xword 0xDEADBEEFDEADBEEF
31875 .xword 0xDEADBEEFDEADBEEF
31876 .xword 0xDEADBEEFDEADBEEF
31877 .xword 0xDEADBEEFDEADBEEF
31878 .xword 0xDEADBEEFDEADBEEF
31879 .xword 0xDEADBEEFDEADBEEF
31880 .xword 0xDEADBEEFDEADBEEF
31881 .xword 0xDEADBEEFDEADBEEF
31882 .xword 0xDEADBEEFDEADBEEF
31883 .xword 0xDEADBEEFDEADBEEF
31884 .xword 0xDEADBEEFDEADBEEF
31885 .xword 0xDEADBEEFDEADBEEF
31886 .xword 0xDEADBEEFDEADBEEF
31887 .xword 0xDEADBEEFDEADBEEF
31888 .xword 0xDEADBEEFDEADBEEF
31889 .xword 0xDEADBEEFDEADBEEF
31890 .xword 0xDEADBEEFDEADBEEF
31891 .xword 0xDEADBEEFDEADBEEF
31892 .xword 0xDEADBEEFDEADBEEF
31893 .xword 0xDEADBEEFDEADBEEF
31894 .xword 0xDEADBEEFDEADBEEF
31895 .xword 0xDEADBEEFDEADBEEF
31896 .xword 0xDEADBEEFDEADBEEF
31897 .xword 0xDEADBEEFDEADBEEF
31898 .xword 0xDEADBEEFDEADBEEF
31899 .xword 0xDEADBEEFDEADBEEF
31900 .xword 0xDEADBEEFDEADBEEF
31901 .xword 0xDEADBEEFDEADBEEF
31902 .xword 0xDEADBEEFDEADBEEF
31903 .xword 0xDEADBEEFDEADBEEF
31904 .xword 0xDEADBEEFDEADBEEF
31905 .xword 0xDEADBEEFDEADBEEF
31906 .xword 0xDEADBEEFDEADBEEF
31907 .xword 0xDEADBEEFDEADBEEF
31908 .xword 0xDEADBEEFDEADBEEF
31909_t1_des_auth_key:
31910 .xword 0x3eaf0a2cbc6036af
31911 .xword 0x5e45af091db9d9d2
31912 .xword 0x15dd40e50397d1c3
31913 .xword 0x786e33ff565f6f7a
31914 .xword 0x8d0f5b49944c916c
31915 .xword 0x89b13690d41e0441
31916 .xword 0xc3fc9b1bbd28b020
31917 .xword 0xeac679d348883214
31918 .xword 0x825bd50d9f6c7032
31919 .xword 0x05efff009dc35235
31920 .xword 0x01e11450f86df29d
31921 .xword 0x0022928a379492cb
31922 .xword 0x00673086f9925fd6
31923 .xword 0x3f14060ab94bad28
31924 .xword 0xab1eec2addca0865
31925 .xword 0xa4bd946d14077285
31926 .xword 0x6f006eed51ac2ef9
31927 .xword 0x0c2528145e7cad8b
31928 .xword 0x59ba98980cacde5f
31929 .xword 0xe28e7c0af3fede50
31930 .xword 0xfade947ad854e099
31931 .xword 0x7d5868faf6d41684
31932 .xword 0x3dde4ecb1b45313f
31933_t1_des_auth_iv:
31934 .xword 0x3cc527148e711dd8
31935 .xword 0xaf7023daf60713cd
31936 .xword 0xef393ac5e896db0d
31937 .xword 0x51779c84a5c90403
31938 .xword 0xdbf3974927f016c2
31939 .xword 0xab662ca699ef7668
31940 .xword 0x59b10c6ee8dbca46
31941 .xword 0x6778977db2a8a511
31942 .xword 0x2babfe3b85917a13
31943 .xword 0xccac49910a27c61c
31944 .xword 0xe5deda8e97c4eafc
31945 .xword 0xc2b9b316616fe94e
31946 .xword 0x242797caddead61c
31947 .xword 0x19887b612be1234d
31948 .xword 0x72ea685ee5b31203
31949 .xword 0xe330b33bcb4d57d1
31950 .xword 0x992d1173eed08b50
31951 .xword 0xa10baa2e4793c9d6
31952 .xword 0xcbe36fed1406d4e6
31953 .xword 0xaea7ba99201845fa
31954 .xword 0xc5774e24265da88a
31955 .xword 0x20a8fe62830b2420
31956 .xword 0xae3b696877174270
31957_t1_des_fas_result:
31958 .xword 0xDEADBEEFDEADBEEF
31959 .xword 0xDEADBEEFDEADBEEF
31960 .xword 0xDEADBEEFDEADBEEF
31961 .xword 0xDEADBEEFDEADBEEF
31962 .xword 0xDEADBEEFDEADBEEF
31963 .xword 0xDEADBEEFDEADBEEF
31964 .xword 0xDEADBEEFDEADBEEF
31965 .xword 0xDEADBEEFDEADBEEF
31966 .xword 0xDEADBEEFDEADBEEF
31967 .xword 0xDEADBEEFDEADBEEF
31968 .xword 0xDEADBEEFDEADBEEF
31969 .xword 0xDEADBEEFDEADBEEF
31970 .xword 0xDEADBEEFDEADBEEF
31971 .xword 0xDEADBEEFDEADBEEF
31972 .xword 0xDEADBEEFDEADBEEF
31973 .xword 0xDEADBEEFDEADBEEF
31974 .xword 0xDEADBEEFDEADBEEF
31975 .xword 0xDEADBEEFDEADBEEF
31976 .xword 0xDEADBEEFDEADBEEF
31977 .xword 0xDEADBEEFDEADBEEF
31978 .xword 0xDEADBEEFDEADBEEF
31979 .xword 0xDEADBEEFDEADBEEF
31980 .xword 0xDEADBEEFDEADBEEF
31981_t1_copy_key_array:
31982 .xword 0xaa0ab26e54614673
31983 .xword 0x8f5630dda543e4c8
31984 .xword 0xef5aacc2b65864d8
31985 .xword 0x3a59ce3da6dc0415
31986 .xword 0x81608f9a2307119a
31987 .xword 0xd559bdc6320f1cf6
31988 .xword 0xc2e2f794d5ec0c3f
31989 .xword 0x053c8f69e63c5341
31990 .xword 0x3ee1ec2941e770b3
31991 .xword 0x4115d23ecf5e03f4
31992 .xword 0xfd45042b6bf9fe4e
31993 .xword 0x9dedeaf6c67d7874
31994 .xword 0x91ffb6a14d559070
31995 .xword 0x1fb2aace2ca0879c
31996 .xword 0xa8378d6d834e93d0
31997 .xword 0x313255f58460b958
31998 .xword 0x290ba707a9af1467
31999 .xword 0x2766ec32b7648074
32000 .xword 0xae5fd16e3f52e0b6
32001 .xword 0x3bf4e32458f459ee
32002 .xword 0xb0250eeb6ff1f64c
32003 .xword 0x38b8cc9d56208043
32004 .xword 0x48ba64b0a5472eed
32005 .xword 0xc64932e0fc054ceb
32006 .xword 0x139c153ff2dd7cb9
32007 .xword 0xc1017301bbcba245
32008 .xword 0x4113a6289263f400
32009 .xword 0x715f87077a56be33
32010 .xword 0x0646473f9d136312
32011 .xword 0x7fcd8a622a7b4cb3
32012 .xword 0xedadf2a9607045a5
32013 .xword 0x05933e4e6d5393fc
32014 .xword 0x5afaca71c42fa4e0
32015 .xword 0x77b62d9f6e8da297
32016 .xword 0x5c8dae641d54f761
32017 .xword 0x9d020df129c4f87d
32018 .xword 0xb91cc77130dd3192
32019 .xword 0x7d36966649fbfcce
32020 .xword 0xe1e52fea1ff0ed1a
32021 .xword 0xe257a94adcdc500b
32022 .xword 0xca248529cc74688a
32023 .xword 0x75d0d061e037c3a8
32024 .xword 0x1e55e8e469780184
32025 .xword 0x3296d63601984ea4
32026 .xword 0xcd08d3b743742872
32027 .xword 0x440cf9a3e194c826
32028 .xword 0xa0a04d7ba21f7e60
32029 .xword 0xa3e89adc9af0bae9
32030 .xword 0xb60a81cda02b94f5
32031 .xword 0x107f9965ae0c9bb0
32032 .xword 0x8ff2ddc247e751e8
32033_t1_copy_iv_array:
32034 .xword 0x3f19455d9ebc07a8
32035 .xword 0x0c9dc134d1ce2ed5
32036 .xword 0x9558614c6edafe86
32037 .xword 0xd94f010224c4869e
32038 .xword 0xe7ab1132bdadaa0f
32039 .xword 0x28dcd075f7a19ebf
32040 .xword 0xfe45ca9f85ca7a9d
32041 .xword 0xc0a1203d53b7a6fc
32042 .xword 0xe85a93e27c9207fe
32043 .xword 0x6930b0a625c6225b
32044 .xword 0xa26c79d08582e423
32045 .xword 0x9374ef34cc8759ca
32046 .xword 0x63cf4e20b55e801d
32047 .xword 0xdd5137b27088ddee
32048 .xword 0xf16c5eb02f2852d2
32049 .xword 0x7ec3f73177d0444e
32050 .xword 0xeb7c886af9de3227
32051 .xword 0xf1569e9606f6df91
32052 .xword 0x6f92bf94678d254e
32053 .xword 0xb04af2e88c0c1bc9
32054 .xword 0x586db5348b3f5034
32055 .xword 0x5028ffa06b75a6c3
32056 .xword 0xc3f67266ab223ce7
32057 .xword 0xd38f1dad878239b4
32058 .xword 0x4894f6d79e80b1da
32059 .xword 0x5f7d33ff52142c19
32060 .xword 0xf316a3f3a626aa7f
32061 .xword 0xaf1265d1139a9d4d
32062 .xword 0xa936b8ae130b4dc2
32063 .xword 0x450bfbb7e56cd3ac
32064 .xword 0x6db8c0d4bd988fff
32065 .xword 0x5246700b7174b103
32066 .xword 0xd3f711869e01fb39
32067 .xword 0x85037956d242a551
32068 .xword 0x892bf44f99f4a94e
32069 .xword 0x0bd91fa44223b659
32070 .xword 0x1904bb0feb529687
32071 .xword 0x6f83456aedae54ad
32072 .xword 0x510926e069378102
32073 .xword 0x8c9daca963f399d3
32074 .xword 0x1479e1a507e943ec
32075 .xword 0x512a42dfff6a45f9
32076 .xword 0x829ae73c5b71fd3f
32077 .xword 0x11ad23ce140f998d
32078 .xword 0x68fbfb488f156656
32079_t1_copy_alignment_array:
32080 .xword 0
32081 .xword 3
32082 .xword 2
32083 .xword 11
32084 .xword 1
32085 .xword 13
32086 .xword 7
32087 .xword 8
32088 .xword 3
32089 .xword 9
32090 .xword 12
32091 .xword 7
32092 .xword 15
32093 .xword 0
32094 .xword 12
32095 .xword 1
32096 .xword 9
32097 .xword 11
32098 .xword 9
32099 .xword 1
32100 .xword 3
32101 .xword 10
32102 .xword 8
32103 .xword 12
32104 .xword 5
32105 .xword 0
32106 .xword 10
32107 .xword 0
32108 .xword 14
32109 .xword 13
32110 .xword 11
32111 .xword 15
32112 .xword 0
32113 .xword 1
32114 .xword 2
32115 .xword 2
32116 .xword 2
32117 .xword 12
32118 .xword 7
32119 .xword 3
32120 .xword 9
32121 .xword 15
32122 .xword 14
32123 .xword 6
32124 .xword 13
32125 .xword 10
32126 .xword 8
32127 .xword 11
32128 .xword 1
32129 .xword 6
32130 .xword 9
32131 .xword 11
32132 .xword 12
32133 .xword 3
32134 .xword 9
32135 .xword 13
32136 .xword 6
32137 .xword 6
32138 .xword 5
32139 .xword 15
32140 .xword 8
32141 .xword 15
32142 .xword 13
32143 .xword 3
32144 .xword 2
32145 .xword 5
32146 .xword 6
32147 .xword 4
32148 .xword 4
32149 .xword 5
32150 .xword 15
32151 .xword 8
32152 .xword 7
32153 .xword 11
32154 .xword 13
32155 .xword 6
32156 .xword 13
32157 .xword 12
32158 .xword 1
32159 .xword 10
32160 .xword 15
32161 .xword 3
32162 .xword 8
32163 .xword 1
32164 .xword 14
32165 .xword 12
32166 .xword 4
32167 .xword 11
32168 .xword 1
32169 .xword 3
32170 .xword 5
32171 .xword 6
32172 .xword 6
32173 .xword 7
32174 .xword 13
32175 .xword 6
32176 .xword 5
32177 .xword 9
32178 .xword 15
32179 .xword 3
32180 .xword 5
32181 .xword 4
32182 .xword 2
32183 .xword 2
32184 .xword 1
32185_t1_copy_src:
32186 .xword 0x67d588f390a68d9a
32187 .xword 0xec61b1f789c9440c
32188 .xword 0x1e3749324a76a698
32189 .xword 0xc048dfa2727b3344
32190 .xword 0xf66a227160a57abb
32191 .xword 0x254a3a3dfd63a6c8
32192 .xword 0xe41574b77303a90f
32193 .xword 0x37bdb2a5be1f2dfd
32194 .xword 0xf954d0827b2827cf
32195 .xword 0x36ae109063483328
32196 .xword 0xb435173ad77aaace
32197 .xword 0x67d21f0b3247f3ef
32198 .xword 0x05ba1e7aad9190f5
32199 .xword 0x255735c517dafb5c
32200 .xword 0x5a7b4aeb6490c9e7
32201 .xword 0x3524815d7f2aa296
32202 .xword 0x9e21da7944c15b51
32203 .xword 0x01b1dc810b615bca
32204 .xword 0x0d65e2ef738c2ecb
32205 .xword 0x697239aea90be634
32206 .xword 0x2c0a9ceff068d7a0
32207 .xword 0xe3b12b12c32d95e5
32208 .xword 0x6c82c3143597e66b
32209 .xword 0xa520a10562ad0aa2
32210 .xword 0x8a9722a58aa5c4e2
32211 .xword 0x172c9c71e9e7c08b
32212 .xword 0x38f55b34ad1cb8e7
32213 .xword 0xf5f6f629b28ff516
32214 .xword 0xe7cc6639000029fb
32215 .xword 0x757682634aa021be
32216 .xword 0x2ea1b1afca6152cc
32217 .xword 0xbf7cc8151f92e34c
32218 .xword 0xe581576ddda82ba0
32219 .xword 0x1994c8b04ea3ad93
32220 .xword 0x95a31a1156e44f17
32221 .xword 0x7da8f9bae3fdf204
32222 .xword 0x0827093c1a1e3402
32223 .xword 0xb503951f8420ccf0
32224 .xword 0xb178da26170f5ed4
32225 .xword 0x9b1e1cb46bd39c2c
32226 .xword 0x677597acc4c8919a
32227 .xword 0x044cba2a8a098454
32228 .xword 0x9c4aa34141f4d3c9
32229 .xword 0x83c184816c261fde
32230 .xword 0xb0253ce04a05fa0f
32231 .xword 0x9d755dfe3ab17d9f
32232 .xword 0xb8964ec85c910fb8
32233 .xword 0x31e62c98ecc0e5fe
32234 .xword 0xe98028cf3075697f
32235 .xword 0x01403c50a74cb2d5
32236 .xword 0xcc1ca3aa05a12f7e
32237 .xword 0xb5ef24a35305aba9
32238 .xword 0xc170442bfa2281fa
32239 .xword 0x6690ecd123e831e7
32240 .xword 0x57ff7af9763d5b31
32241 .xword 0x5d1a70d7120a743b
32242 .xword 0xd9a959c7d362bd60
32243 .xword 0xc3d0aba1c503cd46
32244 .xword 0x4d87fa79d37f4754
32245 .xword 0x478dd1e45279fca2
32246 .xword 0xc8e91ff628124e1d
32247 .xword 0x5c891ee8b2754f5b
32248 .xword 0x66d63dd596bb8b8a
32249 .xword 0x796b0d5c9d57a74a
32250 .xword 0x4c95e3bee0321015
32251 .xword 0xf408eea60ba84666
32252 .xword 0xb8c307a3a1c3a4f1
32253 .xword 0x7baecba15ff95dc8
32254 .xword 0x42a796e898609d08
32255 .xword 0x3b090e61e7bd4ca0
32256 .xword 0x982d390a162e61e2
32257 .xword 0x4971715b42df6318
32258 .xword 0xf28ed15312a316c6
32259 .xword 0xbb44a0f2027496db
32260 .xword 0xd4468e47807596d7
32261 .xword 0xc9187046e92ac894
32262 .xword 0xb3f30ff8f7bae8e5
32263 .xword 0x8ab195a7bccc2b15
32264 .xword 0xd4c6d6b18086ff9e
32265 .xword 0x070c5b3e37f62bd7
32266 .xword 0xe126f1c39164d3ca
32267 .xword 0xc53650b08e52908e
32268 .xword 0x1906d3bd0f8aad6c
32269 .xword 0x20ea47e2708c6a49
32270 .xword 0x0b5d3f358a682fe0
32271 .xword 0x975b8ec9a3287a7e
32272 .xword 0xaf0d84020390af7b
32273 .xword 0x59b7374b5d486ace
32274 .xword 0x73dd5b53d65c185c
32275 .xword 0xc64167e834ccce9e
32276 .xword 0x63dfa36e3d562d25
32277 .xword 0x477595c042526075
32278 .xword 0x59db0037080637a2
32279 .xword 0x28aa1877e86ff063
32280 .xword 0xc33d1d714db35b0a
32281 .xword 0x1550e61303ae5292
32282 .xword 0x2664910ab30fa847
32283 .xword 0x3344e66e0bc97af9
32284 .xword 0x61cfe958f4a5c8da
32285 .xword 0xd0b3c8fbc870350d
32286 .xword 0x6e58e9e1f1606bc9
32287 .xword 0x2c4f852f633c7c76
32288 .xword 0xf33664f65e8fbe9f
32289 .xword 0xaa3a3f773bc178b8
32290 .xword 0xb2f27c9417cb373e
32291 .xword 0xdefcb302390db141
32292 .xword 0x9addccb5c30acd4c
32293 .xword 0xdd94b151e58a646d
32294 .xword 0xd70c1014cbdf1c47
32295 .xword 0x167c29910ccf68b8
32296 .xword 0xf31a22bb48bdcfb9
32297 .xword 0x9cfd4d973cb3ef10
32298 .xword 0x7e5a805c8b198223
32299 .xword 0xb66d17f158830780
32300 .xword 0x92eb71bc3b892e8f
32301 .xword 0x89ff3d1d8bc00fa6
32302 .xword 0x3e2404f655ed1e6b
32303 .xword 0x184cc8ce136b2e72
32304 .xword 0xe7eb9b95707bf50d
32305 .xword 0x8c7b8bedea366ecc
32306 .xword 0xc1abcf05f5a313f0
32307 .xword 0x5268723ec8feed77
32308 .xword 0x81a4a55de1402a88
32309 .xword 0xf23e50f2a9523da7
32310 .xword 0x5aadddea947dcdad
32311 .xword 0xd909bbb279cf6705
32312 .xword 0x654496d744d8ac82
32313 .xword 0x737227fc8a8d36cd
32314 .xword 0x44ba4ad6b3f8821a
32315 .xword 0xf763f55f0566af7e
32316 .xword 0x1573a8336a9a901a
32317 .xword 0x6323666623ebc27a
32318 .xword 0xa0953a2ff3935731
32319 .xword 0x223985aad13edd6f
32320 .xword 0xaf692c66c71dbfc9
32321 .xword 0x8881e21d09a89a57
32322 .xword 0xcead4f5f4a94ff1b
32323 .xword 0x1b54380655bf22e6
32324 .xword 0x120d6d8ff0b6d11e
32325 .xword 0xc671c97f028ff64c
32326 .xword 0xcd7f1d63194d5d28
32327 .xword 0x627298bff1ff881e
32328 .xword 0xbad61ff147ff4f30
32329 .xword 0x28e8d5185fdc77b0
32330 .xword 0xb80187387db0d2e2
32331 .xword 0xe57d2a06b53169c9
32332 .xword 0x005c26212edc12c6
32333 .xword 0x15a35a22cbfbe82f
32334 .xword 0x13c0b323495f6d31
32335 .xword 0xaea166616e7ef105
32336 .xword 0x6aaa5bfedcbcc8e8
32337 .xword 0xd9f308c1d0fd6524
32338 .xword 0xf79ebf51e00cc006
32339 .xword 0x88f11f54fdce424e
32340 .xword 0x94df13bae9675659
32341 .xword 0xb266dbef83ae0225
32342 .xword 0x11ecc22112f110ad
32343 .xword 0x6eb3c00d9c131cea
32344 .xword 0x084af890239aff20
32345 .xword 0x3f517a9191e5100a
32346 .xword 0x3de935554e031c69
32347 .xword 0x1a1699d8b330012c
32348 .xword 0x418341ef4265754a
32349 .xword 0xaadd90033a03c69f
32350 .xword 0x8a94b8ce1c15c6cc
32351 .xword 0xa4f8acf00f391aef
32352 .xword 0x223e96980fe15034
32353 .xword 0x845af8a0fa7c0c0d
32354 .xword 0xf138782f42e8c6bf
32355 .xword 0x2687034103713b6b
32356 .xword 0xd751d083d7085597
32357 .xword 0xdc728aa20c2ae7a0
32358 .xword 0x97f14771c3ab94b2
32359 .xword 0x05cbb429af920d31
32360 .xword 0xb89a9113d4597d46
32361 .xword 0x13cc385bb6d615e2
32362 .xword 0xb322d257196aef33
32363 .xword 0xf0d7af55ee6854db
32364 .xword 0x821eb2c18563f151
32365 .xword 0x0de431a55d4f80b9
32366 .xword 0x2023439f466de480
32367 .xword 0x6b9301165c5e5d0c
32368 .xword 0xa88e35d43eab96de
32369 .xword 0x96013cc072d6925e
32370 .xword 0xfe59c4d5fdaf2c74
32371 .xword 0x21317d8f017f6c10
32372 .xword 0xdaf507f0b919301e
32373 .xword 0x98dbb4bc7e093a45
32374 .xword 0x233ceff605600acf
32375 .xword 0xbf53004ffa1e00cd
32376 .xword 0x80e63417e2022155
32377 .xword 0x647823aff4cd929f
32378 .xword 0xfd8a62254c4cc15e
32379 .xword 0xad339b623caf0914
32380 .xword 0x56bb2270371a0a5a
32381 .xword 0x836a5ad40f0899f1
32382 .xword 0x39d0212f05d71428
32383 .xword 0x1b3f32790e532f8f
32384 .xword 0xe2e7cd9ce6bd66c4
32385 .xword 0x3d4b7f80e11a565f
32386 .xword 0x7de79a19d8cca696
32387 .xword 0xe584de6bff580d00
32388 .xword 0xafa740edb36b7262
32389 .xword 0xcf874c6d229809ff
32390 .xword 0x6508c90712e34845
32391 .xword 0x27dbcd36ce57e1a1
32392 .xword 0xac9dd79eee5e3e04
32393 .xword 0x22b36db91985224d
32394 .xword 0x6f4dbae42cdd60ac
32395 .xword 0x681888d0fa9e1f95
32396 .xword 0xf99fcb2bde4ae192
32397 .xword 0x2af4a4a4a1d6e5af
32398 .xword 0x8b358ff312cb0f33
32399 .xword 0x740f570bcfdc42e2
32400 .xword 0xbafe48ab3887e37a
32401 .xword 0x174c6e813dacdfd1
32402 .xword 0x0dc225c9a0a6dfee
32403 .xword 0xdcba3f7bc0c45959
32404 .xword 0xdfe462be825f9be7
32405 .xword 0x2100ca385358e995
32406 .xword 0xece1ba77ed79d771
32407 .xword 0x9e81e1b9491b7843
32408 .xword 0x52cc7563322d3ab9
32409 .xword 0x2c5e0f21aa9f2200
32410 .xword 0xdaaa01f56ca4766a
32411 .xword 0xce9031373d0aa640
32412 .xword 0x2f7ae50d794cc77a
32413 .xword 0xa51856af4ac23a8b
32414 .xword 0x5ce9232b86d54115
32415 .xword 0x7439fb248abb6a81
32416 .xword 0x70c8117ca79c1f40
32417 .xword 0xc8776cde4d4b260f
32418 .xword 0xf95c3cea4c3d5b80
32419 .xword 0xc0b91f70177fe73e
32420 .xword 0xbc932c7b5d90a704
32421 .xword 0xd6aa750aff414ad8
32422 .xword 0xc0f2a2a12d48c968
32423 .xword 0xbe2d5e6085cabdab
32424 .xword 0x9bdf3347209ffd09
32425 .xword 0x00dd4735d4178563
32426 .xword 0xeebbc482beb7fff8
32427 .xword 0xa696c359b28b93ef
32428 .xword 0x8b6fa089bfa03b5a
32429 .xword 0x14d4ce1a05ab0bf0
32430 .xword 0xd246fa964bc930b2
32431 .xword 0xe56f0810ca92806f
32432 .xword 0x6e48b38c33f48b18
32433 .xword 0xf2572f53318567e1
32434 .xword 0xacd7f7390e7237cd
32435 .xword 0xc0542562a6e1739e
32436 .xword 0x45ae55e16a6fa6e6
32437 .xword 0x5f283836a419578f
32438 .xword 0x752321e6a680424e
32439 .xword 0xf3eb53ab97665d1f
32440 .xword 0x3f14768204f85248
32441 .xword 0x8bf33eb8fea6732f
32442 .xword 0x4390da873d107f0d
32443 .xword 0x3b9348fcdaa94ca2
32444 .xword 0x89a3fcde9b245e27
32445 .xword 0xc4e1ed2ec03c5c7a
32446 .xword 0xd9c7f31e2021a220
32447 .xword 0x900be4ca3f38d437
32448 .xword 0xfaf57b73e7ff441f
32449 .xword 0x3ab01f77e865ab1c
32450 .xword 0xb6d0dbb6f1c2e70c
32451 .xword 0xf9fb2afed2e0d117
32452 .xword 0x0dd236ed0aa71f74
32453 .xword 0xd7c663437b8c89f8
32454 .xword 0xf5563c1dd78998b7
32455 .xword 0x10f4c26180717d67
32456 .xword 0xcf7b3f19a2c2dded
32457 .xword 0x13567b29cecaade7
32458 .xword 0xfb581bc77cb8c080
32459 .xword 0x3239f69a6fae76e2
32460 .xword 0xf3d6a59eb4775e00
32461_t1_copy_dest:
32462 .xword 0xDEADBEEFDEADBEEF
32463 .xword 0xDEADBEEFDEADBEEF
32464 .xword 0xDEADBEEFDEADBEEF
32465 .xword 0xDEADBEEFDEADBEEF
32466 .xword 0xDEADBEEFDEADBEEF
32467 .xword 0xDEADBEEFDEADBEEF
32468 .xword 0xDEADBEEFDEADBEEF
32469 .xword 0xDEADBEEFDEADBEEF
32470 .xword 0xDEADBEEFDEADBEEF
32471 .xword 0xDEADBEEFDEADBEEF
32472 .xword 0xDEADBEEFDEADBEEF
32473 .xword 0xDEADBEEFDEADBEEF
32474 .xword 0xDEADBEEFDEADBEEF
32475 .xword 0xDEADBEEFDEADBEEF
32476 .xword 0xDEADBEEFDEADBEEF
32477 .xword 0xDEADBEEFDEADBEEF
32478 .xword 0xDEADBEEFDEADBEEF
32479 .xword 0xDEADBEEFDEADBEEF
32480 .xword 0xDEADBEEFDEADBEEF
32481 .xword 0xDEADBEEFDEADBEEF
32482 .xword 0xDEADBEEFDEADBEEF
32483 .xword 0xDEADBEEFDEADBEEF
32484 .xword 0xDEADBEEFDEADBEEF
32485 .xword 0xDEADBEEFDEADBEEF
32486 .xword 0xDEADBEEFDEADBEEF
32487 .xword 0xDEADBEEFDEADBEEF
32488 .xword 0xDEADBEEFDEADBEEF
32489 .xword 0xDEADBEEFDEADBEEF
32490 .xword 0xDEADBEEFDEADBEEF
32491 .xword 0xDEADBEEFDEADBEEF
32492 .xword 0xDEADBEEFDEADBEEF
32493 .xword 0xDEADBEEFDEADBEEF
32494 .xword 0xDEADBEEFDEADBEEF
32495 .xword 0xDEADBEEFDEADBEEF
32496 .xword 0xDEADBEEFDEADBEEF
32497 .xword 0xDEADBEEFDEADBEEF
32498 .xword 0xDEADBEEFDEADBEEF
32499 .xword 0xDEADBEEFDEADBEEF
32500 .xword 0xDEADBEEFDEADBEEF
32501 .xword 0xDEADBEEFDEADBEEF
32502 .xword 0xDEADBEEFDEADBEEF
32503 .xword 0xDEADBEEFDEADBEEF
32504 .xword 0xDEADBEEFDEADBEEF
32505 .xword 0xDEADBEEFDEADBEEF
32506 .xword 0xDEADBEEFDEADBEEF
32507 .xword 0xDEADBEEFDEADBEEF
32508 .xword 0xDEADBEEFDEADBEEF
32509 .xword 0xDEADBEEFDEADBEEF
32510 .xword 0xDEADBEEFDEADBEEF
32511 .xword 0xDEADBEEFDEADBEEF
32512 .xword 0xDEADBEEFDEADBEEF
32513 .xword 0xDEADBEEFDEADBEEF
32514 .xword 0xDEADBEEFDEADBEEF
32515 .xword 0xDEADBEEFDEADBEEF
32516 .xword 0xDEADBEEFDEADBEEF
32517 .xword 0xDEADBEEFDEADBEEF
32518 .xword 0xDEADBEEFDEADBEEF
32519 .xword 0xDEADBEEFDEADBEEF
32520 .xword 0xDEADBEEFDEADBEEF
32521 .xword 0xDEADBEEFDEADBEEF
32522 .xword 0xDEADBEEFDEADBEEF
32523 .xword 0xDEADBEEFDEADBEEF
32524 .xword 0xDEADBEEFDEADBEEF
32525 .xword 0xDEADBEEFDEADBEEF
32526 .xword 0xDEADBEEFDEADBEEF
32527 .xword 0xDEADBEEFDEADBEEF
32528 .xword 0xDEADBEEFDEADBEEF
32529 .xword 0xDEADBEEFDEADBEEF
32530 .xword 0xDEADBEEFDEADBEEF
32531 .xword 0xDEADBEEFDEADBEEF
32532 .xword 0xDEADBEEFDEADBEEF
32533 .xword 0xDEADBEEFDEADBEEF
32534 .xword 0xDEADBEEFDEADBEEF
32535 .xword 0xDEADBEEFDEADBEEF
32536 .xword 0xDEADBEEFDEADBEEF
32537 .xword 0xDEADBEEFDEADBEEF
32538 .xword 0xDEADBEEFDEADBEEF
32539 .xword 0xDEADBEEFDEADBEEF
32540 .xword 0xDEADBEEFDEADBEEF
32541 .xword 0xDEADBEEFDEADBEEF
32542 .xword 0xDEADBEEFDEADBEEF
32543 .xword 0xDEADBEEFDEADBEEF
32544 .xword 0xDEADBEEFDEADBEEF
32545 .xword 0xDEADBEEFDEADBEEF
32546 .xword 0xDEADBEEFDEADBEEF
32547 .xword 0xDEADBEEFDEADBEEF
32548 .xword 0xDEADBEEFDEADBEEF
32549 .xword 0xDEADBEEFDEADBEEF
32550 .xword 0xDEADBEEFDEADBEEF
32551 .xword 0xDEADBEEFDEADBEEF
32552 .xword 0xDEADBEEFDEADBEEF
32553 .xword 0xDEADBEEFDEADBEEF
32554 .xword 0xDEADBEEFDEADBEEF
32555 .xword 0xDEADBEEFDEADBEEF
32556 .xword 0xDEADBEEFDEADBEEF
32557 .xword 0xDEADBEEFDEADBEEF
32558 .xword 0xDEADBEEFDEADBEEF
32559 .xword 0xDEADBEEFDEADBEEF
32560 .xword 0xDEADBEEFDEADBEEF
32561 .xword 0xDEADBEEFDEADBEEF
32562 .xword 0xDEADBEEFDEADBEEF
32563 .xword 0xDEADBEEFDEADBEEF
32564 .xword 0xDEADBEEFDEADBEEF
32565 .xword 0xDEADBEEFDEADBEEF
32566 .xword 0xDEADBEEFDEADBEEF
32567 .xword 0xDEADBEEFDEADBEEF
32568 .xword 0xDEADBEEFDEADBEEF
32569 .xword 0xDEADBEEFDEADBEEF
32570 .xword 0xDEADBEEFDEADBEEF
32571 .xword 0xDEADBEEFDEADBEEF
32572 .xword 0xDEADBEEFDEADBEEF
32573 .xword 0xDEADBEEFDEADBEEF
32574 .xword 0xDEADBEEFDEADBEEF
32575 .xword 0xDEADBEEFDEADBEEF
32576 .xword 0xDEADBEEFDEADBEEF
32577 .xword 0xDEADBEEFDEADBEEF
32578 .xword 0xDEADBEEFDEADBEEF
32579 .xword 0xDEADBEEFDEADBEEF
32580 .xword 0xDEADBEEFDEADBEEF
32581 .xword 0xDEADBEEFDEADBEEF
32582 .xword 0xDEADBEEFDEADBEEF
32583 .xword 0xDEADBEEFDEADBEEF
32584 .xword 0xDEADBEEFDEADBEEF
32585 .xword 0xDEADBEEFDEADBEEF
32586 .xword 0xDEADBEEFDEADBEEF
32587 .xword 0xDEADBEEFDEADBEEF
32588 .xword 0xDEADBEEFDEADBEEF
32589 .xword 0xDEADBEEFDEADBEEF
32590 .xword 0xDEADBEEFDEADBEEF
32591 .xword 0xDEADBEEFDEADBEEF
32592 .xword 0xDEADBEEFDEADBEEF
32593 .xword 0xDEADBEEFDEADBEEF
32594 .xword 0xDEADBEEFDEADBEEF
32595 .xword 0xDEADBEEFDEADBEEF
32596 .xword 0xDEADBEEFDEADBEEF
32597 .xword 0xDEADBEEFDEADBEEF
32598 .xword 0xDEADBEEFDEADBEEF
32599 .xword 0xDEADBEEFDEADBEEF
32600 .xword 0xDEADBEEFDEADBEEF
32601 .xword 0xDEADBEEFDEADBEEF
32602 .xword 0xDEADBEEFDEADBEEF
32603 .xword 0xDEADBEEFDEADBEEF
32604 .xword 0xDEADBEEFDEADBEEF
32605 .xword 0xDEADBEEFDEADBEEF
32606 .xword 0xDEADBEEFDEADBEEF
32607 .xword 0xDEADBEEFDEADBEEF
32608 .xword 0xDEADBEEFDEADBEEF
32609 .xword 0xDEADBEEFDEADBEEF
32610 .xword 0xDEADBEEFDEADBEEF
32611 .xword 0xDEADBEEFDEADBEEF
32612 .xword 0xDEADBEEFDEADBEEF
32613 .xword 0xDEADBEEFDEADBEEF
32614 .xword 0xDEADBEEFDEADBEEF
32615 .xword 0xDEADBEEFDEADBEEF
32616 .xword 0xDEADBEEFDEADBEEF
32617 .xword 0xDEADBEEFDEADBEEF
32618 .xword 0xDEADBEEFDEADBEEF
32619 .xword 0xDEADBEEFDEADBEEF
32620 .xword 0xDEADBEEFDEADBEEF
32621 .xword 0xDEADBEEFDEADBEEF
32622 .xword 0xDEADBEEFDEADBEEF
32623 .xword 0xDEADBEEFDEADBEEF
32624 .xword 0xDEADBEEFDEADBEEF
32625 .xword 0xDEADBEEFDEADBEEF
32626 .xword 0xDEADBEEFDEADBEEF
32627 .xword 0xDEADBEEFDEADBEEF
32628 .xword 0xDEADBEEFDEADBEEF
32629 .xword 0xDEADBEEFDEADBEEF
32630 .xword 0xDEADBEEFDEADBEEF
32631 .xword 0xDEADBEEFDEADBEEF
32632 .xword 0xDEADBEEFDEADBEEF
32633 .xword 0xDEADBEEFDEADBEEF
32634 .xword 0xDEADBEEFDEADBEEF
32635 .xword 0xDEADBEEFDEADBEEF
32636 .xword 0xDEADBEEFDEADBEEF
32637 .xword 0xDEADBEEFDEADBEEF
32638 .xword 0xDEADBEEFDEADBEEF
32639 .xword 0xDEADBEEFDEADBEEF
32640 .xword 0xDEADBEEFDEADBEEF
32641 .xword 0xDEADBEEFDEADBEEF
32642 .xword 0xDEADBEEFDEADBEEF
32643 .xword 0xDEADBEEFDEADBEEF
32644 .xword 0xDEADBEEFDEADBEEF
32645 .xword 0xDEADBEEFDEADBEEF
32646 .xword 0xDEADBEEFDEADBEEF
32647 .xword 0xDEADBEEFDEADBEEF
32648 .xword 0xDEADBEEFDEADBEEF
32649 .xword 0xDEADBEEFDEADBEEF
32650 .xword 0xDEADBEEFDEADBEEF
32651 .xword 0xDEADBEEFDEADBEEF
32652 .xword 0xDEADBEEFDEADBEEF
32653 .xword 0xDEADBEEFDEADBEEF
32654 .xword 0xDEADBEEFDEADBEEF
32655 .xword 0xDEADBEEFDEADBEEF
32656 .xword 0xDEADBEEFDEADBEEF
32657 .xword 0xDEADBEEFDEADBEEF
32658 .xword 0xDEADBEEFDEADBEEF
32659 .xword 0xDEADBEEFDEADBEEF
32660 .xword 0xDEADBEEFDEADBEEF
32661 .xword 0xDEADBEEFDEADBEEF
32662 .xword 0xDEADBEEFDEADBEEF
32663 .xword 0xDEADBEEFDEADBEEF
32664 .xword 0xDEADBEEFDEADBEEF
32665 .xword 0xDEADBEEFDEADBEEF
32666 .xword 0xDEADBEEFDEADBEEF
32667 .xword 0xDEADBEEFDEADBEEF
32668 .xword 0xDEADBEEFDEADBEEF
32669 .xword 0xDEADBEEFDEADBEEF
32670 .xword 0xDEADBEEFDEADBEEF
32671 .xword 0xDEADBEEFDEADBEEF
32672 .xword 0xDEADBEEFDEADBEEF
32673 .xword 0xDEADBEEFDEADBEEF
32674 .xword 0xDEADBEEFDEADBEEF
32675 .xword 0xDEADBEEFDEADBEEF
32676 .xword 0xDEADBEEFDEADBEEF
32677 .xword 0xDEADBEEFDEADBEEF
32678 .xword 0xDEADBEEFDEADBEEF
32679 .xword 0xDEADBEEFDEADBEEF
32680 .xword 0xDEADBEEFDEADBEEF
32681 .xword 0xDEADBEEFDEADBEEF
32682 .xword 0xDEADBEEFDEADBEEF
32683 .xword 0xDEADBEEFDEADBEEF
32684 .xword 0xDEADBEEFDEADBEEF
32685 .xword 0xDEADBEEFDEADBEEF
32686 .xword 0xDEADBEEFDEADBEEF
32687 .xword 0xDEADBEEFDEADBEEF
32688 .xword 0xDEADBEEFDEADBEEF
32689 .xword 0xDEADBEEFDEADBEEF
32690 .xword 0xDEADBEEFDEADBEEF
32691 .xword 0xDEADBEEFDEADBEEF
32692 .xword 0xDEADBEEFDEADBEEF
32693 .xword 0xDEADBEEFDEADBEEF
32694 .xword 0xDEADBEEFDEADBEEF
32695 .xword 0xDEADBEEFDEADBEEF
32696 .xword 0xDEADBEEFDEADBEEF
32697 .xword 0xDEADBEEFDEADBEEF
32698 .xword 0xDEADBEEFDEADBEEF
32699 .xword 0xDEADBEEFDEADBEEF
32700 .xword 0xDEADBEEFDEADBEEF
32701 .xword 0xDEADBEEFDEADBEEF
32702 .xword 0xDEADBEEFDEADBEEF
32703 .xword 0xDEADBEEFDEADBEEF
32704 .xword 0xDEADBEEFDEADBEEF
32705 .xword 0xDEADBEEFDEADBEEF
32706 .xword 0xDEADBEEFDEADBEEF
32707 .xword 0xDEADBEEFDEADBEEF
32708 .xword 0xDEADBEEFDEADBEEF
32709 .xword 0xDEADBEEFDEADBEEF
32710 .xword 0xDEADBEEFDEADBEEF
32711 .xword 0xDEADBEEFDEADBEEF
32712 .xword 0xDEADBEEFDEADBEEF
32713 .xword 0xDEADBEEFDEADBEEF
32714 .xword 0xDEADBEEFDEADBEEF
32715 .xword 0xDEADBEEFDEADBEEF
32716 .xword 0xDEADBEEFDEADBEEF
32717 .xword 0xDEADBEEFDEADBEEF
32718 .xword 0xDEADBEEFDEADBEEF
32719 .xword 0xDEADBEEFDEADBEEF
32720 .xword 0xDEADBEEFDEADBEEF
32721 .xword 0xDEADBEEFDEADBEEF
32722 .xword 0xDEADBEEFDEADBEEF
32723 .xword 0xDEADBEEFDEADBEEF
32724 .xword 0xDEADBEEFDEADBEEF
32725 .xword 0xDEADBEEFDEADBEEF
32726 .xword 0xDEADBEEFDEADBEEF
32727 .xword 0xDEADBEEFDEADBEEF
32728 .xword 0xDEADBEEFDEADBEEF
32729 .xword 0xDEADBEEFDEADBEEF
32730 .xword 0xDEADBEEFDEADBEEF
32731 .xword 0xDEADBEEFDEADBEEF
32732 .xword 0xDEADBEEFDEADBEEF
32733 .xword 0xDEADBEEFDEADBEEF
32734 .xword 0xDEADBEEFDEADBEEF
32735 .xword 0xDEADBEEFDEADBEEF
32736 .xword 0xDEADBEEFDEADBEEF
32737_t1_copy_auth_key:
32738 .xword 0x89b1e4ee689c5916
32739 .xword 0xa9daa35b8f5b941f
32740 .xword 0xc2581c6e4c134705
32741 .xword 0x9263a51d710893b0
32742 .xword 0x039924e15aacc2d2
32743 .xword 0xd673e9d6c9801cab
32744 .xword 0xee93667a5e03bcf5
32745 .xword 0x2834e47bcf8a31de
32746 .xword 0x904a99987a55138b
32747 .xword 0x5c7fa388102bee15
32748 .xword 0x4b26ed21ad23a69b
32749 .xword 0xc34111860703a995
32750 .xword 0x9a72259a6df8592d
32751 .xword 0x1db2e6ad6445039f
32752 .xword 0x0b86bbb06f145d40
32753 .xword 0x619183f84b85402d
32754 .xword 0x97bad6e1e50ffb5b
32755 .xword 0x9fdc8a0da3a4dda3
32756 .xword 0x2be2b283424e5dc4
32757 .xword 0xc3474ce78a3ff073
32758 .xword 0xb9445d95aba23193
32759 .xword 0xe1359247782cbfac
32760 .xword 0x5df380a62aafc878
32761_t1_copy_auth_iv:
32762 .xword 0x4395fea61de4f1eb
32763 .xword 0x2199f5b80599b91f
32764 .xword 0x51472b03fe758c6c
32765 .xword 0x8283709ec6675273
32766 .xword 0xfed18e67e19abb45
32767 .xword 0xe906d24fced58955
32768 .xword 0x365c5b3cb7ba5793
32769 .xword 0xfd8a3ec14f768295
32770 .xword 0x3e9a9f38de3e44ba
32771 .xword 0xdb67644c1c955947
32772 .xword 0x3e26fdc7c40e7730
32773 .xword 0x2cd5d7c8c9e942ec
32774 .xword 0x23eff44cb9b437b3
32775 .xword 0x5b261daccafbac45
32776 .xword 0xe31c529b5f1c9831
32777 .xword 0x8bb9f47fea8b968d
32778 .xword 0x6dd9f11fd7302841
32779 .xword 0x5611eaa568df9472
32780 .xword 0xeb718498aa4b0c06
32781 .xword 0x0f16498d0c9d132c
32782 .xword 0xbdb1b095a3325f0b
32783 .xword 0x064ff6173ec619b8
32784 .xword 0x1a4cede14a4e7ada
32785_t1_copy_fas_result:
32786 .xword 0xDEADBEEFDEADBEEF
32787 .xword 0xDEADBEEFDEADBEEF
32788 .xword 0xDEADBEEFDEADBEEF
32789 .xword 0xDEADBEEFDEADBEEF
32790 .xword 0xDEADBEEFDEADBEEF
32791 .xword 0xDEADBEEFDEADBEEF
32792 .xword 0xDEADBEEFDEADBEEF
32793 .xword 0xDEADBEEFDEADBEEF
32794 .xword 0xDEADBEEFDEADBEEF
32795 .xword 0xDEADBEEFDEADBEEF
32796 .xword 0xDEADBEEFDEADBEEF
32797 .xword 0xDEADBEEFDEADBEEF
32798 .xword 0xDEADBEEFDEADBEEF
32799 .xword 0xDEADBEEFDEADBEEF
32800 .xword 0xDEADBEEFDEADBEEF
32801 .xword 0xDEADBEEFDEADBEEF
32802 .xword 0xDEADBEEFDEADBEEF
32803 .xword 0xDEADBEEFDEADBEEF
32804 .xword 0xDEADBEEFDEADBEEF
32805 .xword 0xDEADBEEFDEADBEEF
32806 .xword 0xDEADBEEFDEADBEEF
32807 .xword 0xDEADBEEFDEADBEEF
32808 .xword 0xDEADBEEFDEADBEEF
32809_t1_crc_key_array:
32810 .xword 0xa8fcad6d5469866e
32811 .xword 0x91b6dfb7fa6fcb4c
32812 .xword 0xc72a1961a91af578
32813 .xword 0x508ae1fb1e9d45f0
32814 .xword 0x1d79356541fd1182
32815 .xword 0x452be837a42c63f2
32816 .xword 0xb01d9da7513d84b0
32817 .xword 0xc2e7e81be0568421
32818 .xword 0x9ea360ca15957842
32819 .xword 0x7d6c7141e1098b0e
32820 .xword 0x7e74d16bdbed9e48
32821 .xword 0x97f758240c02a788
32822 .xword 0xc699aa954757ea7c
32823 .xword 0x2ac094cdba7f0b50
32824 .xword 0x4b3bed0cbbca5ed3
32825 .xword 0x425101879e402f5a
32826 .xword 0x19ef761895c39cdf
32827 .xword 0x402d05b517c0188e
32828 .xword 0x108d852f5dbbec28
32829 .xword 0x912bcad585f8ad3c
32830 .xword 0xbc5a23601257361c
32831 .xword 0x54dd1b249a40d5a9
32832 .xword 0xbf67d99c9bd15c63
32833 .xword 0x1f8cb1e9a9e9f1ee
32834 .xword 0xd206b27efc18c8e3
32835 .xword 0xa677c699610d1197
32836 .xword 0x89545eb711b42646
32837 .xword 0xe7c7e26b6e19af09
32838 .xword 0x44e19e41c55bd244
32839 .xword 0x8e7bee245c15489e
32840 .xword 0x003342de4b167685
32841 .xword 0x91c094fece66a6e7
32842 .xword 0x16baf2adf303fcd8
32843 .xword 0x24e7237a17a8143c
32844 .xword 0x20cf2562854fcdb4
32845 .xword 0x0ffccb0725f8631d
32846 .xword 0x8e650c68d0c354a2
32847 .xword 0x3b10f7925337e06f
32848 .xword 0x306fcd48b39b2c09
32849 .xword 0xfc0e885eb0026d3a
32850 .xword 0xc0dc3b731d05986d
32851 .xword 0x3f22119dc63b8e32
32852 .xword 0x0449b6efe1b7a482
32853 .xword 0x3921ba8e399fa616
32854 .xword 0x55e6b78bc4b5f79e
32855 .xword 0x8416ace3989d021a
32856 .xword 0x7aa17a49d6fad979
32857 .xword 0x8098df91a7a37a15
32858 .xword 0x28e4299e6867a01b
32859 .xword 0x22eee9276cfb9c12
32860 .xword 0x604cc2a7aba9df12
32861_t1_crc_iv_array:
32862 .xword 0x1a3e178ca304ad33
32863 .xword 0xca21148b0b59f54a
32864 .xword 0x253d273bab3e1953
32865 .xword 0xf7d3b844b3792e22
32866 .xword 0x5f20ee7b6955da0a
32867 .xword 0xecb888df95c8a3a3
32868 .xword 0x208c5b2393b24785
32869 .xword 0x7b9f2b9b46a35049
32870 .xword 0x13cbb8a388fe2ff9
32871 .xword 0x0a1295e6a63b135a
32872 .xword 0xbd04ec5cbc0ce633
32873 .xword 0x29b6a8adb8691c5e
32874 .xword 0xd68eaa2dc6c0586a
32875 .xword 0x2340eaef2a9a74cf
32876 .xword 0x78a5cfb37a86936b
32877 .xword 0xce841e2f0852b3a6
32878 .xword 0x124e1528bdd8abbc
32879 .xword 0xbbf556564008999a
32880 .xword 0xdf5d6ea9d71ada1b
32881 .xword 0xde76e66dd330fb6c
32882 .xword 0x4cd60ca78e7a31a3
32883 .xword 0x317e4efaa81e7cbd
32884 .xword 0xcf270a6b4bd06d25
32885 .xword 0xf3abe9c0251df3b9
32886 .xword 0xef9113a64137b204
32887 .xword 0x563b5c1600321af1
32888 .xword 0xcc5e4a238a0cb550
32889 .xword 0x44f8a59f7782415b
32890 .xword 0xb09a36179e608dbe
32891 .xword 0x23e07293120053f8
32892 .xword 0x7083e55e005bd272
32893 .xword 0x97c7d4d7f7a0d174
32894 .xword 0x1a284550a969b6cd
32895 .xword 0x36f5171e3a46ef2b
32896 .xword 0xa91d4e7e894678c6
32897 .xword 0xb7e696061b56a9be
32898 .xword 0x1dfa37ade68037c4
32899 .xword 0x3e8ed8e1740f5024
32900 .xword 0x8df350a69447d379
32901 .xword 0xfd05eec5f481c5aa
32902 .xword 0xcf8e8017ba5d533b
32903 .xword 0xcbcaba0e014a40a7
32904 .xword 0x7f9c91d9f7e555c5
32905 .xword 0x5f70778cc7b924e2
32906 .xword 0xaee056f73211fb9f
32907_t1_crc_alignment_array:
32908 .xword 1
32909 .xword 0
32910 .xword 5
32911 .xword 15
32912 .xword 9
32913 .xword 14
32914 .xword 5
32915 .xword 13
32916 .xword 6
32917 .xword 1
32918 .xword 1
32919 .xword 13
32920 .xword 7
32921 .xword 1
32922 .xword 3
32923 .xword 2
32924 .xword 1
32925 .xword 12
32926 .xword 10
32927 .xword 10
32928 .xword 8
32929 .xword 9
32930 .xword 14
32931 .xword 7
32932 .xword 11
32933 .xword 4
32934 .xword 4
32935 .xword 15
32936 .xword 11
32937 .xword 2
32938 .xword 9
32939 .xword 11
32940 .xword 13
32941 .xword 4
32942 .xword 11
32943 .xword 14
32944 .xword 2
32945 .xword 3
32946 .xword 4
32947 .xword 13
32948 .xword 0
32949 .xword 1
32950 .xword 4
32951 .xword 10
32952 .xword 9
32953 .xword 8
32954 .xword 4
32955 .xword 11
32956 .xword 1
32957 .xword 6
32958 .xword 1
32959 .xword 15
32960 .xword 7
32961 .xword 2
32962 .xword 12
32963 .xword 8
32964 .xword 12
32965 .xword 13
32966 .xword 13
32967 .xword 14
32968 .xword 1
32969 .xword 10
32970 .xword 8
32971 .xword 12
32972 .xword 2
32973 .xword 2
32974 .xword 14
32975 .xword 6
32976 .xword 2
32977 .xword 14
32978 .xword 11
32979 .xword 11
32980 .xword 5
32981 .xword 2
32982 .xword 1
32983 .xword 10
32984 .xword 13
32985 .xword 13
32986 .xword 14
32987 .xword 0
32988 .xword 0
32989 .xword 2
32990 .xword 13
32991 .xword 8
32992 .xword 9
32993 .xword 10
32994 .xword 14
32995 .xword 5
32996 .xword 9
32997 .xword 11
32998 .xword 13
32999 .xword 8
33000 .xword 1
33001 .xword 6
33002 .xword 1
33003 .xword 3
33004 .xword 10
33005 .xword 13
33006 .xword 12
33007 .xword 13
33008 .xword 7
33009 .xword 15
33010 .xword 4
33011 .xword 15
33012 .xword 0
33013_t1_crc_src:
33014 .xword 0x00d46ea53e9b251d
33015 .xword 0xa741e6a4f27cbc7f
33016 .xword 0x9bde8a655611e47f
33017 .xword 0x764b89b37543f0a3
33018 .xword 0x1b53257a54d4e39c
33019 .xword 0xf018a241da55d076
33020 .xword 0x261bf68132bb3507
33021 .xword 0xdcc86f3b3a9eb9f3
33022 .xword 0xf7e31855b7223af4
33023 .xword 0x095da494d7559079
33024 .xword 0x2a3826361c7dcbcf
33025 .xword 0x77e2ab8921a9e7bd
33026 .xword 0x4ac74b56f97d3287
33027 .xword 0x4fd9f22340031f62
33028 .xword 0xdbebdcd025c87888
33029 .xword 0xa129ec900ef0b78c
33030 .xword 0x6adf00d7dea0cd30
33031 .xword 0xa10b9a0df8d82f47
33032 .xword 0x341a616ed4e4cc30
33033 .xword 0xe6166ab9451fba1a
33034 .xword 0x5369862d828e99e0
33035 .xword 0x688cee802c6d462a
33036 .xword 0x0c679a2d4dd5c452
33037 .xword 0x96a783165d182741
33038 .xword 0x10930de87c5cbb17
33039 .xword 0x02e950f69da818a2
33040 .xword 0x7097c1af33ae636e
33041 .xword 0x35e54ec600e472d4
33042 .xword 0xb176b69d6e3e72e0
33043 .xword 0xf2138bfae8984931
33044 .xword 0xbbf0a8a5307c76bb
33045 .xword 0xe2830f5aa6dd6f3a
33046 .xword 0xfa3a6e07a465f222
33047 .xword 0xba193ca8bcccb6ae
33048 .xword 0x1395c9f4072726ea
33049 .xword 0x7f6c6464391c7361
33050 .xword 0xb060835810495874
33051 .xword 0xcbf4daf26286f483
33052 .xword 0x53ad70c980cbf1be
33053 .xword 0x09d4d476c8afdbd8
33054 .xword 0x26e32e6c97014af9
33055 .xword 0xe953578f1598f395
33056 .xword 0x59a797f0e8061676
33057 .xword 0x4288c2d90b3907a6
33058 .xword 0x8ec9919b98f6fb9d
33059 .xword 0x214fa2b32c6a0885
33060 .xword 0x423743219be0c541
33061 .xword 0x2af6a67c8879f951
33062 .xword 0xe745b8c795cd8c40
33063 .xword 0xf4543bb8ac42579e
33064 .xword 0x953a7a8054545f8e
33065 .xword 0xb57d4a2ed5fe8151
33066 .xword 0x2cc0691b11f9aa4e
33067 .xword 0x48253cb595537798
33068 .xword 0xd759e0b0aefda4c9
33069 .xword 0x9ff5fc7327e444b1
33070 .xword 0xa34909020aba6545
33071 .xword 0x017d48788a9886d6
33072 .xword 0x8d537d73db5f4f75
33073 .xword 0x18b0731044828279
33074 .xword 0x96ac872619d9b4bd
33075 .xword 0x1f01059225033f36
33076 .xword 0xcbf3535a5eb307a0
33077 .xword 0x5b6780a98362ffea
33078 .xword 0xc8a3748719c61145
33079 .xword 0xa129af36258ec4e2
33080 .xword 0x5449afa7f54b0aa4
33081 .xword 0x945a420ef30ecc9a
33082 .xword 0x6cc0bdffe3fab6fb
33083 .xword 0x2ba5b2e02a78d182
33084 .xword 0xf30ead3ad0614d21
33085 .xword 0x06658752f28fe6f5
33086 .xword 0x08b208cd7fed26b2
33087 .xword 0xfbb221dd1a7d2c54
33088 .xword 0x816256f51d26d6fe
33089 .xword 0xabb07e17c3a3b54d
33090 .xword 0xa25d84b313106de6
33091 .xword 0xdef2f6af3344053d
33092 .xword 0xe66cb202a93a4da3
33093 .xword 0x6bae004ef739d529
33094 .xword 0xbc48981999db0183
33095 .xword 0xaa19c49212c67b5e
33096 .xword 0xd10ce19f99d56ca9
33097 .xword 0xca4d50782cf73f01
33098 .xword 0x59a6524fc9179c9a
33099 .xword 0xa5dc5b889337c353
33100 .xword 0xc9d876006f14bb3d
33101 .xword 0xc61906c870e83f71
33102 .xword 0x1c7baccbe0aa21fd
33103 .xword 0x5a3aac78b90d9b71
33104 .xword 0xa4894eb60650cbe5
33105 .xword 0x1995a205b60ee7ef
33106 .xword 0xe76522ed4204b56c
33107 .xword 0xdef2b3da851aa87b
33108 .xword 0x6143b26699447899
33109 .xword 0xe0a6ea1eab80d269
33110 .xword 0x1b10e9262386ad9d
33111 .xword 0xd1793522f25d874c
33112 .xword 0xefd9f90d384d4630
33113 .xword 0x0d878bed80d17271
33114 .xword 0x6130e1cf22243f76
33115 .xword 0x81b53d174123e891
33116 .xword 0xbb1fb0a8aaf170cb
33117 .xword 0xf8f1a04d5840c80c
33118 .xword 0xc47383f490279e82
33119 .xword 0xd85625420c61b194
33120 .xword 0x459f5587bf6236a6
33121 .xword 0x56c6711c090e1473
33122 .xword 0x032419849c54f7a1
33123 .xword 0xd6f9482f5dd4a08f
33124 .xword 0x8c19ac0727df010c
33125 .xword 0xeb68a84f053692c0
33126 .xword 0xbd60ab86f782a8e3
33127 .xword 0xd00b4ce9a018f46d
33128 .xword 0x9e07f1950029f99c
33129 .xword 0x088b72661df8c278
33130 .xword 0x3ad40d91e8601ad2
33131 .xword 0xc4a6940543ba5eb0
33132 .xword 0xb38cb24ad4a8f8e9
33133 .xword 0x58b611bb31a62f46
33134 .xword 0x028735796e7648d7
33135 .xword 0x8b64cedf67952351
33136 .xword 0x67249067a02f06c5
33137 .xword 0xf0df80db117d1af5
33138 .xword 0x2da156e52706a290
33139 .xword 0x4693b0ab1163cf38
33140 .xword 0x691f0f031568cc7b
33141 .xword 0x5fb9f0750e0bfbd8
33142 .xword 0x87b064ece9595b36
33143 .xword 0x2ffac7fcf87c9df5
33144 .xword 0xfb401821c329bf37
33145 .xword 0x7565ec6f1847a1cf
33146 .xword 0xb4759f196aed39d9
33147 .xword 0xf2e71aa80cb29d66
33148 .xword 0x5f91f2fb5f61d961
33149 .xword 0x096369b1feaaa5a7
33150 .xword 0x09c21291f420c185
33151 .xword 0xe1a9a676b795ad27
33152 .xword 0x8c1cceda650bfe8d
33153 .xword 0xf5c0bf292c4da086
33154 .xword 0x72579e85d90827fd
33155 .xword 0xe241f26504f441a1
33156 .xword 0x31da0de56a7d5622
33157 .xword 0x5df3d37c668fede2
33158 .xword 0x324ef073af249a52
33159 .xword 0x7e62e44844b2ba2e
33160 .xword 0x21ec86a5aab0171a
33161 .xword 0xf81deb81af170200
33162 .xword 0xed4526463e62defb
33163 .xword 0xf009c45d3eec978d
33164 .xword 0x09f0b8633f04dc1b
33165 .xword 0x146240423034ad78
33166 .xword 0x49ac4f64df437062
33167 .xword 0xd765f942f81e9933
33168 .xword 0xd3704c180c4adbbf
33169 .xword 0xb4993215841655da
33170 .xword 0xc9804906576e73de
33171 .xword 0x20da0065675ce78a
33172 .xword 0x6dd674d2149842ac
33173 .xword 0xdd285038d3dac349
33174 .xword 0xdaec53efa54f1a59
33175 .xword 0xb6d0d677ea892b73
33176 .xword 0x3b4086a3bdc44734
33177 .xword 0x742ec47c0813205f
33178 .xword 0xa676fb3158865a7f
33179 .xword 0x73c16691cb73cd9a
33180 .xword 0xf254c09924c14640
33181 .xword 0xc604272826307d39
33182 .xword 0x1e96a0d7141a9330
33183 .xword 0x6195792bb560a7da
33184 .xword 0xaba1cd3ef6c83a46
33185 .xword 0x2d55385adb6ddb08
33186 .xword 0xbd75c02552a60912
33187 .xword 0x0d69f455be1c5fa9
33188 .xword 0x32fde825727b4863
33189 .xword 0xe612b9c134793679
33190 .xword 0xfceb719f607a947d
33191 .xword 0x7e104ee5a0cd74d8
33192 .xword 0x00b2d5dae91d212c
33193 .xword 0xe51112fe3caf10c8
33194 .xword 0xb239fa154ca1312a
33195 .xword 0x98395505646b4a32
33196 .xword 0xbf5850dd25d19859
33197 .xword 0xa52de034235c2c64
33198 .xword 0x8d9ed7065df9ad12
33199 .xword 0x632ca7fb2214dfe0
33200 .xword 0x606bdc76400f671c
33201 .xword 0xfb474c257817f01f
33202 .xword 0xdb7af30d074eab58
33203 .xword 0x25a7c12b95bf6d90
33204 .xword 0x68a01f613bcd1cce
33205 .xword 0xfed63971acfd43cf
33206 .xword 0xb9cc9649c2fa65ef
33207 .xword 0xc438000697eeb378
33208 .xword 0x0ef6932456ee5050
33209 .xword 0x535a6dbd12a8afe8
33210 .xword 0xe6ed5c67f348c401
33211 .xword 0xa5b5c60baf77a162
33212 .xword 0xe29d0304f87a4d5a
33213 .xword 0x991b3eb7eb98d3da
33214 .xword 0x08914a2fe74a6225
33215 .xword 0xeb14f283b493b586
33216 .xword 0xe4296d793aa1e2fd
33217 .xword 0x0e130467fa966de8
33218 .xword 0x4799f35a6e140c86
33219 .xword 0x325413616d03f656
33220 .xword 0xccd7930fbb5a091e
33221 .xword 0x319159a5aa32a219
33222 .xword 0x62c3305f3a725e80
33223 .xword 0xf89cd81df1985e0f
33224 .xword 0xd3355e49e8a0deac
33225 .xword 0xb5dd1d43d333a042
33226 .xword 0xfcc565e1f472f833
33227 .xword 0x7370a2e6f9358064
33228 .xword 0xfc6bd908755503da
33229 .xword 0x52afb19443c08d3d
33230 .xword 0xa51c7e664fe442dc
33231 .xword 0x854fda19537ca552
33232 .xword 0x46da5a2a4889722b
33233 .xword 0xad9ba84564bc1811
33234 .xword 0xe43befb04c3decc9
33235 .xword 0xb5e68c039c59c342
33236 .xword 0xcd384a8580abc6ad
33237 .xword 0x0cdca9a3a644130b
33238 .xword 0xdd34bf62dc3d96a1
33239 .xword 0x3a9ce26947912273
33240 .xword 0x80cb3a65eaa56904
33241 .xword 0x770c1c5824004240
33242 .xword 0xfefe546cb2fe288c
33243 .xword 0xf2b18409e30ea7f8
33244 .xword 0x0bc9580c4b01dfc9
33245 .xword 0x985cbe42de179b38
33246 .xword 0x48f7f3714008d665
33247 .xword 0xddbaa6d71e740419
33248 .xword 0xd963d21918c9e2c2
33249 .xword 0xb9062c8126c193bb
33250 .xword 0x279b779b7bdc0a69
33251 .xword 0xda1fae540a267b61
33252 .xword 0x814e890dd1357d0e
33253 .xword 0x37db58e22940580a
33254 .xword 0xd74d2d992cdf231b
33255 .xword 0x7612eb4c25ead44b
33256 .xword 0x361d6ceff0675ef5
33257 .xword 0xbe7af971081fef21
33258 .xword 0x536d355e0ace92a2
33259 .xword 0xa44e0849037b5cd8
33260 .xword 0x872caff985adc7da
33261 .xword 0xe37ba87d6043975a
33262 .xword 0x2528cf2d71d736ba
33263 .xword 0x308c1bb4bd3f284c
33264 .xword 0x82a75faf2e4fcc73
33265 .xword 0x18ede7e8fc207edb
33266 .xword 0xe21c677d4caa776d
33267 .xword 0x6d82d6cd4230be4d
33268 .xword 0xa36edb65b0c4c00e
33269 .xword 0x6a6f4c566b40cfeb
33270 .xword 0xc2a67fbb6410e8c0
33271 .xword 0x56368c2b26fe851b
33272 .xword 0x5c8da7830ce1fb64
33273 .xword 0x69ddb81aa8228223
33274 .xword 0xca2b53fe1254dff2
33275 .xword 0x371442c65ed5a304
33276 .xword 0xa916c76178d630d7
33277 .xword 0x02f798034eebcff9
33278 .xword 0xe3e3f946250066a4
33279 .xword 0xd6df3370eddfcc9a
33280 .xword 0x961f36a283a1b14b
33281 .xword 0x9d97a730f435f047
33282 .xword 0x31c945cf13b62189
33283 .xword 0x03a210d032546fff
33284 .xword 0xfa36f2608eb8e859
33285 .xword 0xb4efa560198d0e28
33286 .xword 0xf2b157acbd30a21c
33287 .xword 0x25bd70194ae8a619
33288 .xword 0x622a8db5f6318399
33289_t1_crc_dest:
33290 .xword 0xDEADBEEFDEADBEEF
33291 .xword 0xDEADBEEFDEADBEEF
33292 .xword 0xDEADBEEFDEADBEEF
33293 .xword 0xDEADBEEFDEADBEEF
33294 .xword 0xDEADBEEFDEADBEEF
33295 .xword 0xDEADBEEFDEADBEEF
33296 .xword 0xDEADBEEFDEADBEEF
33297 .xword 0xDEADBEEFDEADBEEF
33298 .xword 0xDEADBEEFDEADBEEF
33299 .xword 0xDEADBEEFDEADBEEF
33300 .xword 0xDEADBEEFDEADBEEF
33301 .xword 0xDEADBEEFDEADBEEF
33302 .xword 0xDEADBEEFDEADBEEF
33303 .xword 0xDEADBEEFDEADBEEF
33304 .xword 0xDEADBEEFDEADBEEF
33305 .xword 0xDEADBEEFDEADBEEF
33306 .xword 0xDEADBEEFDEADBEEF
33307 .xword 0xDEADBEEFDEADBEEF
33308 .xword 0xDEADBEEFDEADBEEF
33309 .xword 0xDEADBEEFDEADBEEF
33310 .xword 0xDEADBEEFDEADBEEF
33311 .xword 0xDEADBEEFDEADBEEF
33312 .xword 0xDEADBEEFDEADBEEF
33313 .xword 0xDEADBEEFDEADBEEF
33314 .xword 0xDEADBEEFDEADBEEF
33315 .xword 0xDEADBEEFDEADBEEF
33316 .xword 0xDEADBEEFDEADBEEF
33317 .xword 0xDEADBEEFDEADBEEF
33318 .xword 0xDEADBEEFDEADBEEF
33319 .xword 0xDEADBEEFDEADBEEF
33320 .xword 0xDEADBEEFDEADBEEF
33321 .xword 0xDEADBEEFDEADBEEF
33322 .xword 0xDEADBEEFDEADBEEF
33323 .xword 0xDEADBEEFDEADBEEF
33324 .xword 0xDEADBEEFDEADBEEF
33325 .xword 0xDEADBEEFDEADBEEF
33326 .xword 0xDEADBEEFDEADBEEF
33327 .xword 0xDEADBEEFDEADBEEF
33328 .xword 0xDEADBEEFDEADBEEF
33329 .xword 0xDEADBEEFDEADBEEF
33330 .xword 0xDEADBEEFDEADBEEF
33331 .xword 0xDEADBEEFDEADBEEF
33332 .xword 0xDEADBEEFDEADBEEF
33333 .xword 0xDEADBEEFDEADBEEF
33334 .xword 0xDEADBEEFDEADBEEF
33335 .xword 0xDEADBEEFDEADBEEF
33336 .xword 0xDEADBEEFDEADBEEF
33337 .xword 0xDEADBEEFDEADBEEF
33338 .xword 0xDEADBEEFDEADBEEF
33339 .xword 0xDEADBEEFDEADBEEF
33340 .xword 0xDEADBEEFDEADBEEF
33341 .xword 0xDEADBEEFDEADBEEF
33342 .xword 0xDEADBEEFDEADBEEF
33343 .xword 0xDEADBEEFDEADBEEF
33344 .xword 0xDEADBEEFDEADBEEF
33345 .xword 0xDEADBEEFDEADBEEF
33346 .xword 0xDEADBEEFDEADBEEF
33347 .xword 0xDEADBEEFDEADBEEF
33348 .xword 0xDEADBEEFDEADBEEF
33349 .xword 0xDEADBEEFDEADBEEF
33350 .xword 0xDEADBEEFDEADBEEF
33351 .xword 0xDEADBEEFDEADBEEF
33352 .xword 0xDEADBEEFDEADBEEF
33353 .xword 0xDEADBEEFDEADBEEF
33354 .xword 0xDEADBEEFDEADBEEF
33355 .xword 0xDEADBEEFDEADBEEF
33356 .xword 0xDEADBEEFDEADBEEF
33357 .xword 0xDEADBEEFDEADBEEF
33358 .xword 0xDEADBEEFDEADBEEF
33359 .xword 0xDEADBEEFDEADBEEF
33360 .xword 0xDEADBEEFDEADBEEF
33361 .xword 0xDEADBEEFDEADBEEF
33362 .xword 0xDEADBEEFDEADBEEF
33363 .xword 0xDEADBEEFDEADBEEF
33364 .xword 0xDEADBEEFDEADBEEF
33365 .xword 0xDEADBEEFDEADBEEF
33366 .xword 0xDEADBEEFDEADBEEF
33367 .xword 0xDEADBEEFDEADBEEF
33368 .xword 0xDEADBEEFDEADBEEF
33369 .xword 0xDEADBEEFDEADBEEF
33370 .xword 0xDEADBEEFDEADBEEF
33371 .xword 0xDEADBEEFDEADBEEF
33372 .xword 0xDEADBEEFDEADBEEF
33373 .xword 0xDEADBEEFDEADBEEF
33374 .xword 0xDEADBEEFDEADBEEF
33375 .xword 0xDEADBEEFDEADBEEF
33376 .xword 0xDEADBEEFDEADBEEF
33377 .xword 0xDEADBEEFDEADBEEF
33378 .xword 0xDEADBEEFDEADBEEF
33379 .xword 0xDEADBEEFDEADBEEF
33380 .xword 0xDEADBEEFDEADBEEF
33381 .xword 0xDEADBEEFDEADBEEF
33382 .xword 0xDEADBEEFDEADBEEF
33383 .xword 0xDEADBEEFDEADBEEF
33384 .xword 0xDEADBEEFDEADBEEF
33385 .xword 0xDEADBEEFDEADBEEF
33386 .xword 0xDEADBEEFDEADBEEF
33387 .xword 0xDEADBEEFDEADBEEF
33388 .xword 0xDEADBEEFDEADBEEF
33389 .xword 0xDEADBEEFDEADBEEF
33390 .xword 0xDEADBEEFDEADBEEF
33391 .xword 0xDEADBEEFDEADBEEF
33392 .xword 0xDEADBEEFDEADBEEF
33393 .xword 0xDEADBEEFDEADBEEF
33394 .xword 0xDEADBEEFDEADBEEF
33395 .xword 0xDEADBEEFDEADBEEF
33396 .xword 0xDEADBEEFDEADBEEF
33397 .xword 0xDEADBEEFDEADBEEF
33398 .xword 0xDEADBEEFDEADBEEF
33399 .xword 0xDEADBEEFDEADBEEF
33400 .xword 0xDEADBEEFDEADBEEF
33401 .xword 0xDEADBEEFDEADBEEF
33402 .xword 0xDEADBEEFDEADBEEF
33403 .xword 0xDEADBEEFDEADBEEF
33404 .xword 0xDEADBEEFDEADBEEF
33405 .xword 0xDEADBEEFDEADBEEF
33406 .xword 0xDEADBEEFDEADBEEF
33407 .xword 0xDEADBEEFDEADBEEF
33408 .xword 0xDEADBEEFDEADBEEF
33409 .xword 0xDEADBEEFDEADBEEF
33410 .xword 0xDEADBEEFDEADBEEF
33411 .xword 0xDEADBEEFDEADBEEF
33412 .xword 0xDEADBEEFDEADBEEF
33413 .xword 0xDEADBEEFDEADBEEF
33414 .xword 0xDEADBEEFDEADBEEF
33415 .xword 0xDEADBEEFDEADBEEF
33416 .xword 0xDEADBEEFDEADBEEF
33417 .xword 0xDEADBEEFDEADBEEF
33418 .xword 0xDEADBEEFDEADBEEF
33419 .xword 0xDEADBEEFDEADBEEF
33420 .xword 0xDEADBEEFDEADBEEF
33421 .xword 0xDEADBEEFDEADBEEF
33422 .xword 0xDEADBEEFDEADBEEF
33423 .xword 0xDEADBEEFDEADBEEF
33424 .xword 0xDEADBEEFDEADBEEF
33425 .xword 0xDEADBEEFDEADBEEF
33426 .xword 0xDEADBEEFDEADBEEF
33427 .xword 0xDEADBEEFDEADBEEF
33428 .xword 0xDEADBEEFDEADBEEF
33429 .xword 0xDEADBEEFDEADBEEF
33430 .xword 0xDEADBEEFDEADBEEF
33431 .xword 0xDEADBEEFDEADBEEF
33432 .xword 0xDEADBEEFDEADBEEF
33433 .xword 0xDEADBEEFDEADBEEF
33434 .xword 0xDEADBEEFDEADBEEF
33435 .xword 0xDEADBEEFDEADBEEF
33436 .xword 0xDEADBEEFDEADBEEF
33437 .xword 0xDEADBEEFDEADBEEF
33438 .xword 0xDEADBEEFDEADBEEF
33439 .xword 0xDEADBEEFDEADBEEF
33440 .xword 0xDEADBEEFDEADBEEF
33441 .xword 0xDEADBEEFDEADBEEF
33442 .xword 0xDEADBEEFDEADBEEF
33443 .xword 0xDEADBEEFDEADBEEF
33444 .xword 0xDEADBEEFDEADBEEF
33445 .xword 0xDEADBEEFDEADBEEF
33446 .xword 0xDEADBEEFDEADBEEF
33447 .xword 0xDEADBEEFDEADBEEF
33448 .xword 0xDEADBEEFDEADBEEF
33449 .xword 0xDEADBEEFDEADBEEF
33450 .xword 0xDEADBEEFDEADBEEF
33451 .xword 0xDEADBEEFDEADBEEF
33452 .xword 0xDEADBEEFDEADBEEF
33453 .xword 0xDEADBEEFDEADBEEF
33454 .xword 0xDEADBEEFDEADBEEF
33455 .xword 0xDEADBEEFDEADBEEF
33456 .xword 0xDEADBEEFDEADBEEF
33457 .xword 0xDEADBEEFDEADBEEF
33458 .xword 0xDEADBEEFDEADBEEF
33459 .xword 0xDEADBEEFDEADBEEF
33460 .xword 0xDEADBEEFDEADBEEF
33461 .xword 0xDEADBEEFDEADBEEF
33462 .xword 0xDEADBEEFDEADBEEF
33463 .xword 0xDEADBEEFDEADBEEF
33464 .xword 0xDEADBEEFDEADBEEF
33465 .xword 0xDEADBEEFDEADBEEF
33466 .xword 0xDEADBEEFDEADBEEF
33467 .xword 0xDEADBEEFDEADBEEF
33468 .xword 0xDEADBEEFDEADBEEF
33469 .xword 0xDEADBEEFDEADBEEF
33470 .xword 0xDEADBEEFDEADBEEF
33471 .xword 0xDEADBEEFDEADBEEF
33472 .xword 0xDEADBEEFDEADBEEF
33473 .xword 0xDEADBEEFDEADBEEF
33474 .xword 0xDEADBEEFDEADBEEF
33475 .xword 0xDEADBEEFDEADBEEF
33476 .xword 0xDEADBEEFDEADBEEF
33477 .xword 0xDEADBEEFDEADBEEF
33478 .xword 0xDEADBEEFDEADBEEF
33479 .xword 0xDEADBEEFDEADBEEF
33480 .xword 0xDEADBEEFDEADBEEF
33481 .xword 0xDEADBEEFDEADBEEF
33482 .xword 0xDEADBEEFDEADBEEF
33483 .xword 0xDEADBEEFDEADBEEF
33484 .xword 0xDEADBEEFDEADBEEF
33485 .xword 0xDEADBEEFDEADBEEF
33486 .xword 0xDEADBEEFDEADBEEF
33487 .xword 0xDEADBEEFDEADBEEF
33488 .xword 0xDEADBEEFDEADBEEF
33489 .xword 0xDEADBEEFDEADBEEF
33490 .xword 0xDEADBEEFDEADBEEF
33491 .xword 0xDEADBEEFDEADBEEF
33492 .xword 0xDEADBEEFDEADBEEF
33493 .xword 0xDEADBEEFDEADBEEF
33494 .xword 0xDEADBEEFDEADBEEF
33495 .xword 0xDEADBEEFDEADBEEF
33496 .xword 0xDEADBEEFDEADBEEF
33497 .xword 0xDEADBEEFDEADBEEF
33498 .xword 0xDEADBEEFDEADBEEF
33499 .xword 0xDEADBEEFDEADBEEF
33500 .xword 0xDEADBEEFDEADBEEF
33501 .xword 0xDEADBEEFDEADBEEF
33502 .xword 0xDEADBEEFDEADBEEF
33503 .xword 0xDEADBEEFDEADBEEF
33504 .xword 0xDEADBEEFDEADBEEF
33505 .xword 0xDEADBEEFDEADBEEF
33506 .xword 0xDEADBEEFDEADBEEF
33507 .xword 0xDEADBEEFDEADBEEF
33508 .xword 0xDEADBEEFDEADBEEF
33509 .xword 0xDEADBEEFDEADBEEF
33510 .xword 0xDEADBEEFDEADBEEF
33511 .xword 0xDEADBEEFDEADBEEF
33512 .xword 0xDEADBEEFDEADBEEF
33513 .xword 0xDEADBEEFDEADBEEF
33514 .xword 0xDEADBEEFDEADBEEF
33515 .xword 0xDEADBEEFDEADBEEF
33516 .xword 0xDEADBEEFDEADBEEF
33517 .xword 0xDEADBEEFDEADBEEF
33518 .xword 0xDEADBEEFDEADBEEF
33519 .xword 0xDEADBEEFDEADBEEF
33520 .xword 0xDEADBEEFDEADBEEF
33521 .xword 0xDEADBEEFDEADBEEF
33522 .xword 0xDEADBEEFDEADBEEF
33523 .xword 0xDEADBEEFDEADBEEF
33524 .xword 0xDEADBEEFDEADBEEF
33525 .xword 0xDEADBEEFDEADBEEF
33526 .xword 0xDEADBEEFDEADBEEF
33527 .xword 0xDEADBEEFDEADBEEF
33528 .xword 0xDEADBEEFDEADBEEF
33529 .xword 0xDEADBEEFDEADBEEF
33530 .xword 0xDEADBEEFDEADBEEF
33531 .xword 0xDEADBEEFDEADBEEF
33532 .xword 0xDEADBEEFDEADBEEF
33533 .xword 0xDEADBEEFDEADBEEF
33534 .xword 0xDEADBEEFDEADBEEF
33535 .xword 0xDEADBEEFDEADBEEF
33536 .xword 0xDEADBEEFDEADBEEF
33537 .xword 0xDEADBEEFDEADBEEF
33538 .xword 0xDEADBEEFDEADBEEF
33539 .xword 0xDEADBEEFDEADBEEF
33540 .xword 0xDEADBEEFDEADBEEF
33541 .xword 0xDEADBEEFDEADBEEF
33542 .xword 0xDEADBEEFDEADBEEF
33543 .xword 0xDEADBEEFDEADBEEF
33544 .xword 0xDEADBEEFDEADBEEF
33545 .xword 0xDEADBEEFDEADBEEF
33546 .xword 0xDEADBEEFDEADBEEF
33547 .xword 0xDEADBEEFDEADBEEF
33548 .xword 0xDEADBEEFDEADBEEF
33549 .xword 0xDEADBEEFDEADBEEF
33550 .xword 0xDEADBEEFDEADBEEF
33551 .xword 0xDEADBEEFDEADBEEF
33552 .xword 0xDEADBEEFDEADBEEF
33553 .xword 0xDEADBEEFDEADBEEF
33554 .xword 0xDEADBEEFDEADBEEF
33555 .xword 0xDEADBEEFDEADBEEF
33556 .xword 0xDEADBEEFDEADBEEF
33557 .xword 0xDEADBEEFDEADBEEF
33558 .xword 0xDEADBEEFDEADBEEF
33559 .xword 0xDEADBEEFDEADBEEF
33560 .xword 0xDEADBEEFDEADBEEF
33561 .xword 0xDEADBEEFDEADBEEF
33562 .xword 0xDEADBEEFDEADBEEF
33563 .xword 0xDEADBEEFDEADBEEF
33564 .xword 0xDEADBEEFDEADBEEF
33565_t1_crc_auth_key:
33566 .xword 0x7e246f90a9d8a1c1
33567 .xword 0x3f9be71a2b419c5b
33568 .xword 0x839890ca43bb71bd
33569 .xword 0xc600d84076a31092
33570 .xword 0xe3b94641f99441bd
33571 .xword 0x5e6f3935b5877d5c
33572 .xword 0xa3574066b4c260b2
33573 .xword 0xd21c365470e490ee
33574 .xword 0xf96a3d5d85583ec7
33575 .xword 0x3237f5c94611cea5
33576 .xword 0x399ec4b1e00020e9
33577 .xword 0x5d8c99510f07ac8f
33578 .xword 0x5363b8ac7a8e655d
33579 .xword 0xe0a5e6f597c7610a
33580 .xword 0xc1a5e877e6433c7b
33581 .xword 0x1c0f5cab36307959
33582 .xword 0x35a1d2f2f9e305ed
33583 .xword 0x023f464c85ab7a47
33584 .xword 0xcebdc124b998a23a
33585 .xword 0x5588cc5eca430880
33586 .xword 0x6b987abdaef0de43
33587 .xword 0xbd105ce082502ae1
33588 .xword 0x2db379214775a158
33589_t1_crc_auth_iv:
33590 .xword 0xf43a57b83e1fb0b8
33591 .xword 0xde67a47c9846a82b
33592 .xword 0x0b36a8d5aa120ee3
33593 .xword 0x27a313a1ff584c0b
33594 .xword 0xc3b2a96c8488c53b
33595 .xword 0x454df35eb1b39300
33596 .xword 0x83982b6b177e8859
33597 .xword 0x3d66194f26183f4b
33598 .xword 0xe9faaeef9dfd90b4
33599 .xword 0x147e31c0b477efb0
33600 .xword 0xa37fa887e9c610bd
33601 .xword 0x40ec2edab4ddec18
33602 .xword 0xbc618e5a988fc48d
33603 .xword 0x4edee3b2e9b5a572
33604 .xword 0x569209f69b836739
33605 .xword 0xc307b16fdee5d540
33606 .xword 0x344b156efeb7ee8a
33607 .xword 0x2c4b5e501846ecd7
33608 .xword 0xb5f0400adb71fef0
33609 .xword 0xad5cd11bb87c170e
33610 .xword 0xec6ec14a9c04b771
33611 .xword 0x3805eba1e585e080
33612 .xword 0x2d68644393154c57
33613_t1_crc_fas_result:
33614 .xword 0xDEADBEEFDEADBEEF
33615 .xword 0xDEADBEEFDEADBEEF
33616 .xword 0xDEADBEEFDEADBEEF
33617 .xword 0xDEADBEEFDEADBEEF
33618 .xword 0xDEADBEEFDEADBEEF
33619 .xword 0xDEADBEEFDEADBEEF
33620 .xword 0xDEADBEEFDEADBEEF
33621 .xword 0xDEADBEEFDEADBEEF
33622 .xword 0xDEADBEEFDEADBEEF
33623 .xword 0xDEADBEEFDEADBEEF
33624 .xword 0xDEADBEEFDEADBEEF
33625 .xword 0xDEADBEEFDEADBEEF
33626 .xword 0xDEADBEEFDEADBEEF
33627 .xword 0xDEADBEEFDEADBEEF
33628 .xword 0xDEADBEEFDEADBEEF
33629 .xword 0xDEADBEEFDEADBEEF
33630 .xword 0xDEADBEEFDEADBEEF
33631 .xword 0xDEADBEEFDEADBEEF
33632 .xword 0xDEADBEEFDEADBEEF
33633 .xword 0xDEADBEEFDEADBEEF
33634 .xword 0xDEADBEEFDEADBEEF
33635 .xword 0xDEADBEEFDEADBEEF
33636 .xword 0xDEADBEEFDEADBEEF
33637_t1_hash_key_array:
33638 .xword 0xcd1539b6c7ebb09d
33639 .xword 0x2afe7545480cd378
33640 .xword 0xf65144945caf9909
33641 .xword 0x40971a0f773f6811
33642 .xword 0x3eaaef4fe2a70ccf
33643 .xword 0x11de20ffe330ccb2
33644 .xword 0x70b5f0e075a9b153
33645 .xword 0xafd6e3de14a66bbd
33646 .xword 0x6bcd0468311f6f81
33647 .xword 0x7720571023837a42
33648 .xword 0x5e13b0238c8fd291
33649 .xword 0x152dd567ad0e9f2c
33650 .xword 0x85f5106922500cf8
33651 .xword 0xd9e817bff3190eb9
33652 .xword 0x4746841edc9cddc2
33653 .xword 0xb87fc881f06f106f
33654 .xword 0x46b38c68efa2acfd
33655 .xword 0x19d267612f784f0f
33656 .xword 0xe41d432b5ef5a18f
33657 .xword 0x1ba9757601bba6f0
33658 .xword 0x1ff37afd7a3a9a4e
33659 .xword 0xb80754be47b61976
33660 .xword 0x4bd3b3f8ab23306b
33661 .xword 0xdd2ab86184dcf5e7
33662 .xword 0x4e59555445bfa8f5
33663 .xword 0x5bec7f2b12880f9d
33664 .xword 0xa7a4b1282ff448c2
33665 .xword 0x64d1d17b94ac71e2
33666 .xword 0xdebf86427a551dfd
33667 .xword 0x1438100598d921ed
33668 .xword 0xf1a8a8f4c281b048
33669 .xword 0xc097baa39755b299
33670 .xword 0x369facbcec30fa20
33671 .xword 0x988c5842c06354ce
33672 .xword 0xa023473b88a94adf
33673 .xword 0x825e60db5dfa82e7
33674 .xword 0xffd333eda34f4681
33675 .xword 0x7dbd5b9ce7214d0a
33676 .xword 0x86e8c698b47a004c
33677 .xword 0x995c362fac5fc902
33678 .xword 0x37aa0b99e59edae7
33679 .xword 0x5bb9dcb6071c861f
33680 .xword 0xb8787a4fdd337c79
33681 .xword 0xb7f97b479c708931
33682 .xword 0xe855a0d9d02275a1
33683 .xword 0x6583fbb9ceb1303e
33684 .xword 0x5344cc12e15d732d
33685 .xword 0x955b7237bac3d372
33686 .xword 0x76f84d4aaadba1e0
33687 .xword 0xea3dd0b48f4b5867
33688 .xword 0x93baa1b5d5f6f733
33689_t1_hash_iv_array:
33690 .xword 0xcb53de47ae2b7f20
33691 .xword 0x453f0fe92991ec59
33692 .xword 0xc16974dda0b37974
33693 .xword 0x016bb97a6c95f25a
33694 .xword 0x20f26ac0d282f6fc
33695 .xword 0x5a2dd5def9031e7c
33696 .xword 0x51d98e95b4aa7908
33697 .xword 0xe853275126d4f3b8
33698 .xword 0x73540607c07c4bfa
33699 .xword 0x274f0e89d078ffbc
33700 .xword 0x47e2c444de9142bd
33701 .xword 0xe787ca7639dc705a
33702 .xword 0x7a9e9fd3dacd5645
33703 .xword 0x7bc96968a29837cd
33704 .xword 0xc353270f6020204e
33705 .xword 0x739f8180f5def0e8
33706 .xword 0xb193fc3c8c130d77
33707 .xword 0xb5d7fb515c20c9fe
33708 .xword 0x596e66aa2bdf2470
33709 .xword 0xfc1b1e10a6280371
33710 .xword 0x67ae15f120bea8f1
33711 .xword 0xeac0c41ac86c73c0
33712 .xword 0xfc51a462ef200308
33713 .xword 0x78efe11e47903b61
33714 .xword 0xdb780bc9d227b108
33715 .xword 0x3c54e2842ea7d510
33716 .xword 0x01ff655a399366b9
33717 .xword 0xe268eb896396e9d8
33718 .xword 0xfcc61407d859a61f
33719 .xword 0xd20f9e2db3ecb996
33720 .xword 0xca20698af463dacf
33721 .xword 0x2508751301ec3fc7
33722 .xword 0xfa5438a86835e812
33723 .xword 0xa76b8283711077d9
33724 .xword 0x85b8ee276b32e727
33725 .xword 0x7de3021dadda856a
33726 .xword 0x635cdc83fffa5f53
33727 .xword 0xadcbcbcf0e28284d
33728 .xword 0x3d66fe4c70207257
33729 .xword 0xe1c95f380272d5f5
33730 .xword 0x1eaa95d6ee963b1c
33731 .xword 0xdc4bc6459bfa7ad9
33732 .xword 0xd565126c11c97620
33733 .xword 0x22f42acc71736fa6
33734 .xword 0x2788573bf246cb8b
33735_t1_hash_alignment_array:
33736 .xword 3
33737 .xword 12
33738 .xword 7
33739 .xword 6
33740 .xword 0
33741 .xword 11
33742 .xword 6
33743 .xword 6
33744 .xword 1
33745 .xword 14
33746 .xword 9
33747 .xword 12
33748 .xword 12
33749 .xword 8
33750 .xword 12
33751 .xword 3
33752 .xword 14
33753 .xword 2
33754 .xword 6
33755 .xword 14
33756 .xword 14
33757 .xword 0
33758 .xword 8
33759 .xword 1
33760 .xword 7
33761 .xword 6
33762 .xword 15
33763 .xword 6
33764 .xword 1
33765 .xword 6
33766 .xword 6
33767 .xword 15
33768 .xword 5
33769 .xword 10
33770 .xword 2
33771 .xword 1
33772 .xword 0
33773 .xword 12
33774 .xword 6
33775 .xword 1
33776 .xword 9
33777 .xword 6
33778 .xword 4
33779 .xword 13
33780 .xword 13
33781 .xword 9
33782 .xword 1
33783 .xword 0
33784 .xword 5
33785 .xword 6
33786 .xword 6
33787 .xword 13
33788 .xword 4
33789 .xword 1
33790 .xword 6
33791 .xword 3
33792 .xword 5
33793 .xword 3
33794 .xword 4
33795 .xword 6
33796 .xword 7
33797 .xword 3
33798 .xword 10
33799 .xword 13
33800 .xword 10
33801 .xword 10
33802 .xword 15
33803 .xword 5
33804 .xword 1
33805 .xword 1
33806 .xword 3
33807 .xword 5
33808 .xword 15
33809 .xword 14
33810 .xword 4
33811 .xword 2
33812 .xword 0
33813 .xword 2
33814 .xword 9
33815 .xword 9
33816 .xword 10
33817 .xword 15
33818 .xword 10
33819 .xword 8
33820 .xword 11
33821 .xword 2
33822 .xword 1
33823 .xword 15
33824 .xword 15
33825 .xword 10
33826 .xword 9
33827 .xword 15
33828 .xword 15
33829 .xword 3
33830 .xword 9
33831 .xword 5
33832 .xword 14
33833 .xword 4
33834 .xword 9
33835 .xword 10
33836 .xword 10
33837 .xword 5
33838 .xword 11
33839 .xword 0
33840 .xword 15
33841_t1_hash_src:
33842 .xword 0xad6173a58cc3d69c
33843 .xword 0xb96da1e49dec32ba
33844 .xword 0x3d68a460d7a5770d
33845 .xword 0x6a1f77af0f3243b4
33846 .xword 0xf97cc11df0e0f278
33847 .xword 0x57a8e840c24c4744
33848 .xword 0x7436f3e47e271345
33849 .xword 0x6db8116ab6f35507
33850 .xword 0x90c6926d7d2b11f7
33851 .xword 0x2c1d8605779e73aa
33852 .xword 0xcfb2853c38ad8077
33853 .xword 0xe312f3065d79e6e4
33854 .xword 0xa285da79192a9d8b
33855 .xword 0xb55b49bb912cff8d
33856 .xword 0xa998edcf1e10493a
33857 .xword 0x3cc3591edcd4d6a0
33858 .xword 0xfb605f3cac36cca7
33859 .xword 0x5295562f8c882387
33860 .xword 0x2b26c8b1725a4178
33861 .xword 0x139825cb2a37faa9
33862 .xword 0xa78ce2907c55c862
33863 .xword 0x544ebc556268aa2d
33864 .xword 0x8c03cbcc379eaaa8
33865 .xword 0x1a6194c9d77e433f
33866 .xword 0xb60bf63946db268e
33867 .xword 0xf601149185341dac
33868 .xword 0x62ff121ac47635e1
33869 .xword 0x02708bc57bda531f
33870 .xword 0x67142c3bc64ecdd7
33871 .xword 0xe0b3d4693741ab85
33872 .xword 0x991521e4210defc6
33873 .xword 0x1e71a19b75bc2a7d
33874 .xword 0x53856a800bbe5cd9
33875 .xword 0xe1ae028c96437642
33876 .xword 0x1528744173813359
33877 .xword 0xdf96a9601b7c6d74
33878 .xword 0x584f46bd1b3c5ee7
33879 .xword 0x4eeedc94c66703aa
33880 .xword 0x27f428045562796a
33881 .xword 0x90f91c4c77c6102d
33882 .xword 0xe06448d32dba1142
33883 .xword 0x5a7e224e1ab5c89d
33884 .xword 0x209e3e34ecc20651
33885 .xword 0x07b1948c64042f81
33886 .xword 0x6463c565e8c0cada
33887 .xword 0xad7a42675d26c743
33888 .xword 0x3b06f13fcafcfc49
33889 .xword 0xff631efdbc2d6304
33890 .xword 0x846f2fcfdb635707
33891 .xword 0x93dbeac9f8e562cb
33892 .xword 0x0ca2d0cc2c768619
33893 .xword 0x6912c3de7a05299b
33894 .xword 0x7ae4b65e6195408a
33895 .xword 0x34af8663c38cdaca
33896 .xword 0x255f2d75a0602e33
33897 .xword 0xfde3099a785f4fdf
33898 .xword 0xad859f764786e605
33899 .xword 0xee318046d8c81a4c
33900 .xword 0xa820688c20b3960e
33901 .xword 0x5095c2e7ab309405
33902 .xword 0xfc5e3ac3c745fb50
33903 .xword 0x2b247fd9c61152a6
33904 .xword 0x95c968aca9328877
33905 .xword 0xd820d6f88469d307
33906 .xword 0x8d43d0df4e5157e2
33907 .xword 0x64f33da1637f49f4
33908 .xword 0x9860687ee3b45f76
33909 .xword 0xcc73a3c4f2b2c677
33910 .xword 0x77c77509855aa21e
33911 .xword 0x0f955553be5c5b39
33912 .xword 0x4b253292e9e0a885
33913 .xword 0x15a1a72d7f70343c
33914 .xword 0xb0c0a3a96d0f8de8
33915 .xword 0x9f63918b5af1a492
33916 .xword 0xf5686748cf0ab683
33917 .xword 0x51d7610dc4e664bb
33918 .xword 0xe70e07cd8734a766
33919 .xword 0x46e0bd0a39ef3654
33920 .xword 0x33508704fe533ec0
33921 .xword 0x9f187d6692682465
33922 .xword 0x130dd0a5fc56854d
33923 .xword 0xb6d6d9d1467e384d
33924 .xword 0xdcca5c41ff77554b
33925 .xword 0x421992611201d166
33926 .xword 0xbdd733827bc64723
33927 .xword 0xb26f57fc4cfb32c7
33928 .xword 0x9b13ec0008ff2b87
33929 .xword 0x4c6da3b1d7c2e90f
33930 .xword 0x33cb218d73117967
33931 .xword 0xe59a2cdff12f49eb
33932 .xword 0xdc56081deefdf526
33933 .xword 0xc8166aebe4622442
33934 .xword 0x06866d31ab7f6775
33935 .xword 0x257bf278e27a7290
33936 .xword 0xc3458e5e37800325
33937 .xword 0xa4b6dbd9ade86008
33938 .xword 0x5fcae3036710aab8
33939 .xword 0x7b720b42803b65a8
33940 .xword 0xbd3c5dc494e66df0
33941 .xword 0x6d923d5c2b093f08
33942 .xword 0xdbb95fbe34f10cb8
33943 .xword 0x583d92a36732207d
33944 .xword 0xaf6d47788b64e877
33945 .xword 0x4c6d12ad22d7e3a1
33946 .xword 0x4020d668901daa8a
33947 .xword 0x0afca817cf57e43c
33948 .xword 0x3e9aea47611c6ec6
33949 .xword 0x3173167324ea3dfb
33950 .xword 0x44a200f1e85269f0
33951 .xword 0x176a7f9eebbb24e1
33952 .xword 0x815d8805f47b75d1
33953 .xword 0x22881f7a3d5d82aa
33954 .xword 0xacdaa8db35ad410b
33955 .xword 0x1316955f3d361f3b
33956 .xword 0xde05041b0577fed7
33957 .xword 0x5c3558f811098cf8
33958 .xword 0x7630d3eab391d156
33959 .xword 0x396d04e2b614e7c0
33960 .xword 0x6dc7c4b5bf4adb5a
33961 .xword 0x7b12e09e8aeef901
33962 .xword 0x025c5b62781e9f50
33963 .xword 0xd2878c3fb20fb2f2
33964 .xword 0xe54fc7a5f8eeec37
33965 .xword 0xa7dd9cc5d2f6b55e
33966 .xword 0x8b5f1820bef69eca
33967 .xword 0x546fd9c2b5af002c
33968 .xword 0x92645907eebe8225
33969 .xword 0xe698ab522a0c2607
33970 .xword 0x4bff1d8cfd844872
33971 .xword 0x30f6ed03562ba895
33972 .xword 0x4da5e9ee317acca5
33973 .xword 0xff72e76b85e73322
33974 .xword 0xb17aaf941cd1f977
33975 .xword 0xe9c48b114413e441
33976 .xword 0x683ea6bc8afeb7ae
33977 .xword 0x4de624bf022df499
33978 .xword 0x5548bb61c0e1d94f
33979 .xword 0x25f8b244bdba5454
33980 .xword 0x45e9b8c4c5d45b8b
33981 .xword 0x6d132a46f18b4e7c
33982 .xword 0x3052c599b26a836d
33983 .xword 0x18692044ca2c12f8
33984 .xword 0xee7884ef869f8ef6
33985 .xword 0x798ac7b69263c013
33986 .xword 0xc68d1912df047f65
33987 .xword 0x9f0b01974f007812
33988 .xword 0xb2ec28c5008d4ebf
33989 .xword 0x049df633e507d721
33990 .xword 0x931a02cb1c5ec193
33991 .xword 0xbc2c3c41ef77480c
33992 .xword 0x6ba0b3c99115f9dd
33993 .xword 0x049a95ef4e89414f
33994 .xword 0x1fa703c2ef1509c7
33995 .xword 0x13b50a979895c2c0
33996 .xword 0x5eb2629fb929979a
33997 .xword 0xe791f98c3e85dded
33998 .xword 0x814a724e7001ece8
33999 .xword 0xa1b9324a6f261d8b
34000 .xword 0xcd042ab55cf2cdef
34001 .xword 0x815f5226ccae1f00
34002 .xword 0x29265a1dcc470a67
34003 .xword 0x60db9c0818e324c4
34004 .xword 0x2323d20c555b5525
34005 .xword 0x5d889993560db479
34006 .xword 0x2fc2820cf8343215
34007 .xword 0x7fcffb39145f0f66
34008 .xword 0x80aaec5cbb862c5e
34009 .xword 0x4cf31c92fe0bea64
34010 .xword 0xcc9872906c9046b7
34011 .xword 0x16d39d20f2198f14
34012 .xword 0xc3af46ce2f75aae9
34013 .xword 0x26b587a2551d0066
34014 .xword 0x0c505a2c937f225e
34015 .xword 0x7bd3c88476bf2fc2
34016 .xword 0xaddfac40099784dd
34017 .xword 0x29736590077b817c
34018 .xword 0xc3177f8ccfc4a327
34019 .xword 0x4936fcd7e160139d
34020 .xword 0x0d67c1d47822f093
34021 .xword 0xc601baca5998ec94
34022 .xword 0x65e0a73df3b1ba32
34023 .xword 0xb6859f2d346154f3
34024 .xword 0x9031cefbd8257f4b
34025 .xword 0x2d0d537410df961d
34026 .xword 0x995d2259ae42f584
34027 .xword 0x829148a3f937caf0
34028 .xword 0x698671999e1d8b43
34029 .xword 0x9c89e9a03dc0bcf5
34030 .xword 0x637f78a9ea910fb8
34031 .xword 0xcf235ea19b08d96a
34032 .xword 0x4a9798e6b4891616
34033 .xword 0xcd049425c63b4cd9
34034 .xword 0xfc9599b1e9403a6c
34035 .xword 0x2754e4c24ceabf3b
34036 .xword 0xbdc6bea05f08fc73
34037 .xword 0xe228916e13a3ae6b
34038 .xword 0x34f3707261e7d60e
34039 .xword 0x46b47cf0513a1280
34040 .xword 0x50fa1bce620eb6bb
34041 .xword 0x94f2bc54a0b4af0f
34042 .xword 0xa11b1752415d75d1
34043 .xword 0xc2e33a5e81539ae7
34044 .xword 0x1ba960490e0357bb
34045 .xword 0x4d91aa9e8399cf13
34046 .xword 0x9143368d361be098
34047 .xword 0x672c08f49e5f5aef
34048 .xword 0x7e9fd0c9a10bb0df
34049 .xword 0x4b070a9a68e5bc8b
34050 .xword 0x89639ab8c1b94f5f
34051 .xword 0x595a6cfa879a4d43
34052 .xword 0x1492dd0e3fd4a7db
34053 .xword 0x1820ce261a22fd48
34054 .xword 0x35ab933dee77c948
34055 .xword 0x9176e405ae137636
34056 .xword 0xa77cf4472aea0afa
34057 .xword 0xf40cff804a7eb5ee
34058 .xword 0xa0c7d89162ced481
34059 .xword 0xa6600f8d71006dc9
34060 .xword 0x2971a26a73b04243
34061 .xword 0xc19340b203e22320
34062 .xword 0xd484b56c74252dd6
34063 .xword 0xabdd5d4611d5d2e2
34064 .xword 0xcfea77b9613553b3
34065 .xword 0x8ee72d1a974eb017
34066 .xword 0x9a1a2e1ea087cd92
34067 .xword 0x7d126587e67a5768
34068 .xword 0x2c4454b709a01d92
34069 .xword 0x82238377ce633ef9
34070 .xword 0xfe5a9befde17950b
34071 .xword 0x5b7b73e921385d93
34072 .xword 0x7e88515c5ab8f4df
34073 .xword 0x8292f1986430896c
34074 .xword 0x547fe722fd62997b
34075 .xword 0x0701d4fbdd3ab4c2
34076 .xword 0xf674d83039b42211
34077 .xword 0x09f950e1fc02769a
34078 .xword 0xebd36ca0d9a84bb4
34079 .xword 0xd17ef0c9f946dd57
34080 .xword 0x2ec4f940f38e098b
34081 .xword 0x8397de16779509a5
34082 .xword 0x53a3ef2219222bb3
34083 .xword 0x2392dffcbf70ffed
34084 .xword 0x40ff1c559178ed96
34085 .xword 0x0ac7aa42aadf4321
34086 .xword 0x488bbeec0ed7209f
34087 .xword 0xcafe34f4024729c3
34088 .xword 0x4c1288a922c8faa7
34089 .xword 0xbfd69c730e3c8682
34090 .xword 0x39bed9b13a0e2ba8
34091 .xword 0x954c296f2eb5824e
34092 .xword 0xf4fb083f7ef0c5d0
34093 .xword 0x54de7b9c0a649f7e
34094 .xword 0xaeecfb982c5ba059
34095 .xword 0x190b45022e2d258b
34096 .xword 0x39846f48d8910374
34097 .xword 0x54cd6806d448b7ab
34098 .xword 0x936a92622d7f493c
34099 .xword 0x28e21463a162775b
34100 .xword 0x3ab5406d2366466d
34101 .xword 0x45915c4374710ac8
34102 .xword 0xed6c85b1b5d5452f
34103 .xword 0x05dba89f3346bc2e
34104 .xword 0x5d75904c90eacddf
34105 .xword 0xbd47443082ad056d
34106 .xword 0x124ce634ca1c24af
34107 .xword 0xe52bcd5d8e056332
34108 .xword 0x5fa53e05758bab34
34109 .xword 0x3b36e9f7675e1bdf
34110 .xword 0x5ef8f28280406281
34111 .xword 0xd1ba617e6f952c88
34112 .xword 0x38fa4d1be6ecc65b
34113 .xword 0x9eb251618a1cd5c9
34114 .xword 0x740db986083e2040
34115 .xword 0x4645d70a3d2fd640
34116 .xword 0x39a8d75ffe60f68b
34117_t1_hash_dest:
34118 .xword 0xDEADBEEFDEADBEEF
34119 .xword 0xDEADBEEFDEADBEEF
34120 .xword 0xDEADBEEFDEADBEEF
34121 .xword 0xDEADBEEFDEADBEEF
34122 .xword 0xDEADBEEFDEADBEEF
34123 .xword 0xDEADBEEFDEADBEEF
34124 .xword 0xDEADBEEFDEADBEEF
34125 .xword 0xDEADBEEFDEADBEEF
34126 .xword 0xDEADBEEFDEADBEEF
34127 .xword 0xDEADBEEFDEADBEEF
34128 .xword 0xDEADBEEFDEADBEEF
34129 .xword 0xDEADBEEFDEADBEEF
34130 .xword 0xDEADBEEFDEADBEEF
34131 .xword 0xDEADBEEFDEADBEEF
34132 .xword 0xDEADBEEFDEADBEEF
34133 .xword 0xDEADBEEFDEADBEEF
34134 .xword 0xDEADBEEFDEADBEEF
34135 .xword 0xDEADBEEFDEADBEEF
34136 .xword 0xDEADBEEFDEADBEEF
34137 .xword 0xDEADBEEFDEADBEEF
34138 .xword 0xDEADBEEFDEADBEEF
34139 .xword 0xDEADBEEFDEADBEEF
34140 .xword 0xDEADBEEFDEADBEEF
34141 .xword 0xDEADBEEFDEADBEEF
34142 .xword 0xDEADBEEFDEADBEEF
34143 .xword 0xDEADBEEFDEADBEEF
34144 .xword 0xDEADBEEFDEADBEEF
34145 .xword 0xDEADBEEFDEADBEEF
34146 .xword 0xDEADBEEFDEADBEEF
34147 .xword 0xDEADBEEFDEADBEEF
34148 .xword 0xDEADBEEFDEADBEEF
34149 .xword 0xDEADBEEFDEADBEEF
34150 .xword 0xDEADBEEFDEADBEEF
34151 .xword 0xDEADBEEFDEADBEEF
34152 .xword 0xDEADBEEFDEADBEEF
34153 .xword 0xDEADBEEFDEADBEEF
34154 .xword 0xDEADBEEFDEADBEEF
34155 .xword 0xDEADBEEFDEADBEEF
34156 .xword 0xDEADBEEFDEADBEEF
34157 .xword 0xDEADBEEFDEADBEEF
34158 .xword 0xDEADBEEFDEADBEEF
34159 .xword 0xDEADBEEFDEADBEEF
34160 .xword 0xDEADBEEFDEADBEEF
34161 .xword 0xDEADBEEFDEADBEEF
34162 .xword 0xDEADBEEFDEADBEEF
34163 .xword 0xDEADBEEFDEADBEEF
34164 .xword 0xDEADBEEFDEADBEEF
34165 .xword 0xDEADBEEFDEADBEEF
34166 .xword 0xDEADBEEFDEADBEEF
34167 .xword 0xDEADBEEFDEADBEEF
34168 .xword 0xDEADBEEFDEADBEEF
34169 .xword 0xDEADBEEFDEADBEEF
34170 .xword 0xDEADBEEFDEADBEEF
34171 .xword 0xDEADBEEFDEADBEEF
34172 .xword 0xDEADBEEFDEADBEEF
34173 .xword 0xDEADBEEFDEADBEEF
34174 .xword 0xDEADBEEFDEADBEEF
34175 .xword 0xDEADBEEFDEADBEEF
34176 .xword 0xDEADBEEFDEADBEEF
34177 .xword 0xDEADBEEFDEADBEEF
34178 .xword 0xDEADBEEFDEADBEEF
34179 .xword 0xDEADBEEFDEADBEEF
34180 .xword 0xDEADBEEFDEADBEEF
34181 .xword 0xDEADBEEFDEADBEEF
34182 .xword 0xDEADBEEFDEADBEEF
34183 .xword 0xDEADBEEFDEADBEEF
34184 .xword 0xDEADBEEFDEADBEEF
34185 .xword 0xDEADBEEFDEADBEEF
34186 .xword 0xDEADBEEFDEADBEEF
34187 .xword 0xDEADBEEFDEADBEEF
34188 .xword 0xDEADBEEFDEADBEEF
34189 .xword 0xDEADBEEFDEADBEEF
34190 .xword 0xDEADBEEFDEADBEEF
34191 .xword 0xDEADBEEFDEADBEEF
34192 .xword 0xDEADBEEFDEADBEEF
34193 .xword 0xDEADBEEFDEADBEEF
34194 .xword 0xDEADBEEFDEADBEEF
34195 .xword 0xDEADBEEFDEADBEEF
34196 .xword 0xDEADBEEFDEADBEEF
34197 .xword 0xDEADBEEFDEADBEEF
34198 .xword 0xDEADBEEFDEADBEEF
34199 .xword 0xDEADBEEFDEADBEEF
34200 .xword 0xDEADBEEFDEADBEEF
34201 .xword 0xDEADBEEFDEADBEEF
34202 .xword 0xDEADBEEFDEADBEEF
34203 .xword 0xDEADBEEFDEADBEEF
34204 .xword 0xDEADBEEFDEADBEEF
34205 .xword 0xDEADBEEFDEADBEEF
34206 .xword 0xDEADBEEFDEADBEEF
34207 .xword 0xDEADBEEFDEADBEEF
34208 .xword 0xDEADBEEFDEADBEEF
34209 .xword 0xDEADBEEFDEADBEEF
34210 .xword 0xDEADBEEFDEADBEEF
34211 .xword 0xDEADBEEFDEADBEEF
34212 .xword 0xDEADBEEFDEADBEEF
34213 .xword 0xDEADBEEFDEADBEEF
34214 .xword 0xDEADBEEFDEADBEEF
34215 .xword 0xDEADBEEFDEADBEEF
34216 .xword 0xDEADBEEFDEADBEEF
34217 .xword 0xDEADBEEFDEADBEEF
34218 .xword 0xDEADBEEFDEADBEEF
34219 .xword 0xDEADBEEFDEADBEEF
34220 .xword 0xDEADBEEFDEADBEEF
34221 .xword 0xDEADBEEFDEADBEEF
34222 .xword 0xDEADBEEFDEADBEEF
34223 .xword 0xDEADBEEFDEADBEEF
34224 .xword 0xDEADBEEFDEADBEEF
34225 .xword 0xDEADBEEFDEADBEEF
34226 .xword 0xDEADBEEFDEADBEEF
34227 .xword 0xDEADBEEFDEADBEEF
34228 .xword 0xDEADBEEFDEADBEEF
34229 .xword 0xDEADBEEFDEADBEEF
34230 .xword 0xDEADBEEFDEADBEEF
34231 .xword 0xDEADBEEFDEADBEEF
34232 .xword 0xDEADBEEFDEADBEEF
34233 .xword 0xDEADBEEFDEADBEEF
34234 .xword 0xDEADBEEFDEADBEEF
34235 .xword 0xDEADBEEFDEADBEEF
34236 .xword 0xDEADBEEFDEADBEEF
34237 .xword 0xDEADBEEFDEADBEEF
34238 .xword 0xDEADBEEFDEADBEEF
34239 .xword 0xDEADBEEFDEADBEEF
34240 .xword 0xDEADBEEFDEADBEEF
34241 .xword 0xDEADBEEFDEADBEEF
34242 .xword 0xDEADBEEFDEADBEEF
34243 .xword 0xDEADBEEFDEADBEEF
34244 .xword 0xDEADBEEFDEADBEEF
34245 .xword 0xDEADBEEFDEADBEEF
34246 .xword 0xDEADBEEFDEADBEEF
34247 .xword 0xDEADBEEFDEADBEEF
34248 .xword 0xDEADBEEFDEADBEEF
34249 .xword 0xDEADBEEFDEADBEEF
34250 .xword 0xDEADBEEFDEADBEEF
34251 .xword 0xDEADBEEFDEADBEEF
34252 .xword 0xDEADBEEFDEADBEEF
34253 .xword 0xDEADBEEFDEADBEEF
34254 .xword 0xDEADBEEFDEADBEEF
34255 .xword 0xDEADBEEFDEADBEEF
34256 .xword 0xDEADBEEFDEADBEEF
34257 .xword 0xDEADBEEFDEADBEEF
34258 .xword 0xDEADBEEFDEADBEEF
34259 .xword 0xDEADBEEFDEADBEEF
34260 .xword 0xDEADBEEFDEADBEEF
34261 .xword 0xDEADBEEFDEADBEEF
34262 .xword 0xDEADBEEFDEADBEEF
34263 .xword 0xDEADBEEFDEADBEEF
34264 .xword 0xDEADBEEFDEADBEEF
34265 .xword 0xDEADBEEFDEADBEEF
34266 .xword 0xDEADBEEFDEADBEEF
34267 .xword 0xDEADBEEFDEADBEEF
34268 .xword 0xDEADBEEFDEADBEEF
34269 .xword 0xDEADBEEFDEADBEEF
34270 .xword 0xDEADBEEFDEADBEEF
34271 .xword 0xDEADBEEFDEADBEEF
34272 .xword 0xDEADBEEFDEADBEEF
34273 .xword 0xDEADBEEFDEADBEEF
34274 .xword 0xDEADBEEFDEADBEEF
34275 .xword 0xDEADBEEFDEADBEEF
34276 .xword 0xDEADBEEFDEADBEEF
34277 .xword 0xDEADBEEFDEADBEEF
34278 .xword 0xDEADBEEFDEADBEEF
34279 .xword 0xDEADBEEFDEADBEEF
34280 .xword 0xDEADBEEFDEADBEEF
34281 .xword 0xDEADBEEFDEADBEEF
34282 .xword 0xDEADBEEFDEADBEEF
34283 .xword 0xDEADBEEFDEADBEEF
34284 .xword 0xDEADBEEFDEADBEEF
34285 .xword 0xDEADBEEFDEADBEEF
34286 .xword 0xDEADBEEFDEADBEEF
34287 .xword 0xDEADBEEFDEADBEEF
34288 .xword 0xDEADBEEFDEADBEEF
34289 .xword 0xDEADBEEFDEADBEEF
34290 .xword 0xDEADBEEFDEADBEEF
34291 .xword 0xDEADBEEFDEADBEEF
34292 .xword 0xDEADBEEFDEADBEEF
34293 .xword 0xDEADBEEFDEADBEEF
34294 .xword 0xDEADBEEFDEADBEEF
34295 .xword 0xDEADBEEFDEADBEEF
34296 .xword 0xDEADBEEFDEADBEEF
34297 .xword 0xDEADBEEFDEADBEEF
34298 .xword 0xDEADBEEFDEADBEEF
34299 .xword 0xDEADBEEFDEADBEEF
34300 .xword 0xDEADBEEFDEADBEEF
34301 .xword 0xDEADBEEFDEADBEEF
34302 .xword 0xDEADBEEFDEADBEEF
34303 .xword 0xDEADBEEFDEADBEEF
34304 .xword 0xDEADBEEFDEADBEEF
34305 .xword 0xDEADBEEFDEADBEEF
34306 .xword 0xDEADBEEFDEADBEEF
34307 .xword 0xDEADBEEFDEADBEEF
34308 .xword 0xDEADBEEFDEADBEEF
34309 .xword 0xDEADBEEFDEADBEEF
34310 .xword 0xDEADBEEFDEADBEEF
34311 .xword 0xDEADBEEFDEADBEEF
34312 .xword 0xDEADBEEFDEADBEEF
34313 .xword 0xDEADBEEFDEADBEEF
34314 .xword 0xDEADBEEFDEADBEEF
34315 .xword 0xDEADBEEFDEADBEEF
34316 .xword 0xDEADBEEFDEADBEEF
34317 .xword 0xDEADBEEFDEADBEEF
34318 .xword 0xDEADBEEFDEADBEEF
34319 .xword 0xDEADBEEFDEADBEEF
34320 .xword 0xDEADBEEFDEADBEEF
34321 .xword 0xDEADBEEFDEADBEEF
34322 .xword 0xDEADBEEFDEADBEEF
34323 .xword 0xDEADBEEFDEADBEEF
34324 .xword 0xDEADBEEFDEADBEEF
34325 .xword 0xDEADBEEFDEADBEEF
34326 .xword 0xDEADBEEFDEADBEEF
34327 .xword 0xDEADBEEFDEADBEEF
34328 .xword 0xDEADBEEFDEADBEEF
34329 .xword 0xDEADBEEFDEADBEEF
34330 .xword 0xDEADBEEFDEADBEEF
34331 .xword 0xDEADBEEFDEADBEEF
34332 .xword 0xDEADBEEFDEADBEEF
34333 .xword 0xDEADBEEFDEADBEEF
34334 .xword 0xDEADBEEFDEADBEEF
34335 .xword 0xDEADBEEFDEADBEEF
34336 .xword 0xDEADBEEFDEADBEEF
34337 .xword 0xDEADBEEFDEADBEEF
34338 .xword 0xDEADBEEFDEADBEEF
34339 .xword 0xDEADBEEFDEADBEEF
34340 .xword 0xDEADBEEFDEADBEEF
34341 .xword 0xDEADBEEFDEADBEEF
34342 .xword 0xDEADBEEFDEADBEEF
34343 .xword 0xDEADBEEFDEADBEEF
34344 .xword 0xDEADBEEFDEADBEEF
34345 .xword 0xDEADBEEFDEADBEEF
34346 .xword 0xDEADBEEFDEADBEEF
34347 .xword 0xDEADBEEFDEADBEEF
34348 .xword 0xDEADBEEFDEADBEEF
34349 .xword 0xDEADBEEFDEADBEEF
34350 .xword 0xDEADBEEFDEADBEEF
34351 .xword 0xDEADBEEFDEADBEEF
34352 .xword 0xDEADBEEFDEADBEEF
34353 .xword 0xDEADBEEFDEADBEEF
34354 .xword 0xDEADBEEFDEADBEEF
34355 .xword 0xDEADBEEFDEADBEEF
34356 .xword 0xDEADBEEFDEADBEEF
34357 .xword 0xDEADBEEFDEADBEEF
34358 .xword 0xDEADBEEFDEADBEEF
34359 .xword 0xDEADBEEFDEADBEEF
34360 .xword 0xDEADBEEFDEADBEEF
34361 .xword 0xDEADBEEFDEADBEEF
34362 .xword 0xDEADBEEFDEADBEEF
34363 .xword 0xDEADBEEFDEADBEEF
34364 .xword 0xDEADBEEFDEADBEEF
34365 .xword 0xDEADBEEFDEADBEEF
34366 .xword 0xDEADBEEFDEADBEEF
34367 .xword 0xDEADBEEFDEADBEEF
34368 .xword 0xDEADBEEFDEADBEEF
34369 .xword 0xDEADBEEFDEADBEEF
34370 .xword 0xDEADBEEFDEADBEEF
34371 .xword 0xDEADBEEFDEADBEEF
34372 .xword 0xDEADBEEFDEADBEEF
34373 .xword 0xDEADBEEFDEADBEEF
34374 .xword 0xDEADBEEFDEADBEEF
34375 .xword 0xDEADBEEFDEADBEEF
34376 .xword 0xDEADBEEFDEADBEEF
34377 .xword 0xDEADBEEFDEADBEEF
34378 .xword 0xDEADBEEFDEADBEEF
34379 .xword 0xDEADBEEFDEADBEEF
34380 .xword 0xDEADBEEFDEADBEEF
34381 .xword 0xDEADBEEFDEADBEEF
34382 .xword 0xDEADBEEFDEADBEEF
34383 .xword 0xDEADBEEFDEADBEEF
34384 .xword 0xDEADBEEFDEADBEEF
34385 .xword 0xDEADBEEFDEADBEEF
34386 .xword 0xDEADBEEFDEADBEEF
34387 .xword 0xDEADBEEFDEADBEEF
34388 .xword 0xDEADBEEFDEADBEEF
34389 .xword 0xDEADBEEFDEADBEEF
34390 .xword 0xDEADBEEFDEADBEEF
34391 .xword 0xDEADBEEFDEADBEEF
34392 .xword 0xDEADBEEFDEADBEEF
34393_t1_hash_auth_key:
34394 .xword 0x27a4322f2c592e11
34395 .xword 0x42cfb1d82dda7c20
34396 .xword 0x0014a96172d86437
34397 .xword 0x4b61c794f42fe04b
34398 .xword 0xe58c6e2f09e2d456
34399 .xword 0x565c3b1c1bf08d18
34400 .xword 0x50d96c908e10bf53
34401 .xword 0x92a95b9e861dcff5
34402 .xword 0x3d7ee3ace6617850
34403 .xword 0x946311bc2accf4e2
34404 .xword 0x3a2102b36494e38c
34405 .xword 0x04efa9f62a7d6319
34406 .xword 0xa6ec1a8d1264b3c4
34407 .xword 0x01f2bb85c248f3b1
34408 .xword 0x1345ec432dd4ffa0
34409 .xword 0x7521588aba213f7d
34410 .xword 0x15a0e4239082c463
34411 .xword 0xdd547647cdbdc4fe
34412 .xword 0x0a4e6aefbe2ec14c
34413 .xword 0x66e66a83da8753f5
34414 .xword 0x72dc4cd0d72fa871
34415 .xword 0x23d5c23bb0d51ec1
34416 .xword 0xfc75ee131b9e8565
34417_t1_hash_auth_iv:
34418 .xword 0x475db925b01025a7
34419 .xword 0xd9b1f985d421bceb
34420 .xword 0xfa45c03e4900f332
34421 .xword 0x119c4e1626fafafa
34422 .xword 0x35d4d1e4440915ed
34423 .xword 0xf3c948aa357b4c76
34424 .xword 0xceba959e9bed6d05
34425 .xword 0x7f5b5411f8ee8c63
34426 .xword 0x8d71f5093098aaa0
34427 .xword 0xba5381d8087167a3
34428 .xword 0x432357292a84d47c
34429 .xword 0x2f9a0dbba5b06741
34430 .xword 0x91da91941fd51c39
34431 .xword 0x07d6f8e54586bdab
34432 .xword 0x383a609f49e1bb1d
34433 .xword 0x9ceaa2c19161601a
34434 .xword 0x0e300de40dd8f144
34435 .xword 0xdbdffddef1ded72a
34436 .xword 0x55a268618044b559
34437 .xword 0xca7e4c6908174482
34438 .xword 0xb5be51002b2549c2
34439 .xword 0x9b76d4f53df25074
34440 .xword 0x368445f2b326ee9f
34441_t1_hash_fas_result:
34442 .xword 0xDEADBEEFDEADBEEF
34443 .xword 0xDEADBEEFDEADBEEF
34444 .xword 0xDEADBEEFDEADBEEF
34445 .xword 0xDEADBEEFDEADBEEF
34446 .xword 0xDEADBEEFDEADBEEF
34447 .xword 0xDEADBEEFDEADBEEF
34448 .xword 0xDEADBEEFDEADBEEF
34449 .xword 0xDEADBEEFDEADBEEF
34450 .xword 0xDEADBEEFDEADBEEF
34451 .xword 0xDEADBEEFDEADBEEF
34452 .xword 0xDEADBEEFDEADBEEF
34453 .xword 0xDEADBEEFDEADBEEF
34454 .xword 0xDEADBEEFDEADBEEF
34455 .xword 0xDEADBEEFDEADBEEF
34456 .xword 0xDEADBEEFDEADBEEF
34457 .xword 0xDEADBEEFDEADBEEF
34458 .xword 0xDEADBEEFDEADBEEF
34459 .xword 0xDEADBEEFDEADBEEF
34460 .xword 0xDEADBEEFDEADBEEF
34461 .xword 0xDEADBEEFDEADBEEF
34462 .xword 0xDEADBEEFDEADBEEF
34463 .xword 0xDEADBEEFDEADBEEF
34464 .xword 0xDEADBEEFDEADBEEF
34465_t1_hmac_key_array:
34466 .xword 0x1711392d3967fe29
34467 .xword 0xe1268050e051807e
34468 .xword 0x14e668e9daf82735
34469 .xword 0x4880a55202928f8c
34470 .xword 0x6d9cc6e61d782b1b
34471 .xword 0xb8ddae1f96f6880c
34472 .xword 0x844268e3bcb9ec0d
34473 .xword 0xc7367aa0403683c0
34474 .xword 0xfb9ce39f87638d8a
34475 .xword 0x1ac08eafa6cb94e8
34476 .xword 0x686c55da8069deee
34477 .xword 0x81f1476406fab046
34478 .xword 0x5d66af39bd1efc1e
34479 .xword 0x2de37989dfeb575f
34480 .xword 0xbb4c0e45466139db
34481 .xword 0xc8c4d06b8370da3b
34482 .xword 0x76a416193c476f0c
34483 .xword 0xe9147a54ea0dcf00
34484 .xword 0x5c828f0b18da7dff
34485 .xword 0xf98932e23d050a39
34486 .xword 0xa43a3716dcd09bef
34487 .xword 0x8748b94c366fe223
34488 .xword 0x393cf2ceb82d8386
34489 .xword 0xbfc0315348303260
34490 .xword 0x33019ff9f981d9de
34491 .xword 0xebf618ab66d9ce97
34492 .xword 0xad0c608223efc2a1
34493 .xword 0x36c425c5ddd744ce
34494 .xword 0x21f228c7b6143e47
34495 .xword 0x1d916d9f208f637b
34496 .xword 0x42271242aa92e2a6
34497 .xword 0x00737a186059fd9d
34498 .xword 0xf636046577d4aae7
34499 .xword 0xb146735f4591a2db
34500 .xword 0xd34cd884e61ee4f1
34501 .xword 0xc65ef831c67c11a7
34502 .xword 0x3288a894cec41802
34503 .xword 0x2f916f5a3245248e
34504 .xword 0xce14c27b013bef2f
34505 .xword 0x920643518febb55b
34506 .xword 0x6fc70a6a447c0515
34507 .xword 0x05f7c01393054f42
34508 .xword 0x17174abec3b7a677
34509 .xword 0x01d7d66bb94385a3
34510 .xword 0xd387d395a03839b2
34511 .xword 0x49867a3da6dc2349
34512 .xword 0xff07e157ef089c84
34513 .xword 0xd95900fce7932ce3
34514 .xword 0xb3ea6e43babff46b
34515 .xword 0x7eeee6160d963b67
34516 .xword 0xf0ead8b4a86116ed
34517_t1_hmac_iv_array:
34518 .xword 0xb15ec9e6fe623c8b
34519 .xword 0x71b0f3df299b3040
34520 .xword 0x79361b717bf79268
34521 .xword 0x7b72d105a6acd3e5
34522 .xword 0x20a956181993979b
34523 .xword 0x5b3d8d8bc459a55d
34524 .xword 0xd401793cb59ac425
34525 .xword 0x427a662ff15c8315
34526 .xword 0xab6d376c31d1fc5c
34527 .xword 0xfbbff98806bb6159
34528 .xword 0x9e50bb0712a01dbf
34529 .xword 0x0f1c8ea9afa6f4f1
34530 .xword 0x4433b23a9a2d744a
34531 .xword 0x702316878939a58d
34532 .xword 0x938599e99bed3a86
34533 .xword 0x280ce7309e1862fd
34534 .xword 0x15fb8fbd99edc46d
34535 .xword 0xf32b8cbdd0714ebc
34536 .xword 0xa70ffa990270c09f
34537 .xword 0x91c6dbf0a7031561
34538 .xword 0x96d2daf62d6cae1d
34539 .xword 0xba119f1dca625947
34540 .xword 0xb63089e59608fb04
34541 .xword 0x378c9f20cd1d7b2c
34542 .xword 0x6b6b07026c0ff2fe
34543 .xword 0x1ee1e01c88634444
34544 .xword 0xad8596d8807173d4
34545 .xword 0x8a2af86a83369fc4
34546 .xword 0x59a841159c6d82d4
34547 .xword 0xda28b9c643f766bb
34548 .xword 0xacf36a5c2e23a132
34549 .xword 0x1235c766dbeb79d5
34550 .xword 0x02b59cd2a6ebb964
34551 .xword 0x8e906858305650eb
34552 .xword 0xe6f50ab2be921925
34553 .xword 0x3753f8314362c68a
34554 .xword 0x593574f65fe260af
34555 .xword 0x32790e5eb3400be5
34556 .xword 0xb5b11e5dec19a8c2
34557 .xword 0x5a2d413bb46c387a
34558 .xword 0x1a1d9a26b58cdca8
34559 .xword 0xd2feb61ee54346a7
34560 .xword 0x5290fd60424d8e52
34561 .xword 0xa5fcad6fec3f302d
34562 .xword 0xe0d7ee957437dc9c
34563_t1_hmac_alignment_array:
34564 .xword 5
34565 .xword 6
34566 .xword 15
34567 .xword 13
34568 .xword 2
34569 .xword 0
34570 .xword 2
34571 .xword 15
34572 .xword 13
34573 .xword 8
34574 .xword 12
34575 .xword 8
34576 .xword 5
34577 .xword 4
34578 .xword 7
34579 .xword 6
34580 .xword 9
34581 .xword 12
34582 .xword 15
34583 .xword 3
34584 .xword 10
34585 .xword 4
34586 .xword 13
34587 .xword 6
34588 .xword 1
34589 .xword 10
34590 .xword 3
34591 .xword 12
34592 .xword 9
34593 .xword 11
34594 .xword 12
34595 .xword 13
34596 .xword 12
34597 .xword 7
34598 .xword 15
34599 .xword 4
34600 .xword 1
34601 .xword 9
34602 .xword 1
34603 .xword 1
34604 .xword 14
34605 .xword 12
34606 .xword 1
34607 .xword 11
34608 .xword 5
34609 .xword 5
34610 .xword 3
34611 .xword 13
34612 .xword 7
34613 .xword 10
34614 .xword 11
34615 .xword 1
34616 .xword 11
34617 .xword 3
34618 .xword 11
34619 .xword 6
34620 .xword 12
34621 .xword 15
34622 .xword 1
34623 .xword 9
34624 .xword 6
34625 .xword 8
34626 .xword 10
34627 .xword 15
34628 .xword 11
34629 .xword 12
34630 .xword 1
34631 .xword 0
34632 .xword 9
34633 .xword 15
34634 .xword 9
34635 .xword 2
34636 .xword 13
34637 .xword 14
34638 .xword 5
34639 .xword 6
34640 .xword 0
34641 .xword 7
34642 .xword 5
34643 .xword 0
34644 .xword 2
34645 .xword 4
34646 .xword 0
34647 .xword 13
34648 .xword 13
34649 .xword 0
34650 .xword 1
34651 .xword 6
34652 .xword 13
34653 .xword 12
34654 .xword 7
34655 .xword 5
34656 .xword 4
34657 .xword 14
34658 .xword 13
34659 .xword 2
34660 .xword 11
34661 .xword 15
34662 .xword 9
34663 .xword 5
34664 .xword 2
34665 .xword 3
34666 .xword 10
34667 .xword 10
34668 .xword 11
34669_t1_hmac_src:
34670 .xword 0x2c314d8cc7c0a49b
34671 .xword 0xf3bc688d9e736ab7
34672 .xword 0xeadb8fbf68d02270
34673 .xword 0xeae473747234a78f
34674 .xword 0x7a9141906f7745ea
34675 .xword 0x51343dc2f7f9beeb
34676 .xword 0x65e374594db3d978
34677 .xword 0x02dfc89c18e13a4b
34678 .xword 0x446432f64454c18b
34679 .xword 0x2ab2cf26d6a1bcb5
34680 .xword 0x13a1d63d6004e978
34681 .xword 0x4dafce45c36e5052
34682 .xword 0x42926b4396574a25
34683 .xword 0x1d95e7cd8a5857aa
34684 .xword 0xf9f3216c54f8be38
34685 .xword 0xb50eef08d1db762f
34686 .xword 0x34d808dda8e5b8b0
34687 .xword 0xeb9bc1cfedfbc1b4
34688 .xword 0xebe6c9cd707e638f
34689 .xword 0x81a55e28396273ca
34690 .xword 0x4f01f9295c9cd175
34691 .xword 0x0e1dc942bc0a4817
34692 .xword 0x38599375eb16f841
34693 .xword 0x1c8e6c671a3627c3
34694 .xword 0x34e658b75271d659
34695 .xword 0x2abb4b57d992bcdf
34696 .xword 0x91c05c738ab5cb86
34697 .xword 0x6a947fadc51e9d0d
34698 .xword 0x5ab273dc34f040a7
34699 .xword 0xdb2be671d49b2ea0
34700 .xword 0xd85bd5975616683b
34701 .xword 0x6b1f3fd6d680c42b
34702 .xword 0x1545c9645e8937c2
34703 .xword 0x571e0ef2db6e95f8
34704 .xword 0x102bfc27bba77c2a
34705 .xword 0xcbfb9041114a86ce
34706 .xword 0x29f0120c4810b600
34707 .xword 0x859bd073194312c5
34708 .xword 0xeda29b595c4c1ecb
34709 .xword 0x262fd24d7bb85340
34710 .xword 0x99e079c83578a08e
34711 .xword 0x460724b7494870dc
34712 .xword 0xd9c144a750e3be11
34713 .xword 0xcd10520f15f47b8e
34714 .xword 0x2337bfaff1574891
34715 .xword 0x27ae90d32ed5beb2
34716 .xword 0x5143af4283f08ba4
34717 .xword 0xe0fb68294e8e3eca
34718 .xword 0x1df152820e1c3268
34719 .xword 0xfd33f58a656c0111
34720 .xword 0x2bcb246edf168d6d
34721 .xword 0x42e66394da790b48
34722 .xword 0x51499bb360aba78c
34723 .xword 0xe1a7bcae9cb9b6e2
34724 .xword 0x3898db1471427275
34725 .xword 0x533fab45003785c6
34726 .xword 0x314506c115d3df8b
34727 .xword 0xba618a90ebb6b0d0
34728 .xword 0x05f125b729cc5fd4
34729 .xword 0x89175bc001454279
34730 .xword 0x2b1d619b9c3da5ba
34731 .xword 0x2b13015f842fbde7
34732 .xword 0x5f4d70929fbaa21b
34733 .xword 0x7d00748c8f4ee234
34734 .xword 0x439bca3e1a94db1e
34735 .xword 0xbf7e26c2026633cd
34736 .xword 0xe5370abb43df9945
34737 .xword 0x7307bae4a7c0bb8b
34738 .xword 0x878cdf5ca8b74c93
34739 .xword 0x04c2142a71ad89d7
34740 .xword 0xa1b4e43297f51c3c
34741 .xword 0xbe59fa0eb7109a6a
34742 .xword 0xa7e6762e42f234d7
34743 .xword 0x36a1805f98d19e55
34744 .xword 0x447ea2d63b821d2b
34745 .xword 0xee1b6acfbcc3ca3d
34746 .xword 0x239f4b7b11e2a2be
34747 .xword 0xbfe353a23ff6d6c3
34748 .xword 0x489aeed7f55ed596
34749 .xword 0x40bf36619fdac675
34750 .xword 0x7203986a4ecb0012
34751 .xword 0x50c1650e90d955b0
34752 .xword 0xea46ea1d1d64390c
34753 .xword 0x8842427bad4cc9f1
34754 .xword 0x1ececf8b53a9e60b
34755 .xword 0x06fe4a0bba0e74d2
34756 .xword 0xc18f4b377f1a075d
34757 .xword 0x2cca7c88ee8c28b4
34758 .xword 0xb4be666397c26591
34759 .xword 0xd0e489a0f38da93a
34760 .xword 0x5d2bd01722d5cb6d
34761 .xword 0x9d2614b814103417
34762 .xword 0xbc06d93b16fd80c4
34763 .xword 0xf95551d1ccc5f33d
34764 .xword 0x2c20ce9901dc33f0
34765 .xword 0xc1bd205941ccec59
34766 .xword 0xea12226aa7c4ada0
34767 .xword 0x502c31b34d32991d
34768 .xword 0xb138a05f3d61d849
34769 .xword 0xc3bc536e8a8753df
34770 .xword 0xb160e7abd66b0251
34771 .xword 0x3688cc0f9321836a
34772 .xword 0x9bf14c07e5a8e388
34773 .xword 0xd9176ba252862ed4
34774 .xword 0x5a707b5340860bae
34775 .xword 0x8a76953313abc0fd
34776 .xword 0x09584b0d8a9f767e
34777 .xword 0x68a45a6a2edf6ead
34778 .xword 0x6f625cf44be51421
34779 .xword 0x277f60bc21286380
34780 .xword 0x222ace5696d55889
34781 .xword 0xa0254ce74322cb67
34782 .xword 0x4bb57fbcd7c927c8
34783 .xword 0xd647781d02cc1656
34784 .xword 0xa4ae4475ee0d1b81
34785 .xword 0x0e8fc1fd123688e3
34786 .xword 0x4a9aa92f7a9fc25d
34787 .xword 0x41a9ad2863cfaf54
34788 .xword 0x4f8c99820df5d20a
34789 .xword 0x1e6443ee78318a60
34790 .xword 0x4838eff3168e7c29
34791 .xword 0x756b18ca431e13bb
34792 .xword 0x217e4eb19a515d8a
34793 .xword 0xdb6319929b90bc9a
34794 .xword 0xa59b2683f3d0ef59
34795 .xword 0xb4b8e9066ddb2008
34796 .xword 0xad8058000dd480aa
34797 .xword 0xc9668cb5d181a7df
34798 .xword 0x2d4ed1bceff64f1f
34799 .xword 0x3d20df5e1ca88713
34800 .xword 0x4a36bfa24af6f96f
34801 .xword 0xbc8d13061cc104d1
34802 .xword 0x66df56770d709cd5
34803 .xword 0x10ea74e24dcf8f5c
34804 .xword 0xc0eb61fcc84e1468
34805 .xword 0x8d896e6b44876209
34806 .xword 0x377aaa36cba07434
34807 .xword 0x45872036a691b9fb
34808 .xword 0xf7bdd151e4ac3f96
34809 .xword 0xd7bc0acd61948ffc
34810 .xword 0xdaba5235d4a3fcf4
34811 .xword 0xfcba9f5bed02d1e7
34812 .xword 0x29a1e5ed7d9b9412
34813 .xword 0x6d17020ae36386ac
34814 .xword 0x97a362942b5c9757
34815 .xword 0xba28fb2f16d0c832
34816 .xword 0x52a56da9a814cdb4
34817 .xword 0x87acd51c15db83f6
34818 .xword 0xddb2c56dc6c6d5ee
34819 .xword 0x0f1fdb899ed86639
34820 .xword 0xf1c561799aa8eb46
34821 .xword 0xc30749391220cc9b
34822 .xword 0xfeede58a50be9a37
34823 .xword 0x46cdbbea7e13083d
34824 .xword 0xce4602b459cbf666
34825 .xword 0x71f3c23baf2d05be
34826 .xword 0x9c2362c608f29d7b
34827 .xword 0x4c6c1d771e095613
34828 .xword 0x16abc222562237da
34829 .xword 0x1aedff0783d21d48
34830 .xword 0x8b092dccfcf922c3
34831 .xword 0x875dbd30850da88e
34832 .xword 0xfef33c27327376e8
34833 .xword 0xbd5696a206ad7824
34834 .xword 0xdcf639375cf92fcb
34835 .xword 0x5630fe8f59b0c5bd
34836 .xword 0x125faae4476b6ba6
34837 .xword 0xb53e6eacb4d6f5ad
34838 .xword 0x686bb744bcc35cdb
34839 .xword 0x4d93315359b7d82f
34840 .xword 0x2cf0e79b3688b6d5
34841 .xword 0x6d86ff13065c4aeb
34842 .xword 0x7e4b3f4b21af92a8
34843 .xword 0x53a2accc60cd7df7
34844 .xword 0x3cd1740b313cdbad
34845 .xword 0xcea0790d663efcfd
34846 .xword 0xc43f20540e5dbed5
34847 .xword 0xcc9af1e960d31bfc
34848 .xword 0xd9a226973110cc85
34849 .xword 0x7f2a5fe92c6c470a
34850 .xword 0x83f706801ff15ce2
34851 .xword 0x368cbc222d6640d5
34852 .xword 0xbefd073405aca0ab
34853 .xword 0xfb34ef6f0142b7b2
34854 .xword 0xcb93b07801834b34
34855 .xword 0x154ec73e5b957ff8
34856 .xword 0x6939d9c7a2aadcd4
34857 .xword 0x2b9396b200a8997c
34858 .xword 0x5a399fa0aff44bd5
34859 .xword 0xaa349d10edbe431a
34860 .xword 0xaafbdd4bf5a55839
34861 .xword 0xaa7c10dd432c300c
34862 .xword 0x98c2517fd542ce42
34863 .xword 0x96bd2677052c366a
34864 .xword 0xdc59c38d1a1b1082
34865 .xword 0x12b0d48d098bcaaf
34866 .xword 0xc5c86aae1899a6dd
34867 .xword 0x8c030650355d01a3
34868 .xword 0xa5603e63d0972951
34869 .xword 0xca802df7c7597c10
34870 .xword 0xc80a76e404ed6d91
34871 .xword 0x497e7991e48bf3c6
34872 .xword 0x3165ed48b200ad9c
34873 .xword 0x6504726839733e4f
34874 .xword 0x773878f82039af46
34875 .xword 0x16824f23e8def856
34876 .xword 0x57e67c89ea6cb844
34877 .xword 0xb3de21302fd72b56
34878 .xword 0x21d561a7521b703c
34879 .xword 0x5eab9099b9aab78e
34880 .xword 0x2f2d209230d45951
34881 .xword 0x2d68b03a01b7126b
34882 .xword 0x044b105c5d1b099b
34883 .xword 0xe9500ec172a263c0
34884 .xword 0x2f55382b047e6510
34885 .xword 0x4025f0208428cffa
34886 .xword 0x62c49ca2d3e3787c
34887 .xword 0xe4657270ca1e82ff
34888 .xword 0x85227b89f00f48e6
34889 .xword 0x22f35385f6852db0
34890 .xword 0x66468a80d2d57ffd
34891 .xword 0x40767f4cebbb3048
34892 .xword 0x7d0becf0897264ad
34893 .xword 0xf0ba2fc249cab9fb
34894 .xword 0x6a2be298fb9e8d03
34895 .xword 0xc67868bde2ba7dca
34896 .xword 0x22a64bc4227d8c83
34897 .xword 0xc9e87569a8269eb1
34898 .xword 0xa784d05048f51eef
34899 .xword 0x62048cbba93a888d
34900 .xword 0x535661ca77041408
34901 .xword 0x46f77eefdbbbd2af
34902 .xword 0x83449afdbd541c66
34903 .xword 0x5891db6154c5001d
34904 .xword 0xac129503317d70a7
34905 .xword 0x5828b85adfb956d7
34906 .xword 0x10ff7bcf473ff219
34907 .xword 0xdabb53207a8a919b
34908 .xword 0x3697248ead58d21d
34909 .xword 0xc6b57027f8ca9c04
34910 .xword 0xf7b58d2889f23a11
34911 .xword 0x49fff8ff384032b3
34912 .xword 0x5148d78f9a3554e6
34913 .xword 0xbde693af359e6496
34914 .xword 0xd0e214d1406eae1b
34915 .xword 0x2f2c9fcab6b57a5c
34916 .xword 0x8b073c13ddbb9e44
34917 .xword 0x9fe323d34b3e0708
34918 .xword 0x249a64441b086354
34919 .xword 0x9f0052c30e2c71c0
34920 .xword 0x7960e1a7a28e5f0b
34921 .xword 0xfabaf86522e62e94
34922 .xword 0xe1570e75028523cc
34923 .xword 0x8cc09bbb21d97c50
34924 .xword 0x86cee0de093dfc3e
34925 .xword 0xaab9084b4977227b
34926 .xword 0x6e96018c1ffa3c06
34927 .xword 0x029a578f1ce50b48
34928 .xword 0x73b5c8792e579fef
34929 .xword 0x719695a51a17fdb7
34930 .xword 0x8a05df86f74e7b17
34931 .xword 0x124f01211bbce7a2
34932 .xword 0xeb1705a02934c69d
34933 .xword 0x7873156f9b4b6041
34934 .xword 0xbbcabe6505291c7a
34935 .xword 0x59da065d6ec643eb
34936 .xword 0x28a5d92390a8f7cf
34937 .xword 0xbb0972589e747798
34938 .xword 0x0c59341e2862e58b
34939 .xword 0xd8a8f67dc9239ad5
34940 .xword 0x6f4b126c60e3e3d5
34941 .xword 0x096226efe4aa550f
34942 .xword 0xcc1264ab48e18ffb
34943 .xword 0x7f7c8ac849e32ca7
34944 .xword 0x6d317b28fb64789e
34945_t1_hmac_dest:
34946 .xword 0xDEADBEEFDEADBEEF
34947 .xword 0xDEADBEEFDEADBEEF
34948 .xword 0xDEADBEEFDEADBEEF
34949 .xword 0xDEADBEEFDEADBEEF
34950 .xword 0xDEADBEEFDEADBEEF
34951 .xword 0xDEADBEEFDEADBEEF
34952 .xword 0xDEADBEEFDEADBEEF
34953 .xword 0xDEADBEEFDEADBEEF
34954 .xword 0xDEADBEEFDEADBEEF
34955 .xword 0xDEADBEEFDEADBEEF
34956 .xword 0xDEADBEEFDEADBEEF
34957 .xword 0xDEADBEEFDEADBEEF
34958 .xword 0xDEADBEEFDEADBEEF
34959 .xword 0xDEADBEEFDEADBEEF
34960 .xword 0xDEADBEEFDEADBEEF
34961 .xword 0xDEADBEEFDEADBEEF
34962 .xword 0xDEADBEEFDEADBEEF
34963 .xword 0xDEADBEEFDEADBEEF
34964 .xword 0xDEADBEEFDEADBEEF
34965 .xword 0xDEADBEEFDEADBEEF
34966 .xword 0xDEADBEEFDEADBEEF
34967 .xword 0xDEADBEEFDEADBEEF
34968 .xword 0xDEADBEEFDEADBEEF
34969 .xword 0xDEADBEEFDEADBEEF
34970 .xword 0xDEADBEEFDEADBEEF
34971 .xword 0xDEADBEEFDEADBEEF
34972 .xword 0xDEADBEEFDEADBEEF
34973 .xword 0xDEADBEEFDEADBEEF
34974 .xword 0xDEADBEEFDEADBEEF
34975 .xword 0xDEADBEEFDEADBEEF
34976 .xword 0xDEADBEEFDEADBEEF
34977 .xword 0xDEADBEEFDEADBEEF
34978 .xword 0xDEADBEEFDEADBEEF
34979 .xword 0xDEADBEEFDEADBEEF
34980 .xword 0xDEADBEEFDEADBEEF
34981 .xword 0xDEADBEEFDEADBEEF
34982 .xword 0xDEADBEEFDEADBEEF
34983 .xword 0xDEADBEEFDEADBEEF
34984 .xword 0xDEADBEEFDEADBEEF
34985 .xword 0xDEADBEEFDEADBEEF
34986 .xword 0xDEADBEEFDEADBEEF
34987 .xword 0xDEADBEEFDEADBEEF
34988 .xword 0xDEADBEEFDEADBEEF
34989 .xword 0xDEADBEEFDEADBEEF
34990 .xword 0xDEADBEEFDEADBEEF
34991 .xword 0xDEADBEEFDEADBEEF
34992 .xword 0xDEADBEEFDEADBEEF
34993 .xword 0xDEADBEEFDEADBEEF
34994 .xword 0xDEADBEEFDEADBEEF
34995 .xword 0xDEADBEEFDEADBEEF
34996 .xword 0xDEADBEEFDEADBEEF
34997 .xword 0xDEADBEEFDEADBEEF
34998 .xword 0xDEADBEEFDEADBEEF
34999 .xword 0xDEADBEEFDEADBEEF
35000 .xword 0xDEADBEEFDEADBEEF
35001 .xword 0xDEADBEEFDEADBEEF
35002 .xword 0xDEADBEEFDEADBEEF
35003 .xword 0xDEADBEEFDEADBEEF
35004 .xword 0xDEADBEEFDEADBEEF
35005 .xword 0xDEADBEEFDEADBEEF
35006 .xword 0xDEADBEEFDEADBEEF
35007 .xword 0xDEADBEEFDEADBEEF
35008 .xword 0xDEADBEEFDEADBEEF
35009 .xword 0xDEADBEEFDEADBEEF
35010 .xword 0xDEADBEEFDEADBEEF
35011 .xword 0xDEADBEEFDEADBEEF
35012 .xword 0xDEADBEEFDEADBEEF
35013 .xword 0xDEADBEEFDEADBEEF
35014 .xword 0xDEADBEEFDEADBEEF
35015 .xword 0xDEADBEEFDEADBEEF
35016 .xword 0xDEADBEEFDEADBEEF
35017 .xword 0xDEADBEEFDEADBEEF
35018 .xword 0xDEADBEEFDEADBEEF
35019 .xword 0xDEADBEEFDEADBEEF
35020 .xword 0xDEADBEEFDEADBEEF
35021 .xword 0xDEADBEEFDEADBEEF
35022 .xword 0xDEADBEEFDEADBEEF
35023 .xword 0xDEADBEEFDEADBEEF
35024 .xword 0xDEADBEEFDEADBEEF
35025 .xword 0xDEADBEEFDEADBEEF
35026 .xword 0xDEADBEEFDEADBEEF
35027 .xword 0xDEADBEEFDEADBEEF
35028 .xword 0xDEADBEEFDEADBEEF
35029 .xword 0xDEADBEEFDEADBEEF
35030 .xword 0xDEADBEEFDEADBEEF
35031 .xword 0xDEADBEEFDEADBEEF
35032 .xword 0xDEADBEEFDEADBEEF
35033 .xword 0xDEADBEEFDEADBEEF
35034 .xword 0xDEADBEEFDEADBEEF
35035 .xword 0xDEADBEEFDEADBEEF
35036 .xword 0xDEADBEEFDEADBEEF
35037 .xword 0xDEADBEEFDEADBEEF
35038 .xword 0xDEADBEEFDEADBEEF
35039 .xword 0xDEADBEEFDEADBEEF
35040 .xword 0xDEADBEEFDEADBEEF
35041 .xword 0xDEADBEEFDEADBEEF
35042 .xword 0xDEADBEEFDEADBEEF
35043 .xword 0xDEADBEEFDEADBEEF
35044 .xword 0xDEADBEEFDEADBEEF
35045 .xword 0xDEADBEEFDEADBEEF
35046 .xword 0xDEADBEEFDEADBEEF
35047 .xword 0xDEADBEEFDEADBEEF
35048 .xword 0xDEADBEEFDEADBEEF
35049 .xword 0xDEADBEEFDEADBEEF
35050 .xword 0xDEADBEEFDEADBEEF
35051 .xword 0xDEADBEEFDEADBEEF
35052 .xword 0xDEADBEEFDEADBEEF
35053 .xword 0xDEADBEEFDEADBEEF
35054 .xword 0xDEADBEEFDEADBEEF
35055 .xword 0xDEADBEEFDEADBEEF
35056 .xword 0xDEADBEEFDEADBEEF
35057 .xword 0xDEADBEEFDEADBEEF
35058 .xword 0xDEADBEEFDEADBEEF
35059 .xword 0xDEADBEEFDEADBEEF
35060 .xword 0xDEADBEEFDEADBEEF
35061 .xword 0xDEADBEEFDEADBEEF
35062 .xword 0xDEADBEEFDEADBEEF
35063 .xword 0xDEADBEEFDEADBEEF
35064 .xword 0xDEADBEEFDEADBEEF
35065 .xword 0xDEADBEEFDEADBEEF
35066 .xword 0xDEADBEEFDEADBEEF
35067 .xword 0xDEADBEEFDEADBEEF
35068 .xword 0xDEADBEEFDEADBEEF
35069 .xword 0xDEADBEEFDEADBEEF
35070 .xword 0xDEADBEEFDEADBEEF
35071 .xword 0xDEADBEEFDEADBEEF
35072 .xword 0xDEADBEEFDEADBEEF
35073 .xword 0xDEADBEEFDEADBEEF
35074 .xword 0xDEADBEEFDEADBEEF
35075 .xword 0xDEADBEEFDEADBEEF
35076 .xword 0xDEADBEEFDEADBEEF
35077 .xword 0xDEADBEEFDEADBEEF
35078 .xword 0xDEADBEEFDEADBEEF
35079 .xword 0xDEADBEEFDEADBEEF
35080 .xword 0xDEADBEEFDEADBEEF
35081 .xword 0xDEADBEEFDEADBEEF
35082 .xword 0xDEADBEEFDEADBEEF
35083 .xword 0xDEADBEEFDEADBEEF
35084 .xword 0xDEADBEEFDEADBEEF
35085 .xword 0xDEADBEEFDEADBEEF
35086 .xword 0xDEADBEEFDEADBEEF
35087 .xword 0xDEADBEEFDEADBEEF
35088 .xword 0xDEADBEEFDEADBEEF
35089 .xword 0xDEADBEEFDEADBEEF
35090 .xword 0xDEADBEEFDEADBEEF
35091 .xword 0xDEADBEEFDEADBEEF
35092 .xword 0xDEADBEEFDEADBEEF
35093 .xword 0xDEADBEEFDEADBEEF
35094 .xword 0xDEADBEEFDEADBEEF
35095 .xword 0xDEADBEEFDEADBEEF
35096 .xword 0xDEADBEEFDEADBEEF
35097 .xword 0xDEADBEEFDEADBEEF
35098 .xword 0xDEADBEEFDEADBEEF
35099 .xword 0xDEADBEEFDEADBEEF
35100 .xword 0xDEADBEEFDEADBEEF
35101 .xword 0xDEADBEEFDEADBEEF
35102 .xword 0xDEADBEEFDEADBEEF
35103 .xword 0xDEADBEEFDEADBEEF
35104 .xword 0xDEADBEEFDEADBEEF
35105 .xword 0xDEADBEEFDEADBEEF
35106 .xword 0xDEADBEEFDEADBEEF
35107 .xword 0xDEADBEEFDEADBEEF
35108 .xword 0xDEADBEEFDEADBEEF
35109 .xword 0xDEADBEEFDEADBEEF
35110 .xword 0xDEADBEEFDEADBEEF
35111 .xword 0xDEADBEEFDEADBEEF
35112 .xword 0xDEADBEEFDEADBEEF
35113 .xword 0xDEADBEEFDEADBEEF
35114 .xword 0xDEADBEEFDEADBEEF
35115 .xword 0xDEADBEEFDEADBEEF
35116 .xword 0xDEADBEEFDEADBEEF
35117 .xword 0xDEADBEEFDEADBEEF
35118 .xword 0xDEADBEEFDEADBEEF
35119 .xword 0xDEADBEEFDEADBEEF
35120 .xword 0xDEADBEEFDEADBEEF
35121 .xword 0xDEADBEEFDEADBEEF
35122 .xword 0xDEADBEEFDEADBEEF
35123 .xword 0xDEADBEEFDEADBEEF
35124 .xword 0xDEADBEEFDEADBEEF
35125 .xword 0xDEADBEEFDEADBEEF
35126 .xword 0xDEADBEEFDEADBEEF
35127 .xword 0xDEADBEEFDEADBEEF
35128 .xword 0xDEADBEEFDEADBEEF
35129 .xword 0xDEADBEEFDEADBEEF
35130 .xword 0xDEADBEEFDEADBEEF
35131 .xword 0xDEADBEEFDEADBEEF
35132 .xword 0xDEADBEEFDEADBEEF
35133 .xword 0xDEADBEEFDEADBEEF
35134 .xword 0xDEADBEEFDEADBEEF
35135 .xword 0xDEADBEEFDEADBEEF
35136 .xword 0xDEADBEEFDEADBEEF
35137 .xword 0xDEADBEEFDEADBEEF
35138 .xword 0xDEADBEEFDEADBEEF
35139 .xword 0xDEADBEEFDEADBEEF
35140 .xword 0xDEADBEEFDEADBEEF
35141 .xword 0xDEADBEEFDEADBEEF
35142 .xword 0xDEADBEEFDEADBEEF
35143 .xword 0xDEADBEEFDEADBEEF
35144 .xword 0xDEADBEEFDEADBEEF
35145 .xword 0xDEADBEEFDEADBEEF
35146 .xword 0xDEADBEEFDEADBEEF
35147 .xword 0xDEADBEEFDEADBEEF
35148 .xword 0xDEADBEEFDEADBEEF
35149 .xword 0xDEADBEEFDEADBEEF
35150 .xword 0xDEADBEEFDEADBEEF
35151 .xword 0xDEADBEEFDEADBEEF
35152 .xword 0xDEADBEEFDEADBEEF
35153 .xword 0xDEADBEEFDEADBEEF
35154 .xword 0xDEADBEEFDEADBEEF
35155 .xword 0xDEADBEEFDEADBEEF
35156 .xword 0xDEADBEEFDEADBEEF
35157 .xword 0xDEADBEEFDEADBEEF
35158 .xword 0xDEADBEEFDEADBEEF
35159 .xword 0xDEADBEEFDEADBEEF
35160 .xword 0xDEADBEEFDEADBEEF
35161 .xword 0xDEADBEEFDEADBEEF
35162 .xword 0xDEADBEEFDEADBEEF
35163 .xword 0xDEADBEEFDEADBEEF
35164 .xword 0xDEADBEEFDEADBEEF
35165 .xword 0xDEADBEEFDEADBEEF
35166 .xword 0xDEADBEEFDEADBEEF
35167 .xword 0xDEADBEEFDEADBEEF
35168 .xword 0xDEADBEEFDEADBEEF
35169 .xword 0xDEADBEEFDEADBEEF
35170 .xword 0xDEADBEEFDEADBEEF
35171 .xword 0xDEADBEEFDEADBEEF
35172 .xword 0xDEADBEEFDEADBEEF
35173 .xword 0xDEADBEEFDEADBEEF
35174 .xword 0xDEADBEEFDEADBEEF
35175 .xword 0xDEADBEEFDEADBEEF
35176 .xword 0xDEADBEEFDEADBEEF
35177 .xword 0xDEADBEEFDEADBEEF
35178 .xword 0xDEADBEEFDEADBEEF
35179 .xword 0xDEADBEEFDEADBEEF
35180 .xword 0xDEADBEEFDEADBEEF
35181 .xword 0xDEADBEEFDEADBEEF
35182 .xword 0xDEADBEEFDEADBEEF
35183 .xword 0xDEADBEEFDEADBEEF
35184 .xword 0xDEADBEEFDEADBEEF
35185 .xword 0xDEADBEEFDEADBEEF
35186 .xword 0xDEADBEEFDEADBEEF
35187 .xword 0xDEADBEEFDEADBEEF
35188 .xword 0xDEADBEEFDEADBEEF
35189 .xword 0xDEADBEEFDEADBEEF
35190 .xword 0xDEADBEEFDEADBEEF
35191 .xword 0xDEADBEEFDEADBEEF
35192 .xword 0xDEADBEEFDEADBEEF
35193 .xword 0xDEADBEEFDEADBEEF
35194 .xword 0xDEADBEEFDEADBEEF
35195 .xword 0xDEADBEEFDEADBEEF
35196 .xword 0xDEADBEEFDEADBEEF
35197 .xword 0xDEADBEEFDEADBEEF
35198 .xword 0xDEADBEEFDEADBEEF
35199 .xword 0xDEADBEEFDEADBEEF
35200 .xword 0xDEADBEEFDEADBEEF
35201 .xword 0xDEADBEEFDEADBEEF
35202 .xword 0xDEADBEEFDEADBEEF
35203 .xword 0xDEADBEEFDEADBEEF
35204 .xword 0xDEADBEEFDEADBEEF
35205 .xword 0xDEADBEEFDEADBEEF
35206 .xword 0xDEADBEEFDEADBEEF
35207 .xword 0xDEADBEEFDEADBEEF
35208 .xword 0xDEADBEEFDEADBEEF
35209 .xword 0xDEADBEEFDEADBEEF
35210 .xword 0xDEADBEEFDEADBEEF
35211 .xword 0xDEADBEEFDEADBEEF
35212 .xword 0xDEADBEEFDEADBEEF
35213 .xword 0xDEADBEEFDEADBEEF
35214 .xword 0xDEADBEEFDEADBEEF
35215 .xword 0xDEADBEEFDEADBEEF
35216 .xword 0xDEADBEEFDEADBEEF
35217 .xword 0xDEADBEEFDEADBEEF
35218 .xword 0xDEADBEEFDEADBEEF
35219 .xword 0xDEADBEEFDEADBEEF
35220 .xword 0xDEADBEEFDEADBEEF
35221_t1_hmac_auth_key:
35222 .xword 0x0bcd3ac87bb68721
35223 .xword 0x26c42e8db90f0798
35224 .xword 0x16dfbdc4ed699044
35225 .xword 0x1bd04b4800b897cc
35226 .xword 0x6135cf40465f8053
35227 .xword 0xd698b81b8d43741f
35228 .xword 0x4f1be09e35d1fe60
35229 .xword 0xc845e9ebdbe1b8fa
35230 .xword 0x9c1794607a7d416b
35231 .xword 0x9e3b21d704ea7055
35232 .xword 0x05aeb990b7fd0456
35233 .xword 0xd8e1077cb6bfb67f
35234 .xword 0xce1dc4c9ceef2ec6
35235 .xword 0x18c6f93ce138a4bd
35236 .xword 0x86af8780ec59f90f
35237 .xword 0xa444b23d1d427d67
35238 .xword 0x8bce95d2bdd95816
35239 .xword 0x7c2fb2bf0a87d927
35240 .xword 0x8d9f8a77bdab6e65
35241 .xword 0x23f3a1dddda2a8be
35242 .xword 0x1809af1163240ade
35243 .xword 0xd3bc67d7b53856f3
35244 .xword 0xe879ae146d48662a
35245_t1_hmac_auth_iv:
35246 .xword 0x2614da9812baef35
35247 .xword 0x950927cbdd70f166
35248 .xword 0xce943e3c01184dad
35249 .xword 0xba7e5fb457f6157c
35250 .xword 0x7165150fb4e3f3ea
35251 .xword 0x03b2c2c1e22574d1
35252 .xword 0x042b7bb0ede4cf42
35253 .xword 0x001dd3ac8134bbe2
35254 .xword 0x8d98a56700487bb1
35255 .xword 0x6c2d1a7523c20ab6
35256 .xword 0xcd161e1a64c0aa56
35257 .xword 0x99925d50e828b8ba
35258 .xword 0x08e32b312c703a94
35259 .xword 0x30654d5a3ff5c8ee
35260 .xword 0xea08401a7d1f924a
35261 .xword 0xdd2daaf087c4a110
35262 .xword 0x22a34fcf7fb1f2c0
35263 .xword 0xf9eebf09e856bfd7
35264 .xword 0xe23c978e6bdaabc6
35265 .xword 0x4eb66a6b3c3762f4
35266 .xword 0x3e408e6559453663
35267 .xword 0x0a439a3fde24725a
35268 .xword 0x4059fec2dae22acb
35269_t1_hmac_fas_result:
35270 .xword 0xDEADBEEFDEADBEEF
35271 .xword 0xDEADBEEFDEADBEEF
35272 .xword 0xDEADBEEFDEADBEEF
35273 .xword 0xDEADBEEFDEADBEEF
35274 .xword 0xDEADBEEFDEADBEEF
35275 .xword 0xDEADBEEFDEADBEEF
35276 .xword 0xDEADBEEFDEADBEEF
35277 .xword 0xDEADBEEFDEADBEEF
35278 .xword 0xDEADBEEFDEADBEEF
35279 .xword 0xDEADBEEFDEADBEEF
35280 .xword 0xDEADBEEFDEADBEEF
35281 .xword 0xDEADBEEFDEADBEEF
35282 .xword 0xDEADBEEFDEADBEEF
35283 .xword 0xDEADBEEFDEADBEEF
35284 .xword 0xDEADBEEFDEADBEEF
35285 .xword 0xDEADBEEFDEADBEEF
35286 .xword 0xDEADBEEFDEADBEEF
35287 .xword 0xDEADBEEFDEADBEEF
35288 .xword 0xDEADBEEFDEADBEEF
35289 .xword 0xDEADBEEFDEADBEEF
35290 .xword 0xDEADBEEFDEADBEEF
35291 .xword 0xDEADBEEFDEADBEEF
35292 .xword 0xDEADBEEFDEADBEEF
35293_t1_rc4_key_array:
35294 .xword 0xc665a1133902e22c
35295 .xword 0x65fd20d4ae847f01
35296 .xword 0xb351b3ed665f785e
35297 .xword 0xeb818d8b16f23573
35298 .xword 0xaa9d1fb6185f03de
35299 .xword 0x4f19f115023a0f9c
35300 .xword 0x17d7f0eeae0cd6bb
35301 .xword 0x6028672277e071a3
35302 .xword 0xeadb122e3fa2dce4
35303 .xword 0x6c4a1d6cbdd61af3
35304 .xword 0xf04789c16d87e4ff
35305 .xword 0xed94f542d4d31896
35306 .xword 0x1ec7cbff95f672bd
35307 .xword 0x3c6f5a0787978864
35308 .xword 0x85925e495bc8608f
35309 .xword 0x51555060ed6bcf56
35310 .xword 0xec4b634aa0d9a9f0
35311 .xword 0xc01628f5082abbc3
35312 .xword 0x41945a0f984cf51c
35313 .xword 0xe59b2bd205c01f91
35314 .xword 0x254d6397bff8d2e0
35315 .xword 0xa65bd58e06c8abd1
35316 .xword 0x00afa1baa742dbd2
35317 .xword 0x3c0823b605203cc4
35318 .xword 0x69819a5be8dceba8
35319 .xword 0x4098e5d53272a402
35320 .xword 0x206c6bb2495bf60e
35321 .xword 0x08370e20f958c261
35322 .xword 0xce2ea48e90e8c7a7
35323 .xword 0x50911f90df39c4bc
35324 .xword 0x1da85c9caf01cdb6
35325 .xword 0x762ccfd92175d74a
35326 .xword 0xaddd526307f48273
35327 .xword 0xfda69713cb917344
35328 .xword 0x4a2a600e2ceb91dc
35329 .xword 0xa8c53a91739b3923
35330 .xword 0xf75b7a8c4906c9ef
35331 .xword 0x3ebe9e5b2cb0b732
35332 .xword 0xe00b273f91a3c661
35333 .xword 0x978cc2c997da8e65
35334 .xword 0x7b58f0e386aa2910
35335 .xword 0x6878a18db3bf6137
35336 .xword 0x5f66a0de922139dd
35337 .xword 0xa42d5ed27edd1315
35338 .xword 0x05612fc9ebc443b3
35339 .xword 0x709763bb30a80890
35340 .xword 0x6c18caf1018b204e
35341 .xword 0x18dc0e0f96ae2a74
35342 .xword 0xbab92f4eb650bab6
35343 .xword 0x03043f2d48d0eeb0
35344 .xword 0xf79e07bedfbabf71
35345_t1_rc4_iv_array:
35346 .xword 0x1a63fc1feedc5456
35347 .xword 0x2d8c4bb3c81180f7
35348 .xword 0xb59066c15ac88856
35349 .xword 0xa80a713d0de5d3ce
35350 .xword 0x501e6d904be9e4fc
35351 .xword 0x994004396877a301
35352 .xword 0xced0cd1daa72d46f
35353 .xword 0xce1c0761c75aa886
35354 .xword 0xdb66ca0adc4cfd24
35355 .xword 0x867379d1436a486e
35356 .xword 0x0d09bed94bab5b2e
35357 .xword 0xe72115b74d1dfb41
35358 .xword 0x538429a63f1f113f
35359 .xword 0x35f97e2afa190513
35360 .xword 0x38e04f900ed241c5
35361 .xword 0x575205c6a603d038
35362 .xword 0x12b061ae825e452c
35363 .xword 0x5e4306fe2ed3d1f7
35364 .xword 0xb4e035bdfd641b05
35365 .xword 0xc82794ba30dc7c1f
35366 .xword 0xea13ae57fb386f9e
35367 .xword 0x6efaa78993832729
35368 .xword 0x1ded40f6eece736d
35369 .xword 0x8f88aa5332961100
35370 .xword 0xbd97de4b6450bf98
35371 .xword 0x5f5afac03fed52b8
35372 .xword 0x274abbdac0a38998
35373 .xword 0x54a07542d175ee30
35374 .xword 0x95ad2cad874114de
35375 .xword 0x0f4ea083663bcdd4
35376 .xword 0x4fb696fdfdcbd3f7
35377 .xword 0x1ecddae4adce7c12
35378 .xword 0x28e8aadcdda1ee2b
35379 .xword 0xd5ac8854dc7a4271
35380 .xword 0xc1850314b414624b
35381 .xword 0x0fd7fe410e260097
35382 .xword 0xb5584b68c091f30a
35383 .xword 0xee38b03c8f006454
35384 .xword 0xbdb2836361132f58
35385 .xword 0x9ba5ec5d402e8a35
35386 .xword 0x1e5f703feb09f90b
35387 .xword 0x1d1edff1b79b5784
35388 .xword 0xcfc60bde08c3387d
35389 .xword 0x3ad0906351d9f94d
35390 .xword 0x1db65218133901fd
35391_t1_rc4_alignment_array:
35392 .xword 7
35393 .xword 1
35394 .xword 11
35395 .xword 15
35396 .xword 5
35397 .xword 9
35398 .xword 6
35399 .xword 12
35400 .xword 0
35401 .xword 12
35402 .xword 0
35403 .xword 4
35404 .xword 13
35405 .xword 8
35406 .xword 13
35407 .xword 6
35408 .xword 2
35409 .xword 6
35410 .xword 14
35411 .xword 9
35412 .xword 0
35413 .xword 8
35414 .xword 1
35415 .xword 9
35416 .xword 4
35417 .xword 1
35418 .xword 7
35419 .xword 3
35420 .xword 5
35421 .xword 12
35422 .xword 6
35423 .xword 2
35424 .xword 9
35425 .xword 8
35426 .xword 11
35427 .xword 13
35428 .xword 6
35429 .xword 3
35430 .xword 14
35431 .xword 15
35432 .xword 1
35433 .xword 1
35434 .xword 12
35435 .xword 10
35436 .xword 14
35437 .xword 12
35438 .xword 14
35439 .xword 0
35440 .xword 7
35441 .xword 4
35442 .xword 0
35443 .xword 8
35444 .xword 0
35445 .xword 12
35446 .xword 10
35447 .xword 1
35448 .xword 15
35449 .xword 5
35450 .xword 4
35451 .xword 0
35452 .xword 9
35453 .xword 7
35454 .xword 11
35455 .xword 12
35456 .xword 14
35457 .xword 15
35458 .xword 6
35459 .xword 3
35460 .xword 13
35461 .xword 1
35462 .xword 1
35463 .xword 13
35464 .xword 4
35465 .xword 4
35466 .xword 0
35467 .xword 9
35468 .xword 10
35469 .xword 13
35470 .xword 15
35471 .xword 7
35472 .xword 10
35473 .xword 8
35474 .xword 6
35475 .xword 1
35476 .xword 14
35477 .xword 8
35478 .xword 10
35479 .xword 11
35480 .xword 4
35481 .xword 11
35482 .xword 9
35483 .xword 6
35484 .xword 14
35485 .xword 0
35486 .xword 4
35487 .xword 9
35488 .xword 15
35489 .xword 11
35490 .xword 11
35491 .xword 3
35492 .xword 6
35493 .xword 2
35494 .xword 12
35495 .xword 11
35496 .xword 4
35497_t1_rc4_src:
35498 .xword 0xd8b6fec1764ff7b2
35499 .xword 0x5c1959a25435f9da
35500 .xword 0xd2da831998b547b8
35501 .xword 0xdf2cf5b81c1467fe
35502 .xword 0xc33a78fb4092ccc2
35503 .xword 0x2eab8fb2cb77f0ad
35504 .xword 0x4ad3dded12b0530d
35505 .xword 0x02f7d2ed039b8061
35506 .xword 0xe6d31af3bae3bf6f
35507 .xword 0x1a3b5bc16b694a5e
35508 .xword 0x9e62a691ede8b955
35509 .xword 0x21e6b51b14383ca0
35510 .xword 0x5382c5ee86c71bf2
35511 .xword 0x0628b4f8fc04aa25
35512 .xword 0x8e340425a1fab4e7
35513 .xword 0xb9b63a15f67db146
35514 .xword 0x9b3e65fd9ae37e6f
35515 .xword 0x52e3c3de7dd37c84
35516 .xword 0x745a07a0eac5d9b5
35517 .xword 0x51507b31d24f954e
35518 .xword 0x7d55ae082f20bced
35519 .xword 0x055064ba6d402544
35520 .xword 0x62ee91b99073eac9
35521 .xword 0x9946f57e936bd082
35522 .xword 0xa8ae2c2c00f7fb47
35523 .xword 0xe2bae8b35e5139fe
35524 .xword 0xb921667b8af4991c
35525 .xword 0x421058b79b3aec50
35526 .xword 0x169b42c45b4de5c8
35527 .xword 0x3c6cf0db31690b74
35528 .xword 0x44ef4c965d4b51cf
35529 .xword 0x8c661de19ed11ab1
35530 .xword 0xd36fe291c96237fd
35531 .xword 0x45d3c6ef33c8a9d9
35532 .xword 0x2580d844a995232e
35533 .xword 0x8e98007a9d917684
35534 .xword 0x06691834c3ca865a
35535 .xword 0xbbd93d57288eb0ac
35536 .xword 0x3059f1da37a5dd5c
35537 .xword 0x2e57e11d1d93099a
35538 .xword 0x368549e54dca70e9
35539 .xword 0x84931791dec5ec51
35540 .xword 0xa0891e03c229d988
35541 .xword 0x8eb1a873470f92e0
35542 .xword 0x9a20e5c1159d80f7
35543 .xword 0xcc9bbe581409509b
35544 .xword 0x19218ea36ebfe13c
35545 .xword 0x4e7861f7824c9db0
35546 .xword 0xcd4e00810d431e5c
35547 .xword 0x532a96354018dd83
35548 .xword 0x7acbb04d0dfe532e
35549 .xword 0x77e6477a46d79f99
35550 .xword 0x4849393196cba5a9
35551 .xword 0xc2c04f3d8a8862cb
35552 .xword 0x0240588fce865ab0
35553 .xword 0xb73e55518c901843
35554 .xword 0x5558f298bab028f3
35555 .xword 0x84265eda4213677d
35556 .xword 0x157da72aa302b1f0
35557 .xword 0x443dd14df90b4b1a
35558 .xword 0x7bb64df7099ea991
35559 .xword 0x28c8c2fdf735a77a
35560 .xword 0x6939cd6d25b1e6ab
35561 .xword 0x06f36f38f7d3efb8
35562 .xword 0xc1d94530aea3213b
35563 .xword 0x454bb6abbf489c9b
35564 .xword 0xaacefd14ff3cb6cc
35565 .xword 0x6fee7f13d8b4e446
35566 .xword 0xc1091cd39e910aaf
35567 .xword 0x042f0777418c7154
35568 .xword 0xcb1ba08a62c77d75
35569 .xword 0xb7986776866c847a
35570 .xword 0x03d4848adfff37b3
35571 .xword 0x938cf720fff50942
35572 .xword 0x2ad4b087378c814a
35573 .xword 0x451ebba2e5f4199e
35574 .xword 0xe5c30b6afda69587
35575 .xword 0x24905f8c67b225f6
35576 .xword 0x7048aa9e88106575
35577 .xword 0xa3147dd3b2dda542
35578 .xword 0x36950dadd9624ee8
35579 .xword 0x536fd1463eedd098
35580 .xword 0x08f4c3dc09f8fd3c
35581 .xword 0x9734429fcf9b681a
35582 .xword 0x3dca4901a7624ca1
35583 .xword 0x830158c2122c5598
35584 .xword 0x1cf2fe509d4abd92
35585 .xword 0x8b34812c142eb934
35586 .xword 0x39cb1f2935e22c1c
35587 .xword 0x8957ec917fa85bb7
35588 .xword 0x6024c559d19af3c3
35589 .xword 0x1e948d024b4b64d3
35590 .xword 0xdb78404654fa5f0c
35591 .xword 0xc7eee3685755ed7a
35592 .xword 0xa4c513d8ee51e845
35593 .xword 0x7a00b71a59013b33
35594 .xword 0xa0e2882daeb5598d
35595 .xword 0xdccb3229536eb2a2
35596 .xword 0xb8fa5853f7460fd9
35597 .xword 0x74a545b9ba8ccf9f
35598 .xword 0x7c549ba9845bc39e
35599 .xword 0x26fddf93433af8b8
35600 .xword 0xe78526c66ce41342
35601 .xword 0x40bce9d425993386
35602 .xword 0xd419408f36fe9510
35603 .xword 0x7a9ff84eecc0bc29
35604 .xword 0xc2a3d30cd88319ae
35605 .xword 0x1d2cd4f5abaacb42
35606 .xword 0x03205a6fb2598724
35607 .xword 0x146b807628f76296
35608 .xword 0xd4ea21e83310396d
35609 .xword 0x7ea86ff07005d8a4
35610 .xword 0x88ae5721f7079dc9
35611 .xword 0x6dc6a5a9e1aa834e
35612 .xword 0xd30d6ab08950b4b4
35613 .xword 0x727d166c4acde98e
35614 .xword 0x2be1786a41486bec
35615 .xword 0x8f6ed0e65c0682b9
35616 .xword 0x7658267897e8b22e
35617 .xword 0xa30050090b7c2fea
35618 .xword 0xd016ca0d9ece1303
35619 .xword 0xc7b90856588f5235
35620 .xword 0x1f0806c5205c55f5
35621 .xword 0x8b0806f716e326ea
35622 .xword 0xc164aa33f872ebed
35623 .xword 0x91c73facf9037722
35624 .xword 0x8c0af958e7aacac0
35625 .xword 0x075a1b3c4cf4fb0c
35626 .xword 0x959fcacc747348a1
35627 .xword 0xb8ccabe3c98d7fa3
35628 .xword 0x9266eeb30f26893a
35629 .xword 0xa7a8225f50c2ec19
35630 .xword 0xa9aa03ffe169480f
35631 .xword 0x4f87fd96c4c8b12b
35632 .xword 0x25edf3f221012709
35633 .xword 0xe50854b22254401d
35634 .xword 0x1f4831b6d1f5898b
35635 .xword 0xb9b860ca2e998098
35636 .xword 0xebc700d4e74cee8b
35637 .xword 0xf1b9cee81c062368
35638 .xword 0x6c9aa2c9d2876aaf
35639 .xword 0x07fcbf75ac503f84
35640 .xword 0xf4d1a94faf30ddf0
35641 .xword 0xa1336afb7533090e
35642 .xword 0xdfd5b1aae2a57d5e
35643 .xword 0xa390417b998e48c9
35644 .xword 0xb4e79a9a882c5883
35645 .xword 0x6e8d5b1f149973bb
35646 .xword 0x1c7f7bfb7e105f14
35647 .xword 0x8b9cc5fc97490b01
35648 .xword 0x639350eb0725d37e
35649 .xword 0x368bbf9a46db811f
35650 .xword 0x829ff80fb961ce3b
35651 .xword 0xaa991d9470029bdf
35652 .xword 0x17dff25e1fb88b0d
35653 .xword 0xaddba3bcada17fae
35654 .xword 0xdf1c41397bebd6b9
35655 .xword 0x920c050d110cfab1
35656 .xword 0xe88e3263c5bc4df3
35657 .xword 0xf6fc35b357b639b9
35658 .xword 0xb2d0d5ac12ee47e9
35659 .xword 0xdbd82492ae99ec41
35660 .xword 0x0084398f962f1d1c
35661 .xword 0xb5f0d54c76e2d222
35662 .xword 0xe2dbb1c5bdb7ce41
35663 .xword 0x249adef895f4adf8
35664 .xword 0x0c961a0eb4516286
35665 .xword 0xd02cd7dc8f076ef7
35666 .xword 0xce66c80fb0626653
35667 .xword 0xa5d5a122165d5234
35668 .xword 0x463cbb71c509c83f
35669 .xword 0x61147a2257dc663a
35670 .xword 0x7be257c8dccabe9d
35671 .xword 0x9a7488fdc6386433
35672 .xword 0x5be8335660ae7095
35673 .xword 0x1c4de89b34d09484
35674 .xword 0xe84aa3cffe59ba6a
35675 .xword 0xb1beb9c965239e84
35676 .xword 0xab15ad0b6355b328
35677 .xword 0xfbaf570aa72c4d8d
35678 .xword 0xa913a963468bb235
35679 .xword 0x50944404a81f3bde
35680 .xword 0x8d70e3985068f0a1
35681 .xword 0xc05e3536039a3048
35682 .xword 0x26eb8d3b0f46975a
35683 .xword 0x8bcffdc968e03a5d
35684 .xword 0x72539699a7774057
35685 .xword 0x73dcfcbe76f6b7ba
35686 .xword 0xd5f11fadcb1a78a2
35687 .xword 0xbf9630453db879bd
35688 .xword 0xf97d6b956b9c76c2
35689 .xword 0x31f4185a592b21ef
35690 .xword 0xbab906335306d133
35691 .xword 0xa3f42084b1629157
35692 .xword 0x7fa080ec2ed8ccf4
35693 .xword 0x80bd012d82394c4c
35694 .xword 0x605724f2ccf60d32
35695 .xword 0x65c0ffdb402ab740
35696 .xword 0x25deaead960d71d3
35697 .xword 0x3bdcc17c9156c5d6
35698 .xword 0x5c1f729dcefa33c6
35699 .xword 0xab06922875a078fd
35700 .xword 0x034f0b702d3d9a4a
35701 .xword 0x6e7f53969bd2a1c6
35702 .xword 0x5704de6233255300
35703 .xword 0x66b2fabef20a4dae
35704 .xword 0xe023e6c3fcb5b062
35705 .xword 0xb6ea5fbc4ecb32cb
35706 .xword 0xa054da151a00c697
35707 .xword 0x1612b37f1ac12171
35708 .xword 0xc26eea5801bdd54e
35709 .xword 0x6c2a7f3fab87ad0e
35710 .xword 0x7c7ff51a9ec168fb
35711 .xword 0xbc098c4df69f565b
35712 .xword 0x8a249641728882b4
35713 .xword 0x8b02c72a4c90a447
35714 .xword 0x9dac310758724bae
35715 .xword 0x89c5bfa3a94159c5
35716 .xword 0x0cb59dc61b32aabd
35717 .xword 0xc55c504292f71acf
35718 .xword 0x09833f3f1d109a7f
35719 .xword 0x82ad4d9383f24a38
35720 .xword 0x9e5f17133584e581
35721 .xword 0x22a9ac1bff89d1b5
35722 .xword 0xaa96d39e98dce3d1
35723 .xword 0x5d0cf4681c8b27a0
35724 .xword 0xe1e18f0441a0a095
35725 .xword 0x35eecd352bc6c40a
35726 .xword 0xbc5f28ef2c2bd888
35727 .xword 0x5ba58b3ceae2b9f6
35728 .xword 0x8c165dcc606e192b
35729 .xword 0xdd3758ee34744e7a
35730 .xword 0x98664f679b735ed9
35731 .xword 0x2c667a5ec3516abe
35732 .xword 0x28c4633d0f0c6031
35733 .xword 0xdee45b2270734ea4
35734 .xword 0x9524fb5609294864
35735 .xword 0x79f3c6c5fb478aed
35736 .xword 0xd7a87e3a0b11a3a6
35737 .xword 0x3c06ea10bc782e6e
35738 .xword 0x85385eb97cf37269
35739 .xword 0xb5609f88c4c7a6ea
35740 .xword 0xcdc18887db6e0732
35741 .xword 0x53785c5174c91101
35742 .xword 0x1e1f3e98b3e941bf
35743 .xword 0xe14ee63eec3e7bb5
35744 .xword 0x9dc63b16feee603c
35745 .xword 0xc5e2f84a7bff73f1
35746 .xword 0xb94c07b88f02c468
35747 .xword 0xabcf3ff7a3634800
35748 .xword 0x005f09e17f726ed6
35749 .xword 0x8c30c6a427242972
35750 .xword 0xfb14c8b8665efdf5
35751 .xword 0x881b4b469ceb4a9f
35752 .xword 0xe44bbe2f5a23029b
35753 .xword 0x26e78ee7c6076d65
35754 .xword 0xb2a940bbf5c37c70
35755 .xword 0x519ff77591f7b755
35756 .xword 0xdd13cf979e299c50
35757 .xword 0x335af26ed0603971
35758 .xword 0x51df64318ba28c2b
35759 .xword 0x4e33fed4c5f6dde5
35760 .xword 0xfeb206eef35b4d0e
35761 .xword 0xd1edfa0f2fc93113
35762 .xword 0xe3809122f9b01dde
35763 .xword 0xbb0dbfac3617d3a3
35764 .xword 0xa724903d6f666f2c
35765 .xword 0x523acd87198a3c17
35766 .xword 0x251ee4ce61f0de8b
35767 .xword 0x6228b057b6dded1d
35768 .xword 0xe7c48dd9b75d0308
35769 .xword 0x8cf6629030fdba40
35770 .xword 0x76d6777abd8645b9
35771 .xword 0xeabeb4018a88a76a
35772 .xword 0x0be172e93f157b80
35773_t1_rc4_dest:
35774 .xword 0xDEADBEEFDEADBEEF
35775 .xword 0xDEADBEEFDEADBEEF
35776 .xword 0xDEADBEEFDEADBEEF
35777 .xword 0xDEADBEEFDEADBEEF
35778 .xword 0xDEADBEEFDEADBEEF
35779 .xword 0xDEADBEEFDEADBEEF
35780 .xword 0xDEADBEEFDEADBEEF
35781 .xword 0xDEADBEEFDEADBEEF
35782 .xword 0xDEADBEEFDEADBEEF
35783 .xword 0xDEADBEEFDEADBEEF
35784 .xword 0xDEADBEEFDEADBEEF
35785 .xword 0xDEADBEEFDEADBEEF
35786 .xword 0xDEADBEEFDEADBEEF
35787 .xword 0xDEADBEEFDEADBEEF
35788 .xword 0xDEADBEEFDEADBEEF
35789 .xword 0xDEADBEEFDEADBEEF
35790 .xword 0xDEADBEEFDEADBEEF
35791 .xword 0xDEADBEEFDEADBEEF
35792 .xword 0xDEADBEEFDEADBEEF
35793 .xword 0xDEADBEEFDEADBEEF
35794 .xword 0xDEADBEEFDEADBEEF
35795 .xword 0xDEADBEEFDEADBEEF
35796 .xword 0xDEADBEEFDEADBEEF
35797 .xword 0xDEADBEEFDEADBEEF
35798 .xword 0xDEADBEEFDEADBEEF
35799 .xword 0xDEADBEEFDEADBEEF
35800 .xword 0xDEADBEEFDEADBEEF
35801 .xword 0xDEADBEEFDEADBEEF
35802 .xword 0xDEADBEEFDEADBEEF
35803 .xword 0xDEADBEEFDEADBEEF
35804 .xword 0xDEADBEEFDEADBEEF
35805 .xword 0xDEADBEEFDEADBEEF
35806 .xword 0xDEADBEEFDEADBEEF
35807 .xword 0xDEADBEEFDEADBEEF
35808 .xword 0xDEADBEEFDEADBEEF
35809 .xword 0xDEADBEEFDEADBEEF
35810 .xword 0xDEADBEEFDEADBEEF
35811 .xword 0xDEADBEEFDEADBEEF
35812 .xword 0xDEADBEEFDEADBEEF
35813 .xword 0xDEADBEEFDEADBEEF
35814 .xword 0xDEADBEEFDEADBEEF
35815 .xword 0xDEADBEEFDEADBEEF
35816 .xword 0xDEADBEEFDEADBEEF
35817 .xword 0xDEADBEEFDEADBEEF
35818 .xword 0xDEADBEEFDEADBEEF
35819 .xword 0xDEADBEEFDEADBEEF
35820 .xword 0xDEADBEEFDEADBEEF
35821 .xword 0xDEADBEEFDEADBEEF
35822 .xword 0xDEADBEEFDEADBEEF
35823 .xword 0xDEADBEEFDEADBEEF
35824 .xword 0xDEADBEEFDEADBEEF
35825 .xword 0xDEADBEEFDEADBEEF
35826 .xword 0xDEADBEEFDEADBEEF
35827 .xword 0xDEADBEEFDEADBEEF
35828 .xword 0xDEADBEEFDEADBEEF
35829 .xword 0xDEADBEEFDEADBEEF
35830 .xword 0xDEADBEEFDEADBEEF
35831 .xword 0xDEADBEEFDEADBEEF
35832 .xword 0xDEADBEEFDEADBEEF
35833 .xword 0xDEADBEEFDEADBEEF
35834 .xword 0xDEADBEEFDEADBEEF
35835 .xword 0xDEADBEEFDEADBEEF
35836 .xword 0xDEADBEEFDEADBEEF
35837 .xword 0xDEADBEEFDEADBEEF
35838 .xword 0xDEADBEEFDEADBEEF
35839 .xword 0xDEADBEEFDEADBEEF
35840 .xword 0xDEADBEEFDEADBEEF
35841 .xword 0xDEADBEEFDEADBEEF
35842 .xword 0xDEADBEEFDEADBEEF
35843 .xword 0xDEADBEEFDEADBEEF
35844 .xword 0xDEADBEEFDEADBEEF
35845 .xword 0xDEADBEEFDEADBEEF
35846 .xword 0xDEADBEEFDEADBEEF
35847 .xword 0xDEADBEEFDEADBEEF
35848 .xword 0xDEADBEEFDEADBEEF
35849 .xword 0xDEADBEEFDEADBEEF
35850 .xword 0xDEADBEEFDEADBEEF
35851 .xword 0xDEADBEEFDEADBEEF
35852 .xword 0xDEADBEEFDEADBEEF
35853 .xword 0xDEADBEEFDEADBEEF
35854 .xword 0xDEADBEEFDEADBEEF
35855 .xword 0xDEADBEEFDEADBEEF
35856 .xword 0xDEADBEEFDEADBEEF
35857 .xword 0xDEADBEEFDEADBEEF
35858 .xword 0xDEADBEEFDEADBEEF
35859 .xword 0xDEADBEEFDEADBEEF
35860 .xword 0xDEADBEEFDEADBEEF
35861 .xword 0xDEADBEEFDEADBEEF
35862 .xword 0xDEADBEEFDEADBEEF
35863 .xword 0xDEADBEEFDEADBEEF
35864 .xword 0xDEADBEEFDEADBEEF
35865 .xword 0xDEADBEEFDEADBEEF
35866 .xword 0xDEADBEEFDEADBEEF
35867 .xword 0xDEADBEEFDEADBEEF
35868 .xword 0xDEADBEEFDEADBEEF
35869 .xword 0xDEADBEEFDEADBEEF
35870 .xword 0xDEADBEEFDEADBEEF
35871 .xword 0xDEADBEEFDEADBEEF
35872 .xword 0xDEADBEEFDEADBEEF
35873 .xword 0xDEADBEEFDEADBEEF
35874 .xword 0xDEADBEEFDEADBEEF
35875 .xword 0xDEADBEEFDEADBEEF
35876 .xword 0xDEADBEEFDEADBEEF
35877 .xword 0xDEADBEEFDEADBEEF
35878 .xword 0xDEADBEEFDEADBEEF
35879 .xword 0xDEADBEEFDEADBEEF
35880 .xword 0xDEADBEEFDEADBEEF
35881 .xword 0xDEADBEEFDEADBEEF
35882 .xword 0xDEADBEEFDEADBEEF
35883 .xword 0xDEADBEEFDEADBEEF
35884 .xword 0xDEADBEEFDEADBEEF
35885 .xword 0xDEADBEEFDEADBEEF
35886 .xword 0xDEADBEEFDEADBEEF
35887 .xword 0xDEADBEEFDEADBEEF
35888 .xword 0xDEADBEEFDEADBEEF
35889 .xword 0xDEADBEEFDEADBEEF
35890 .xword 0xDEADBEEFDEADBEEF
35891 .xword 0xDEADBEEFDEADBEEF
35892 .xword 0xDEADBEEFDEADBEEF
35893 .xword 0xDEADBEEFDEADBEEF
35894 .xword 0xDEADBEEFDEADBEEF
35895 .xword 0xDEADBEEFDEADBEEF
35896 .xword 0xDEADBEEFDEADBEEF
35897 .xword 0xDEADBEEFDEADBEEF
35898 .xword 0xDEADBEEFDEADBEEF
35899 .xword 0xDEADBEEFDEADBEEF
35900 .xword 0xDEADBEEFDEADBEEF
35901 .xword 0xDEADBEEFDEADBEEF
35902 .xword 0xDEADBEEFDEADBEEF
35903 .xword 0xDEADBEEFDEADBEEF
35904 .xword 0xDEADBEEFDEADBEEF
35905 .xword 0xDEADBEEFDEADBEEF
35906 .xword 0xDEADBEEFDEADBEEF
35907 .xword 0xDEADBEEFDEADBEEF
35908 .xword 0xDEADBEEFDEADBEEF
35909 .xword 0xDEADBEEFDEADBEEF
35910 .xword 0xDEADBEEFDEADBEEF
35911 .xword 0xDEADBEEFDEADBEEF
35912 .xword 0xDEADBEEFDEADBEEF
35913 .xword 0xDEADBEEFDEADBEEF
35914 .xword 0xDEADBEEFDEADBEEF
35915 .xword 0xDEADBEEFDEADBEEF
35916 .xword 0xDEADBEEFDEADBEEF
35917 .xword 0xDEADBEEFDEADBEEF
35918 .xword 0xDEADBEEFDEADBEEF
35919 .xword 0xDEADBEEFDEADBEEF
35920 .xword 0xDEADBEEFDEADBEEF
35921 .xword 0xDEADBEEFDEADBEEF
35922 .xword 0xDEADBEEFDEADBEEF
35923 .xword 0xDEADBEEFDEADBEEF
35924 .xword 0xDEADBEEFDEADBEEF
35925 .xword 0xDEADBEEFDEADBEEF
35926 .xword 0xDEADBEEFDEADBEEF
35927 .xword 0xDEADBEEFDEADBEEF
35928 .xword 0xDEADBEEFDEADBEEF
35929 .xword 0xDEADBEEFDEADBEEF
35930 .xword 0xDEADBEEFDEADBEEF
35931 .xword 0xDEADBEEFDEADBEEF
35932 .xword 0xDEADBEEFDEADBEEF
35933 .xword 0xDEADBEEFDEADBEEF
35934 .xword 0xDEADBEEFDEADBEEF
35935 .xword 0xDEADBEEFDEADBEEF
35936 .xword 0xDEADBEEFDEADBEEF
35937 .xword 0xDEADBEEFDEADBEEF
35938 .xword 0xDEADBEEFDEADBEEF
35939 .xword 0xDEADBEEFDEADBEEF
35940 .xword 0xDEADBEEFDEADBEEF
35941 .xword 0xDEADBEEFDEADBEEF
35942 .xword 0xDEADBEEFDEADBEEF
35943 .xword 0xDEADBEEFDEADBEEF
35944 .xword 0xDEADBEEFDEADBEEF
35945 .xword 0xDEADBEEFDEADBEEF
35946 .xword 0xDEADBEEFDEADBEEF
35947 .xword 0xDEADBEEFDEADBEEF
35948 .xword 0xDEADBEEFDEADBEEF
35949 .xword 0xDEADBEEFDEADBEEF
35950 .xword 0xDEADBEEFDEADBEEF
35951 .xword 0xDEADBEEFDEADBEEF
35952 .xword 0xDEADBEEFDEADBEEF
35953 .xword 0xDEADBEEFDEADBEEF
35954 .xword 0xDEADBEEFDEADBEEF
35955 .xword 0xDEADBEEFDEADBEEF
35956 .xword 0xDEADBEEFDEADBEEF
35957 .xword 0xDEADBEEFDEADBEEF
35958 .xword 0xDEADBEEFDEADBEEF
35959 .xword 0xDEADBEEFDEADBEEF
35960 .xword 0xDEADBEEFDEADBEEF
35961 .xword 0xDEADBEEFDEADBEEF
35962 .xword 0xDEADBEEFDEADBEEF
35963 .xword 0xDEADBEEFDEADBEEF
35964 .xword 0xDEADBEEFDEADBEEF
35965 .xword 0xDEADBEEFDEADBEEF
35966 .xword 0xDEADBEEFDEADBEEF
35967 .xword 0xDEADBEEFDEADBEEF
35968 .xword 0xDEADBEEFDEADBEEF
35969 .xword 0xDEADBEEFDEADBEEF
35970 .xword 0xDEADBEEFDEADBEEF
35971 .xword 0xDEADBEEFDEADBEEF
35972 .xword 0xDEADBEEFDEADBEEF
35973 .xword 0xDEADBEEFDEADBEEF
35974 .xword 0xDEADBEEFDEADBEEF
35975 .xword 0xDEADBEEFDEADBEEF
35976 .xword 0xDEADBEEFDEADBEEF
35977 .xword 0xDEADBEEFDEADBEEF
35978 .xword 0xDEADBEEFDEADBEEF
35979 .xword 0xDEADBEEFDEADBEEF
35980 .xword 0xDEADBEEFDEADBEEF
35981 .xword 0xDEADBEEFDEADBEEF
35982 .xword 0xDEADBEEFDEADBEEF
35983 .xword 0xDEADBEEFDEADBEEF
35984 .xword 0xDEADBEEFDEADBEEF
35985 .xword 0xDEADBEEFDEADBEEF
35986 .xword 0xDEADBEEFDEADBEEF
35987 .xword 0xDEADBEEFDEADBEEF
35988 .xword 0xDEADBEEFDEADBEEF
35989 .xword 0xDEADBEEFDEADBEEF
35990 .xword 0xDEADBEEFDEADBEEF
35991 .xword 0xDEADBEEFDEADBEEF
35992 .xword 0xDEADBEEFDEADBEEF
35993 .xword 0xDEADBEEFDEADBEEF
35994 .xword 0xDEADBEEFDEADBEEF
35995 .xword 0xDEADBEEFDEADBEEF
35996 .xword 0xDEADBEEFDEADBEEF
35997 .xword 0xDEADBEEFDEADBEEF
35998 .xword 0xDEADBEEFDEADBEEF
35999 .xword 0xDEADBEEFDEADBEEF
36000 .xword 0xDEADBEEFDEADBEEF
36001 .xword 0xDEADBEEFDEADBEEF
36002 .xword 0xDEADBEEFDEADBEEF
36003 .xword 0xDEADBEEFDEADBEEF
36004 .xword 0xDEADBEEFDEADBEEF
36005 .xword 0xDEADBEEFDEADBEEF
36006 .xword 0xDEADBEEFDEADBEEF
36007 .xword 0xDEADBEEFDEADBEEF
36008 .xword 0xDEADBEEFDEADBEEF
36009 .xword 0xDEADBEEFDEADBEEF
36010 .xword 0xDEADBEEFDEADBEEF
36011 .xword 0xDEADBEEFDEADBEEF
36012 .xword 0xDEADBEEFDEADBEEF
36013 .xword 0xDEADBEEFDEADBEEF
36014 .xword 0xDEADBEEFDEADBEEF
36015 .xword 0xDEADBEEFDEADBEEF
36016 .xword 0xDEADBEEFDEADBEEF
36017 .xword 0xDEADBEEFDEADBEEF
36018 .xword 0xDEADBEEFDEADBEEF
36019 .xword 0xDEADBEEFDEADBEEF
36020 .xword 0xDEADBEEFDEADBEEF
36021 .xword 0xDEADBEEFDEADBEEF
36022 .xword 0xDEADBEEFDEADBEEF
36023 .xword 0xDEADBEEFDEADBEEF
36024 .xword 0xDEADBEEFDEADBEEF
36025 .xword 0xDEADBEEFDEADBEEF
36026 .xword 0xDEADBEEFDEADBEEF
36027 .xword 0xDEADBEEFDEADBEEF
36028 .xword 0xDEADBEEFDEADBEEF
36029 .xword 0xDEADBEEFDEADBEEF
36030 .xword 0xDEADBEEFDEADBEEF
36031 .xword 0xDEADBEEFDEADBEEF
36032 .xword 0xDEADBEEFDEADBEEF
36033 .xword 0xDEADBEEFDEADBEEF
36034 .xword 0xDEADBEEFDEADBEEF
36035 .xword 0xDEADBEEFDEADBEEF
36036 .xword 0xDEADBEEFDEADBEEF
36037 .xword 0xDEADBEEFDEADBEEF
36038 .xword 0xDEADBEEFDEADBEEF
36039 .xword 0xDEADBEEFDEADBEEF
36040 .xword 0xDEADBEEFDEADBEEF
36041 .xword 0xDEADBEEFDEADBEEF
36042 .xword 0xDEADBEEFDEADBEEF
36043 .xword 0xDEADBEEFDEADBEEF
36044 .xword 0xDEADBEEFDEADBEEF
36045 .xword 0xDEADBEEFDEADBEEF
36046 .xword 0xDEADBEEFDEADBEEF
36047 .xword 0xDEADBEEFDEADBEEF
36048 .xword 0xDEADBEEFDEADBEEF
36049_t1_rc4_auth_key:
36050 .xword 0x5ffb72c1ece929bf
36051 .xword 0xede0cd45d4620526
36052 .xword 0x14bce8a87fdcdbf9
36053 .xword 0x69ff4898df31c0d7
36054 .xword 0x037b581531ae5d69
36055 .xword 0x3cc41497615ac3f7
36056 .xword 0xbd0a1db8c3f25726
36057 .xword 0x4ce6072beb1bc331
36058 .xword 0x85ceddf235b392e1
36059 .xword 0x7308b0222aa581fc
36060 .xword 0x1b49eed7e49559af
36061 .xword 0x47264e59a3b9fb14
36062 .xword 0x9cee396e14c2854a
36063 .xword 0x9349ae88f5fca65b
36064 .xword 0x711c25a339a0db90
36065 .xword 0xd70e84f4ab892602
36066 .xword 0xc3f25761c5df4cb6
36067 .xword 0xae4f38ddcf57de9d
36068 .xword 0xa98cc0f6f932e705
36069 .xword 0x62921cfcd8729bdf
36070 .xword 0x511759e8d864d6a6
36071 .xword 0x8fff223f688d41af
36072 .xword 0xdbb49e0e7901f009
36073_t1_rc4_auth_iv:
36074 .xword 0xbdd0ed060d415d07
36075 .xword 0x12a471bed9d10cf4
36076 .xword 0x717ff02007fde6bb
36077 .xword 0x6e72ce89fcc9f301
36078 .xword 0x300bd41e758f6625
36079 .xword 0xe2181c04412814ab
36080 .xword 0xa6694b0878cbbc1c
36081 .xword 0x15e9e9489f3ef929
36082 .xword 0xbfd2e289f0a25ea6
36083 .xword 0x53076a3887d44b6f
36084 .xword 0x06dea8f6482eca4f
36085 .xword 0xcba4d471990dc416
36086 .xword 0x9eb7a9ebb12c3220
36087 .xword 0x318dfbabcce81d40
36088 .xword 0x95952adc93095cea
36089 .xword 0x3a1b9d76456e3d51
36090 .xword 0x9fab70cf057eb8db
36091 .xword 0x3ab2c241ce54cc72
36092 .xword 0x34160c409e3fb95d
36093 .xword 0x4b4e399df3d41624
36094 .xword 0xfbc65d8c4b5f7745
36095 .xword 0x0bdae5d7aaf0404b
36096 .xword 0xc0c28d7138bc5b03
36097_t1_rc4_fas_result:
36098 .xword 0xDEADBEEFDEADBEEF
36099 .xword 0xDEADBEEFDEADBEEF
36100 .xword 0xDEADBEEFDEADBEEF
36101 .xword 0xDEADBEEFDEADBEEF
36102 .xword 0xDEADBEEFDEADBEEF
36103 .xword 0xDEADBEEFDEADBEEF
36104 .xword 0xDEADBEEFDEADBEEF
36105 .xword 0xDEADBEEFDEADBEEF
36106 .xword 0xDEADBEEFDEADBEEF
36107 .xword 0xDEADBEEFDEADBEEF
36108 .xword 0xDEADBEEFDEADBEEF
36109 .xword 0xDEADBEEFDEADBEEF
36110 .xword 0xDEADBEEFDEADBEEF
36111 .xword 0xDEADBEEFDEADBEEF
36112 .xword 0xDEADBEEFDEADBEEF
36113 .xword 0xDEADBEEFDEADBEEF
36114 .xword 0xDEADBEEFDEADBEEF
36115 .xword 0xDEADBEEFDEADBEEF
36116 .xword 0xDEADBEEFDEADBEEF
36117 .xword 0xDEADBEEFDEADBEEF
36118 .xword 0xDEADBEEFDEADBEEF
36119 .xword 0xDEADBEEFDEADBEEF
36120 .xword 0xDEADBEEFDEADBEEF
36121_t1_sslkey_key_array:
36122 .xword 0x4dd79214edd5b85b
36123 .xword 0x725e30609607d640
36124 .xword 0xc9291ad3aeaa1a50
36125 .xword 0xad5e49e97e0892ab
36126 .xword 0x05639215b854b234
36127 .xword 0xde93784459210168
36128 .xword 0x621296ac7cd0983f
36129 .xword 0xa08dfa1935d59157
36130 .xword 0x2179c2705caccc02
36131 .xword 0x0af89595efd7a449
36132 .xword 0x012d4ccff0a8be03
36133 .xword 0x4df1b18db5cfa7ce
36134 .xword 0xac33915c6bda8180
36135 .xword 0xd60cbf4c0a79ca09
36136 .xword 0x40ab9052b440d710
36137 .xword 0xf8b9a23a9c5170fe
36138 .xword 0x4b1228bcd4a7b709
36139 .xword 0x5699e88e2c70feba
36140 .xword 0x719da79bdb2cdabb
36141 .xword 0x0b09ac1a4bd291a7
36142 .xword 0x4547ab3b56c2ebb9
36143 .xword 0xdffca4ee0dc0408a
36144 .xword 0x6715f2bdbd39bac7
36145 .xword 0x95ca95b7c92db7e5
36146 .xword 0x3d6992a6d49d5c81
36147 .xword 0x5450d12e3899a71b
36148 .xword 0x86f168fe30d5ffa3
36149 .xword 0x3d891e742215aa1f
36150 .xword 0x189cada791ce478a
36151 .xword 0xb7b02fb71e3401af
36152 .xword 0x98b8ae0aa0008193
36153 .xword 0x4845dd14bb67dcc6
36154 .xword 0x3af9d5653a2cc329
36155 .xword 0xd20ddedc2106ebc4
36156 .xword 0x74d7f23996f0090b
36157 .xword 0x66e9131a2973cbce
36158 .xword 0xcd30d73f98f9679b
36159 .xword 0xafdc369beddef3d9
36160 .xword 0xebc1b020832b3ac8
36161 .xword 0x307d4fbb2ee7c407
36162 .xword 0x27517f711290a901
36163 .xword 0x4bb84aec571ebda1
36164 .xword 0xb6e4e3690bdabfcb
36165 .xword 0x797484d1b35fffa8
36166 .xword 0xb568bb5b8ea33424
36167 .xword 0x86703632ea842593
36168 .xword 0x1614ae27495f394b
36169 .xword 0x556b587237dba902
36170 .xword 0x022f63f1a2ea3701
36171 .xword 0xb315cdc4ceca2067
36172 .xword 0xaf441d6a106cec66
36173_t1_sslkey_iv_array:
36174 .xword 0x48eff0218889fabf
36175 .xword 0xb3f887b597b37f4b
36176 .xword 0x5a985ef16d354f51
36177 .xword 0x141201d6614fa8cb
36178 .xword 0x6d913c8c5bc754be
36179 .xword 0x7e00e5eb35ecba81
36180 .xword 0xa324d271069cb135
36181 .xword 0xe4688014c3682ff2
36182 .xword 0x7665b2a0c71edf29
36183 .xword 0x1917bf3d62c1665f
36184 .xword 0xcf1a4897d9abd200
36185 .xword 0x443d8e04953451a9
36186 .xword 0xd81751bffbae1774
36187 .xword 0xa892afde6d56cae6
36188 .xword 0xa181beb594f9626d
36189 .xword 0x482f1fd2735165e9
36190 .xword 0x4881fe033f85313d
36191 .xword 0xafcddb55afebb43a
36192 .xword 0x08891072fb78e4d6
36193 .xword 0xaaff31c60e383b58
36194 .xword 0xe5cb386c3c44fa9b
36195 .xword 0x11bd07cc4a379b4a
36196 .xword 0xdf99537855887198
36197 .xword 0xb7e7e68400a7d4cd
36198 .xword 0x7a3da2d88c180c88
36199 .xword 0x7175b0da5b816231
36200 .xword 0x7bcaf2612a01d0f5
36201 .xword 0x789efd4ffbc3299a
36202 .xword 0x40d0562da1d576f3
36203 .xword 0xe4894f0d86f0d967
36204 .xword 0xdaaac7e2e46e9d2c
36205 .xword 0x3ea60e430f7a6750
36206 .xword 0x32ea7b16a4c24253
36207 .xword 0x5f51cfd8cc37e1f3
36208 .xword 0xfbcd1f67023f5bd6
36209 .xword 0xbb4449b0ed8d03ed
36210 .xword 0x73a15f203349c3ff
36211 .xword 0x3f3fe33310472e4c
36212 .xword 0x0749b5ce6c062b67
36213 .xword 0xf3da1ca6ba01be31
36214 .xword 0x146e781c5d7f5cb2
36215 .xword 0x5633e63abb2f69b2
36216 .xword 0x7b595d17495888de
36217 .xword 0x8bd52279313c4fcc
36218 .xword 0xae28385bb8efb9b4
36219_t1_sslkey_alignment_array:
36220 .xword 0
36221 .xword 0
36222 .xword 0
36223 .xword 0
36224 .xword 0
36225 .xword 0
36226 .xword 0
36227 .xword 0
36228 .xword 0
36229 .xword 0
36230 .xword 0
36231 .xword 0
36232 .xword 0
36233 .xword 0
36234 .xword 0
36235 .xword 0
36236 .xword 0
36237 .xword 0
36238 .xword 0
36239 .xword 0
36240 .xword 0
36241 .xword 0
36242 .xword 0
36243 .xword 0
36244 .xword 0
36245 .xword 0
36246 .xword 0
36247 .xword 0
36248 .xword 0
36249 .xword 0
36250 .xword 0
36251 .xword 0
36252 .xword 0
36253 .xword 0
36254 .xword 0
36255 .xword 0
36256 .xword 0
36257 .xword 0
36258 .xword 0
36259 .xword 0
36260 .xword 0
36261 .xword 0
36262 .xword 0
36263 .xword 0
36264 .xword 0
36265 .xword 0
36266 .xword 0
36267 .xword 0
36268 .xword 0
36269 .xword 0
36270 .xword 0
36271 .xword 0
36272 .xword 0
36273 .xword 0
36274 .xword 0
36275 .xword 0
36276 .xword 0
36277 .xword 0
36278 .xword 0
36279 .xword 0
36280 .xword 0
36281 .xword 0
36282 .xword 0
36283 .xword 0
36284 .xword 0
36285 .xword 0
36286 .xword 0
36287 .xword 0
36288 .xword 0
36289 .xword 0
36290 .xword 0
36291 .xword 0
36292 .xword 0
36293 .xword 0
36294 .xword 0
36295 .xword 0
36296 .xword 0
36297 .xword 0
36298 .xword 0
36299 .xword 0
36300 .xword 0
36301 .xword 0
36302 .xword 0
36303 .xword 0
36304 .xword 0
36305 .xword 0
36306 .xword 0
36307 .xword 0
36308 .xword 0
36309 .xword 0
36310 .xword 0
36311 .xword 0
36312 .xword 0
36313 .xword 0
36314 .xword 0
36315 .xword 0
36316 .xword 0
36317 .xword 0
36318 .xword 0
36319 .xword 0
36320 .xword 0
36321 .xword 0
36322 .xword 0
36323 .xword 0
36324 .xword 0
36325_t1_sslkey_src:
36326 .xword 0xc51dd9a764c016eb
36327 .xword 0x40a1044b4b85f6f9
36328 .xword 0xd63b3a30ac4a43ff
36329 .xword 0x549092a612fa9b2c
36330 .xword 0x0c75a24555ca5e01
36331 .xword 0x382538f6f85b8682
36332 .xword 0x0c7206b25bf9e189
36333 .xword 0xc355055f80216d9c
36334 .xword 0xc3c47acbfe073bbb
36335 .xword 0x961349de317c1371
36336 .xword 0x3bbd24c58dafa015
36337 .xword 0x1791d5a61014c6de
36338 .xword 0x50e108b10fb9564e
36339 .xword 0x39c6a184a042238c
36340 .xword 0x385be04a53f408de
36341 .xword 0x185cdcf65055c9d2
36342 .xword 0xb2d308999300ae9b
36343 .xword 0x1e0b8a1214852df4
36344 .xword 0x4b1e7378239c0b70
36345 .xword 0x22ad9b1d327de9a8
36346 .xword 0x249f61ec9d630fc1
36347 .xword 0x6e3a2a39921e0f39
36348 .xword 0xfaa9dbe4d21af0d0
36349 .xword 0xcbfaa98af5433c3e
36350 .xword 0x6359baef5863f703
36351 .xword 0xf0f1bb3e70776bac
36352 .xword 0xe6c297f049af90fd
36353 .xword 0x198bdb48d9dcecbf
36354 .xword 0x7f730230533d06dc
36355 .xword 0x692281aca8a8e494
36356 .xword 0x8ec3423c98bbdcd1
36357 .xword 0xafe0e5c642694112
36358 .xword 0x678b092164d02f0f
36359 .xword 0xd8bdf7cea58d982e
36360 .xword 0x76e2d2b09f450368
36361 .xword 0x1ba7560dfde0a108
36362 .xword 0x3f88618ce5bb10ac
36363 .xword 0x0918dcd7200dd88e
36364 .xword 0xd144dc7e053f2785
36365 .xword 0x91800413116472af
36366 .xword 0x64d4e3b6c2336abd
36367 .xword 0x775554f3ae922e6e
36368 .xword 0x5aca7ecb61145a12
36369 .xword 0xb7e6bb9ef472b476
36370 .xword 0xda901885db35943c
36371 .xword 0xc3a30c3bac928156
36372 .xword 0x1cf1e973492d064f
36373 .xword 0x1b630dcdb7449dbe
36374 .xword 0xa92fe156fa3ad47d
36375 .xword 0x023a73eb6d83d444
36376 .xword 0x268d9ac8bfecbeb2
36377 .xword 0x56c872d0e19b58a2
36378 .xword 0x89dd2cb58de2da8f
36379 .xword 0x1f89aff4ed898164
36380 .xword 0x906975ce1365016b
36381 .xword 0x76239a163444cb40
36382 .xword 0xeaee7db0f6f62ebe
36383 .xword 0xb4980520f099b1e6
36384 .xword 0xb6911ab47052a96c
36385 .xword 0x77e7e88d2b8d6abd
36386 .xword 0x0dd6337b79a92bcf
36387 .xword 0x3aa7283150705b71
36388 .xword 0x037982afa5c529b7
36389 .xword 0x7da775664a8e3dfe
36390 .xword 0x08fc376c95941d63
36391 .xword 0x3423087aee01ef3e
36392 .xword 0xe54f5c96abf88ea6
36393 .xword 0xfc2a30f196060807
36394 .xword 0x17479942a8fa102b
36395 .xword 0x806d5a7988cd27a6
36396 .xword 0x570eed7389128926
36397 .xword 0xde4859ef93cb6a5a
36398 .xword 0x293a0a5af32bad6e
36399 .xword 0x279de71dbf2a244b
36400 .xword 0x67f101b06a77a127
36401 .xword 0x878a0687971551e1
36402 .xword 0xa47d6348e9aed090
36403 .xword 0x0d82a74ca62bc0fe
36404 .xword 0xc150d14df4591998
36405 .xword 0x9e27bc8949c6272e
36406 .xword 0x1c818fe6a5bc47e9
36407 .xword 0xb4a52bcdd0122692
36408 .xword 0x4da40abe352c1aa8
36409 .xword 0x0238ebbe830b9aef
36410 .xword 0x7c3407d3ea204788
36411 .xword 0x7887072eb443cdec
36412 .xword 0xc3571b7dcbfe3a8c
36413 .xword 0xc1793e43b5e9cabd
36414 .xword 0xe71bab02d455a5b3
36415 .xword 0x553a517058c2c151
36416 .xword 0x8eb957846d399a01
36417 .xword 0x4e05b9ad7c78970d
36418 .xword 0x9495b0eea8c2ed8f
36419 .xword 0xe00e29bc3cffac89
36420 .xword 0xdf282e6debd0c63c
36421 .xword 0xe96ed2b2433f7145
36422 .xword 0x98375867c19ca197
36423 .xword 0x5d31f97c32fc964b
36424 .xword 0xb0012cd2eb279723
36425 .xword 0x7378b5db53ddec99
36426 .xword 0x3b5ffeef654b1c5c
36427 .xword 0xa7b369a83c660301
36428 .xword 0xbd9da64c1af617d7
36429 .xword 0xf4dd86a2527fcf7f
36430 .xword 0x2e480f04ba442d9d
36431 .xword 0x78133831fe8cf15a
36432 .xword 0x0fc2df3ca599c711
36433 .xword 0x97a08f898115e4f8
36434 .xword 0xdb1c01d440610a32
36435 .xword 0x39ce6977a4a2e7a5
36436 .xword 0xdf423bd22b5db17d
36437 .xword 0xd3a7c90f1fd15e84
36438 .xword 0x9ba7527568532059
36439 .xword 0x48966b085bc6af63
36440 .xword 0x9d09b0bc173a611a
36441 .xword 0x4e5a4bb4e9fc69a1
36442 .xword 0xf4bcd4071b0cbdc4
36443 .xword 0x620aded881883a9f
36444 .xword 0xea045b93bf3b2c12
36445 .xword 0x7ef2504752e2dc2c
36446 .xword 0xc64996b7ad5f324e
36447 .xword 0x4671cc79ce4e6f83
36448 .xword 0xced69bac9ecf7943
36449 .xword 0xae2c53fad4bf5517
36450 .xword 0x7230e6440133a827
36451 .xword 0x7de031d7a81e6c20
36452 .xword 0xaef6c7f44506e538
36453 .xword 0x52d3a7050eb82379
36454 .xword 0x241939e167ca8ee6
36455 .xword 0x87f888abb69bfcf2
36456 .xword 0xd65a8f55e214833a
36457 .xword 0x6b59e9ee1922063b
36458 .xword 0xa9aab364b20bff93
36459 .xword 0x8f15f378fcedc52f
36460 .xword 0xdfce080f14368120
36461 .xword 0x85dd3f37b0b0c9aa
36462 .xword 0xff8cd715f9911b61
36463 .xword 0xa203de33c95155fb
36464 .xword 0x40ea8cb7129786b6
36465 .xword 0x3b4701085dd73b3f
36466 .xword 0x9150a4a7cd5e74fd
36467 .xword 0xa65bf6b97c302ae0
36468 .xword 0x7a297f92afb78a92
36469 .xword 0x0750f09d7dc6b02e
36470 .xword 0x91ae102f9fc3a4c0
36471 .xword 0xb1d719622efcf76d
36472 .xword 0x0f05b338aa30071f
36473 .xword 0x71bed68e669c0a13
36474 .xword 0xf1f99101b5157da7
36475 .xword 0x26999ab9d90b4c09
36476 .xword 0xd0bfd75d9aec1709
36477 .xword 0x4f3e38fdd22606ec
36478 .xword 0xa572097c0cf7e439
36479 .xword 0xb7d85c7864c5ba10
36480 .xword 0x3794cffca96ba983
36481 .xword 0xf8849f01af7b0c48
36482 .xword 0x6fcb4afbf7ac13e0
36483 .xword 0x79899aa4d1922780
36484 .xword 0x280ccd6e4adacf33
36485 .xword 0x9a7373cbee916fe3
36486 .xword 0x1fb339f1605d6e6f
36487 .xword 0x831c49d8035dc3b8
36488 .xword 0xfa70aad80f1a7c55
36489 .xword 0xcd2de8cb7d067a92
36490 .xword 0xa0e0c944429ced71
36491 .xword 0x7e4d67fc252a5dc3
36492 .xword 0xd70248c32b1f7627
36493 .xword 0xd95a2ea2cfb1f859
36494 .xword 0x5260f45a945c0138
36495 .xword 0x1e720daa6e61ad33
36496 .xword 0xf86e85474fafc558
36497 .xword 0x61733721c292e6be
36498 .xword 0x64872e6662a4343c
36499 .xword 0x4bd162b55cc15278
36500 .xword 0x1e0b7c535058ea35
36501 .xword 0x29727674f0ed618f
36502 .xword 0x1aa3f2f6cb991aba
36503 .xword 0x9e5efce69191ca98
36504 .xword 0xb7ce380e1426222e
36505 .xword 0xd88a47ac7cb98c0e
36506 .xword 0xbebc460afc2654dc
36507 .xword 0x470ab23f00bae0ca
36508 .xword 0xb5f2eae007c9b428
36509 .xword 0x6177c6a5422d61cf
36510 .xword 0x232e528b61f9f591
36511 .xword 0xf39529dc7e0f3cf0
36512 .xword 0x1c10ba95477f9ce6
36513 .xword 0x27ae26dc1e10ae02
36514 .xword 0x41a7840cdb47697b
36515 .xword 0x15e96c92277d24d9
36516 .xword 0x2d8469c9453722dd
36517 .xword 0x15e20d2cc8d98626
36518 .xword 0x8c22f0a2bd315b4f
36519 .xword 0x284a6f34c67ec4ef
36520 .xword 0xe36f28c0d75179e3
36521 .xword 0x59de46dba690e5eb
36522 .xword 0x7b16066a07b80282
36523 .xword 0xb0dfa9cb7e25564d
36524 .xword 0x357aee696cc385ee
36525 .xword 0x93a71f4ec04e1bb9
36526 .xword 0xa418f44a68213eec
36527 .xword 0xdfdc7063688615c5
36528 .xword 0xca0a02e34ed19cfa
36529 .xword 0x59bcca0edd88162d
36530 .xword 0x7e468230240f582a
36531 .xword 0x28ba6377e032efbd
36532 .xword 0xd9faecc0c5db508c
36533 .xword 0x1f0b58ff0a227e54
36534 .xword 0x6a0d9abf5454cb83
36535 .xword 0xc71b3ddead74dd84
36536 .xword 0x56a8bcb1c9adf213
36537 .xword 0xa08433a79d6db10b
36538 .xword 0xc22599bc455a8118
36539 .xword 0x047c4ab38cad83c4
36540 .xword 0xdb0632a9d49e0efa
36541 .xword 0x7ee0f03804ec1eb1
36542 .xword 0x7699d491c6c65df4
36543 .xword 0x13cd7708b1e54690
36544 .xword 0x838d1da3dbca357e
36545 .xword 0x7b90c41697837bd9
36546 .xword 0x44a085815f5fb4dd
36547 .xword 0xe2fd71ea72908f18
36548 .xword 0x6d07c54a5d9c113d
36549 .xword 0x70edb0ac1f31e4d6
36550 .xword 0x32eb2db5dd5278dc
36551 .xword 0xfff111d4397328ae
36552 .xword 0xfa474b287b4cbb48
36553 .xword 0x607db5214e2e666d
36554 .xword 0xc8e84532a1b6c837
36555 .xword 0xd235eff38da1c35d
36556 .xword 0xd893c256fb0929d4
36557 .xword 0x548c3ecb752d1cb5
36558 .xword 0x7479a758967cf838
36559 .xword 0x28823b15cf8f3232
36560 .xword 0x814fcc5476b5423a
36561 .xword 0x65eac5105f292f53
36562 .xword 0x700812fa645e50ec
36563 .xword 0x15468ce12cdb011e
36564 .xword 0xbd076524a030f461
36565 .xword 0xa91a875121c42148
36566 .xword 0xafa8e1e16d8e66c9
36567 .xword 0x29422276422862ea
36568 .xword 0x920e133600917226
36569 .xword 0x6a6f82160b36ee10
36570 .xword 0x1173c4128402382b
36571 .xword 0xbe76703097318c27
36572 .xword 0xa557894a491024be
36573 .xword 0xd945e6b09f29c605
36574 .xword 0x5033b9c12fd07f5d
36575 .xword 0x48e7f05d66c26ea5
36576 .xword 0x45002ec1050a5955
36577 .xword 0x303e4d8e2effbb33
36578 .xword 0x330fe9a797200763
36579 .xword 0xf8a94c26884c530b
36580 .xword 0x31b1a049b3c71b09
36581 .xword 0x3362fe0fd7f65ee6
36582 .xword 0x3c7ad83b4b5a875a
36583 .xword 0x7f5f84e80fbe6c20
36584 .xword 0xa00fa53db81e0293
36585 .xword 0x8ede06d80ab9570f
36586 .xword 0x50d7d8e17f75b924
36587 .xword 0x3f372574025cfd08
36588 .xword 0x6fb1788c29e508a6
36589 .xword 0x8b1edc294ad18cfe
36590 .xword 0x93ec1210b6b36db5
36591 .xword 0xe423a2ee0f692346
36592 .xword 0xf425dd6cac065385
36593 .xword 0x894a33edea987534
36594 .xword 0xbfcc886236997d12
36595 .xword 0x7ada60792a6da026
36596 .xword 0x48caefe6b1df781f
36597 .xword 0xe248963308e352ff
36598 .xword 0x7da6d4cff9adbdaa
36599 .xword 0x74ff466dd6a987dc
36600 .xword 0xd28c391826041a16
36601_t1_sslkey_dest:
36602 .xword 0xDEADBEEFDEADBEEF
36603 .xword 0xDEADBEEFDEADBEEF
36604 .xword 0xDEADBEEFDEADBEEF
36605 .xword 0xDEADBEEFDEADBEEF
36606 .xword 0xDEADBEEFDEADBEEF
36607 .xword 0xDEADBEEFDEADBEEF
36608 .xword 0xDEADBEEFDEADBEEF
36609 .xword 0xDEADBEEFDEADBEEF
36610 .xword 0xDEADBEEFDEADBEEF
36611 .xword 0xDEADBEEFDEADBEEF
36612 .xword 0xDEADBEEFDEADBEEF
36613 .xword 0xDEADBEEFDEADBEEF
36614 .xword 0xDEADBEEFDEADBEEF
36615 .xword 0xDEADBEEFDEADBEEF
36616 .xword 0xDEADBEEFDEADBEEF
36617 .xword 0xDEADBEEFDEADBEEF
36618 .xword 0xDEADBEEFDEADBEEF
36619 .xword 0xDEADBEEFDEADBEEF
36620 .xword 0xDEADBEEFDEADBEEF
36621 .xword 0xDEADBEEFDEADBEEF
36622 .xword 0xDEADBEEFDEADBEEF
36623 .xword 0xDEADBEEFDEADBEEF
36624 .xword 0xDEADBEEFDEADBEEF
36625 .xword 0xDEADBEEFDEADBEEF
36626 .xword 0xDEADBEEFDEADBEEF
36627 .xword 0xDEADBEEFDEADBEEF
36628 .xword 0xDEADBEEFDEADBEEF
36629 .xword 0xDEADBEEFDEADBEEF
36630 .xword 0xDEADBEEFDEADBEEF
36631 .xword 0xDEADBEEFDEADBEEF
36632 .xword 0xDEADBEEFDEADBEEF
36633 .xword 0xDEADBEEFDEADBEEF
36634 .xword 0xDEADBEEFDEADBEEF
36635 .xword 0xDEADBEEFDEADBEEF
36636 .xword 0xDEADBEEFDEADBEEF
36637 .xword 0xDEADBEEFDEADBEEF
36638 .xword 0xDEADBEEFDEADBEEF
36639 .xword 0xDEADBEEFDEADBEEF
36640 .xword 0xDEADBEEFDEADBEEF
36641 .xword 0xDEADBEEFDEADBEEF
36642 .xword 0xDEADBEEFDEADBEEF
36643 .xword 0xDEADBEEFDEADBEEF
36644 .xword 0xDEADBEEFDEADBEEF
36645 .xword 0xDEADBEEFDEADBEEF
36646 .xword 0xDEADBEEFDEADBEEF
36647 .xword 0xDEADBEEFDEADBEEF
36648 .xword 0xDEADBEEFDEADBEEF
36649 .xword 0xDEADBEEFDEADBEEF
36650 .xword 0xDEADBEEFDEADBEEF
36651 .xword 0xDEADBEEFDEADBEEF
36652 .xword 0xDEADBEEFDEADBEEF
36653 .xword 0xDEADBEEFDEADBEEF
36654 .xword 0xDEADBEEFDEADBEEF
36655 .xword 0xDEADBEEFDEADBEEF
36656 .xword 0xDEADBEEFDEADBEEF
36657 .xword 0xDEADBEEFDEADBEEF
36658 .xword 0xDEADBEEFDEADBEEF
36659 .xword 0xDEADBEEFDEADBEEF
36660 .xword 0xDEADBEEFDEADBEEF
36661 .xword 0xDEADBEEFDEADBEEF
36662 .xword 0xDEADBEEFDEADBEEF
36663 .xword 0xDEADBEEFDEADBEEF
36664 .xword 0xDEADBEEFDEADBEEF
36665 .xword 0xDEADBEEFDEADBEEF
36666 .xword 0xDEADBEEFDEADBEEF
36667 .xword 0xDEADBEEFDEADBEEF
36668 .xword 0xDEADBEEFDEADBEEF
36669 .xword 0xDEADBEEFDEADBEEF
36670 .xword 0xDEADBEEFDEADBEEF
36671 .xword 0xDEADBEEFDEADBEEF
36672 .xword 0xDEADBEEFDEADBEEF
36673 .xword 0xDEADBEEFDEADBEEF
36674 .xword 0xDEADBEEFDEADBEEF
36675 .xword 0xDEADBEEFDEADBEEF
36676 .xword 0xDEADBEEFDEADBEEF
36677 .xword 0xDEADBEEFDEADBEEF
36678 .xword 0xDEADBEEFDEADBEEF
36679 .xword 0xDEADBEEFDEADBEEF
36680 .xword 0xDEADBEEFDEADBEEF
36681 .xword 0xDEADBEEFDEADBEEF
36682 .xword 0xDEADBEEFDEADBEEF
36683 .xword 0xDEADBEEFDEADBEEF
36684 .xword 0xDEADBEEFDEADBEEF
36685 .xword 0xDEADBEEFDEADBEEF
36686 .xword 0xDEADBEEFDEADBEEF
36687 .xword 0xDEADBEEFDEADBEEF
36688 .xword 0xDEADBEEFDEADBEEF
36689 .xword 0xDEADBEEFDEADBEEF
36690 .xword 0xDEADBEEFDEADBEEF
36691 .xword 0xDEADBEEFDEADBEEF
36692 .xword 0xDEADBEEFDEADBEEF
36693 .xword 0xDEADBEEFDEADBEEF
36694 .xword 0xDEADBEEFDEADBEEF
36695 .xword 0xDEADBEEFDEADBEEF
36696 .xword 0xDEADBEEFDEADBEEF
36697 .xword 0xDEADBEEFDEADBEEF
36698 .xword 0xDEADBEEFDEADBEEF
36699 .xword 0xDEADBEEFDEADBEEF
36700 .xword 0xDEADBEEFDEADBEEF
36701 .xword 0xDEADBEEFDEADBEEF
36702 .xword 0xDEADBEEFDEADBEEF
36703 .xword 0xDEADBEEFDEADBEEF
36704 .xword 0xDEADBEEFDEADBEEF
36705 .xword 0xDEADBEEFDEADBEEF
36706 .xword 0xDEADBEEFDEADBEEF
36707 .xword 0xDEADBEEFDEADBEEF
36708 .xword 0xDEADBEEFDEADBEEF
36709 .xword 0xDEADBEEFDEADBEEF
36710 .xword 0xDEADBEEFDEADBEEF
36711 .xword 0xDEADBEEFDEADBEEF
36712 .xword 0xDEADBEEFDEADBEEF
36713 .xword 0xDEADBEEFDEADBEEF
36714 .xword 0xDEADBEEFDEADBEEF
36715 .xword 0xDEADBEEFDEADBEEF
36716 .xword 0xDEADBEEFDEADBEEF
36717 .xword 0xDEADBEEFDEADBEEF
36718 .xword 0xDEADBEEFDEADBEEF
36719 .xword 0xDEADBEEFDEADBEEF
36720 .xword 0xDEADBEEFDEADBEEF
36721 .xword 0xDEADBEEFDEADBEEF
36722 .xword 0xDEADBEEFDEADBEEF
36723 .xword 0xDEADBEEFDEADBEEF
36724 .xword 0xDEADBEEFDEADBEEF
36725 .xword 0xDEADBEEFDEADBEEF
36726 .xword 0xDEADBEEFDEADBEEF
36727 .xword 0xDEADBEEFDEADBEEF
36728 .xword 0xDEADBEEFDEADBEEF
36729 .xword 0xDEADBEEFDEADBEEF
36730 .xword 0xDEADBEEFDEADBEEF
36731 .xword 0xDEADBEEFDEADBEEF
36732 .xword 0xDEADBEEFDEADBEEF
36733 .xword 0xDEADBEEFDEADBEEF
36734 .xword 0xDEADBEEFDEADBEEF
36735 .xword 0xDEADBEEFDEADBEEF
36736 .xword 0xDEADBEEFDEADBEEF
36737 .xword 0xDEADBEEFDEADBEEF
36738 .xword 0xDEADBEEFDEADBEEF
36739 .xword 0xDEADBEEFDEADBEEF
36740 .xword 0xDEADBEEFDEADBEEF
36741 .xword 0xDEADBEEFDEADBEEF
36742 .xword 0xDEADBEEFDEADBEEF
36743 .xword 0xDEADBEEFDEADBEEF
36744 .xword 0xDEADBEEFDEADBEEF
36745 .xword 0xDEADBEEFDEADBEEF
36746 .xword 0xDEADBEEFDEADBEEF
36747 .xword 0xDEADBEEFDEADBEEF
36748 .xword 0xDEADBEEFDEADBEEF
36749 .xword 0xDEADBEEFDEADBEEF
36750 .xword 0xDEADBEEFDEADBEEF
36751 .xword 0xDEADBEEFDEADBEEF
36752 .xword 0xDEADBEEFDEADBEEF
36753 .xword 0xDEADBEEFDEADBEEF
36754 .xword 0xDEADBEEFDEADBEEF
36755 .xword 0xDEADBEEFDEADBEEF
36756 .xword 0xDEADBEEFDEADBEEF
36757 .xword 0xDEADBEEFDEADBEEF
36758 .xword 0xDEADBEEFDEADBEEF
36759 .xword 0xDEADBEEFDEADBEEF
36760 .xword 0xDEADBEEFDEADBEEF
36761 .xword 0xDEADBEEFDEADBEEF
36762 .xword 0xDEADBEEFDEADBEEF
36763 .xword 0xDEADBEEFDEADBEEF
36764 .xword 0xDEADBEEFDEADBEEF
36765 .xword 0xDEADBEEFDEADBEEF
36766 .xword 0xDEADBEEFDEADBEEF
36767 .xword 0xDEADBEEFDEADBEEF
36768 .xword 0xDEADBEEFDEADBEEF
36769 .xword 0xDEADBEEFDEADBEEF
36770 .xword 0xDEADBEEFDEADBEEF
36771 .xword 0xDEADBEEFDEADBEEF
36772 .xword 0xDEADBEEFDEADBEEF
36773 .xword 0xDEADBEEFDEADBEEF
36774 .xword 0xDEADBEEFDEADBEEF
36775 .xword 0xDEADBEEFDEADBEEF
36776 .xword 0xDEADBEEFDEADBEEF
36777 .xword 0xDEADBEEFDEADBEEF
36778 .xword 0xDEADBEEFDEADBEEF
36779 .xword 0xDEADBEEFDEADBEEF
36780 .xword 0xDEADBEEFDEADBEEF
36781 .xword 0xDEADBEEFDEADBEEF
36782 .xword 0xDEADBEEFDEADBEEF
36783 .xword 0xDEADBEEFDEADBEEF
36784 .xword 0xDEADBEEFDEADBEEF
36785 .xword 0xDEADBEEFDEADBEEF
36786 .xword 0xDEADBEEFDEADBEEF
36787 .xword 0xDEADBEEFDEADBEEF
36788 .xword 0xDEADBEEFDEADBEEF
36789 .xword 0xDEADBEEFDEADBEEF
36790 .xword 0xDEADBEEFDEADBEEF
36791 .xword 0xDEADBEEFDEADBEEF
36792 .xword 0xDEADBEEFDEADBEEF
36793 .xword 0xDEADBEEFDEADBEEF
36794 .xword 0xDEADBEEFDEADBEEF
36795 .xword 0xDEADBEEFDEADBEEF
36796 .xword 0xDEADBEEFDEADBEEF
36797 .xword 0xDEADBEEFDEADBEEF
36798 .xword 0xDEADBEEFDEADBEEF
36799 .xword 0xDEADBEEFDEADBEEF
36800 .xword 0xDEADBEEFDEADBEEF
36801 .xword 0xDEADBEEFDEADBEEF
36802 .xword 0xDEADBEEFDEADBEEF
36803 .xword 0xDEADBEEFDEADBEEF
36804 .xword 0xDEADBEEFDEADBEEF
36805 .xword 0xDEADBEEFDEADBEEF
36806 .xword 0xDEADBEEFDEADBEEF
36807 .xword 0xDEADBEEFDEADBEEF
36808 .xword 0xDEADBEEFDEADBEEF
36809 .xword 0xDEADBEEFDEADBEEF
36810 .xword 0xDEADBEEFDEADBEEF
36811 .xword 0xDEADBEEFDEADBEEF
36812 .xword 0xDEADBEEFDEADBEEF
36813 .xword 0xDEADBEEFDEADBEEF
36814 .xword 0xDEADBEEFDEADBEEF
36815 .xword 0xDEADBEEFDEADBEEF
36816 .xword 0xDEADBEEFDEADBEEF
36817 .xword 0xDEADBEEFDEADBEEF
36818 .xword 0xDEADBEEFDEADBEEF
36819 .xword 0xDEADBEEFDEADBEEF
36820 .xword 0xDEADBEEFDEADBEEF
36821 .xword 0xDEADBEEFDEADBEEF
36822 .xword 0xDEADBEEFDEADBEEF
36823 .xword 0xDEADBEEFDEADBEEF
36824 .xword 0xDEADBEEFDEADBEEF
36825 .xword 0xDEADBEEFDEADBEEF
36826 .xword 0xDEADBEEFDEADBEEF
36827 .xword 0xDEADBEEFDEADBEEF
36828 .xword 0xDEADBEEFDEADBEEF
36829 .xword 0xDEADBEEFDEADBEEF
36830 .xword 0xDEADBEEFDEADBEEF
36831 .xword 0xDEADBEEFDEADBEEF
36832 .xword 0xDEADBEEFDEADBEEF
36833 .xword 0xDEADBEEFDEADBEEF
36834 .xword 0xDEADBEEFDEADBEEF
36835 .xword 0xDEADBEEFDEADBEEF
36836 .xword 0xDEADBEEFDEADBEEF
36837 .xword 0xDEADBEEFDEADBEEF
36838 .xword 0xDEADBEEFDEADBEEF
36839 .xword 0xDEADBEEFDEADBEEF
36840 .xword 0xDEADBEEFDEADBEEF
36841 .xword 0xDEADBEEFDEADBEEF
36842 .xword 0xDEADBEEFDEADBEEF
36843 .xword 0xDEADBEEFDEADBEEF
36844 .xword 0xDEADBEEFDEADBEEF
36845 .xword 0xDEADBEEFDEADBEEF
36846 .xword 0xDEADBEEFDEADBEEF
36847 .xword 0xDEADBEEFDEADBEEF
36848 .xword 0xDEADBEEFDEADBEEF
36849 .xword 0xDEADBEEFDEADBEEF
36850 .xword 0xDEADBEEFDEADBEEF
36851 .xword 0xDEADBEEFDEADBEEF
36852 .xword 0xDEADBEEFDEADBEEF
36853 .xword 0xDEADBEEFDEADBEEF
36854 .xword 0xDEADBEEFDEADBEEF
36855 .xword 0xDEADBEEFDEADBEEF
36856 .xword 0xDEADBEEFDEADBEEF
36857 .xword 0xDEADBEEFDEADBEEF
36858 .xword 0xDEADBEEFDEADBEEF
36859 .xword 0xDEADBEEFDEADBEEF
36860 .xword 0xDEADBEEFDEADBEEF
36861 .xword 0xDEADBEEFDEADBEEF
36862 .xword 0xDEADBEEFDEADBEEF
36863 .xword 0xDEADBEEFDEADBEEF
36864 .xword 0xDEADBEEFDEADBEEF
36865 .xword 0xDEADBEEFDEADBEEF
36866 .xword 0xDEADBEEFDEADBEEF
36867 .xword 0xDEADBEEFDEADBEEF
36868 .xword 0xDEADBEEFDEADBEEF
36869 .xword 0xDEADBEEFDEADBEEF
36870 .xword 0xDEADBEEFDEADBEEF
36871 .xword 0xDEADBEEFDEADBEEF
36872 .xword 0xDEADBEEFDEADBEEF
36873 .xword 0xDEADBEEFDEADBEEF
36874 .xword 0xDEADBEEFDEADBEEF
36875 .xword 0xDEADBEEFDEADBEEF
36876 .xword 0xDEADBEEFDEADBEEF
36877_t1_sslkey_auth_key:
36878 .xword 0xb3b670046889814f
36879 .xword 0xdd5cfeb02ed33e2f
36880 .xword 0xb35231f34cde286c
36881 .xword 0x132553ffdc7498a1
36882 .xword 0xbe5854c4076b6467
36883 .xword 0x559c30d5c86e86ae
36884 .xword 0x769a5adef776381e
36885 .xword 0x21a90d84f117310c
36886 .xword 0x98b9e9e06c697798
36887 .xword 0x19ca641003a505b0
36888 .xword 0xf341b6fafe804a44
36889 .xword 0xbcf05c83663af311
36890 .xword 0x0d5bfa690721f20e
36891 .xword 0x5def91f6699ecd14
36892 .xword 0x4ac64bdc8cddead0
36893 .xword 0x716874b60db8d380
36894 .xword 0x8fdac82a1787a773
36895 .xword 0x765bda1ad7a7bd99
36896 .xword 0xba418907eb8a061c
36897 .xword 0x5e74f9a1e6ff0886
36898 .xword 0x41b9393d68b79e95
36899 .xword 0xb42c6fd2304cd17c
36900 .xword 0x36598dda51b8345f
36901_t1_sslkey_auth_iv:
36902 .xword 0x7aee7ccf093dbf3c
36903 .xword 0x8ae47423a549f348
36904 .xword 0x827194425ad82a4a
36905 .xword 0x0cd9999fe3c64847
36906 .xword 0xe631073af909da9d
36907 .xword 0xbbce5e19d3bab88b
36908 .xword 0x42fb1155e26fa38e
36909 .xword 0x22574d9335df1c5d
36910 .xword 0x3940b7337c2a5bf7
36911 .xword 0x1fb0e3a5b1569d1e
36912 .xword 0xed87f0880779a924
36913 .xword 0x823a2353f91f5f3c
36914 .xword 0xf999e48d5561da25
36915 .xword 0xf20b44a23b800ce5
36916 .xword 0xf7eae1ce9b8655ba
36917 .xword 0xe21c9cdae13e91bd
36918 .xword 0xa5933ff257e714ec
36919 .xword 0x2153ce736a9ff008
36920 .xword 0x5df4ef427782ab39
36921 .xword 0x2e7dcab0110d55e1
36922 .xword 0x44f20322f4ea105a
36923 .xword 0xafc0379545c0c483
36924 .xword 0x582f760fa5f46a56
36925_t1_sslkey_fas_result:
36926 .xword 0xDEADBEEFDEADBEEF
36927 .xword 0xDEADBEEFDEADBEEF
36928 .xword 0xDEADBEEFDEADBEEF
36929 .xword 0xDEADBEEFDEADBEEF
36930 .xword 0xDEADBEEFDEADBEEF
36931 .xword 0xDEADBEEFDEADBEEF
36932 .xword 0xDEADBEEFDEADBEEF
36933 .xword 0xDEADBEEFDEADBEEF
36934 .xword 0xDEADBEEFDEADBEEF
36935 .xword 0xDEADBEEFDEADBEEF
36936 .xword 0xDEADBEEFDEADBEEF
36937 .xword 0xDEADBEEFDEADBEEF
36938 .xword 0xDEADBEEFDEADBEEF
36939 .xword 0xDEADBEEFDEADBEEF
36940 .xword 0xDEADBEEFDEADBEEF
36941 .xword 0xDEADBEEFDEADBEEF
36942 .xword 0xDEADBEEFDEADBEEF
36943 .xword 0xDEADBEEFDEADBEEF
36944 .xword 0xDEADBEEFDEADBEEF
36945 .xword 0xDEADBEEFDEADBEEF
36946 .xword 0xDEADBEEFDEADBEEF
36947 .xword 0xDEADBEEFDEADBEEF
36948 .xword 0xDEADBEEFDEADBEEF
36949_t1_aes_toc:
36950 .xword _t1_aes_cwd_array
36951 .xword _t1_aes_src
36952 .xword _t1_aes_auth_key
36953 .xword _t1_aes_auth_iv
36954 .xword _t1_aes_fas_result
36955 .xword _t1_aes_key_array
36956 .xword _t1_aes_iv_array
36957 .xword _t1_aes_dest
36958 .xword _t1_aes_alignment_array
36959_t1_des_toc:
36960 .xword _t1_des_cwd_array
36961 .xword _t1_des_src
36962 .xword _t1_des_auth_key
36963 .xword _t1_des_auth_iv
36964 .xword _t1_des_fas_result
36965 .xword _t1_des_key_array
36966 .xword _t1_des_iv_array
36967 .xword _t1_des_dest
36968 .xword _t1_des_alignment_array
36969_t1_copy_toc:
36970 .xword _t1_copy_cwd_array
36971 .xword _t1_copy_src
36972 .xword _t1_copy_auth_key
36973 .xword _t1_copy_auth_iv
36974 .xword _t1_copy_fas_result
36975 .xword _t1_copy_key_array
36976 .xword _t1_copy_iv_array
36977 .xword _t1_copy_dest
36978 .xword _t1_copy_alignment_array
36979_t1_crc_toc:
36980 .xword _t1_crc_cwd_array
36981 .xword _t1_crc_src
36982 .xword _t1_crc_auth_key
36983 .xword _t1_crc_auth_iv
36984 .xword _t1_crc_fas_result
36985 .xword _t1_crc_key_array
36986 .xword _t1_crc_iv_array
36987 .xword _t1_crc_dest
36988 .xword _t1_crc_alignment_array
36989_t1_hash_toc:
36990 .xword _t1_hash_cwd_array
36991 .xword _t1_hash_src
36992 .xword _t1_hash_auth_key
36993 .xword _t1_hash_auth_iv
36994 .xword _t1_hash_fas_result
36995 .xword _t1_hash_key_array
36996 .xword _t1_hash_iv_array
36997 .xword _t1_hash_dest
36998 .xword _t1_hash_alignment_array
36999_t1_hmac_toc:
37000 .xword _t1_hmac_cwd_array
37001 .xword _t1_hmac_src
37002 .xword _t1_hmac_auth_key
37003 .xword _t1_hmac_auth_iv
37004 .xword _t1_hmac_fas_result
37005 .xword _t1_hmac_key_array
37006 .xword _t1_hmac_iv_array
37007 .xword _t1_hmac_dest
37008 .xword _t1_hmac_alignment_array
37009_t1_rc4_toc:
37010 .xword _t1_rc4_cwd_array
37011 .xword _t1_rc4_src
37012 .xword _t1_rc4_auth_key
37013 .xword _t1_rc4_auth_iv
37014 .xword _t1_rc4_fas_result
37015 .xword _t1_rc4_key_array
37016 .xword _t1_rc4_iv_array
37017 .xword _t1_rc4_dest
37018 .xword _t1_rc4_alignment_array
37019_t1_sslkey_toc:
37020 .xword _t1_sslkey_cwd_array
37021 .xword _t1_sslkey_src
37022 .xword _t1_sslkey_auth_key
37023 .xword _t1_sslkey_auth_iv
37024 .xword _t1_sslkey_fas_result
37025 .xword _t1_sslkey_key_array
37026 .xword _t1_sslkey_iv_array
37027 .xword _t1_sslkey_dest
37028 .xword _t1_sslkey_alignment_array
37029_t1_table_of_context2:
37030 .xword _t1_aes_toc
37031 .xword _t1_des_toc
37032 .xword _t1_copy_toc
37033 .xword _t1_crc_toc
37034 .xword _t1_hash_toc
37035 .xword _t1_hmac_toc
37036 .xword _t1_rc4_toc
37037 .xword _t1_sslkey_toc
37038
37039!# CWQ data area, set aside 512 CW's worth
37040!# 512*8*8 = 32KB
37041.align 32*1024
37042_t1_cwq_base2:
37043 .xword 0xAAAAAAAAAAAAAAA
37044 .xword 0xAAAAAAAAAAAAAAA
37045 .xword 0xAAAAAAAAAAAAAAA
37046 .xword 0xAAAAAAAAAAAAAAA
37047 .xword 0xAAAAAAAAAAAAAAA
37048 .xword 0xAAAAAAAAAAAAAAA
37049 .xword 0xAAAAAAAAAAAAAAA
37050 .xword 0xAAAAAAAAAAAAAAA
37051.align 32*1024
37052_t1_cwq_last2:
37053
37054SECTION ._t1_T_CWQ_DATA3 DATA_VA=288358400
37055attr_data {
37056 Name = ._t1_T_CWQ_DATA3
37057 hypervisor
37058}
37059 .data
37060_t1_user_data_start3:
37061_t1_scratch_area3:
37062
37063.align 16
37064_t1_spu_op_array3:
37065 .xword 4
37066 .xword 4
37067 .xword 3
37068 .xword 1
37069 .xword 6
37070 .xword 7
37071 .xword 7
37072 .xword 2
37073 .xword 0
37074 .xword 5
37075 .xword 6
37076 .xword 3
37077 .xword 5
37078 .xword 3
37079 .xword 2
37080_t1_aes_cwd_array3:
37081 .xword 0x406000601800003f
37082 .xword 0xc06000001800002f
37083 .xword 0x40e000801300000f
37084 .xword 0x40e000c01000001f
37085 .xword 0xc0e000a01500003f
37086 .xword 0xc0e000a01100001f
37087 .xword 0xc0e000a01000000f
37088 .xword 0x40e100601400002f
37089 .xword 0xc06100c01b00002f
37090 .xword 0xc0e100e01000003f
37091 .xword 0xc0e100801000002f
37092 .xword 0x40e100401400001f
37093 .xword 0xc06000e01100001f
37094 .xword 0xc06100401900000f
37095 .xword 0xc06000a01400003f
37096_t1_des_cwd_array3:
37097 .xword 0x40e100000d00001f
37098 .xword 0x406000000e000017
37099 .xword 0x4061006008000017
37100 .xword 0x40e100c00e000007
37101 .xword 0x406100000c000017
37102 .xword 0xc0e100400900001f
37103 .xword 0x40e000c00e000007
37104 .xword 0x40e000c009000017
37105 .xword 0xc06100600800000f
37106 .xword 0xc06000c00c00001f
37107 .xword 0xc06000e00c000007
37108 .xword 0x406000800c000007
37109 .xword 0x406100c00800001f
37110 .xword 0x40e100600d00000f
37111 .xword 0x40e0002009000017
37112_t1_copy_cwd_array3:
37113 .xword 0xa06000a00000000a
37114 .xword 0x2060000000000006
37115 .xword 0x206000c000000003
37116 .xword 0xa06000400000000f
37117 .xword 0xa06100a00000000c
37118 .xword 0xa06000a000000008
37119 .xword 0xa06000800000000f
37120 .xword 0x2061006000000009
37121 .xword 0x2060000000000001
37122 .xword 0xa060000000000001
37123 .xword 0xa06000800000000a
37124 .xword 0x206000e00000000d
37125 .xword 0xa061004000000002
37126 .xword 0x2060006000000006
37127 .xword 0xa06100c000000003
37128_t1_crc_cwd_array3:
37129 .xword 0xc16203240000000e
37130 .xword 0x416201a800000008
37131 .xword 0xc16003a400000004
37132 .xword 0xc16101280000000c
37133 .xword 0xc16103a400000002
37134 .xword 0xc160016800000004
37135 .xword 0x4162034400000000
37136 .xword 0xc16301c800000001
37137 .xword 0x416003040000000b
37138 .xword 0x416201a80000000e
37139 .xword 0xc16303a400000009
37140 .xword 0x416001a80000000c
37141 .xword 0x416303c40000000f
37142 .xword 0xc16201e80000000a
37143_t1_hash_cwd_array3:
37144 .xword 0x4163060300000038
37145 .xword 0x41600ac100000016
37146 .xword 0x4162046100000010
37147 .xword 0x416006210000000e
37148 .xword 0xc16110c200000018
37149 .xword 0x41610a8100000028
37150 .xword 0xc1620d8200000011
37151 .xword 0xc16203c100000031
37152 .xword 0x4160090100000029
37153 .xword 0x416304a100000039
37154 .xword 0xc161000100000017
37155 .xword 0xc16200c10000002b
37156 .xword 0xc1600c010000002f
37157 .xword 0x416104a100000039
37158 .xword 0x41630cc100000033
37159_t1_hmac_cwd_array3:
37160 .xword 0xc1620ea5000f0028
37161 .xword 0xc163100a00130034
37162 .xword 0xc1621a0b001f000d
37163 .xword 0xc162032a00130003
37164 .xword 0xc1630369000f000f
37165 .xword 0xc1600f4b001f0022
37166 .xword 0x41620989000f0017
37167 .xword 0xc16001c5000f001b
37168 .xword 0xc16001e60013000f
37169 .xword 0xc16109c9000f0031
37170 .xword 0x41620185000f000b
37171 .xword 0x416107ab001f0014
37172 .xword 0x41610565000f002d
37173 .xword 0xc16118ab001f003b
37174 .xword 0xc1620fcb001f003d
37175_t1_rc4_cwd_array3:
37176 .xword 0xc0e100200400000f
37177 .xword 0xc0e100600400000c
37178 .xword 0xc0e1008000000004
37179 .xword 0xc0e0004004000009
37180 .xword 0xc0e000a004000007
37181 .xword 0x40e100e00000000e
37182 .xword 0xc0e0000004000008
37183 .xword 0x40e0006004000005
37184 .xword 0x40e100a000000008
37185 .xword 0x40e1006000000009
37186 .xword 0xc0e000200000000a
37187 .xword 0xc0e1000000000006
37188 .xword 0x40e0002004000000
37189 .xword 0x40e100c00400000d
37190 .xword 0x40e000c00000000b
37191_t1_sslkey_cwd_array3:
37192 .xword 0x106033c000000000, 0
37193 .xword 0x10601ac000000000, 0
37194 .xword 0x9060250000000000, 0
37195 .xword 0x9060374000000000, 0
37196 .xword 0x9060158000000000, 0
37197 .xword 0x90601a2000000000, 0
37198 .xword 0x106016a000000000, 0
37199 .xword 0x9060316000000000, 0
37200 .xword 0x10601d8000000000, 0
37201 .xword 0x106032c000000000, 0
37202 .xword 0x106027a000000000, 0
37203 .xword 0x1060124000000000, 0
37204 .xword 0x9060062000000000, 0
37205 .xword 0x1060348000000000, 0
37206 .xword 0x9060132000000000, 0
37207_t1_aes_key_array:
37208 .xword 0x6c9b5fcdd8e68bce
37209 .xword 0xb2065e2517a8f3c6
37210 .xword 0x3c4d9bf7b6763a18
37211 .xword 0x7adb81da2cce0128
37212 .xword 0x7833c538c3b4ce9f
37213 .xword 0x514e0b77936003f1
37214 .xword 0x8ba8f74a364f0089
37215 .xword 0xad83b467c2c5421e
37216 .xword 0x9a0d9cd78a220678
37217 .xword 0xadce0a91deff2d3b
37218 .xword 0xdd2f1ff0ef152cfc
37219 .xword 0xc4b1288b7adee49a
37220 .xword 0x301de37f52ef5159
37221 .xword 0x8398667902b884fa
37222 .xword 0x097cdb4bf3aab9f1
37223 .xword 0x009ea37921accf19
37224 .xword 0xed9e41edfa8ad6a1
37225 .xword 0xacf93ca3aa6bdd7d
37226 .xword 0x4363d20b42c24938
37227 .xword 0x4a1ee4d918f865f9
37228 .xword 0x1c7027f647a4869e
37229 .xword 0xbf9c6fba94512db6
37230 .xword 0xea8eee7351f13759
37231 .xword 0x19c3551a4b02d554
37232 .xword 0x5283b2f73844ae5f
37233 .xword 0x67b62e4bcfc81bbe
37234 .xword 0x9c31c6ea9feb780f
37235 .xword 0xf2296918899f411d
37236 .xword 0xfc7120b4fc8b7711
37237 .xword 0x50c6dd684afa7da2
37238 .xword 0x41e2a5ea088e71c3
37239 .xword 0xec85af15e71f9ded
37240 .xword 0x4f54d1c88d485740
37241 .xword 0x542bdfb374e1f298
37242 .xword 0x1f2d9b6de02b48c9
37243 .xword 0x3fc3c03c91f760d7
37244 .xword 0xe9952651116bf280
37245 .xword 0xd66aa12da24ade3a
37246 .xword 0xa35ce148de878985
37247 .xword 0xb6137dc647c90834
37248 .xword 0x395b467b122d6edb
37249 .xword 0x76f6273a3b730850
37250 .xword 0x003a20b0f09374b0
37251 .xword 0x5ecd878c5e8792f8
37252 .xword 0x85b823df8fb5b6f3
37253 .xword 0xcd3aaf848362ee72
37254 .xword 0x821844b15fe484de
37255 .xword 0x6c84db68fbe4882d
37256 .xword 0x00c0dc8c1c83c207
37257 .xword 0x1d623788a96c1944
37258 .xword 0x3c1e18e3590af38d
37259_t1_aes_iv_array:
37260 .xword 0xd603a6a9e6b87dc7
37261 .xword 0x42c251af9e9f60c2
37262 .xword 0x526429d5c65dd0b3
37263 .xword 0x6cd9d8a8d792feb8
37264 .xword 0x19d01466c2374c37
37265 .xword 0x44777fe29c9efef5
37266 .xword 0x5bfb66eec20607bd
37267 .xword 0xfb2c6a25b4a13c90
37268 .xword 0x8672907074c19e10
37269 .xword 0xd4f6410e891765dc
37270 .xword 0x29efddfd8b6310ee
37271 .xword 0xa230e5935cc92181
37272 .xword 0x624886cbf92d04f1
37273 .xword 0x7beafce0648c5447
37274 .xword 0x7ca4598810b94648
37275 .xword 0xc55ce7a8f0492d81
37276 .xword 0x08c3c40b20cbf959
37277 .xword 0xbd18fbcda80deb91
37278 .xword 0xa15153056c968c5a
37279 .xword 0x5b27676d1e383c09
37280 .xword 0x7b063a58602e438f
37281 .xword 0x232e42884da4cd61
37282 .xword 0xd0a6dd4ca30499c8
37283 .xword 0x84780817507d3dbe
37284 .xword 0x9ef45c127540392f
37285 .xword 0x2c0677d84010ab43
37286 .xword 0x19159be5a6db908c
37287 .xword 0x7631adcf25b5e872
37288 .xword 0xf632eda5ca609ca4
37289 .xword 0x1802b9e659467be1
37290 .xword 0x4c211071ad7a084b
37291 .xword 0x06c569c493b0fda5
37292 .xword 0xc96844286027716a
37293 .xword 0x4c05c9fa2f7a3f45
37294 .xword 0x1bdc2d1251555e24
37295 .xword 0xdccd11ae3565ad9f
37296 .xword 0x0b15badacbda8031
37297 .xword 0x8856b4b3ca81f1dc
37298 .xword 0x2d7f5c2195246fee
37299 .xword 0x0ab059c03f5dad98
37300 .xword 0x433ca6439d970676
37301 .xword 0xab177d8a3b4ac76c
37302 .xword 0x76380bf5b78bf7e0
37303 .xword 0x2857a57e3bda2190
37304 .xword 0x832582427f1c7e36
37305_t1_aes_alignment_array:
37306 .xword 14
37307 .xword 0
37308 .xword 3
37309 .xword 14
37310 .xword 13
37311 .xword 4
37312 .xword 0
37313 .xword 11
37314 .xword 10
37315 .xword 14
37316 .xword 1
37317 .xword 15
37318 .xword 7
37319 .xword 2
37320 .xword 6
37321 .xword 9
37322 .xword 10
37323 .xword 2
37324 .xword 10
37325 .xword 5
37326 .xword 13
37327 .xword 2
37328 .xword 3
37329 .xword 6
37330 .xword 12
37331 .xword 11
37332 .xword 0
37333 .xword 2
37334 .xword 5
37335 .xword 5
37336 .xword 4
37337 .xword 3
37338 .xword 12
37339 .xword 3
37340 .xword 10
37341 .xword 10
37342 .xword 2
37343 .xword 1
37344 .xword 11
37345 .xword 4
37346 .xword 1
37347 .xword 6
37348 .xword 1
37349 .xword 7
37350 .xword 4
37351 .xword 3
37352 .xword 15
37353 .xword 11
37354 .xword 8
37355 .xword 6
37356 .xword 7
37357 .xword 6
37358 .xword 1
37359 .xword 11
37360 .xword 11
37361 .xword 7
37362 .xword 15
37363 .xword 5
37364 .xword 2
37365 .xword 0
37366 .xword 2
37367 .xword 0
37368 .xword 3
37369 .xword 5
37370 .xword 0
37371 .xword 8
37372 .xword 15
37373 .xword 10
37374 .xword 7
37375 .xword 0
37376 .xword 11
37377 .xword 1
37378 .xword 4
37379 .xword 14
37380 .xword 3
37381 .xword 10
37382 .xword 12
37383 .xword 15
37384 .xword 0
37385 .xword 11
37386 .xword 13
37387 .xword 15
37388 .xword 13
37389 .xword 7
37390 .xword 12
37391 .xword 7
37392 .xword 7
37393 .xword 1
37394 .xword 5
37395 .xword 0
37396 .xword 11
37397 .xword 12
37398 .xword 8
37399 .xword 15
37400 .xword 4
37401 .xword 2
37402 .xword 14
37403 .xword 12
37404 .xword 1
37405 .xword 9
37406 .xword 15
37407 .xword 4
37408 .xword 5
37409 .xword 0
37410 .xword 3
37411_t1_aes_src:
37412 .xword 0x4ad02bcf9fe9890f
37413 .xword 0x08ac577ba2fcb584
37414 .xword 0x017e7360a4aeff95
37415 .xword 0xab2d091e6054fa4f
37416 .xword 0x6667304776b35cad
37417 .xword 0xbbd0f09c40c09ea9
37418 .xword 0x42a44637fafed3b0
37419 .xword 0x6c87cf429992bb60
37420 .xword 0x3453ee5dd7f17463
37421 .xword 0xc73bdb522eb680a2
37422 .xword 0xb42ca6325821fcdc
37423 .xword 0xd6b7d5f50f6f72ec
37424 .xword 0x70eb5490fc61b5da
37425 .xword 0x55f1867fcdab4124
37426 .xword 0x02d62b89e4a71e66
37427 .xword 0xd2a45a6f71e5c720
37428 .xword 0x3d9f1458c4dca5ba
37429 .xword 0x55dd8231d4a6b1ea
37430 .xword 0x859f8dc4d6af3d3f
37431 .xword 0xfdf73059db1f609e
37432 .xword 0x366ccef98c94f813
37433 .xword 0xf10820585f6a5892
37434 .xword 0x4d5b02c42fbcd86b
37435 .xword 0xbd5a96cf87ddc2b4
37436 .xword 0xcded0617af825d59
37437 .xword 0x3d4e51d18e79064b
37438 .xword 0x85cafeeb321c9f25
37439 .xword 0xaebcfa16587c9d4d
37440 .xword 0x8f1de18ae5153c07
37441 .xword 0x7bf094f6a034ae2c
37442 .xword 0xb1b8656255f7be0a
37443 .xword 0x0cea85925db9deef
37444 .xword 0xf28f41e95c573997
37445 .xword 0x67859a8b81229977
37446 .xword 0x1de8d34210bb86c2
37447 .xword 0x1a68c9fbfd7adf2c
37448 .xword 0x0ef0dab180c450e3
37449 .xword 0x0e3cf43caa0b582a
37450 .xword 0x161655e615a08cc4
37451 .xword 0x54b78b8517084b91
37452 .xword 0xaeb0a95c9099d264
37453 .xword 0x4d86ee9c5b17cf1f
37454 .xword 0x35d4733e409f6ed0
37455 .xword 0xc2929e9f4b9d97b8
37456 .xword 0x763b6e8f828a09ee
37457 .xword 0x7ddd15946c536584
37458 .xword 0xafa78e74657e3298
37459 .xword 0xd1a6efcc9dddc2f8
37460 .xword 0x492981b54a546b3c
37461 .xword 0xd59b9aa7783cbcab
37462 .xword 0x24aec0b9267eed2f
37463 .xword 0xedfcaa1eeb3974ab
37464 .xword 0x39b54eb237c7bd04
37465 .xword 0x0a574d138d3687d6
37466 .xword 0x5da167b6113ec630
37467 .xword 0x09a121d25e3a5dd2
37468 .xword 0xe5daf553b6d12ebd
37469 .xword 0xf522391c24399b00
37470 .xword 0xa45b9ab2360b1524
37471 .xword 0xb4dbdc4af67cc91e
37472 .xword 0x2b190fd10394524b
37473 .xword 0x92290f8bdcfda111
37474 .xword 0x0fea8eaf63e0d9d3
37475 .xword 0x28f5a65861f43979
37476 .xword 0x19f3cce5bc1a7ecb
37477 .xword 0xb4daada42308b414
37478 .xword 0x9212599cc57c2be6
37479 .xword 0x5be204c2a11723bd
37480 .xword 0x222d10b5cedd43d7
37481 .xword 0xf00dadd3a687b197
37482 .xword 0xafd58efb3e319312
37483 .xword 0xcd17f032db487612
37484 .xword 0xff6327d97c149616
37485 .xword 0x8077ffa2d297cb88
37486 .xword 0x0869e9f1b399e3b7
37487 .xword 0x9538990c4c4a4d4e
37488 .xword 0x84fc7bf0a544c57c
37489 .xword 0x75515e7637edcf3b
37490 .xword 0x344ba9baeb76d1f8
37491 .xword 0xa6595407583dd80f
37492 .xword 0x0f95b660eef3729a
37493 .xword 0x8dfb1b1772b0175c
37494 .xword 0xc85ea4cccb75ec84
37495 .xword 0x737343d51bf0a061
37496 .xword 0x547d315e71351ff3
37497 .xword 0x418c605df7229896
37498 .xword 0x097a91813c9f75c2
37499 .xword 0xa0666bbbe091069d
37500 .xword 0xf03237d1ef471448
37501 .xword 0x193cae707701831b
37502 .xword 0x69d66a10d8cbfe63
37503 .xword 0x135b2c8b6e6467f4
37504 .xword 0x2f76a069ab2e37ef
37505 .xword 0xf209ec80f8ceaa81
37506 .xword 0x4fdc6c19a89c5219
37507 .xword 0x3243c3447db1c4c0
37508 .xword 0x880e4c5f623ebc9a
37509 .xword 0x2952a62ebcea6026
37510 .xword 0xd8799c02c2568703
37511 .xword 0x513c0fde8ebd5026
37512 .xword 0x5611b0be356cf712
37513 .xword 0xf8ad8f10aac33d1a
37514 .xword 0x03fb967a77960ac0
37515 .xword 0x6e36626560c329da
37516 .xword 0x130eecbc24171128
37517 .xword 0x09b70c95f2226351
37518 .xword 0x1815dffc3897112c
37519 .xword 0x00c15d082ba18d14
37520 .xword 0xe738cdd9278a3de0
37521 .xword 0xb7457f2ef720c03a
37522 .xword 0x6f5ed3a27014cd51
37523 .xword 0x4d975bb602ae5425
37524 .xword 0x9ad7dcb2a66966b3
37525 .xword 0xf1728119fe43c852
37526 .xword 0xdb0dec3b15c2dab2
37527 .xword 0xca777f956de2ff44
37528 .xword 0x6499c74e74532e03
37529 .xword 0x7c88b299425a1369
37530 .xword 0x5c97cb942028427a
37531 .xword 0x30730809a6a8007e
37532 .xword 0xb9ea6493d63ad11b
37533 .xword 0xcfe4c266876d961b
37534 .xword 0x4892a37fe02083ac
37535 .xword 0x8356e509161b59b5
37536 .xword 0xf6bb2a6db7807d98
37537 .xword 0x3e0bf33c10e3bd19
37538 .xword 0x8895badaff4d8a7c
37539 .xword 0x2465645bdcdd5b04
37540 .xword 0xe30447091effe391
37541 .xword 0x0567aa794c1f5750
37542 .xword 0xe1be96cb585c1486
37543 .xword 0x71d3b3cfbf805377
37544 .xword 0x5c2c86df25339dd4
37545 .xword 0x80b0aa4b6255f261
37546 .xword 0x7d8d515bbee72288
37547 .xword 0x2187cd75af4a45cf
37548 .xword 0xf54b3325fa87c0b0
37549 .xword 0xbeca5e10bffbaac6
37550 .xword 0x020380791a38275f
37551 .xword 0x7d6b7c8ee6948d43
37552 .xword 0x99fac66e3158decc
37553 .xword 0x93ca32df7fbd3dc4
37554 .xword 0x5171b656a34a4e54
37555 .xword 0x05c013c8e4746a70
37556 .xword 0x7d58ef43e1be3b45
37557 .xword 0x2d52c262a2d004f2
37558 .xword 0x5c1068ace8ca8f60
37559 .xword 0x13a8cd6047be7754
37560 .xword 0x68a8dffb7ebf9e7e
37561 .xword 0xdde8721ade5015a7
37562 .xword 0xb2bc0e73346c5c58
37563 .xword 0x485e90db99ca369b
37564 .xword 0xceb1f07e0e6b06b7
37565 .xword 0xf096d28605b43f3d
37566 .xword 0x80fd5b07fbb1666e
37567 .xword 0x0e1d8d81f41a79cc
37568 .xword 0x53e407c144584b7d
37569 .xword 0xd822b269e3cca75b
37570 .xword 0xe15d92c454eeccb2
37571 .xword 0xa80a907b23e8619c
37572 .xword 0xf3e4f336d88756fc
37573 .xword 0x95e44be3ceb71ee8
37574 .xword 0xe6a40f7d1e474ec2
37575 .xword 0x3f72d0867a3a360d
37576 .xword 0xf4f48f4efe471b5a
37577 .xword 0x2f7429b83825e544
37578 .xword 0xeeb50a2654045358
37579 .xword 0x23b394663f7789c0
37580 .xword 0xf4a6010dfd9b52ab
37581 .xword 0xa93362e837b73b42
37582 .xword 0x5c3c57f9477cc1ca
37583 .xword 0x119f91f8f72ca04b
37584 .xword 0xfe84f70c4a78e06c
37585 .xword 0x7019992082abda03
37586 .xword 0xaa6b54d82c055128
37587 .xword 0x4209cc64ff828c4d
37588 .xword 0x386e81ddaaf0e223
37589 .xword 0x366dd1de71efd18b
37590 .xword 0x331c50e81cb088b9
37591 .xword 0x2bf9eda82b3ddb36
37592 .xword 0x4227f2123f559e41
37593 .xword 0xa117032b5f6e225a
37594 .xword 0x6c62e7f032a22760
37595 .xword 0xd50c44716d3bb5b6
37596 .xword 0xdce3ed5a52537818
37597 .xword 0x00af9c02392504bc
37598 .xword 0x408228bc78daa90f
37599 .xword 0xa3a67c411faf556b
37600 .xword 0x80a3f156d2d0ba6f
37601 .xword 0x612ea6965d0c5da3
37602 .xword 0x989f7851710bb7a9
37603 .xword 0x4aa0c37b1a4b43bb
37604 .xword 0x9a5832d9af4d82a5
37605 .xword 0x93d248c123b38fd6
37606 .xword 0x5dcbdebac25b7ee7
37607 .xword 0xa0653ba9e5f3216f
37608 .xword 0xa6b32869d5ae6990
37609 .xword 0x2ae8e29b1f9bfa79
37610 .xword 0x8e9ed18cd771b287
37611 .xword 0x0ebd82a605c2152e
37612 .xword 0xb50b0d8a325fb2f8
37613 .xword 0xbfcaf2f46b9adb71
37614 .xword 0x4d1cc28e84f25f57
37615 .xword 0xb1a90db0d5058b21
37616 .xword 0x785bbdffd4a92140
37617 .xword 0xd366f43ca49cb088
37618 .xword 0x1330481ee61e01e1
37619 .xword 0x48fd54e93f20fa15
37620 .xword 0xabcad33971d40561
37621 .xword 0xbcc232d04491372d
37622 .xword 0xd6e2ab1ff13e44bf
37623 .xword 0x238bd61b5e0e91c4
37624 .xword 0x0bc1e96825644370
37625 .xword 0x5d9dd1533862a7e0
37626 .xword 0xf633de53015b73f8
37627 .xword 0xb76943f7ff5a4c37
37628 .xword 0xd34ad60ef1bb44a2
37629 .xword 0xcd1d352b8fc7a7ef
37630 .xword 0x485db4fbb25d20ed
37631 .xword 0x4a0694b7a3f69ea6
37632 .xword 0x6c43389227576b05
37633 .xword 0x91d4a0944164cd45
37634 .xword 0x84d7a34960b8abe7
37635 .xword 0xa8fd0021a3f0eab5
37636 .xword 0xe64f260da37190bb
37637 .xword 0xedc34be2061d5b2c
37638 .xword 0xcf8cc3ea8ba06b67
37639 .xword 0x945e7fdb487807cc
37640 .xword 0x00c1f04f3a357d0d
37641 .xword 0x849b68d28c5ad1ab
37642 .xword 0x73f999f78ee21f4d
37643 .xword 0x5094d18e45e429fc
37644 .xword 0xdc255a7e61e8f64d
37645 .xword 0xba4aa3d9f03b5b53
37646 .xword 0x002f2d9a3264f27f
37647 .xword 0x77b065a3a66bc263
37648 .xword 0x434da22f411b079b
37649 .xword 0xa70aba9e6b737953
37650 .xword 0xfed40bd4f730df08
37651 .xword 0xc9636b124eb9f67d
37652 .xword 0x26014fc3b86c231d
37653 .xword 0x5243ce829145ab40
37654 .xword 0xbb5745b3ad2b569f
37655 .xword 0x39a13185d9361bcb
37656 .xword 0xac954a01ab405b54
37657 .xword 0xd7854753d365b7c4
37658 .xword 0xbfb7d22e849fccd2
37659 .xword 0xa1e6a610df816923
37660 .xword 0x96443cc2c51893f4
37661 .xword 0x86e6437d32ea8e01
37662 .xword 0x362220535639af44
37663 .xword 0x8caef2a08fe20660
37664 .xword 0xb7a9759abbbd89fa
37665 .xword 0xa0ffabce960ff279
37666 .xword 0x48ecd98dc09fb9ae
37667 .xword 0xe5158ef2a8074283
37668 .xword 0xa745ccd17778dfa1
37669 .xword 0x44f689a7ccaec5d7
37670 .xword 0xfe114a1402e2590b
37671 .xword 0x81ac10e18d33ef12
37672 .xword 0x34a271d7dca3a208
37673 .xword 0x217a5426e1849528
37674 .xword 0xd43f0b804c8b37f4
37675 .xword 0x16b2b24b38e4d800
37676 .xword 0x3ca060376c4ee15c
37677 .xword 0x8a79a0f9b2fc57f7
37678 .xword 0xfdfd3147673f9679
37679 .xword 0xc2f2dadc9f50c149
37680 .xword 0x147179f477a44257
37681 .xword 0xe133a8a829d93e3e
37682 .xword 0x14cb4316b4554a10
37683 .xword 0x9297b87d89a5e41a
37684 .xword 0xe61a1cdb4b84fc97
37685 .xword 0x9d40b12440382365
37686 .xword 0xf4e1bb7fbd6e7c15
37687_t1_aes_dest:
37688 .xword 0xDEADBEEFDEADBEEF
37689 .xword 0xDEADBEEFDEADBEEF
37690 .xword 0xDEADBEEFDEADBEEF
37691 .xword 0xDEADBEEFDEADBEEF
37692 .xword 0xDEADBEEFDEADBEEF
37693 .xword 0xDEADBEEFDEADBEEF
37694 .xword 0xDEADBEEFDEADBEEF
37695 .xword 0xDEADBEEFDEADBEEF
37696 .xword 0xDEADBEEFDEADBEEF
37697 .xword 0xDEADBEEFDEADBEEF
37698 .xword 0xDEADBEEFDEADBEEF
37699 .xword 0xDEADBEEFDEADBEEF
37700 .xword 0xDEADBEEFDEADBEEF
37701 .xword 0xDEADBEEFDEADBEEF
37702 .xword 0xDEADBEEFDEADBEEF
37703 .xword 0xDEADBEEFDEADBEEF
37704 .xword 0xDEADBEEFDEADBEEF
37705 .xword 0xDEADBEEFDEADBEEF
37706 .xword 0xDEADBEEFDEADBEEF
37707 .xword 0xDEADBEEFDEADBEEF
37708 .xword 0xDEADBEEFDEADBEEF
37709 .xword 0xDEADBEEFDEADBEEF
37710 .xword 0xDEADBEEFDEADBEEF
37711 .xword 0xDEADBEEFDEADBEEF
37712 .xword 0xDEADBEEFDEADBEEF
37713 .xword 0xDEADBEEFDEADBEEF
37714 .xword 0xDEADBEEFDEADBEEF
37715 .xword 0xDEADBEEFDEADBEEF
37716 .xword 0xDEADBEEFDEADBEEF
37717 .xword 0xDEADBEEFDEADBEEF
37718 .xword 0xDEADBEEFDEADBEEF
37719 .xword 0xDEADBEEFDEADBEEF
37720 .xword 0xDEADBEEFDEADBEEF
37721 .xword 0xDEADBEEFDEADBEEF
37722 .xword 0xDEADBEEFDEADBEEF
37723 .xword 0xDEADBEEFDEADBEEF
37724 .xword 0xDEADBEEFDEADBEEF
37725 .xword 0xDEADBEEFDEADBEEF
37726 .xword 0xDEADBEEFDEADBEEF
37727 .xword 0xDEADBEEFDEADBEEF
37728 .xword 0xDEADBEEFDEADBEEF
37729 .xword 0xDEADBEEFDEADBEEF
37730 .xword 0xDEADBEEFDEADBEEF
37731 .xword 0xDEADBEEFDEADBEEF
37732 .xword 0xDEADBEEFDEADBEEF
37733 .xword 0xDEADBEEFDEADBEEF
37734 .xword 0xDEADBEEFDEADBEEF
37735 .xword 0xDEADBEEFDEADBEEF
37736 .xword 0xDEADBEEFDEADBEEF
37737 .xword 0xDEADBEEFDEADBEEF
37738 .xword 0xDEADBEEFDEADBEEF
37739 .xword 0xDEADBEEFDEADBEEF
37740 .xword 0xDEADBEEFDEADBEEF
37741 .xword 0xDEADBEEFDEADBEEF
37742 .xword 0xDEADBEEFDEADBEEF
37743 .xword 0xDEADBEEFDEADBEEF
37744 .xword 0xDEADBEEFDEADBEEF
37745 .xword 0xDEADBEEFDEADBEEF
37746 .xword 0xDEADBEEFDEADBEEF
37747 .xword 0xDEADBEEFDEADBEEF
37748 .xword 0xDEADBEEFDEADBEEF
37749 .xword 0xDEADBEEFDEADBEEF
37750 .xword 0xDEADBEEFDEADBEEF
37751 .xword 0xDEADBEEFDEADBEEF
37752 .xword 0xDEADBEEFDEADBEEF
37753 .xword 0xDEADBEEFDEADBEEF
37754 .xword 0xDEADBEEFDEADBEEF
37755 .xword 0xDEADBEEFDEADBEEF
37756 .xword 0xDEADBEEFDEADBEEF
37757 .xword 0xDEADBEEFDEADBEEF
37758 .xword 0xDEADBEEFDEADBEEF
37759 .xword 0xDEADBEEFDEADBEEF
37760 .xword 0xDEADBEEFDEADBEEF
37761 .xword 0xDEADBEEFDEADBEEF
37762 .xword 0xDEADBEEFDEADBEEF
37763 .xword 0xDEADBEEFDEADBEEF
37764 .xword 0xDEADBEEFDEADBEEF
37765 .xword 0xDEADBEEFDEADBEEF
37766 .xword 0xDEADBEEFDEADBEEF
37767 .xword 0xDEADBEEFDEADBEEF
37768 .xword 0xDEADBEEFDEADBEEF
37769 .xword 0xDEADBEEFDEADBEEF
37770 .xword 0xDEADBEEFDEADBEEF
37771 .xword 0xDEADBEEFDEADBEEF
37772 .xword 0xDEADBEEFDEADBEEF
37773 .xword 0xDEADBEEFDEADBEEF
37774 .xword 0xDEADBEEFDEADBEEF
37775 .xword 0xDEADBEEFDEADBEEF
37776 .xword 0xDEADBEEFDEADBEEF
37777 .xword 0xDEADBEEFDEADBEEF
37778 .xword 0xDEADBEEFDEADBEEF
37779 .xword 0xDEADBEEFDEADBEEF
37780 .xword 0xDEADBEEFDEADBEEF
37781 .xword 0xDEADBEEFDEADBEEF
37782 .xword 0xDEADBEEFDEADBEEF
37783 .xword 0xDEADBEEFDEADBEEF
37784 .xword 0xDEADBEEFDEADBEEF
37785 .xword 0xDEADBEEFDEADBEEF
37786 .xword 0xDEADBEEFDEADBEEF
37787 .xword 0xDEADBEEFDEADBEEF
37788 .xword 0xDEADBEEFDEADBEEF
37789 .xword 0xDEADBEEFDEADBEEF
37790 .xword 0xDEADBEEFDEADBEEF
37791 .xword 0xDEADBEEFDEADBEEF
37792 .xword 0xDEADBEEFDEADBEEF
37793 .xword 0xDEADBEEFDEADBEEF
37794 .xword 0xDEADBEEFDEADBEEF
37795 .xword 0xDEADBEEFDEADBEEF
37796 .xword 0xDEADBEEFDEADBEEF
37797 .xword 0xDEADBEEFDEADBEEF
37798 .xword 0xDEADBEEFDEADBEEF
37799 .xword 0xDEADBEEFDEADBEEF
37800 .xword 0xDEADBEEFDEADBEEF
37801 .xword 0xDEADBEEFDEADBEEF
37802 .xword 0xDEADBEEFDEADBEEF
37803 .xword 0xDEADBEEFDEADBEEF
37804 .xword 0xDEADBEEFDEADBEEF
37805 .xword 0xDEADBEEFDEADBEEF
37806 .xword 0xDEADBEEFDEADBEEF
37807 .xword 0xDEADBEEFDEADBEEF
37808 .xword 0xDEADBEEFDEADBEEF
37809 .xword 0xDEADBEEFDEADBEEF
37810 .xword 0xDEADBEEFDEADBEEF
37811 .xword 0xDEADBEEFDEADBEEF
37812 .xword 0xDEADBEEFDEADBEEF
37813 .xword 0xDEADBEEFDEADBEEF
37814 .xword 0xDEADBEEFDEADBEEF
37815 .xword 0xDEADBEEFDEADBEEF
37816 .xword 0xDEADBEEFDEADBEEF
37817 .xword 0xDEADBEEFDEADBEEF
37818 .xword 0xDEADBEEFDEADBEEF
37819 .xword 0xDEADBEEFDEADBEEF
37820 .xword 0xDEADBEEFDEADBEEF
37821 .xword 0xDEADBEEFDEADBEEF
37822 .xword 0xDEADBEEFDEADBEEF
37823 .xword 0xDEADBEEFDEADBEEF
37824 .xword 0xDEADBEEFDEADBEEF
37825 .xword 0xDEADBEEFDEADBEEF
37826 .xword 0xDEADBEEFDEADBEEF
37827 .xword 0xDEADBEEFDEADBEEF
37828 .xword 0xDEADBEEFDEADBEEF
37829 .xword 0xDEADBEEFDEADBEEF
37830 .xword 0xDEADBEEFDEADBEEF
37831 .xword 0xDEADBEEFDEADBEEF
37832 .xword 0xDEADBEEFDEADBEEF
37833 .xword 0xDEADBEEFDEADBEEF
37834 .xword 0xDEADBEEFDEADBEEF
37835 .xword 0xDEADBEEFDEADBEEF
37836 .xword 0xDEADBEEFDEADBEEF
37837 .xword 0xDEADBEEFDEADBEEF
37838 .xword 0xDEADBEEFDEADBEEF
37839 .xword 0xDEADBEEFDEADBEEF
37840 .xword 0xDEADBEEFDEADBEEF
37841 .xword 0xDEADBEEFDEADBEEF
37842 .xword 0xDEADBEEFDEADBEEF
37843 .xword 0xDEADBEEFDEADBEEF
37844 .xword 0xDEADBEEFDEADBEEF
37845 .xword 0xDEADBEEFDEADBEEF
37846 .xword 0xDEADBEEFDEADBEEF
37847 .xword 0xDEADBEEFDEADBEEF
37848 .xword 0xDEADBEEFDEADBEEF
37849 .xword 0xDEADBEEFDEADBEEF
37850 .xword 0xDEADBEEFDEADBEEF
37851 .xword 0xDEADBEEFDEADBEEF
37852 .xword 0xDEADBEEFDEADBEEF
37853 .xword 0xDEADBEEFDEADBEEF
37854 .xword 0xDEADBEEFDEADBEEF
37855 .xword 0xDEADBEEFDEADBEEF
37856 .xword 0xDEADBEEFDEADBEEF
37857 .xword 0xDEADBEEFDEADBEEF
37858 .xword 0xDEADBEEFDEADBEEF
37859 .xword 0xDEADBEEFDEADBEEF
37860 .xword 0xDEADBEEFDEADBEEF
37861 .xword 0xDEADBEEFDEADBEEF
37862 .xword 0xDEADBEEFDEADBEEF
37863 .xword 0xDEADBEEFDEADBEEF
37864 .xword 0xDEADBEEFDEADBEEF
37865 .xword 0xDEADBEEFDEADBEEF
37866 .xword 0xDEADBEEFDEADBEEF
37867 .xword 0xDEADBEEFDEADBEEF
37868 .xword 0xDEADBEEFDEADBEEF
37869 .xword 0xDEADBEEFDEADBEEF
37870 .xword 0xDEADBEEFDEADBEEF
37871 .xword 0xDEADBEEFDEADBEEF
37872 .xword 0xDEADBEEFDEADBEEF
37873 .xword 0xDEADBEEFDEADBEEF
37874 .xword 0xDEADBEEFDEADBEEF
37875 .xword 0xDEADBEEFDEADBEEF
37876 .xword 0xDEADBEEFDEADBEEF
37877 .xword 0xDEADBEEFDEADBEEF
37878 .xword 0xDEADBEEFDEADBEEF
37879 .xword 0xDEADBEEFDEADBEEF
37880 .xword 0xDEADBEEFDEADBEEF
37881 .xword 0xDEADBEEFDEADBEEF
37882 .xword 0xDEADBEEFDEADBEEF
37883 .xword 0xDEADBEEFDEADBEEF
37884 .xword 0xDEADBEEFDEADBEEF
37885 .xword 0xDEADBEEFDEADBEEF
37886 .xword 0xDEADBEEFDEADBEEF
37887 .xword 0xDEADBEEFDEADBEEF
37888 .xword 0xDEADBEEFDEADBEEF
37889 .xword 0xDEADBEEFDEADBEEF
37890 .xword 0xDEADBEEFDEADBEEF
37891 .xword 0xDEADBEEFDEADBEEF
37892 .xword 0xDEADBEEFDEADBEEF
37893 .xword 0xDEADBEEFDEADBEEF
37894 .xword 0xDEADBEEFDEADBEEF
37895 .xword 0xDEADBEEFDEADBEEF
37896 .xword 0xDEADBEEFDEADBEEF
37897 .xword 0xDEADBEEFDEADBEEF
37898 .xword 0xDEADBEEFDEADBEEF
37899 .xword 0xDEADBEEFDEADBEEF
37900 .xword 0xDEADBEEFDEADBEEF
37901 .xword 0xDEADBEEFDEADBEEF
37902 .xword 0xDEADBEEFDEADBEEF
37903 .xword 0xDEADBEEFDEADBEEF
37904 .xword 0xDEADBEEFDEADBEEF
37905 .xword 0xDEADBEEFDEADBEEF
37906 .xword 0xDEADBEEFDEADBEEF
37907 .xword 0xDEADBEEFDEADBEEF
37908 .xword 0xDEADBEEFDEADBEEF
37909 .xword 0xDEADBEEFDEADBEEF
37910 .xword 0xDEADBEEFDEADBEEF
37911 .xword 0xDEADBEEFDEADBEEF
37912 .xword 0xDEADBEEFDEADBEEF
37913 .xword 0xDEADBEEFDEADBEEF
37914 .xword 0xDEADBEEFDEADBEEF
37915 .xword 0xDEADBEEFDEADBEEF
37916 .xword 0xDEADBEEFDEADBEEF
37917 .xword 0xDEADBEEFDEADBEEF
37918 .xword 0xDEADBEEFDEADBEEF
37919 .xword 0xDEADBEEFDEADBEEF
37920 .xword 0xDEADBEEFDEADBEEF
37921 .xword 0xDEADBEEFDEADBEEF
37922 .xword 0xDEADBEEFDEADBEEF
37923 .xword 0xDEADBEEFDEADBEEF
37924 .xword 0xDEADBEEFDEADBEEF
37925 .xword 0xDEADBEEFDEADBEEF
37926 .xword 0xDEADBEEFDEADBEEF
37927 .xword 0xDEADBEEFDEADBEEF
37928 .xword 0xDEADBEEFDEADBEEF
37929 .xword 0xDEADBEEFDEADBEEF
37930 .xword 0xDEADBEEFDEADBEEF
37931 .xword 0xDEADBEEFDEADBEEF
37932 .xword 0xDEADBEEFDEADBEEF
37933 .xword 0xDEADBEEFDEADBEEF
37934 .xword 0xDEADBEEFDEADBEEF
37935 .xword 0xDEADBEEFDEADBEEF
37936 .xword 0xDEADBEEFDEADBEEF
37937 .xword 0xDEADBEEFDEADBEEF
37938 .xword 0xDEADBEEFDEADBEEF
37939 .xword 0xDEADBEEFDEADBEEF
37940 .xword 0xDEADBEEFDEADBEEF
37941 .xword 0xDEADBEEFDEADBEEF
37942 .xword 0xDEADBEEFDEADBEEF
37943 .xword 0xDEADBEEFDEADBEEF
37944 .xword 0xDEADBEEFDEADBEEF
37945 .xword 0xDEADBEEFDEADBEEF
37946 .xword 0xDEADBEEFDEADBEEF
37947 .xword 0xDEADBEEFDEADBEEF
37948 .xword 0xDEADBEEFDEADBEEF
37949 .xword 0xDEADBEEFDEADBEEF
37950 .xword 0xDEADBEEFDEADBEEF
37951 .xword 0xDEADBEEFDEADBEEF
37952 .xword 0xDEADBEEFDEADBEEF
37953 .xword 0xDEADBEEFDEADBEEF
37954 .xword 0xDEADBEEFDEADBEEF
37955 .xword 0xDEADBEEFDEADBEEF
37956 .xword 0xDEADBEEFDEADBEEF
37957 .xword 0xDEADBEEFDEADBEEF
37958 .xword 0xDEADBEEFDEADBEEF
37959 .xword 0xDEADBEEFDEADBEEF
37960 .xword 0xDEADBEEFDEADBEEF
37961 .xword 0xDEADBEEFDEADBEEF
37962 .xword 0xDEADBEEFDEADBEEF
37963_t1_aes_auth_key:
37964 .xword 0xa8ffc79d2f98b4b7
37965 .xword 0x2cbec039dd664b17
37966 .xword 0x40a9c56f6c6b617f
37967 .xword 0x4bbd874b98fa5a4c
37968 .xword 0x6281b6a0866ce7c8
37969 .xword 0xc8d50616b1c2bcbf
37970 .xword 0xb07c2366b36af096
37971 .xword 0x86f48a33cae6df12
37972 .xword 0x979aea154b870f06
37973 .xword 0xd2caa7b9d281aa25
37974 .xword 0xc467c670c2682da6
37975 .xword 0x90b19547bae84e97
37976 .xword 0x5eb5a3a3f17d54f3
37977 .xword 0xb1c68b4b262e9600
37978 .xword 0xb804fe01d0e66640
37979 .xword 0xd758485df8c52720
37980 .xword 0x8330d06a829da756
37981 .xword 0x87cb602881cb402e
37982 .xword 0x97bba6df1e742def
37983 .xword 0x143e780ea403bd1b
37984 .xword 0xf307cccf03caec4a
37985 .xword 0xff528e885da9a92f
37986 .xword 0xd83de5f72721e4e7
37987_t1_aes_auth_iv:
37988 .xword 0x3becb813fa96036a
37989 .xword 0xeb562aa321bf3320
37990 .xword 0x6ef23100e6ca7639
37991 .xword 0x3fae33991bb07813
37992 .xword 0xb82e83528c1f89bb
37993 .xword 0x92a3b5acebb3c7a9
37994 .xword 0xfc00ee833511eadd
37995 .xword 0x32b89c9d593224a7
37996 .xword 0x8614233be29a4e39
37997 .xword 0xae8aeaa37cb6032b
37998 .xword 0xc0eb462092d884cf
37999 .xword 0x00aa842237633046
38000 .xword 0x96a16cd520b390c8
38001 .xword 0x37fa067273911eab
38002 .xword 0x5683a72b798fecf7
38003 .xword 0x004bcd78f00bf40d
38004 .xword 0xc8e93f3afa7e4ea1
38005 .xword 0x1ce3895c342ccd3c
38006 .xword 0x67690e5da36eb919
38007 .xword 0xc993bf0c27a41308
38008 .xword 0x6c145b7a6692f74e
38009 .xword 0x621feb8ff4b63c57
38010 .xword 0x62e173a1c5de663a
38011_t1_aes_fas_result:
38012 .xword 0xDEADBEEFDEADBEEF
38013 .xword 0xDEADBEEFDEADBEEF
38014 .xword 0xDEADBEEFDEADBEEF
38015 .xword 0xDEADBEEFDEADBEEF
38016 .xword 0xDEADBEEFDEADBEEF
38017 .xword 0xDEADBEEFDEADBEEF
38018 .xword 0xDEADBEEFDEADBEEF
38019 .xword 0xDEADBEEFDEADBEEF
38020 .xword 0xDEADBEEFDEADBEEF
38021 .xword 0xDEADBEEFDEADBEEF
38022 .xword 0xDEADBEEFDEADBEEF
38023 .xword 0xDEADBEEFDEADBEEF
38024 .xword 0xDEADBEEFDEADBEEF
38025 .xword 0xDEADBEEFDEADBEEF
38026 .xword 0xDEADBEEFDEADBEEF
38027 .xword 0xDEADBEEFDEADBEEF
38028 .xword 0xDEADBEEFDEADBEEF
38029 .xword 0xDEADBEEFDEADBEEF
38030 .xword 0xDEADBEEFDEADBEEF
38031 .xword 0xDEADBEEFDEADBEEF
38032 .xword 0xDEADBEEFDEADBEEF
38033 .xword 0xDEADBEEFDEADBEEF
38034 .xword 0xDEADBEEFDEADBEEF
38035_t1_des_key_array:
38036 .xword 0x1e8c0fdfdd5b8a49
38037 .xword 0x4f233415fabe5a77
38038 .xword 0xda5ac202b16230e5
38039 .xword 0x87ec7f02350a01ab
38040 .xword 0x46bd1a62ff569a5f
38041 .xword 0xa3a47e5296eafdde
38042 .xword 0xe9817e1222322065
38043 .xword 0x9c35f712ac17b5cc
38044 .xword 0xb0a950303e8cf5be
38045 .xword 0x0d94be3e534f6705
38046 .xword 0x76ccf7e85c6c5e56
38047 .xword 0xf3accaa8e51a7ce3
38048 .xword 0x83fab79bf0fd9baa
38049 .xword 0x69920589c5d0df5b
38050 .xword 0xd78cb62d6841ff7c
38051 .xword 0x21b2b392672f03cc
38052 .xword 0xe4d1d6df88fdd4f0
38053 .xword 0xdf16c352f1d84e70
38054 .xword 0x54c42dcadc303a12
38055 .xword 0x91d4e53f138be2a0
38056 .xword 0x694e7c28aa79e541
38057 .xword 0x324dbcb47d59805a
38058 .xword 0x7ae53f2327eaa587
38059 .xword 0x9249a064d9adc572
38060 .xword 0x3f17605883aa9cc3
38061 .xword 0x096521f44b0bf115
38062 .xword 0x95295583824edf04
38063 .xword 0x0464a2a222ad54a1
38064 .xword 0x0d295cbd6dadb8c8
38065 .xword 0xf6227c058dde8e05
38066 .xword 0xb5abc2cd64415e3f
38067 .xword 0x497927058a1bcb82
38068 .xword 0xa84057d6c53db3df
38069 .xword 0x24ecae299e9f80f0
38070 .xword 0x6b4fe31d4f413a3f
38071 .xword 0xf71939a1457fd643
38072 .xword 0x001ee192a9a5c98b
38073 .xword 0xbd989aac36a4daf9
38074 .xword 0x7655c50f9414964c
38075 .xword 0xe0254d5a7ff804ec
38076 .xword 0xfa23fdd5e029148c
38077 .xword 0x0452edf81755f8b1
38078 .xword 0x7a0fb1833ff41ee2
38079 .xword 0xf0df630013a0ed25
38080 .xword 0x3c8dafc70fc2ac7c
38081 .xword 0x67282c5f74c9a48f
38082 .xword 0xf23ad64cc9f915da
38083 .xword 0xef35febfe2459187
38084 .xword 0x1dbccaff764ae4ee
38085 .xword 0x7b798fe5c925e41c
38086 .xword 0x9baa0b9a9962a8fd
38087_t1_des_iv_array:
38088 .xword 0x58fdff96bb4a5ad3
38089 .xword 0x8a184b4f8b7fb9d1
38090 .xword 0xb00fd3e957c1e798
38091 .xword 0x2cb1d62d49b43bb4
38092 .xword 0x842b5c6ef06a829f
38093 .xword 0x1c82eb489066084a
38094 .xword 0xe88cddd5d5665f8b
38095 .xword 0xe037eb8886262d3d
38096 .xword 0x8069827a9c66f977
38097 .xword 0xe86a28aca5e83f5b
38098 .xword 0xa19adbad4159be51
38099 .xword 0xfaf6322df43244e5
38100 .xword 0xd1fff5895c8d66b4
38101 .xword 0x8b8d50cc11fe7c9e
38102 .xword 0xe37b77f973af18d5
38103 .xword 0x8a1b9475288a3413
38104 .xword 0x47304e92145ef90a
38105 .xword 0x888365e64ace1777
38106 .xword 0x979d303d56c93873
38107 .xword 0x542f3e40ee9256bc
38108 .xword 0x2873d9d11c865ae5
38109 .xword 0x198651f3312a3c46
38110 .xword 0x6743c0e6d06a54d3
38111 .xword 0x005e5d64b55d3bf0
38112 .xword 0xe6f03d5c222ae00d
38113 .xword 0xffff9f9097abcdeb
38114 .xword 0x8c6e1fbf9cc44943
38115 .xword 0x59c7c018fae5d1d3
38116 .xword 0x54c390e26fbe815c
38117 .xword 0x0b6b284da7f311c3
38118 .xword 0xc2dea6e2f7457565
38119 .xword 0x7b824b89afc0b52b
38120 .xword 0x71a96557ef3668dd
38121 .xword 0xa509bd1935ddf854
38122 .xword 0x478bd559cdb9d7a8
38123 .xword 0x79df9ddca087a3ee
38124 .xword 0x5559634d5ea192ad
38125 .xword 0x61ed9ae5c86735a8
38126 .xword 0x7df423ee3f6656f5
38127 .xword 0x3c76f8088ba53dac
38128 .xword 0xc633b93e0d111faa
38129 .xword 0xd3671248c6ae9f39
38130 .xword 0xfa8b9c5e9f65af20
38131 .xword 0x26b52b1d787978d8
38132 .xword 0xdf6e9813f7e57d83
38133_t1_des_alignment_array:
38134 .xword 12
38135 .xword 12
38136 .xword 3
38137 .xword 7
38138 .xword 7
38139 .xword 1
38140 .xword 15
38141 .xword 4
38142 .xword 0
38143 .xword 12
38144 .xword 10
38145 .xword 2
38146 .xword 10
38147 .xword 3
38148 .xword 6
38149 .xword 4
38150 .xword 15
38151 .xword 3
38152 .xword 6
38153 .xword 10
38154 .xword 13
38155 .xword 8
38156 .xword 10
38157 .xword 8
38158 .xword 14
38159 .xword 0
38160 .xword 5
38161 .xword 13
38162 .xword 1
38163 .xword 12
38164 .xword 2
38165 .xword 9
38166 .xword 1
38167 .xword 2
38168 .xword 0
38169 .xword 15
38170 .xword 8
38171 .xword 1
38172 .xword 14
38173 .xword 12
38174 .xword 15
38175 .xword 15
38176 .xword 4
38177 .xword 14
38178 .xword 8
38179 .xword 10
38180 .xword 13
38181 .xword 2
38182 .xword 15
38183 .xword 8
38184 .xword 15
38185 .xword 4
38186 .xword 7
38187 .xword 1
38188 .xword 6
38189 .xword 12
38190 .xword 7
38191 .xword 5
38192 .xword 2
38193 .xword 14
38194 .xword 13
38195 .xword 14
38196 .xword 12
38197 .xword 2
38198 .xword 6
38199 .xword 15
38200 .xword 12
38201 .xword 4
38202 .xword 3
38203 .xword 9
38204 .xword 8
38205 .xword 5
38206 .xword 5
38207 .xword 14
38208 .xword 1
38209 .xword 13
38210 .xword 12
38211 .xword 2
38212 .xword 13
38213 .xword 1
38214 .xword 3
38215 .xword 9
38216 .xword 5
38217 .xword 13
38218 .xword 11
38219 .xword 4
38220 .xword 15
38221 .xword 5
38222 .xword 6
38223 .xword 1
38224 .xword 9
38225 .xword 13
38226 .xword 4
38227 .xword 3
38228 .xword 2
38229 .xword 14
38230 .xword 4
38231 .xword 6
38232 .xword 5
38233 .xword 9
38234 .xword 15
38235 .xword 11
38236 .xword 10
38237 .xword 15
38238 .xword 11
38239_t1_des_src:
38240 .xword 0xbfbe863d42050156
38241 .xword 0xcfe9bb675a04b504
38242 .xword 0xcf2127e9d7e5d71e
38243 .xword 0x1c03615cc3c8cc60
38244 .xword 0x1e217176a31b8b07
38245 .xword 0x45ce9f865400d3e8
38246 .xword 0xfe177c676375e43b
38247 .xword 0x5c7718381457a042
38248 .xword 0x16f917aa97757604
38249 .xword 0x08aa38ff64d77a0f
38250 .xword 0x5afd434ca4d25bdc
38251 .xword 0xb46387e80d1a5612
38252 .xword 0x6087b8c3540c3c14
38253 .xword 0x528b8251e62251a5
38254 .xword 0x644654c9f9b49471
38255 .xword 0xc86379e676fb992e
38256 .xword 0x527fcbcb226f99bf
38257 .xword 0xa0d23edc03624574
38258 .xword 0x9845347a169eb2ec
38259 .xword 0x6f0b4a39cee06425
38260 .xword 0xef794af7a2c663d8
38261 .xword 0x2fcf8e569eb6b9d0
38262 .xword 0xdd84f348579f9181
38263 .xword 0xd4cf791e61188a77
38264 .xword 0xb30bf42e6ca9cf4e
38265 .xword 0xd8885170860959e1
38266 .xword 0x8466bb6fd6a3954e
38267 .xword 0xcce9a751c3208418
38268 .xword 0xe01cd4e5dec9e98c
38269 .xword 0xc806731e258867d2
38270 .xword 0x014049f8868743d9
38271 .xword 0xd07d9438fb22d760
38272 .xword 0xb7c187524d95add9
38273 .xword 0x474e47597d41321a
38274 .xword 0x72cd6726c2225b5f
38275 .xword 0x81f768d197eac2c0
38276 .xword 0xe0a6a8298356bbf1
38277 .xword 0xd7a8e45ec3052ac5
38278 .xword 0x41c4a69dbcf1777a
38279 .xword 0xdfce534fd8a6b5b9
38280 .xword 0xb73976ffebf3d6ab
38281 .xword 0xd7366784d137b909
38282 .xword 0x5b81fa4ae46245c6
38283 .xword 0xbc0c5d2ed565c9a7
38284 .xword 0x839ec99c036a3a99
38285 .xword 0xdaa369b357d39f62
38286 .xword 0x204d13dfcc479a97
38287 .xword 0x011ed6199f5fcd5c
38288 .xword 0x88dfbd83c88db2db
38289 .xword 0xc732dfdcb62ed135
38290 .xword 0x6940e229775ff7d1
38291 .xword 0x246504d46b28b4a2
38292 .xword 0x203c17c89dc7b398
38293 .xword 0xf3ccea865e0e39e1
38294 .xword 0x7e42b04f7f4fa087
38295 .xword 0x0ba74a0b208d6b04
38296 .xword 0x65a2db682cbe9eba
38297 .xword 0x593b3bda4d74a288
38298 .xword 0xa1eb8957ba311d07
38299 .xword 0x30e2faed3cd82d5f
38300 .xword 0xf741369eebbf51ac
38301 .xword 0x1359a7256daa1e01
38302 .xword 0x68ab3dedae0d8fea
38303 .xword 0xb30392c6d845d621
38304 .xword 0x162021f956c640df
38305 .xword 0xd494472765e4494d
38306 .xword 0xdaee0421c9d49284
38307 .xword 0x6797838f9a7a9ad3
38308 .xword 0xca1edb37e4217426
38309 .xword 0x6b2e3ee3970d5b42
38310 .xword 0xfb93ce96321875b8
38311 .xword 0xaba792d8a9037361
38312 .xword 0xcd34f90e87dd0545
38313 .xword 0x2595d31e1532107f
38314 .xword 0x0b1061125bd3544c
38315 .xword 0xc0d42b336d5e8276
38316 .xword 0x1d711e04918de8d7
38317 .xword 0x30c1c1b323f1dab4
38318 .xword 0xd08905e056fdc131
38319 .xword 0x19d6f9f99e00299e
38320 .xword 0x1fa36f5491845134
38321 .xword 0x8373d4711c2b9b29
38322 .xword 0xa7b3a038b9c421c4
38323 .xword 0xad7357764b5f00bb
38324 .xword 0x606341acf2f5fe78
38325 .xword 0x60be235bb9ce818f
38326 .xword 0x3e98b12557ea0437
38327 .xword 0x36b8d855b0c8f6bc
38328 .xword 0x8638630ba02886aa
38329 .xword 0x0dce289d8532de24
38330 .xword 0x2ba02b6a41336057
38331 .xword 0xdb75ccb28c00ae3f
38332 .xword 0xd52308afd49cc87d
38333 .xword 0xedda41349537d7d2
38334 .xword 0x58bc4cae6bfeef42
38335 .xword 0x3c28ced1c3f79dc5
38336 .xword 0x79fbb6b6c307e75e
38337 .xword 0x37d521a779d17df3
38338 .xword 0x19100ca4a4a8cc06
38339 .xword 0xdac51ab7ffc4b433
38340 .xword 0x80ccbcd29e3a4438
38341 .xword 0x49661c6e2130a84e
38342 .xword 0x17f30131eb55e000
38343 .xword 0xbcd0a1ef59724f81
38344 .xword 0x205aade423e14d41
38345 .xword 0x6a1eed26489d65fa
38346 .xword 0xf68655e0f3a72da5
38347 .xword 0xaa4b096d4bdc4f80
38348 .xword 0x4db4cd1afb07195b
38349 .xword 0xf05c215c8ad79585
38350 .xword 0x12071c74bab33f92
38351 .xword 0xd2291e870123bbae
38352 .xword 0xbbc3c613e9d49758
38353 .xword 0x4e0855beac1c98a8
38354 .xword 0x9a9f4149c23b338d
38355 .xword 0x4a0b6dbff23b3b56
38356 .xword 0x6749bc8c4827dab7
38357 .xword 0xfa5804110ed1810e
38358 .xword 0x0ffbd892ad8e59d1
38359 .xword 0xbec2be0130a02f4f
38360 .xword 0x903eeea3823f5acf
38361 .xword 0x863e489685b8ef23
38362 .xword 0x1a2c3e936e04bd51
38363 .xword 0x979a35bfa8459ce7
38364 .xword 0xc3c0210329a7ac07
38365 .xword 0x6dbcc66f7433eadb
38366 .xword 0xf077dd38bbe127a5
38367 .xword 0xa01ac87cf8233cd8
38368 .xword 0x48ea9c93bbbcda01
38369 .xword 0xee5a99f3aef9c80f
38370 .xword 0x6b0891eb6f185026
38371 .xword 0x89eed4b937e02fb3
38372 .xword 0x9d00ecd7cda26736
38373 .xword 0xed067d34eebbc120
38374 .xword 0xb8f3c91761a6f30e
38375 .xword 0x6b7c13ec3f5ea4ea
38376 .xword 0x2c7716e985ddd8a6
38377 .xword 0xed461d6a11766593
38378 .xword 0x2b4d8d30c5415f3d
38379 .xword 0xb8717fd1c7fa3bfd
38380 .xword 0xd7f59d98ecdeaac9
38381 .xword 0xbfa95bee37b72488
38382 .xword 0xb6c602dfb80dc12a
38383 .xword 0x83a1affdae8c32d4
38384 .xword 0xdf3ef4e61fb50ae0
38385 .xword 0xddd33a173893bccd
38386 .xword 0x3a56b10b1e033140
38387 .xword 0x9e4bd9760e6a8600
38388 .xword 0x233d739fd52ceba7
38389 .xword 0x442e1d3e55c494dd
38390 .xword 0x1ec9b06708075255
38391 .xword 0x8e06ed7a94d72064
38392 .xword 0xb1dc40096fd92518
38393 .xword 0x37860be9c5513f45
38394 .xword 0x98c9d905b79f7def
38395 .xword 0xc000912bb67c9f52
38396 .xword 0x4802f385c14fa826
38397 .xword 0x6f9ae35362cde78e
38398 .xword 0x28d659931befda7b
38399 .xword 0x50f15dfdb5413f15
38400 .xword 0xb0f28371556b5a0a
38401 .xword 0x08403fbd44e3f6cc
38402 .xword 0x89e0251fde31b3b3
38403 .xword 0x23d79315be4409ec
38404 .xword 0xd5d6ed5e8156c1e7
38405 .xword 0x3a32eb35b9be56e5
38406 .xword 0xbb133b494c758971
38407 .xword 0x23c17b2df1c20553
38408 .xword 0xce67793421f2f469
38409 .xword 0x6ebe9af9490dc455
38410 .xword 0xe94a17d32e4689df
38411 .xword 0xa9aa05b1117dca24
38412 .xword 0xce3e8de4bbf2638c
38413 .xword 0x65bea7c32c25e8a9
38414 .xword 0x355bef841030665b
38415 .xword 0x1e1a48943b19a061
38416 .xword 0xc88125cde883aeff
38417 .xword 0x4fce7a4b967dc43e
38418 .xword 0x5a043b7b283ede2b
38419 .xword 0xc224e16ec159e703
38420 .xword 0xa1bc9bb6e7316d01
38421 .xword 0x86b1b8acff3b4b94
38422 .xword 0x982b0bf897f4a657
38423 .xword 0xae9756f758853463
38424 .xword 0xdee074f95e5d2fa8
38425 .xword 0x2d89850a0321576f
38426 .xword 0x683e08e0542a9421
38427 .xword 0x24ff61dbcd4a7d51
38428 .xword 0x6868d1bc673fc316
38429 .xword 0xdb78a589addcef14
38430 .xword 0xe95b3c65fda4d682
38431 .xword 0xf2306a0f3ee2ebb6
38432 .xword 0x9780d6f6a392bf99
38433 .xword 0x1da0c57119f28ab4
38434 .xword 0x769a66f9569257c3
38435 .xword 0xf090c96c9f41829f
38436 .xword 0xbc9f856883a1922c
38437 .xword 0x8909430dd8fa7e52
38438 .xword 0xd5015d2d34a7d10d
38439 .xword 0xbd82177409f37277
38440 .xword 0xca0dbd3dab59e311
38441 .xword 0x7b11156d96660591
38442 .xword 0xa02f0004906c2bcd
38443 .xword 0xa47966160c73978f
38444 .xword 0xf37eece91e3d657e
38445 .xword 0x534afae29007044e
38446 .xword 0x9b87d3d09263ed0c
38447 .xword 0x9b05cbd7125e8b97
38448 .xword 0xde5b7ade4e986f33
38449 .xword 0xfab0d4a02b2d1b1b
38450 .xword 0xa39afe7bab21710a
38451 .xword 0xfb631a658a12b75a
38452 .xword 0xa6d851c79c6f4910
38453 .xword 0x4ee9fc4927a1e9d4
38454 .xword 0xda2f4a5c2cd30070
38455 .xword 0x3a24a3e1eb0ad04b
38456 .xword 0xa5cf9068ce1c79bd
38457 .xword 0x579714d426d4c46f
38458 .xword 0x7fccf1ae318079c7
38459 .xword 0x169c637a0e28099e
38460 .xword 0x99e45a3fd8202de2
38461 .xword 0xd5099afdc473d1a7
38462 .xword 0x1369b75d76b83259
38463 .xword 0xb18b4fb0c2fae94f
38464 .xword 0xc925b87e02014ee5
38465 .xword 0x23d4c28fafdd490f
38466 .xword 0x192fa833a5dbcae0
38467 .xword 0xbc059197cd2467bf
38468 .xword 0xefaf8db80c312e36
38469 .xword 0xc5bd4d0074562c59
38470 .xword 0xd1b1bfd7832125dc
38471 .xword 0x7c531dc8677360f7
38472 .xword 0xed22500fbe7bb0a7
38473 .xword 0xb683566c25d39e84
38474 .xword 0x18f0e606062a9996
38475 .xword 0x7a5ab7f24bad9bcc
38476 .xword 0xfa709353c5d4e231
38477 .xword 0x9d01dbeccbc06dc2
38478 .xword 0xcde61a7af5a45c57
38479 .xword 0xed5f01febbdfc653
38480 .xword 0xf8832dcce8493e69
38481 .xword 0x335cb2785c6bdc5c
38482 .xword 0x7404320f421b5b8d
38483 .xword 0xef54e8a23d3e7f80
38484 .xword 0x90f2dcbba11d5d56
38485 .xword 0x941baa5b2a1e6101
38486 .xword 0xbb6d1136b9c751db
38487 .xword 0x742523f3f1a27142
38488 .xword 0x377b560c709659c4
38489 .xword 0x1682a4eb2e56f6eb
38490 .xword 0x4871aeed31105908
38491 .xword 0xfc7b870f6e2c2209
38492 .xword 0x467b7de989f2cd02
38493 .xword 0x84dcf514ae0ce445
38494 .xword 0xdc59833803abe8fc
38495 .xword 0x912406991ee8a477
38496 .xword 0x27fc74075d57d8fc
38497 .xword 0xedeb1cffa5eade5b
38498 .xword 0x26a07e8146250f75
38499 .xword 0x97ac9dd4c2b1ce21
38500 .xword 0xe2a907e8e82b8a5b
38501 .xword 0x567b98c166feb8b9
38502 .xword 0x59221dc9c615c771
38503 .xword 0x9ed264ad089facc6
38504 .xword 0x86edf4e8abd4ae78
38505 .xword 0xd4fc29bfcbe9282b
38506 .xword 0x5bd4261b443a9f3b
38507 .xword 0x7596d01feae47eee
38508 .xword 0xa4877b3d4a8441a7
38509 .xword 0xb8680dee7adb09d8
38510 .xword 0xfb3b4394be7841e2
38511 .xword 0x00c88c2cf80ef0cd
38512 .xword 0x7a840a1b5c4a0b40
38513 .xword 0x938a9cdd64db6518
38514 .xword 0xafde6ea263a844fd
38515_t1_des_dest:
38516 .xword 0xDEADBEEFDEADBEEF
38517 .xword 0xDEADBEEFDEADBEEF
38518 .xword 0xDEADBEEFDEADBEEF
38519 .xword 0xDEADBEEFDEADBEEF
38520 .xword 0xDEADBEEFDEADBEEF
38521 .xword 0xDEADBEEFDEADBEEF
38522 .xword 0xDEADBEEFDEADBEEF
38523 .xword 0xDEADBEEFDEADBEEF
38524 .xword 0xDEADBEEFDEADBEEF
38525 .xword 0xDEADBEEFDEADBEEF
38526 .xword 0xDEADBEEFDEADBEEF
38527 .xword 0xDEADBEEFDEADBEEF
38528 .xword 0xDEADBEEFDEADBEEF
38529 .xword 0xDEADBEEFDEADBEEF
38530 .xword 0xDEADBEEFDEADBEEF
38531 .xword 0xDEADBEEFDEADBEEF
38532 .xword 0xDEADBEEFDEADBEEF
38533 .xword 0xDEADBEEFDEADBEEF
38534 .xword 0xDEADBEEFDEADBEEF
38535 .xword 0xDEADBEEFDEADBEEF
38536 .xword 0xDEADBEEFDEADBEEF
38537 .xword 0xDEADBEEFDEADBEEF
38538 .xword 0xDEADBEEFDEADBEEF
38539 .xword 0xDEADBEEFDEADBEEF
38540 .xword 0xDEADBEEFDEADBEEF
38541 .xword 0xDEADBEEFDEADBEEF
38542 .xword 0xDEADBEEFDEADBEEF
38543 .xword 0xDEADBEEFDEADBEEF
38544 .xword 0xDEADBEEFDEADBEEF
38545 .xword 0xDEADBEEFDEADBEEF
38546 .xword 0xDEADBEEFDEADBEEF
38547 .xword 0xDEADBEEFDEADBEEF
38548 .xword 0xDEADBEEFDEADBEEF
38549 .xword 0xDEADBEEFDEADBEEF
38550 .xword 0xDEADBEEFDEADBEEF
38551 .xword 0xDEADBEEFDEADBEEF
38552 .xword 0xDEADBEEFDEADBEEF
38553 .xword 0xDEADBEEFDEADBEEF
38554 .xword 0xDEADBEEFDEADBEEF
38555 .xword 0xDEADBEEFDEADBEEF
38556 .xword 0xDEADBEEFDEADBEEF
38557 .xword 0xDEADBEEFDEADBEEF
38558 .xword 0xDEADBEEFDEADBEEF
38559 .xword 0xDEADBEEFDEADBEEF
38560 .xword 0xDEADBEEFDEADBEEF
38561 .xword 0xDEADBEEFDEADBEEF
38562 .xword 0xDEADBEEFDEADBEEF
38563 .xword 0xDEADBEEFDEADBEEF
38564 .xword 0xDEADBEEFDEADBEEF
38565 .xword 0xDEADBEEFDEADBEEF
38566 .xword 0xDEADBEEFDEADBEEF
38567 .xword 0xDEADBEEFDEADBEEF
38568 .xword 0xDEADBEEFDEADBEEF
38569 .xword 0xDEADBEEFDEADBEEF
38570 .xword 0xDEADBEEFDEADBEEF
38571 .xword 0xDEADBEEFDEADBEEF
38572 .xword 0xDEADBEEFDEADBEEF
38573 .xword 0xDEADBEEFDEADBEEF
38574 .xword 0xDEADBEEFDEADBEEF
38575 .xword 0xDEADBEEFDEADBEEF
38576 .xword 0xDEADBEEFDEADBEEF
38577 .xword 0xDEADBEEFDEADBEEF
38578 .xword 0xDEADBEEFDEADBEEF
38579 .xword 0xDEADBEEFDEADBEEF
38580 .xword 0xDEADBEEFDEADBEEF
38581 .xword 0xDEADBEEFDEADBEEF
38582 .xword 0xDEADBEEFDEADBEEF
38583 .xword 0xDEADBEEFDEADBEEF
38584 .xword 0xDEADBEEFDEADBEEF
38585 .xword 0xDEADBEEFDEADBEEF
38586 .xword 0xDEADBEEFDEADBEEF
38587 .xword 0xDEADBEEFDEADBEEF
38588 .xword 0xDEADBEEFDEADBEEF
38589 .xword 0xDEADBEEFDEADBEEF
38590 .xword 0xDEADBEEFDEADBEEF
38591 .xword 0xDEADBEEFDEADBEEF
38592 .xword 0xDEADBEEFDEADBEEF
38593 .xword 0xDEADBEEFDEADBEEF
38594 .xword 0xDEADBEEFDEADBEEF
38595 .xword 0xDEADBEEFDEADBEEF
38596 .xword 0xDEADBEEFDEADBEEF
38597 .xword 0xDEADBEEFDEADBEEF
38598 .xword 0xDEADBEEFDEADBEEF
38599 .xword 0xDEADBEEFDEADBEEF
38600 .xword 0xDEADBEEFDEADBEEF
38601 .xword 0xDEADBEEFDEADBEEF
38602 .xword 0xDEADBEEFDEADBEEF
38603 .xword 0xDEADBEEFDEADBEEF
38604 .xword 0xDEADBEEFDEADBEEF
38605 .xword 0xDEADBEEFDEADBEEF
38606 .xword 0xDEADBEEFDEADBEEF
38607 .xword 0xDEADBEEFDEADBEEF
38608 .xword 0xDEADBEEFDEADBEEF
38609 .xword 0xDEADBEEFDEADBEEF
38610 .xword 0xDEADBEEFDEADBEEF
38611 .xword 0xDEADBEEFDEADBEEF
38612 .xword 0xDEADBEEFDEADBEEF
38613 .xword 0xDEADBEEFDEADBEEF
38614 .xword 0xDEADBEEFDEADBEEF
38615 .xword 0xDEADBEEFDEADBEEF
38616 .xword 0xDEADBEEFDEADBEEF
38617 .xword 0xDEADBEEFDEADBEEF
38618 .xword 0xDEADBEEFDEADBEEF
38619 .xword 0xDEADBEEFDEADBEEF
38620 .xword 0xDEADBEEFDEADBEEF
38621 .xword 0xDEADBEEFDEADBEEF
38622 .xword 0xDEADBEEFDEADBEEF
38623 .xword 0xDEADBEEFDEADBEEF
38624 .xword 0xDEADBEEFDEADBEEF
38625 .xword 0xDEADBEEFDEADBEEF
38626 .xword 0xDEADBEEFDEADBEEF
38627 .xword 0xDEADBEEFDEADBEEF
38628 .xword 0xDEADBEEFDEADBEEF
38629 .xword 0xDEADBEEFDEADBEEF
38630 .xword 0xDEADBEEFDEADBEEF
38631 .xword 0xDEADBEEFDEADBEEF
38632 .xword 0xDEADBEEFDEADBEEF
38633 .xword 0xDEADBEEFDEADBEEF
38634 .xword 0xDEADBEEFDEADBEEF
38635 .xword 0xDEADBEEFDEADBEEF
38636 .xword 0xDEADBEEFDEADBEEF
38637 .xword 0xDEADBEEFDEADBEEF
38638 .xword 0xDEADBEEFDEADBEEF
38639 .xword 0xDEADBEEFDEADBEEF
38640 .xword 0xDEADBEEFDEADBEEF
38641 .xword 0xDEADBEEFDEADBEEF
38642 .xword 0xDEADBEEFDEADBEEF
38643 .xword 0xDEADBEEFDEADBEEF
38644 .xword 0xDEADBEEFDEADBEEF
38645 .xword 0xDEADBEEFDEADBEEF
38646 .xword 0xDEADBEEFDEADBEEF
38647 .xword 0xDEADBEEFDEADBEEF
38648 .xword 0xDEADBEEFDEADBEEF
38649 .xword 0xDEADBEEFDEADBEEF
38650 .xword 0xDEADBEEFDEADBEEF
38651 .xword 0xDEADBEEFDEADBEEF
38652 .xword 0xDEADBEEFDEADBEEF
38653 .xword 0xDEADBEEFDEADBEEF
38654 .xword 0xDEADBEEFDEADBEEF
38655 .xword 0xDEADBEEFDEADBEEF
38656 .xword 0xDEADBEEFDEADBEEF
38657 .xword 0xDEADBEEFDEADBEEF
38658 .xword 0xDEADBEEFDEADBEEF
38659 .xword 0xDEADBEEFDEADBEEF
38660 .xword 0xDEADBEEFDEADBEEF
38661 .xword 0xDEADBEEFDEADBEEF
38662 .xword 0xDEADBEEFDEADBEEF
38663 .xword 0xDEADBEEFDEADBEEF
38664 .xword 0xDEADBEEFDEADBEEF
38665 .xword 0xDEADBEEFDEADBEEF
38666 .xword 0xDEADBEEFDEADBEEF
38667 .xword 0xDEADBEEFDEADBEEF
38668 .xword 0xDEADBEEFDEADBEEF
38669 .xword 0xDEADBEEFDEADBEEF
38670 .xword 0xDEADBEEFDEADBEEF
38671 .xword 0xDEADBEEFDEADBEEF
38672 .xword 0xDEADBEEFDEADBEEF
38673 .xword 0xDEADBEEFDEADBEEF
38674 .xword 0xDEADBEEFDEADBEEF
38675 .xword 0xDEADBEEFDEADBEEF
38676 .xword 0xDEADBEEFDEADBEEF
38677 .xword 0xDEADBEEFDEADBEEF
38678 .xword 0xDEADBEEFDEADBEEF
38679 .xword 0xDEADBEEFDEADBEEF
38680 .xword 0xDEADBEEFDEADBEEF
38681 .xword 0xDEADBEEFDEADBEEF
38682 .xword 0xDEADBEEFDEADBEEF
38683 .xword 0xDEADBEEFDEADBEEF
38684 .xword 0xDEADBEEFDEADBEEF
38685 .xword 0xDEADBEEFDEADBEEF
38686 .xword 0xDEADBEEFDEADBEEF
38687 .xword 0xDEADBEEFDEADBEEF
38688 .xword 0xDEADBEEFDEADBEEF
38689 .xword 0xDEADBEEFDEADBEEF
38690 .xword 0xDEADBEEFDEADBEEF
38691 .xword 0xDEADBEEFDEADBEEF
38692 .xword 0xDEADBEEFDEADBEEF
38693 .xword 0xDEADBEEFDEADBEEF
38694 .xword 0xDEADBEEFDEADBEEF
38695 .xword 0xDEADBEEFDEADBEEF
38696 .xword 0xDEADBEEFDEADBEEF
38697 .xword 0xDEADBEEFDEADBEEF
38698 .xword 0xDEADBEEFDEADBEEF
38699 .xword 0xDEADBEEFDEADBEEF
38700 .xword 0xDEADBEEFDEADBEEF
38701 .xword 0xDEADBEEFDEADBEEF
38702 .xword 0xDEADBEEFDEADBEEF
38703 .xword 0xDEADBEEFDEADBEEF
38704 .xword 0xDEADBEEFDEADBEEF
38705 .xword 0xDEADBEEFDEADBEEF
38706 .xword 0xDEADBEEFDEADBEEF
38707 .xword 0xDEADBEEFDEADBEEF
38708 .xword 0xDEADBEEFDEADBEEF
38709 .xword 0xDEADBEEFDEADBEEF
38710 .xword 0xDEADBEEFDEADBEEF
38711 .xword 0xDEADBEEFDEADBEEF
38712 .xword 0xDEADBEEFDEADBEEF
38713 .xword 0xDEADBEEFDEADBEEF
38714 .xword 0xDEADBEEFDEADBEEF
38715 .xword 0xDEADBEEFDEADBEEF
38716 .xword 0xDEADBEEFDEADBEEF
38717 .xword 0xDEADBEEFDEADBEEF
38718 .xword 0xDEADBEEFDEADBEEF
38719 .xword 0xDEADBEEFDEADBEEF
38720 .xword 0xDEADBEEFDEADBEEF
38721 .xword 0xDEADBEEFDEADBEEF
38722 .xword 0xDEADBEEFDEADBEEF
38723 .xword 0xDEADBEEFDEADBEEF
38724 .xword 0xDEADBEEFDEADBEEF
38725 .xword 0xDEADBEEFDEADBEEF
38726 .xword 0xDEADBEEFDEADBEEF
38727 .xword 0xDEADBEEFDEADBEEF
38728 .xword 0xDEADBEEFDEADBEEF
38729 .xword 0xDEADBEEFDEADBEEF
38730 .xword 0xDEADBEEFDEADBEEF
38731 .xword 0xDEADBEEFDEADBEEF
38732 .xword 0xDEADBEEFDEADBEEF
38733 .xword 0xDEADBEEFDEADBEEF
38734 .xword 0xDEADBEEFDEADBEEF
38735 .xword 0xDEADBEEFDEADBEEF
38736 .xword 0xDEADBEEFDEADBEEF
38737 .xword 0xDEADBEEFDEADBEEF
38738 .xword 0xDEADBEEFDEADBEEF
38739 .xword 0xDEADBEEFDEADBEEF
38740 .xword 0xDEADBEEFDEADBEEF
38741 .xword 0xDEADBEEFDEADBEEF
38742 .xword 0xDEADBEEFDEADBEEF
38743 .xword 0xDEADBEEFDEADBEEF
38744 .xword 0xDEADBEEFDEADBEEF
38745 .xword 0xDEADBEEFDEADBEEF
38746 .xword 0xDEADBEEFDEADBEEF
38747 .xword 0xDEADBEEFDEADBEEF
38748 .xword 0xDEADBEEFDEADBEEF
38749 .xword 0xDEADBEEFDEADBEEF
38750 .xword 0xDEADBEEFDEADBEEF
38751 .xword 0xDEADBEEFDEADBEEF
38752 .xword 0xDEADBEEFDEADBEEF
38753 .xword 0xDEADBEEFDEADBEEF
38754 .xword 0xDEADBEEFDEADBEEF
38755 .xword 0xDEADBEEFDEADBEEF
38756 .xword 0xDEADBEEFDEADBEEF
38757 .xword 0xDEADBEEFDEADBEEF
38758 .xword 0xDEADBEEFDEADBEEF
38759 .xword 0xDEADBEEFDEADBEEF
38760 .xword 0xDEADBEEFDEADBEEF
38761 .xword 0xDEADBEEFDEADBEEF
38762 .xword 0xDEADBEEFDEADBEEF
38763 .xword 0xDEADBEEFDEADBEEF
38764 .xword 0xDEADBEEFDEADBEEF
38765 .xword 0xDEADBEEFDEADBEEF
38766 .xword 0xDEADBEEFDEADBEEF
38767 .xword 0xDEADBEEFDEADBEEF
38768 .xword 0xDEADBEEFDEADBEEF
38769 .xword 0xDEADBEEFDEADBEEF
38770 .xword 0xDEADBEEFDEADBEEF
38771 .xword 0xDEADBEEFDEADBEEF
38772 .xword 0xDEADBEEFDEADBEEF
38773 .xword 0xDEADBEEFDEADBEEF
38774 .xword 0xDEADBEEFDEADBEEF
38775 .xword 0xDEADBEEFDEADBEEF
38776 .xword 0xDEADBEEFDEADBEEF
38777 .xword 0xDEADBEEFDEADBEEF
38778 .xword 0xDEADBEEFDEADBEEF
38779 .xword 0xDEADBEEFDEADBEEF
38780 .xword 0xDEADBEEFDEADBEEF
38781 .xword 0xDEADBEEFDEADBEEF
38782 .xword 0xDEADBEEFDEADBEEF
38783 .xword 0xDEADBEEFDEADBEEF
38784 .xword 0xDEADBEEFDEADBEEF
38785 .xword 0xDEADBEEFDEADBEEF
38786 .xword 0xDEADBEEFDEADBEEF
38787 .xword 0xDEADBEEFDEADBEEF
38788 .xword 0xDEADBEEFDEADBEEF
38789 .xword 0xDEADBEEFDEADBEEF
38790 .xword 0xDEADBEEFDEADBEEF
38791_t1_des_auth_key:
38792 .xword 0xeeeaf19ee4045039
38793 .xword 0x1585f6f85bfbeced
38794 .xword 0x2b4d40924a4cb0f6
38795 .xword 0x4fa0b8688f05a022
38796 .xword 0xed706b1f4085c1ad
38797 .xword 0x12afd8834f1bc91d
38798 .xword 0x06d592ea0cbc1494
38799 .xword 0xae266f41f7ae76eb
38800 .xword 0x28dc74ae374a900e
38801 .xword 0x0059b7d90415c9c2
38802 .xword 0x4f722e612c3773b8
38803 .xword 0x501e8402b46d8e44
38804 .xword 0xfdca7d6aa681b583
38805 .xword 0x5f3fe367cd459914
38806 .xword 0x2a4e0c7e5e3fc6e2
38807 .xword 0x501e55d9eda6ecf1
38808 .xword 0xeabb9558d86566f6
38809 .xword 0x14e86a6be7c08b16
38810 .xword 0x233b3aa382072399
38811 .xword 0x0d43fa18e8e88334
38812 .xword 0x7c908cbec23814f3
38813 .xword 0x112660f367093158
38814 .xword 0x0cc71177b5f6db95
38815_t1_des_auth_iv:
38816 .xword 0x317a6a2d56b2d05f
38817 .xword 0xa485db8f0e7c8079
38818 .xword 0x25a6b868cb8c040c
38819 .xword 0xed9ba511d214fc55
38820 .xword 0x122e05ca2ea1c84d
38821 .xword 0x1171ca360ae7c571
38822 .xword 0x096f816e0418ddb0
38823 .xword 0x4e50079252a192ff
38824 .xword 0xac4c159125b9366a
38825 .xword 0x4e548a961de045c0
38826 .xword 0xa5143f6e3e2a351c
38827 .xword 0x392bc93be128ed8a
38828 .xword 0x6f9f7bd1e393f97e
38829 .xword 0x5babe66311b55a0a
38830 .xword 0x1b8fee724d29b497
38831 .xword 0x89bb93f2724e9ffe
38832 .xword 0x22feb0278dde8cf3
38833 .xword 0x88e94caca69e6ab3
38834 .xword 0x91a1021705b6a141
38835 .xword 0x1c338e9beeeddf16
38836 .xword 0xfc8fb137fc67e953
38837 .xword 0x4c7cc7a32eb38f95
38838 .xword 0x50538b9adb14189f
38839_t1_des_fas_result:
38840 .xword 0xDEADBEEFDEADBEEF
38841 .xword 0xDEADBEEFDEADBEEF
38842 .xword 0xDEADBEEFDEADBEEF
38843 .xword 0xDEADBEEFDEADBEEF
38844 .xword 0xDEADBEEFDEADBEEF
38845 .xword 0xDEADBEEFDEADBEEF
38846 .xword 0xDEADBEEFDEADBEEF
38847 .xword 0xDEADBEEFDEADBEEF
38848 .xword 0xDEADBEEFDEADBEEF
38849 .xword 0xDEADBEEFDEADBEEF
38850 .xword 0xDEADBEEFDEADBEEF
38851 .xword 0xDEADBEEFDEADBEEF
38852 .xword 0xDEADBEEFDEADBEEF
38853 .xword 0xDEADBEEFDEADBEEF
38854 .xword 0xDEADBEEFDEADBEEF
38855 .xword 0xDEADBEEFDEADBEEF
38856 .xword 0xDEADBEEFDEADBEEF
38857 .xword 0xDEADBEEFDEADBEEF
38858 .xword 0xDEADBEEFDEADBEEF
38859 .xword 0xDEADBEEFDEADBEEF
38860 .xword 0xDEADBEEFDEADBEEF
38861 .xword 0xDEADBEEFDEADBEEF
38862 .xword 0xDEADBEEFDEADBEEF
38863_t1_copy_key_array:
38864 .xword 0x86515a0583e76ea7
38865 .xword 0x40955b87fcf72a6d
38866 .xword 0xcf9c5c6822d0734f
38867 .xword 0x5142986e3b56596a
38868 .xword 0xa8623abb073603c0
38869 .xword 0x10a491f5a53f5779
38870 .xword 0x2eb28f141e52df70
38871 .xword 0x26e09eaf33eb82d6
38872 .xword 0xb6f3b41cfab2dc13
38873 .xword 0xdd2a6d7dba60d086
38874 .xword 0x099f4751a3ee3d37
38875 .xword 0x8b6527c71ae9aede
38876 .xword 0x3b2657c3e97a70c2
38877 .xword 0xdf550d8e48f77cd7
38878 .xword 0xda83a80c44d6fc77
38879 .xword 0x892304a7d0583bc8
38880 .xword 0x7501d497a8ed862e
38881 .xword 0x5cbbbc2ae343f21d
38882 .xword 0x8237af89f8887039
38883 .xword 0x14d0be1627ea6f1a
38884 .xword 0x747fdb097574ef3e
38885 .xword 0x420292c6c02b1248
38886 .xword 0xf06b95da0e5742ff
38887 .xword 0x7a74e533783b0ff3
38888 .xword 0x468147a9e407bf8c
38889 .xword 0x64442c52fc9dd1af
38890 .xword 0x72be22e91bf49ecf
38891 .xword 0x42f83993407be357
38892 .xword 0x999bb7ba5237b5ed
38893 .xword 0x868ce260faed7c7a
38894 .xword 0x9b1d3e84adcaaaff
38895 .xword 0xb13a319bb0c2ec8c
38896 .xword 0x878542b05525349d
38897 .xword 0xec2bc6c0e5b2fd96
38898 .xword 0xa664de58a08dc26b
38899 .xword 0x2e86870c032b76b3
38900 .xword 0x804f1e7e6f5cdaab
38901 .xword 0xea38cca4ff050806
38902 .xword 0x06b56a99d5f5790d
38903 .xword 0x4f1da8bed1772223
38904 .xword 0x671617717fe8c9e3
38905 .xword 0xff3234977b645b97
38906 .xword 0x032101849c296946
38907 .xword 0x4bca9c84179777da
38908 .xword 0x3ce199c205905a06
38909 .xword 0x8e44ef00bf595969
38910 .xword 0x58d4feb8986f026f
38911 .xword 0xa4e36876700034aa
38912 .xword 0x10f389debd40e64a
38913 .xword 0xf81abd9e654891e8
38914 .xword 0x4d0f194083134224
38915_t1_copy_iv_array:
38916 .xword 0x9a6e12580c854f39
38917 .xword 0x60c3f21d219a63ac
38918 .xword 0xedab2aaf55ba4959
38919 .xword 0x71d83e88c7d45bee
38920 .xword 0xec4d83ffcd0b3d11
38921 .xword 0xa5e70f22d144be3b
38922 .xword 0x1ff441f615ecfeec
38923 .xword 0x37a0c494196bc01f
38924 .xword 0xf4779b13afbe2abd
38925 .xword 0xd52cff85f719c6e9
38926 .xword 0x417bfef88ac3fa5d
38927 .xword 0x23b0418e0fdf2ddc
38928 .xword 0xd3aed1d2d62e528d
38929 .xword 0xe999827f15b969b4
38930 .xword 0x603dba87bec9150d
38931 .xword 0x5f34d740bd3ff876
38932 .xword 0xf1882099bb0da4f2
38933 .xword 0x9e0f0a4324b62cfd
38934 .xword 0x60749ecc24b62350
38935 .xword 0x8f9ce1525c27441a
38936 .xword 0x8950e6ee3473c010
38937 .xword 0x4f04157f581445de
38938 .xword 0xcd15181340e906ab
38939 .xword 0xb14b7ae3e952f097
38940 .xword 0x5d8a155d6217ffba
38941 .xword 0x186912b5387f7e7c
38942 .xword 0x5fe0fbd4bc9d2295
38943 .xword 0xcacfef863cd2c8c4
38944 .xword 0xffb58be53f55952e
38945 .xword 0xfdca9e52ecda61b4
38946 .xword 0xae209804dc5e0f21
38947 .xword 0xa48352a388639b75
38948 .xword 0xdeb584aab83bdbaa
38949 .xword 0xde54e81c2722220e
38950 .xword 0x8e1fefc285fae328
38951 .xword 0x68d7973c63a1aeb7
38952 .xword 0x74160042509f0903
38953 .xword 0x405e9fc63fb0fe53
38954 .xword 0x9229ed42bad69204
38955 .xword 0xb8b4d8af4f337507
38956 .xword 0x187aa4f8465a668a
38957 .xword 0x5aa8ce11e730d506
38958 .xword 0xe762a7963fd882ad
38959 .xword 0x4305595ac386490a
38960 .xword 0x350e85e0f86356d6
38961_t1_copy_alignment_array:
38962 .xword 4
38963 .xword 7
38964 .xword 3
38965 .xword 15
38966 .xword 11
38967 .xword 3
38968 .xword 13
38969 .xword 7
38970 .xword 8
38971 .xword 10
38972 .xword 11
38973 .xword 7
38974 .xword 15
38975 .xword 7
38976 .xword 1
38977 .xword 15
38978 .xword 0
38979 .xword 8
38980 .xword 6
38981 .xword 0
38982 .xword 4
38983 .xword 9
38984 .xword 5
38985 .xword 8
38986 .xword 0
38987 .xword 5
38988 .xword 4
38989 .xword 6
38990 .xword 13
38991 .xword 10
38992 .xword 2
38993 .xword 3
38994 .xword 8
38995 .xword 3
38996 .xword 5
38997 .xword 11
38998 .xword 0
38999 .xword 13
39000 .xword 14
39001 .xword 5
39002 .xword 11
39003 .xword 0
39004 .xword 14
39005 .xword 1
39006 .xword 6
39007 .xword 4
39008 .xword 7
39009 .xword 8
39010 .xword 8
39011 .xword 5
39012 .xword 5
39013 .xword 7
39014 .xword 5
39015 .xword 10
39016 .xword 1
39017 .xword 7
39018 .xword 12
39019 .xword 3
39020 .xword 1
39021 .xword 2
39022 .xword 3
39023 .xword 8
39024 .xword 4
39025 .xword 13
39026 .xword 1
39027 .xword 3
39028 .xword 3
39029 .xword 10
39030 .xword 5
39031 .xword 14
39032 .xword 3
39033 .xword 12
39034 .xword 2
39035 .xword 7
39036 .xword 7
39037 .xword 9
39038 .xword 7
39039 .xword 7
39040 .xword 13
39041 .xword 2
39042 .xword 13
39043 .xword 10
39044 .xword 12
39045 .xword 11
39046 .xword 9
39047 .xword 4
39048 .xword 8
39049 .xword 7
39050 .xword 3
39051 .xword 7
39052 .xword 12
39053 .xword 10
39054 .xword 5
39055 .xword 3
39056 .xword 12
39057 .xword 14
39058 .xword 4
39059 .xword 13
39060 .xword 7
39061 .xword 2
39062 .xword 2
39063 .xword 3
39064 .xword 4
39065 .xword 5
39066 .xword 10
39067_t1_copy_src:
39068 .xword 0x2149d390d7480fb9
39069 .xword 0x2ea0d581fc1547b6
39070 .xword 0xca72cb179317b080
39071 .xword 0xedf74664ad3969de
39072 .xword 0xbc4d54f19cb17c7c
39073 .xword 0x83c5f8e1488d83c2
39074 .xword 0xed52981f3ae645bc
39075 .xword 0xc4bb6344f21793d0
39076 .xword 0x362840b36e434807
39077 .xword 0xe8fc40864c22fb60
39078 .xword 0xdc7c2962486dd405
39079 .xword 0x2bdd082135dbcfa7
39080 .xword 0xa63c0c2a34480aa7
39081 .xword 0x18eb303aba58e49d
39082 .xword 0x9893be27cbbb0b62
39083 .xword 0x0dfb7b22a23a13c4
39084 .xword 0x7c4deb3ed31ddfa4
39085 .xword 0x6a1d8d1682a1866b
39086 .xword 0x1e71023e8c79f0d3
39087 .xword 0x0700d17a77eca7bc
39088 .xword 0x0d637228f19f9bda
39089 .xword 0x92a5cde26ef7ac3d
39090 .xword 0x1d3f60a73dc810c5
39091 .xword 0x82e5f0a214524af9
39092 .xword 0x6d4ee9ab4a47b20a
39093 .xword 0x14e958c6580d1f47
39094 .xword 0x41750255cd2d97df
39095 .xword 0x7baff161a3832e2f
39096 .xword 0x89d0cd79cb4322b9
39097 .xword 0xdf9cabb624db49ee
39098 .xword 0x2769c047a9e28779
39099 .xword 0x96223e02329fb1d5
39100 .xword 0x8c1332a0bbbd2aa6
39101 .xword 0x4ba78b24a6d3edf3
39102 .xword 0x42143505af928c2d
39103 .xword 0x73e726bd56fc94a9
39104 .xword 0xd5966e9dffc6c398
39105 .xword 0x150aae955a7568c1
39106 .xword 0xc80a0f90bc6926df
39107 .xword 0x4c238444cc00cd74
39108 .xword 0x88a56a0dd2c154af
39109 .xword 0x317b5266654698ca
39110 .xword 0xf9720ddee5433497
39111 .xword 0x1d21f7dec028d28b
39112 .xword 0xa4081a408b90074b
39113 .xword 0xf42e0ef2b2b7399b
39114 .xword 0x3e6e5544e9950f60
39115 .xword 0xf5fec4138a108520
39116 .xword 0x847cbaebfdefedd7
39117 .xword 0x5c1b5a6782d61612
39118 .xword 0xbf77caa6d397cfb1
39119 .xword 0xf8a3455a9eecaaef
39120 .xword 0x596f4b4b1f3420ca
39121 .xword 0x48d7f0532b10658a
39122 .xword 0xc89697444bb68073
39123 .xword 0xa75ab858dca7fb70
39124 .xword 0xd504b55abbed1b4f
39125 .xword 0x9d09e3115970f95b
39126 .xword 0xd3882597a0087060
39127 .xword 0x826a8c92f670f733
39128 .xword 0xf23bf94511cc43d3
39129 .xword 0x4132dc6cadf6b7d7
39130 .xword 0xca6b26cfc9f1b831
39131 .xword 0x3495c3ea957b5979
39132 .xword 0xe73f897438cdd6c9
39133 .xword 0xb49852853ce6595f
39134 .xword 0xb9b6b4a5307a8405
39135 .xword 0x8269e20015163a3e
39136 .xword 0x2c78a3179a9383c7
39137 .xword 0xc747aca86f6dd73a
39138 .xword 0x840ef93b77e3c6c0
39139 .xword 0x7bdcae8bca40b3ee
39140 .xword 0x91b5bb9fa7915f5f
39141 .xword 0x27ff485f5f6d4dae
39142 .xword 0xc762b11c45bef832
39143 .xword 0x35bb237a9c55b0a8
39144 .xword 0x6a2cebd829336bd1
39145 .xword 0xf239f6924cb84273
39146 .xword 0x2e7794398a3b6254
39147 .xword 0x0e2720243f164c31
39148 .xword 0x0d70eb5ae9e57e36
39149 .xword 0x351069aad801af7d
39150 .xword 0x4e393f59860a8101
39151 .xword 0xda2219e633a00f33
39152 .xword 0x271d9446256bfd6c
39153 .xword 0xb8aebadcc6dd04dd
39154 .xword 0x9d229bd9726b148c
39155 .xword 0x0c9dba1001a98029
39156 .xword 0x6c17d18212ac84cf
39157 .xword 0x64dd063b1667bb21
39158 .xword 0x42b5739d705f65bc
39159 .xword 0xe0ab25417bbc38df
39160 .xword 0x29d946331403fe4e
39161 .xword 0x707f9cdf57755d61
39162 .xword 0x654b3eb9cdfd4d37
39163 .xword 0x9fe69e73accdd664
39164 .xword 0x36c2a17d27c79407
39165 .xword 0x5125e2384b174603
39166 .xword 0x9b1db8d1eede7c0a
39167 .xword 0xc736535c26582207
39168 .xword 0x0ff776d8ab5e4159
39169 .xword 0xbe87e4e3e50231f0
39170 .xword 0x3c617cc8a33089b1
39171 .xword 0x781f58517e574906
39172 .xword 0x4ad68b3861d45afd
39173 .xword 0x2e60c3f08f0ce55d
39174 .xword 0xb53be42619786ab2
39175 .xword 0xbd6446e49e4e8493
39176 .xword 0xb2516583221d0b83
39177 .xword 0x85e3d59cbee35073
39178 .xword 0x2e1827fbb467eb8f
39179 .xword 0xef1f8abcfcc8cead
39180 .xword 0xd5489b0ed03978d1
39181 .xword 0xf971a8f7b06fc764
39182 .xword 0x748adec44228e622
39183 .xword 0x6aec5ef7b82aabff
39184 .xword 0x9e20046146f6dfb4
39185 .xword 0x5996d8b26047803b
39186 .xword 0x8e37882459580e94
39187 .xword 0x2eb08a4203f2fe85
39188 .xword 0xa4094d3b7bf48387
39189 .xword 0x73baa9a0c5e1caf2
39190 .xword 0x95d373a788594839
39191 .xword 0xeb35c71ac7545b16
39192 .xword 0xdb95d89cc8f74f7b
39193 .xword 0xbe00392a6046a83a
39194 .xword 0x59f250bcd49688be
39195 .xword 0x2352d9b9246d4455
39196 .xword 0x30ff5c7d1126b607
39197 .xword 0x9d3f0d64a341771b
39198 .xword 0xb4acbc6e30a493ea
39199 .xword 0xca943a78349863ef
39200 .xword 0x94bea3729c88dc3e
39201 .xword 0x2eb0b91ea591d4e1
39202 .xword 0x14a96543c4eeedcb
39203 .xword 0x346c15d65a623441
39204 .xword 0x6fd7087c24bdb83b
39205 .xword 0xec1933fb784ef32a
39206 .xword 0x540b6a797254cdac
39207 .xword 0xf5d12bcff87efe6e
39208 .xword 0x6c0bb1fb0a01ed90
39209 .xword 0xb25cf81cf1d20bbb
39210 .xword 0x63710058253f7431
39211 .xword 0x85b24c828a0d5d5d
39212 .xword 0x41235c7369b64cca
39213 .xword 0xf01d4347260fe013
39214 .xword 0x2027fb4f6b78e2dc
39215 .xword 0xef08a2bc1c65a50c
39216 .xword 0x5913c77950e8a6e4
39217 .xword 0x1b13219c4c705abc
39218 .xword 0x1d71b440ddc22158
39219 .xword 0x7526ff55679c3438
39220 .xword 0x9a420c1be98597f4
39221 .xword 0x974ff47fbb4054f7
39222 .xword 0x6203b2035b693e43
39223 .xword 0x1dfb5b72a8c1ef17
39224 .xword 0x79098bb064cd2861
39225 .xword 0xc11971b3f7548369
39226 .xword 0xf4ea8c2274a3a659
39227 .xword 0xbdbd5c0b7ba33862
39228 .xword 0x2968b8f7e5039527
39229 .xword 0x64b74824f3496cdd
39230 .xword 0x82fc894b4ac013ce
39231 .xword 0x0f93b80123fc18fc
39232 .xword 0x26ff9b0023c97295
39233 .xword 0x26e2821faea36dc1
39234 .xword 0xe3ba135d04fabee9
39235 .xword 0x9ddda2e2de7f2f5b
39236 .xword 0xa2247800fd1d8413
39237 .xword 0x64a7177096ae90c8
39238 .xword 0x54f08c50dbf610af
39239 .xword 0x526b58b11ea671c2
39240 .xword 0xb58ae03aab2ee2e7
39241 .xword 0x487eb665fa406fff
39242 .xword 0x1843d31b3c674fe9
39243 .xword 0xf79779defe144ba5
39244 .xword 0xe952bde7924240b0
39245 .xword 0xdf50d547a1b768dd
39246 .xword 0xc48bc4f2cef0b9c1
39247 .xword 0xd41c49dd62175543
39248 .xword 0xc8c639b38cd6e7ec
39249 .xword 0x175a4b3794bfcee1
39250 .xword 0x60ff15ed32c558e5
39251 .xword 0xf9f6f9d66cb200d0
39252 .xword 0x66df6c54b6dac650
39253 .xword 0x36dd6675a7737a79
39254 .xword 0x27db8385373c379b
39255 .xword 0xb5c28018d2b28ff9
39256 .xword 0xc86a43da0ce40c39
39257 .xword 0x1382dc0b7a461179
39258 .xword 0x5407668ada5b4c7f
39259 .xword 0x51dd777473c16184
39260 .xword 0x70fd407776f4ddbe
39261 .xword 0x874daeb8e9fa679f
39262 .xword 0x0832f0812186bb40
39263 .xword 0x5891981d2b61236b
39264 .xword 0xb63868cc8b8f9ba0
39265 .xword 0xa14c0b5c923cdfba
39266 .xword 0x2dc475b8e54faa66
39267 .xword 0xc52049ff381c7fa0
39268 .xword 0x5e60f44d43a3e3a2
39269 .xword 0x76f0ebd94580e49f
39270 .xword 0x738e7061e108ccba
39271 .xword 0x79bcd19394a2726e
39272 .xword 0xa44c412f4c478ab5
39273 .xword 0x452271f55a0b768d
39274 .xword 0x8706345fd7ed1288
39275 .xword 0xae64af90a9b8013d
39276 .xword 0x14c1f57ea11259a9
39277 .xword 0x6f7a838bb31c2620
39278 .xword 0x7c4bedc9a84e5fec
39279 .xword 0xf24d4e4b895be98d
39280 .xword 0xed64a6acf194c3d3
39281 .xword 0x6308654bab48539b
39282 .xword 0x353b4dfc350db54c
39283 .xword 0xa7c24b6b46f0f797
39284 .xword 0x3342da827ba75462
39285 .xword 0xbbe6a04bd5aa994d
39286 .xword 0xffeb71421446c292
39287 .xword 0xb6e37207594ded89
39288 .xword 0xab20e7bce759a2c3
39289 .xword 0x03de79ebd27fffe0
39290 .xword 0xf61e38433bf553ae
39291 .xword 0xd72d0fb2926cfb14
39292 .xword 0x8d0c1ceca69707df
39293 .xword 0x19608c5948b8cace
39294 .xword 0x98705ddb79ece389
39295 .xword 0xf286a8d452979282
39296 .xword 0x6bcf29f88e6da10a
39297 .xword 0x63abd90d2a5f5808
39298 .xword 0x64e273ba1bdedaa9
39299 .xword 0x1853bd8535f4b2fc
39300 .xword 0xb1bd1cf90a72ec8f
39301 .xword 0xcfc68da602dc6ad2
39302 .xword 0xb1b7b51ff7def29e
39303 .xword 0x3383b8cd1f5fd3d5
39304 .xword 0x9d323b5f99cedfab
39305 .xword 0x3880ca4379fff19b
39306 .xword 0xd91cd4b0bf24a477
39307 .xword 0x64c25e438a700294
39308 .xword 0x8e983eec795b5c47
39309 .xword 0xf52ba8c0ed68328a
39310 .xword 0xa2bb94dd95f92c25
39311 .xword 0x7af3d63a0c7523b6
39312 .xword 0xbdcb071b2a0b6db5
39313 .xword 0x7e8300edb963d62c
39314 .xword 0x2ae9f94ae649dc9e
39315 .xword 0xd506f45931e0d682
39316 .xword 0x89037fa3abdc4580
39317 .xword 0x94b0a507b8f34eef
39318 .xword 0xe32daf18a46c02e8
39319 .xword 0x901021243db5c9cf
39320 .xword 0x2ceb340d11685567
39321 .xword 0x9b21a163e8a95520
39322 .xword 0x2bbcae195da438c2
39323 .xword 0x2399aabb39b781b9
39324 .xword 0xa0a82ca53f7fc5a1
39325 .xword 0x66aa35be1ea13192
39326 .xword 0x15262cfe62895954
39327 .xword 0xdbe33eae64b985ba
39328 .xword 0xae8e674fff131555
39329 .xword 0xf83fdec4290efd82
39330 .xword 0x7ca59cfb71b31f11
39331 .xword 0xa34f41ea8dacbbb3
39332 .xword 0xfc2fc7d18538d258
39333 .xword 0xc102fca2c182e912
39334 .xword 0x6b9a81f774126bd2
39335 .xword 0x5aa2074b71735d58
39336 .xword 0x8f3aa81d1f3043b7
39337 .xword 0x004cee6e9e478c35
39338 .xword 0x27d29bfa76e520d3
39339 .xword 0x50807f09aa2290ff
39340 .xword 0x3030b0fb47c858ed
39341 .xword 0xfb01220f038f9974
39342 .xword 0x971b0377112c049e
39343_t1_copy_dest:
39344 .xword 0xDEADBEEFDEADBEEF
39345 .xword 0xDEADBEEFDEADBEEF
39346 .xword 0xDEADBEEFDEADBEEF
39347 .xword 0xDEADBEEFDEADBEEF
39348 .xword 0xDEADBEEFDEADBEEF
39349 .xword 0xDEADBEEFDEADBEEF
39350 .xword 0xDEADBEEFDEADBEEF
39351 .xword 0xDEADBEEFDEADBEEF
39352 .xword 0xDEADBEEFDEADBEEF
39353 .xword 0xDEADBEEFDEADBEEF
39354 .xword 0xDEADBEEFDEADBEEF
39355 .xword 0xDEADBEEFDEADBEEF
39356 .xword 0xDEADBEEFDEADBEEF
39357 .xword 0xDEADBEEFDEADBEEF
39358 .xword 0xDEADBEEFDEADBEEF
39359 .xword 0xDEADBEEFDEADBEEF
39360 .xword 0xDEADBEEFDEADBEEF
39361 .xword 0xDEADBEEFDEADBEEF
39362 .xword 0xDEADBEEFDEADBEEF
39363 .xword 0xDEADBEEFDEADBEEF
39364 .xword 0xDEADBEEFDEADBEEF
39365 .xword 0xDEADBEEFDEADBEEF
39366 .xword 0xDEADBEEFDEADBEEF
39367 .xword 0xDEADBEEFDEADBEEF
39368 .xword 0xDEADBEEFDEADBEEF
39369 .xword 0xDEADBEEFDEADBEEF
39370 .xword 0xDEADBEEFDEADBEEF
39371 .xword 0xDEADBEEFDEADBEEF
39372 .xword 0xDEADBEEFDEADBEEF
39373 .xword 0xDEADBEEFDEADBEEF
39374 .xword 0xDEADBEEFDEADBEEF
39375 .xword 0xDEADBEEFDEADBEEF
39376 .xword 0xDEADBEEFDEADBEEF
39377 .xword 0xDEADBEEFDEADBEEF
39378 .xword 0xDEADBEEFDEADBEEF
39379 .xword 0xDEADBEEFDEADBEEF
39380 .xword 0xDEADBEEFDEADBEEF
39381 .xword 0xDEADBEEFDEADBEEF
39382 .xword 0xDEADBEEFDEADBEEF
39383 .xword 0xDEADBEEFDEADBEEF
39384 .xword 0xDEADBEEFDEADBEEF
39385 .xword 0xDEADBEEFDEADBEEF
39386 .xword 0xDEADBEEFDEADBEEF
39387 .xword 0xDEADBEEFDEADBEEF
39388 .xword 0xDEADBEEFDEADBEEF
39389 .xword 0xDEADBEEFDEADBEEF
39390 .xword 0xDEADBEEFDEADBEEF
39391 .xword 0xDEADBEEFDEADBEEF
39392 .xword 0xDEADBEEFDEADBEEF
39393 .xword 0xDEADBEEFDEADBEEF
39394 .xword 0xDEADBEEFDEADBEEF
39395 .xword 0xDEADBEEFDEADBEEF
39396 .xword 0xDEADBEEFDEADBEEF
39397 .xword 0xDEADBEEFDEADBEEF
39398 .xword 0xDEADBEEFDEADBEEF
39399 .xword 0xDEADBEEFDEADBEEF
39400 .xword 0xDEADBEEFDEADBEEF
39401 .xword 0xDEADBEEFDEADBEEF
39402 .xword 0xDEADBEEFDEADBEEF
39403 .xword 0xDEADBEEFDEADBEEF
39404 .xword 0xDEADBEEFDEADBEEF
39405 .xword 0xDEADBEEFDEADBEEF
39406 .xword 0xDEADBEEFDEADBEEF
39407 .xword 0xDEADBEEFDEADBEEF
39408 .xword 0xDEADBEEFDEADBEEF
39409 .xword 0xDEADBEEFDEADBEEF
39410 .xword 0xDEADBEEFDEADBEEF
39411 .xword 0xDEADBEEFDEADBEEF
39412 .xword 0xDEADBEEFDEADBEEF
39413 .xword 0xDEADBEEFDEADBEEF
39414 .xword 0xDEADBEEFDEADBEEF
39415 .xword 0xDEADBEEFDEADBEEF
39416 .xword 0xDEADBEEFDEADBEEF
39417 .xword 0xDEADBEEFDEADBEEF
39418 .xword 0xDEADBEEFDEADBEEF
39419 .xword 0xDEADBEEFDEADBEEF
39420 .xword 0xDEADBEEFDEADBEEF
39421 .xword 0xDEADBEEFDEADBEEF
39422 .xword 0xDEADBEEFDEADBEEF
39423 .xword 0xDEADBEEFDEADBEEF
39424 .xword 0xDEADBEEFDEADBEEF
39425 .xword 0xDEADBEEFDEADBEEF
39426 .xword 0xDEADBEEFDEADBEEF
39427 .xword 0xDEADBEEFDEADBEEF
39428 .xword 0xDEADBEEFDEADBEEF
39429 .xword 0xDEADBEEFDEADBEEF
39430 .xword 0xDEADBEEFDEADBEEF
39431 .xword 0xDEADBEEFDEADBEEF
39432 .xword 0xDEADBEEFDEADBEEF
39433 .xword 0xDEADBEEFDEADBEEF
39434 .xword 0xDEADBEEFDEADBEEF
39435 .xword 0xDEADBEEFDEADBEEF
39436 .xword 0xDEADBEEFDEADBEEF
39437 .xword 0xDEADBEEFDEADBEEF
39438 .xword 0xDEADBEEFDEADBEEF
39439 .xword 0xDEADBEEFDEADBEEF
39440 .xword 0xDEADBEEFDEADBEEF
39441 .xword 0xDEADBEEFDEADBEEF
39442 .xword 0xDEADBEEFDEADBEEF
39443 .xword 0xDEADBEEFDEADBEEF
39444 .xword 0xDEADBEEFDEADBEEF
39445 .xword 0xDEADBEEFDEADBEEF
39446 .xword 0xDEADBEEFDEADBEEF
39447 .xword 0xDEADBEEFDEADBEEF
39448 .xword 0xDEADBEEFDEADBEEF
39449 .xword 0xDEADBEEFDEADBEEF
39450 .xword 0xDEADBEEFDEADBEEF
39451 .xword 0xDEADBEEFDEADBEEF
39452 .xword 0xDEADBEEFDEADBEEF
39453 .xword 0xDEADBEEFDEADBEEF
39454 .xword 0xDEADBEEFDEADBEEF
39455 .xword 0xDEADBEEFDEADBEEF
39456 .xword 0xDEADBEEFDEADBEEF
39457 .xword 0xDEADBEEFDEADBEEF
39458 .xword 0xDEADBEEFDEADBEEF
39459 .xword 0xDEADBEEFDEADBEEF
39460 .xword 0xDEADBEEFDEADBEEF
39461 .xword 0xDEADBEEFDEADBEEF
39462 .xword 0xDEADBEEFDEADBEEF
39463 .xword 0xDEADBEEFDEADBEEF
39464 .xword 0xDEADBEEFDEADBEEF
39465 .xword 0xDEADBEEFDEADBEEF
39466 .xword 0xDEADBEEFDEADBEEF
39467 .xword 0xDEADBEEFDEADBEEF
39468 .xword 0xDEADBEEFDEADBEEF
39469 .xword 0xDEADBEEFDEADBEEF
39470 .xword 0xDEADBEEFDEADBEEF
39471 .xword 0xDEADBEEFDEADBEEF
39472 .xword 0xDEADBEEFDEADBEEF
39473 .xword 0xDEADBEEFDEADBEEF
39474 .xword 0xDEADBEEFDEADBEEF
39475 .xword 0xDEADBEEFDEADBEEF
39476 .xword 0xDEADBEEFDEADBEEF
39477 .xword 0xDEADBEEFDEADBEEF
39478 .xword 0xDEADBEEFDEADBEEF
39479 .xword 0xDEADBEEFDEADBEEF
39480 .xword 0xDEADBEEFDEADBEEF
39481 .xword 0xDEADBEEFDEADBEEF
39482 .xword 0xDEADBEEFDEADBEEF
39483 .xword 0xDEADBEEFDEADBEEF
39484 .xword 0xDEADBEEFDEADBEEF
39485 .xword 0xDEADBEEFDEADBEEF
39486 .xword 0xDEADBEEFDEADBEEF
39487 .xword 0xDEADBEEFDEADBEEF
39488 .xword 0xDEADBEEFDEADBEEF
39489 .xword 0xDEADBEEFDEADBEEF
39490 .xword 0xDEADBEEFDEADBEEF
39491 .xword 0xDEADBEEFDEADBEEF
39492 .xword 0xDEADBEEFDEADBEEF
39493 .xword 0xDEADBEEFDEADBEEF
39494 .xword 0xDEADBEEFDEADBEEF
39495 .xword 0xDEADBEEFDEADBEEF
39496 .xword 0xDEADBEEFDEADBEEF
39497 .xword 0xDEADBEEFDEADBEEF
39498 .xword 0xDEADBEEFDEADBEEF
39499 .xword 0xDEADBEEFDEADBEEF
39500 .xword 0xDEADBEEFDEADBEEF
39501 .xword 0xDEADBEEFDEADBEEF
39502 .xword 0xDEADBEEFDEADBEEF
39503 .xword 0xDEADBEEFDEADBEEF
39504 .xword 0xDEADBEEFDEADBEEF
39505 .xword 0xDEADBEEFDEADBEEF
39506 .xword 0xDEADBEEFDEADBEEF
39507 .xword 0xDEADBEEFDEADBEEF
39508 .xword 0xDEADBEEFDEADBEEF
39509 .xword 0xDEADBEEFDEADBEEF
39510 .xword 0xDEADBEEFDEADBEEF
39511 .xword 0xDEADBEEFDEADBEEF
39512 .xword 0xDEADBEEFDEADBEEF
39513 .xword 0xDEADBEEFDEADBEEF
39514 .xword 0xDEADBEEFDEADBEEF
39515 .xword 0xDEADBEEFDEADBEEF
39516 .xword 0xDEADBEEFDEADBEEF
39517 .xword 0xDEADBEEFDEADBEEF
39518 .xword 0xDEADBEEFDEADBEEF
39519 .xword 0xDEADBEEFDEADBEEF
39520 .xword 0xDEADBEEFDEADBEEF
39521 .xword 0xDEADBEEFDEADBEEF
39522 .xword 0xDEADBEEFDEADBEEF
39523 .xword 0xDEADBEEFDEADBEEF
39524 .xword 0xDEADBEEFDEADBEEF
39525 .xword 0xDEADBEEFDEADBEEF
39526 .xword 0xDEADBEEFDEADBEEF
39527 .xword 0xDEADBEEFDEADBEEF
39528 .xword 0xDEADBEEFDEADBEEF
39529 .xword 0xDEADBEEFDEADBEEF
39530 .xword 0xDEADBEEFDEADBEEF
39531 .xword 0xDEADBEEFDEADBEEF
39532 .xword 0xDEADBEEFDEADBEEF
39533 .xword 0xDEADBEEFDEADBEEF
39534 .xword 0xDEADBEEFDEADBEEF
39535 .xword 0xDEADBEEFDEADBEEF
39536 .xword 0xDEADBEEFDEADBEEF
39537 .xword 0xDEADBEEFDEADBEEF
39538 .xword 0xDEADBEEFDEADBEEF
39539 .xword 0xDEADBEEFDEADBEEF
39540 .xword 0xDEADBEEFDEADBEEF
39541 .xword 0xDEADBEEFDEADBEEF
39542 .xword 0xDEADBEEFDEADBEEF
39543 .xword 0xDEADBEEFDEADBEEF
39544 .xword 0xDEADBEEFDEADBEEF
39545 .xword 0xDEADBEEFDEADBEEF
39546 .xword 0xDEADBEEFDEADBEEF
39547 .xword 0xDEADBEEFDEADBEEF
39548 .xword 0xDEADBEEFDEADBEEF
39549 .xword 0xDEADBEEFDEADBEEF
39550 .xword 0xDEADBEEFDEADBEEF
39551 .xword 0xDEADBEEFDEADBEEF
39552 .xword 0xDEADBEEFDEADBEEF
39553 .xword 0xDEADBEEFDEADBEEF
39554 .xword 0xDEADBEEFDEADBEEF
39555 .xword 0xDEADBEEFDEADBEEF
39556 .xword 0xDEADBEEFDEADBEEF
39557 .xword 0xDEADBEEFDEADBEEF
39558 .xword 0xDEADBEEFDEADBEEF
39559 .xword 0xDEADBEEFDEADBEEF
39560 .xword 0xDEADBEEFDEADBEEF
39561 .xword 0xDEADBEEFDEADBEEF
39562 .xword 0xDEADBEEFDEADBEEF
39563 .xword 0xDEADBEEFDEADBEEF
39564 .xword 0xDEADBEEFDEADBEEF
39565 .xword 0xDEADBEEFDEADBEEF
39566 .xword 0xDEADBEEFDEADBEEF
39567 .xword 0xDEADBEEFDEADBEEF
39568 .xword 0xDEADBEEFDEADBEEF
39569 .xword 0xDEADBEEFDEADBEEF
39570 .xword 0xDEADBEEFDEADBEEF
39571 .xword 0xDEADBEEFDEADBEEF
39572 .xword 0xDEADBEEFDEADBEEF
39573 .xword 0xDEADBEEFDEADBEEF
39574 .xword 0xDEADBEEFDEADBEEF
39575 .xword 0xDEADBEEFDEADBEEF
39576 .xword 0xDEADBEEFDEADBEEF
39577 .xword 0xDEADBEEFDEADBEEF
39578 .xword 0xDEADBEEFDEADBEEF
39579 .xword 0xDEADBEEFDEADBEEF
39580 .xword 0xDEADBEEFDEADBEEF
39581 .xword 0xDEADBEEFDEADBEEF
39582 .xword 0xDEADBEEFDEADBEEF
39583 .xword 0xDEADBEEFDEADBEEF
39584 .xword 0xDEADBEEFDEADBEEF
39585 .xword 0xDEADBEEFDEADBEEF
39586 .xword 0xDEADBEEFDEADBEEF
39587 .xword 0xDEADBEEFDEADBEEF
39588 .xword 0xDEADBEEFDEADBEEF
39589 .xword 0xDEADBEEFDEADBEEF
39590 .xword 0xDEADBEEFDEADBEEF
39591 .xword 0xDEADBEEFDEADBEEF
39592 .xword 0xDEADBEEFDEADBEEF
39593 .xword 0xDEADBEEFDEADBEEF
39594 .xword 0xDEADBEEFDEADBEEF
39595 .xword 0xDEADBEEFDEADBEEF
39596 .xword 0xDEADBEEFDEADBEEF
39597 .xword 0xDEADBEEFDEADBEEF
39598 .xword 0xDEADBEEFDEADBEEF
39599 .xword 0xDEADBEEFDEADBEEF
39600 .xword 0xDEADBEEFDEADBEEF
39601 .xword 0xDEADBEEFDEADBEEF
39602 .xword 0xDEADBEEFDEADBEEF
39603 .xword 0xDEADBEEFDEADBEEF
39604 .xword 0xDEADBEEFDEADBEEF
39605 .xword 0xDEADBEEFDEADBEEF
39606 .xword 0xDEADBEEFDEADBEEF
39607 .xword 0xDEADBEEFDEADBEEF
39608 .xword 0xDEADBEEFDEADBEEF
39609 .xword 0xDEADBEEFDEADBEEF
39610 .xword 0xDEADBEEFDEADBEEF
39611 .xword 0xDEADBEEFDEADBEEF
39612 .xword 0xDEADBEEFDEADBEEF
39613 .xword 0xDEADBEEFDEADBEEF
39614 .xword 0xDEADBEEFDEADBEEF
39615 .xword 0xDEADBEEFDEADBEEF
39616 .xword 0xDEADBEEFDEADBEEF
39617 .xword 0xDEADBEEFDEADBEEF
39618 .xword 0xDEADBEEFDEADBEEF
39619_t1_copy_auth_key:
39620 .xword 0x5549078835ee81e2
39621 .xword 0x528d98d1a6148aac
39622 .xword 0x2e24004609eda630
39623 .xword 0xbc4f7a77eb6b7dbf
39624 .xword 0x727083ace49d393e
39625 .xword 0x10f32a052e504e57
39626 .xword 0x832505f5eb4f50f7
39627 .xword 0xc09516b8aa58d464
39628 .xword 0x7b08664607d77baf
39629 .xword 0xde36b3ee5c39b978
39630 .xword 0xd4dab7764a936025
39631 .xword 0x1e2833d1ec9423cf
39632 .xword 0xc5e2731062036b06
39633 .xword 0x8d274f1803ba16ff
39634 .xword 0x6d77d3ea483011e4
39635 .xword 0x2cc0e3be0ad8f98e
39636 .xword 0xe4448a171cd70a29
39637 .xword 0x5b787e8716c978fc
39638 .xword 0x3a13b5dfcfa7ea89
39639 .xword 0xbcb4692504d17f97
39640 .xword 0xed7ee52d61459980
39641 .xword 0xe726fbee8bd95010
39642 .xword 0xa845c77b632ea996
39643_t1_copy_auth_iv:
39644 .xword 0xfc20964e8f8b097e
39645 .xword 0xe4b6f9ba13e49d5f
39646 .xword 0x64f24e28d074ecbd
39647 .xword 0xccbab7d967228fb8
39648 .xword 0x9e087554724107a9
39649 .xword 0x9809fe07bbc8bff8
39650 .xword 0x0dcee7d0b49915eb
39651 .xword 0xfeaa239f9c10785f
39652 .xword 0xa09b4faf405f3c8f
39653 .xword 0x8bfeccf61e106230
39654 .xword 0x5ea978b75f6a0d20
39655 .xword 0xa1e36a45eb4653f0
39656 .xword 0x39e439a7b2facaaf
39657 .xword 0x0b1fac0536d7ee97
39658 .xword 0xb904d6dd38a7e2b3
39659 .xword 0x00ab7c48c5f83d13
39660 .xword 0x592447c8ab73d709
39661 .xword 0x0068e4db6afc82f8
39662 .xword 0x4b5490461d71d9a2
39663 .xword 0x44ebf7cf4af11234
39664 .xword 0x1c0fc8936b0aad2a
39665 .xword 0x77dbd51b9e635ab7
39666 .xword 0xb0ff2dee93682b95
39667_t1_copy_fas_result:
39668 .xword 0xDEADBEEFDEADBEEF
39669 .xword 0xDEADBEEFDEADBEEF
39670 .xword 0xDEADBEEFDEADBEEF
39671 .xword 0xDEADBEEFDEADBEEF
39672 .xword 0xDEADBEEFDEADBEEF
39673 .xword 0xDEADBEEFDEADBEEF
39674 .xword 0xDEADBEEFDEADBEEF
39675 .xword 0xDEADBEEFDEADBEEF
39676 .xword 0xDEADBEEFDEADBEEF
39677 .xword 0xDEADBEEFDEADBEEF
39678 .xword 0xDEADBEEFDEADBEEF
39679 .xword 0xDEADBEEFDEADBEEF
39680 .xword 0xDEADBEEFDEADBEEF
39681 .xword 0xDEADBEEFDEADBEEF
39682 .xword 0xDEADBEEFDEADBEEF
39683 .xword 0xDEADBEEFDEADBEEF
39684 .xword 0xDEADBEEFDEADBEEF
39685 .xword 0xDEADBEEFDEADBEEF
39686 .xword 0xDEADBEEFDEADBEEF
39687 .xword 0xDEADBEEFDEADBEEF
39688 .xword 0xDEADBEEFDEADBEEF
39689 .xword 0xDEADBEEFDEADBEEF
39690 .xword 0xDEADBEEFDEADBEEF
39691_t1_crc_key_array:
39692 .xword 0x3c24aa4be2640836
39693 .xword 0x76c27ea131680258
39694 .xword 0xd370f19c7f582a39
39695 .xword 0xf792c09e40356093
39696 .xword 0x5d32b30a106110e5
39697 .xword 0x7b2ddc90bd013f61
39698 .xword 0x0475518a2c36786a
39699 .xword 0x17fc46ff95d7e158
39700 .xword 0x0a8c25a5d60df157
39701 .xword 0x2f5c6de27a6a4c0a
39702 .xword 0x8e6f89ee089c8212
39703 .xword 0xf9df1b2afe41dda4
39704 .xword 0xb6726b73f4e949ea
39705 .xword 0xcb93bc56d443a552
39706 .xword 0x59d47dc6bb629986
39707 .xword 0xf5695f6c79334188
39708 .xword 0x06d409516a3441e6
39709 .xword 0x293cc35ae4facaa0
39710 .xword 0xef455164b8f9bf68
39711 .xword 0xcbdc2c2683107299
39712 .xword 0x02ceb30ac97f1176
39713 .xword 0xf07ccdf86c3213b4
39714 .xword 0xe571a83d76aeb384
39715 .xword 0xe643e0611445ec80
39716 .xword 0x335968e7112fc2c2
39717 .xword 0xade312cecd2ee087
39718 .xword 0x19b9e06152d9717e
39719 .xword 0x83690f0478865008
39720 .xword 0x26180f7fd0022cc6
39721 .xword 0x4cf3259f08388bba
39722 .xword 0xd387721744e4b95f
39723 .xword 0x5336957737bde5b3
39724 .xword 0x366c3918c7f57146
39725 .xword 0x53a52f0d110b7933
39726 .xword 0x7192e837d1816e72
39727 .xword 0x857908722046a5ae
39728 .xword 0x04c2f28dfc70adfa
39729 .xword 0x6fae334418385a26
39730 .xword 0x7be1f2c4b7c944f7
39731 .xword 0xa86bc2af8c7aa5a4
39732 .xword 0xe0e78b228771290c
39733 .xword 0x4d069ba7828528b9
39734 .xword 0x140afdd7f42eccfc
39735 .xword 0xb80f217205f34e59
39736 .xword 0x0212a47ba93888b2
39737 .xword 0xd33f6e0ffdc58c87
39738 .xword 0x42617b08eddd4e69
39739 .xword 0xa3b3ba518ec1dbc0
39740 .xword 0xb7427d770a417f19
39741 .xword 0xe66bcf4f8d6ed12b
39742 .xword 0xa1eb816afa9161e8
39743_t1_crc_iv_array:
39744 .xword 0x23e12ba4ae29660b
39745 .xword 0x1160fb382493aadb
39746 .xword 0x2a312a3b52f9c983
39747 .xword 0x0afff332bd003856
39748 .xword 0xb242a9d3192cf6e9
39749 .xword 0x71127d0c392a531e
39750 .xword 0x76e9d463e4a1b3b6
39751 .xword 0xdec4700765a3c7c6
39752 .xword 0x8e22a31ace015e73
39753 .xword 0xc8793c3285b0eee2
39754 .xword 0x83c89012d85fbcb1
39755 .xword 0x380a59a631f6ff5e
39756 .xword 0x2644fc32e5075bd2
39757 .xword 0x84a611196115dbf4
39758 .xword 0x372246ee0b3de139
39759 .xword 0x2f3f3f8e6a19c9ff
39760 .xword 0x9ec7684e467e9924
39761 .xword 0xec6cb31192281d40
39762 .xword 0x8593b6d2b50a3e02
39763 .xword 0x661af13f7596f934
39764 .xword 0x4d401494fe53681e
39765 .xword 0x536e2188dd8c5cf2
39766 .xword 0x8804488a4ec36027
39767 .xword 0x528d4166949ae324
39768 .xword 0x2110408b46820709
39769 .xword 0x8e62b98a22968852
39770 .xword 0x0ef7a88b3a9cec0f
39771 .xword 0xe1a7ee3c8b6d6296
39772 .xword 0xbe271fd6a455e367
39773 .xword 0x1f2665841dfaa555
39774 .xword 0xbeeddb033762192c
39775 .xword 0xd4e89e44045f64ee
39776 .xword 0xb4e245744a92a284
39777 .xword 0xe734edc3cdd074d8
39778 .xword 0x3d78f91413be8b76
39779 .xword 0xfbebcfdc93c0c446
39780 .xword 0xac68600b7d6c9642
39781 .xword 0xf1746fb2f2bf67fc
39782 .xword 0x9f5c24a08fdca776
39783 .xword 0x4feade8721e222e9
39784 .xword 0xc11c1f182c0d485b
39785 .xword 0x226f177e1331e342
39786 .xword 0xb85032f35e77f7af
39787 .xword 0xcd7bc361be2a1b89
39788 .xword 0xb6201e6370b1732d
39789_t1_crc_alignment_array:
39790 .xword 2
39791 .xword 1
39792 .xword 15
39793 .xword 1
39794 .xword 7
39795 .xword 6
39796 .xword 6
39797 .xword 8
39798 .xword 14
39799 .xword 0
39800 .xword 3
39801 .xword 7
39802 .xword 5
39803 .xword 15
39804 .xword 3
39805 .xword 7
39806 .xword 13
39807 .xword 14
39808 .xword 4
39809 .xword 11
39810 .xword 7
39811 .xword 1
39812 .xword 14
39813 .xword 12
39814 .xword 9
39815 .xword 9
39816 .xword 15
39817 .xword 11
39818 .xword 4
39819 .xword 6
39820 .xword 8
39821 .xword 3
39822 .xword 2
39823 .xword 8
39824 .xword 3
39825 .xword 11
39826 .xword 14
39827 .xword 11
39828 .xword 13
39829 .xword 11
39830 .xword 14
39831 .xword 1
39832 .xword 2
39833 .xword 14
39834 .xword 4
39835 .xword 1
39836 .xword 13
39837 .xword 13
39838 .xword 5
39839 .xword 13
39840 .xword 3
39841 .xword 15
39842 .xword 4
39843 .xword 11
39844 .xword 5
39845 .xword 14
39846 .xword 2
39847 .xword 2
39848 .xword 9
39849 .xword 12
39850 .xword 12
39851 .xword 2
39852 .xword 13
39853 .xword 4
39854 .xword 2
39855 .xword 6
39856 .xword 13
39857 .xword 9
39858 .xword 13
39859 .xword 11
39860 .xword 7
39861 .xword 12
39862 .xword 7
39863 .xword 12
39864 .xword 4
39865 .xword 14
39866 .xword 1
39867 .xword 1
39868 .xword 9
39869 .xword 10
39870 .xword 2
39871 .xword 2
39872 .xword 8
39873 .xword 13
39874 .xword 0
39875 .xword 5
39876 .xword 14
39877 .xword 8
39878 .xword 14
39879 .xword 13
39880 .xword 14
39881 .xword 11
39882 .xword 9
39883 .xword 0
39884 .xword 15
39885 .xword 14
39886 .xword 10
39887 .xword 8
39888 .xword 15
39889 .xword 1
39890 .xword 11
39891 .xword 2
39892 .xword 6
39893 .xword 12
39894 .xword 3
39895_t1_crc_src:
39896 .xword 0x760df750856fd041
39897 .xword 0x662ca5e56be01003
39898 .xword 0xc623ddd96f8aa734
39899 .xword 0xd98a6c7804fc3838
39900 .xword 0x8337d20c9916aefe
39901 .xword 0xf5a28306d22a4aaa
39902 .xword 0xec07f89eaaba352f
39903 .xword 0x56fbf8057916e8c1
39904 .xword 0x48b635603f885748
39905 .xword 0x5584f49cf3e31eb1
39906 .xword 0x641270ae6cc8caab
39907 .xword 0x885d43849a8fe026
39908 .xword 0x923e548ba1e28762
39909 .xword 0xd2349adae7ab475c
39910 .xword 0x50b40f5fdee8d543
39911 .xword 0x50d51b2ee0426fc7
39912 .xword 0x24e31af3991b22ce
39913 .xword 0xa8936a1599abda66
39914 .xword 0xe1106264a229504d
39915 .xword 0x895a9c38d5da8d65
39916 .xword 0xba6b04388fab6f78
39917 .xword 0x0336654af83ed4ce
39918 .xword 0x3eef36b18f2e4c89
39919 .xword 0x69da6ef0e5c53459
39920 .xword 0x4c1612c35eda9a1c
39921 .xword 0x06ddca07fa0c2ea4
39922 .xword 0x7d52cd95dcd0da9d
39923 .xword 0xd2b931aceb5b4391
39924 .xword 0xf3ae18cdc87cd035
39925 .xword 0xc0472bffcbe3b37f
39926 .xword 0xc605ab3225dd45ed
39927 .xword 0xccb991945331551e
39928 .xword 0x7ac17b34eb8a0297
39929 .xword 0x2431b0baa4225d19
39930 .xword 0xd6e86bc90d0f1d0b
39931 .xword 0xe92761ddd08ca6a0
39932 .xword 0xb9caca42d7e6383c
39933 .xword 0xea0d611f88f48b19
39934 .xword 0xa0825ea270e7a5ed
39935 .xword 0xfc1fa2a9f19c8626
39936 .xword 0xe35d482472d21127
39937 .xword 0xaa9e62bd18170aef
39938 .xword 0xc0c853a6be67f733
39939 .xword 0x4a3fc50381c1d6c9
39940 .xword 0x704a7c6aefbac849
39941 .xword 0xd7d8e2b710fd2e78
39942 .xword 0x3e11c0abbe7c59af
39943 .xword 0x91f24b6b9fc920c1
39944 .xword 0x270872ed652d78af
39945 .xword 0x7140900af70d3c80
39946 .xword 0x97d2420af974018f
39947 .xword 0xa0452ccbd7ed5c24
39948 .xword 0x1c854e307f0238c9
39949 .xword 0xcf2ead61fe97cbc4
39950 .xword 0xb1d01e1db3e7cb99
39951 .xword 0xa0334a04948905db
39952 .xword 0x901db7e60ea11025
39953 .xword 0xb2b1c928a7c41360
39954 .xword 0xb31dcd1c53961816
39955 .xword 0xb3d831615fdc4018
39956 .xword 0x0a9c76b37ef8dcb4
39957 .xword 0x0a86bac087d0033a
39958 .xword 0x256f8e594a9530f4
39959 .xword 0x9a1d3ffbf1fa2e66
39960 .xword 0x6f37ec1cbc15a3ce
39961 .xword 0xd267cae8e9377e79
39962 .xword 0x4d3598a8a1d7c539
39963 .xword 0x1694f4ea992f06fc
39964 .xword 0x3d6043fafacf8847
39965 .xword 0x4e5fdbaed29b7e84
39966 .xword 0x8eb944ddfb424e8f
39967 .xword 0x2c4fff136b42e644
39968 .xword 0x29b95d054abf05bf
39969 .xword 0x53328e4ab8e413f8
39970 .xword 0xbcee57800d87523f
39971 .xword 0x070c81371eda2a62
39972 .xword 0xb6cb6d131c1cd821
39973 .xword 0xa82ce42e888594ac
39974 .xword 0xe47c8248dd5d3a16
39975 .xword 0x62aeb7d2151dcc0f
39976 .xword 0xcbfef2c22e737b88
39977 .xword 0x2fa037bff1e368ff
39978 .xword 0x4ebd7937d625ccfb
39979 .xword 0xa05b4ba88f0c16aa
39980 .xword 0xf7caae0e0eef5fd8
39981 .xword 0xeb7f1ab580c56667
39982 .xword 0x0751dd05ff4c2c23
39983 .xword 0xa763574e4b8a65a3
39984 .xword 0x68609c516e964de1
39985 .xword 0x0ff3f3223f289c85
39986 .xword 0x90becb3e3af84635
39987 .xword 0x368181113ef6468d
39988 .xword 0x0e21d9e2b2c39249
39989 .xword 0x51f3bd0534ae1935
39990 .xword 0xab994e6ebe1121b2
39991 .xword 0x8d54d324c65bc10a
39992 .xword 0x2db98edb71a0a77b
39993 .xword 0x24111a116402a4a1
39994 .xword 0x29894d7cd2f9f0b1
39995 .xword 0x9369572526e226c1
39996 .xword 0x6ee6269b8e335a3a
39997 .xword 0xb00bdf211a256ecf
39998 .xword 0x6c513b12e55fdb0a
39999 .xword 0xb51796e613f35fca
40000 .xword 0xa7b5c49382488f33
40001 .xword 0xb8119260423cfa00
40002 .xword 0xd6d650f57431b651
40003 .xword 0xe32034a9fdc4a44e
40004 .xword 0x5bf41aef44041293
40005 .xword 0xa93327896dc0b19f
40006 .xword 0x740cc1b3ceb65422
40007 .xword 0x578dfba0953ca79b
40008 .xword 0x6a0a0c5849f35206
40009 .xword 0x7a9dc7700bb1be61
40010 .xword 0x50765e9445b5dad5
40011 .xword 0x3becb431cefb1e9e
40012 .xword 0x77337f82e9d43084
40013 .xword 0x2a06634ab365a673
40014 .xword 0xc6bcbc40b30525c0
40015 .xword 0x9a03f502cee8e0a1
40016 .xword 0xf05fd42b3100c139
40017 .xword 0x6deb880affeb2453
40018 .xword 0x27c3dbbbb3eece3f
40019 .xword 0x3ded220effc7e332
40020 .xword 0xa573a880ed2513da
40021 .xword 0x8c1fdcc0db753bb8
40022 .xword 0xc0e0bf9b4312c5f2
40023 .xword 0xee2179b8dccd8ace
40024 .xword 0xf5b329acc23d1fcb
40025 .xword 0x99135e1ad2cbc182
40026 .xword 0x802b91fd86bdb952
40027 .xword 0xee44556312d137b4
40028 .xword 0xf7e0abed857ab237
40029 .xword 0xfa59aae405c36d33
40030 .xword 0xf799d1daa0e4e321
40031 .xword 0xe9ceab4b372b64ca
40032 .xword 0xc211ccfe889ab683
40033 .xword 0xa54b0ddb54d947aa
40034 .xword 0xd8f62df68f318571
40035 .xword 0x04e902730978b2d3
40036 .xword 0x4162291a33ef975c
40037 .xword 0xb8a1c784fc8623fa
40038 .xword 0x3d93bb1a638e4e03
40039 .xword 0xeb985ae4d8944bf4
40040 .xword 0x9e36d9e465b0398f
40041 .xword 0x2bf52cba1d2486f6
40042 .xword 0x49473bae6fc43e97
40043 .xword 0xf8ba519b08088d77
40044 .xword 0xc27eeccaafc3adba
40045 .xword 0x44838820bdb0ed2d
40046 .xword 0x2c8c733f44c034e9
40047 .xword 0x026f4ece8e174445
40048 .xword 0xaafdf68df1607881
40049 .xword 0x4003fb36356ea981
40050 .xword 0xb684acfd596681ea
40051 .xword 0x5aaa4e8485aa85b9
40052 .xword 0x742794a4d9183036
40053 .xword 0x66ee34e90e0f28d6
40054 .xword 0xc729ffc22777c4c8
40055 .xword 0x41001edc33103ad9
40056 .xword 0x670e15b0c53a5950
40057 .xword 0xd50439c11ffd30c7
40058 .xword 0xbd8aea5795a50213
40059 .xword 0x1e272d13c53b617b
40060 .xword 0x9b898523df5bf171
40061 .xword 0x7cb1550a540a9550
40062 .xword 0xc081efe28fd14959
40063 .xword 0xe5a961525aab0927
40064 .xword 0xdcd17c618ed5edc2
40065 .xword 0x2ae859aa5c8b9181
40066 .xword 0x22412f767e4a81de
40067 .xword 0xeb0925d6925b29c3
40068 .xword 0xb0f240ef420a8bd4
40069 .xword 0x72dec8526b7cd3ee
40070 .xword 0xcf0da43c8e6f9de2
40071 .xword 0xbc9699d6e8af0bf9
40072 .xword 0xc3c3383ad9d5ac2a
40073 .xword 0x4e226e79841c6e47
40074 .xword 0x5120058cd5e2a451
40075 .xword 0xa2e5f4ca5d428b4f
40076 .xword 0x86f744184e0c7325
40077 .xword 0xdc2636deb77b686e
40078 .xword 0xd938cce41e0424bd
40079 .xword 0x5f32c2ae8e18f8f2
40080 .xword 0xe8ce25d0f5f10cc4
40081 .xword 0xdf9bda06f4b0b8ca
40082 .xword 0x878dfbf69d42717a
40083 .xword 0x49d65d289d050217
40084 .xword 0xe78191afeb204c59
40085 .xword 0x8cf93ea48e7a1e84
40086 .xword 0x9896d6baa05b4861
40087 .xword 0xbd512390b569d04b
40088 .xword 0x1f2ff28ece6e4bd8
40089 .xword 0xdca3584d12d1f22d
40090 .xword 0xe450e1d6cf724894
40091 .xword 0x57f256d026ba7d17
40092 .xword 0xf0e801b1ecc75b21
40093 .xword 0xd32bec9764d4a8a2
40094 .xword 0xa88faff1bc5cf6cf
40095 .xword 0x94f4d2b56301e6cb
40096 .xword 0x99bb2d437a8d3925
40097 .xword 0x11a3998e5ec5cad2
40098 .xword 0x6554eb06f015eb23
40099 .xword 0x08d6ec5446fd1151
40100 .xword 0x66775ebe7b54fef9
40101 .xword 0x7217111d3a15d1d0
40102 .xword 0xd6ecebc5c7c62e75
40103 .xword 0x90b1eed9fcfd2849
40104 .xword 0x737a3fb0b70c5bfd
40105 .xword 0xf85d4be948b9cd09
40106 .xword 0xc4fe91ccdde14ce0
40107 .xword 0x7791dcee4ffdff1e
40108 .xword 0xaf4022a128c6099e
40109 .xword 0x5cc1a7f50e2b7615
40110 .xword 0x955919222c3f133b
40111 .xword 0x40ed2fbd88ed02e3
40112 .xword 0xea49a5e002ab0b58
40113 .xword 0x90e799860d65f965
40114 .xword 0xdc61983d9872a600
40115 .xword 0x924abb4109938ec5
40116 .xword 0x0ba7310783153b1d
40117 .xword 0x27161aa1f7268813
40118 .xword 0x6d33865f479ce5f2
40119 .xword 0x7e4b3b85de8032f0
40120 .xword 0x4a61e3df2957bc88
40121 .xword 0xd749dddc1f3ac765
40122 .xword 0xaa3877bd29671c6e
40123 .xword 0x8193ea247434a09a
40124 .xword 0x3753cbc404337c11
40125 .xword 0x357c19ec37608722
40126 .xword 0x12bc2174e2e5c6d1
40127 .xword 0x004a6a55bc334048
40128 .xword 0xa838d762d79c2beb
40129 .xword 0xcacfed7c6825cff6
40130 .xword 0xf705ce400a46ef8c
40131 .xword 0x1de9294d217eec5a
40132 .xword 0x13ebc038a23dc15b
40133 .xword 0x645a7a6433748ec9
40134 .xword 0xecb24bd293805dc0
40135 .xword 0xbc587e033c0572b0
40136 .xword 0x6f01fc1dc3fa0c5e
40137 .xword 0xa0006353a246483d
40138 .xword 0x3e432f50ffa903de
40139 .xword 0x63ad4ee72e8516c8
40140 .xword 0xc98dabb6e0f71b0b
40141 .xword 0xe6daa2877ed464f3
40142 .xword 0x9831c4e30156fb4c
40143 .xword 0xaea74b9cb30a47e2
40144 .xword 0x9885ff7e9a804fc1
40145 .xword 0x388579e550fa12bb
40146 .xword 0x7a09b16765a6f4a1
40147 .xword 0xc9c421f66cc4ff27
40148 .xword 0x00d1aa783863cd36
40149 .xword 0xe0ae06c178cd8abb
40150 .xword 0x7d5f6c2fc58bbce4
40151 .xword 0x60fa6b78819d9b82
40152 .xword 0x272475d44bcad629
40153 .xword 0xd93a56d6d1e5d4f2
40154 .xword 0x3c57920999a30adc
40155 .xword 0xd4910f7fa9435946
40156 .xword 0xdd7fa06a953b8798
40157 .xword 0xe4ccabec90115d48
40158 .xword 0xdd9e1fb421be9429
40159 .xword 0xc06d9971ddfdac84
40160 .xword 0xdf5189c3783c718c
40161 .xword 0x55b6317fa1d4bbe4
40162 .xword 0xafe9847d931efd65
40163 .xword 0x9a617cf7b5f96cdc
40164 .xword 0xecf5b7329e34de22
40165 .xword 0x5448c36ca04b3d3f
40166 .xword 0xd7b33e8597df9633
40167 .xword 0x375ca12b463f2154
40168 .xword 0xd51856ba183ec6d0
40169 .xword 0x81d23ef9a84376ac
40170 .xword 0x49046c3f9fc8747e
40171_t1_crc_dest:
40172 .xword 0xDEADBEEFDEADBEEF
40173 .xword 0xDEADBEEFDEADBEEF
40174 .xword 0xDEADBEEFDEADBEEF
40175 .xword 0xDEADBEEFDEADBEEF
40176 .xword 0xDEADBEEFDEADBEEF
40177 .xword 0xDEADBEEFDEADBEEF
40178 .xword 0xDEADBEEFDEADBEEF
40179 .xword 0xDEADBEEFDEADBEEF
40180 .xword 0xDEADBEEFDEADBEEF
40181 .xword 0xDEADBEEFDEADBEEF
40182 .xword 0xDEADBEEFDEADBEEF
40183 .xword 0xDEADBEEFDEADBEEF
40184 .xword 0xDEADBEEFDEADBEEF
40185 .xword 0xDEADBEEFDEADBEEF
40186 .xword 0xDEADBEEFDEADBEEF
40187 .xword 0xDEADBEEFDEADBEEF
40188 .xword 0xDEADBEEFDEADBEEF
40189 .xword 0xDEADBEEFDEADBEEF
40190 .xword 0xDEADBEEFDEADBEEF
40191 .xword 0xDEADBEEFDEADBEEF
40192 .xword 0xDEADBEEFDEADBEEF
40193 .xword 0xDEADBEEFDEADBEEF
40194 .xword 0xDEADBEEFDEADBEEF
40195 .xword 0xDEADBEEFDEADBEEF
40196 .xword 0xDEADBEEFDEADBEEF
40197 .xword 0xDEADBEEFDEADBEEF
40198 .xword 0xDEADBEEFDEADBEEF
40199 .xword 0xDEADBEEFDEADBEEF
40200 .xword 0xDEADBEEFDEADBEEF
40201 .xword 0xDEADBEEFDEADBEEF
40202 .xword 0xDEADBEEFDEADBEEF
40203 .xword 0xDEADBEEFDEADBEEF
40204 .xword 0xDEADBEEFDEADBEEF
40205 .xword 0xDEADBEEFDEADBEEF
40206 .xword 0xDEADBEEFDEADBEEF
40207 .xword 0xDEADBEEFDEADBEEF
40208 .xword 0xDEADBEEFDEADBEEF
40209 .xword 0xDEADBEEFDEADBEEF
40210 .xword 0xDEADBEEFDEADBEEF
40211 .xword 0xDEADBEEFDEADBEEF
40212 .xword 0xDEADBEEFDEADBEEF
40213 .xword 0xDEADBEEFDEADBEEF
40214 .xword 0xDEADBEEFDEADBEEF
40215 .xword 0xDEADBEEFDEADBEEF
40216 .xword 0xDEADBEEFDEADBEEF
40217 .xword 0xDEADBEEFDEADBEEF
40218 .xword 0xDEADBEEFDEADBEEF
40219 .xword 0xDEADBEEFDEADBEEF
40220 .xword 0xDEADBEEFDEADBEEF
40221 .xword 0xDEADBEEFDEADBEEF
40222 .xword 0xDEADBEEFDEADBEEF
40223 .xword 0xDEADBEEFDEADBEEF
40224 .xword 0xDEADBEEFDEADBEEF
40225 .xword 0xDEADBEEFDEADBEEF
40226 .xword 0xDEADBEEFDEADBEEF
40227 .xword 0xDEADBEEFDEADBEEF
40228 .xword 0xDEADBEEFDEADBEEF
40229 .xword 0xDEADBEEFDEADBEEF
40230 .xword 0xDEADBEEFDEADBEEF
40231 .xword 0xDEADBEEFDEADBEEF
40232 .xword 0xDEADBEEFDEADBEEF
40233 .xword 0xDEADBEEFDEADBEEF
40234 .xword 0xDEADBEEFDEADBEEF
40235 .xword 0xDEADBEEFDEADBEEF
40236 .xword 0xDEADBEEFDEADBEEF
40237 .xword 0xDEADBEEFDEADBEEF
40238 .xword 0xDEADBEEFDEADBEEF
40239 .xword 0xDEADBEEFDEADBEEF
40240 .xword 0xDEADBEEFDEADBEEF
40241 .xword 0xDEADBEEFDEADBEEF
40242 .xword 0xDEADBEEFDEADBEEF
40243 .xword 0xDEADBEEFDEADBEEF
40244 .xword 0xDEADBEEFDEADBEEF
40245 .xword 0xDEADBEEFDEADBEEF
40246 .xword 0xDEADBEEFDEADBEEF
40247 .xword 0xDEADBEEFDEADBEEF
40248 .xword 0xDEADBEEFDEADBEEF
40249 .xword 0xDEADBEEFDEADBEEF
40250 .xword 0xDEADBEEFDEADBEEF
40251 .xword 0xDEADBEEFDEADBEEF
40252 .xword 0xDEADBEEFDEADBEEF
40253 .xword 0xDEADBEEFDEADBEEF
40254 .xword 0xDEADBEEFDEADBEEF
40255 .xword 0xDEADBEEFDEADBEEF
40256 .xword 0xDEADBEEFDEADBEEF
40257 .xword 0xDEADBEEFDEADBEEF
40258 .xword 0xDEADBEEFDEADBEEF
40259 .xword 0xDEADBEEFDEADBEEF
40260 .xword 0xDEADBEEFDEADBEEF
40261 .xword 0xDEADBEEFDEADBEEF
40262 .xword 0xDEADBEEFDEADBEEF
40263 .xword 0xDEADBEEFDEADBEEF
40264 .xword 0xDEADBEEFDEADBEEF
40265 .xword 0xDEADBEEFDEADBEEF
40266 .xword 0xDEADBEEFDEADBEEF
40267 .xword 0xDEADBEEFDEADBEEF
40268 .xword 0xDEADBEEFDEADBEEF
40269 .xword 0xDEADBEEFDEADBEEF
40270 .xword 0xDEADBEEFDEADBEEF
40271 .xword 0xDEADBEEFDEADBEEF
40272 .xword 0xDEADBEEFDEADBEEF
40273 .xword 0xDEADBEEFDEADBEEF
40274 .xword 0xDEADBEEFDEADBEEF
40275 .xword 0xDEADBEEFDEADBEEF
40276 .xword 0xDEADBEEFDEADBEEF
40277 .xword 0xDEADBEEFDEADBEEF
40278 .xword 0xDEADBEEFDEADBEEF
40279 .xword 0xDEADBEEFDEADBEEF
40280 .xword 0xDEADBEEFDEADBEEF
40281 .xword 0xDEADBEEFDEADBEEF
40282 .xword 0xDEADBEEFDEADBEEF
40283 .xword 0xDEADBEEFDEADBEEF
40284 .xword 0xDEADBEEFDEADBEEF
40285 .xword 0xDEADBEEFDEADBEEF
40286 .xword 0xDEADBEEFDEADBEEF
40287 .xword 0xDEADBEEFDEADBEEF
40288 .xword 0xDEADBEEFDEADBEEF
40289 .xword 0xDEADBEEFDEADBEEF
40290 .xword 0xDEADBEEFDEADBEEF
40291 .xword 0xDEADBEEFDEADBEEF
40292 .xword 0xDEADBEEFDEADBEEF
40293 .xword 0xDEADBEEFDEADBEEF
40294 .xword 0xDEADBEEFDEADBEEF
40295 .xword 0xDEADBEEFDEADBEEF
40296 .xword 0xDEADBEEFDEADBEEF
40297 .xword 0xDEADBEEFDEADBEEF
40298 .xword 0xDEADBEEFDEADBEEF
40299 .xword 0xDEADBEEFDEADBEEF
40300 .xword 0xDEADBEEFDEADBEEF
40301 .xword 0xDEADBEEFDEADBEEF
40302 .xword 0xDEADBEEFDEADBEEF
40303 .xword 0xDEADBEEFDEADBEEF
40304 .xword 0xDEADBEEFDEADBEEF
40305 .xword 0xDEADBEEFDEADBEEF
40306 .xword 0xDEADBEEFDEADBEEF
40307 .xword 0xDEADBEEFDEADBEEF
40308 .xword 0xDEADBEEFDEADBEEF
40309 .xword 0xDEADBEEFDEADBEEF
40310 .xword 0xDEADBEEFDEADBEEF
40311 .xword 0xDEADBEEFDEADBEEF
40312 .xword 0xDEADBEEFDEADBEEF
40313 .xword 0xDEADBEEFDEADBEEF
40314 .xword 0xDEADBEEFDEADBEEF
40315 .xword 0xDEADBEEFDEADBEEF
40316 .xword 0xDEADBEEFDEADBEEF
40317 .xword 0xDEADBEEFDEADBEEF
40318 .xword 0xDEADBEEFDEADBEEF
40319 .xword 0xDEADBEEFDEADBEEF
40320 .xword 0xDEADBEEFDEADBEEF
40321 .xword 0xDEADBEEFDEADBEEF
40322 .xword 0xDEADBEEFDEADBEEF
40323 .xword 0xDEADBEEFDEADBEEF
40324 .xword 0xDEADBEEFDEADBEEF
40325 .xword 0xDEADBEEFDEADBEEF
40326 .xword 0xDEADBEEFDEADBEEF
40327 .xword 0xDEADBEEFDEADBEEF
40328 .xword 0xDEADBEEFDEADBEEF
40329 .xword 0xDEADBEEFDEADBEEF
40330 .xword 0xDEADBEEFDEADBEEF
40331 .xword 0xDEADBEEFDEADBEEF
40332 .xword 0xDEADBEEFDEADBEEF
40333 .xword 0xDEADBEEFDEADBEEF
40334 .xword 0xDEADBEEFDEADBEEF
40335 .xword 0xDEADBEEFDEADBEEF
40336 .xword 0xDEADBEEFDEADBEEF
40337 .xword 0xDEADBEEFDEADBEEF
40338 .xword 0xDEADBEEFDEADBEEF
40339 .xword 0xDEADBEEFDEADBEEF
40340 .xword 0xDEADBEEFDEADBEEF
40341 .xword 0xDEADBEEFDEADBEEF
40342 .xword 0xDEADBEEFDEADBEEF
40343 .xword 0xDEADBEEFDEADBEEF
40344 .xword 0xDEADBEEFDEADBEEF
40345 .xword 0xDEADBEEFDEADBEEF
40346 .xword 0xDEADBEEFDEADBEEF
40347 .xword 0xDEADBEEFDEADBEEF
40348 .xword 0xDEADBEEFDEADBEEF
40349 .xword 0xDEADBEEFDEADBEEF
40350 .xword 0xDEADBEEFDEADBEEF
40351 .xword 0xDEADBEEFDEADBEEF
40352 .xword 0xDEADBEEFDEADBEEF
40353 .xword 0xDEADBEEFDEADBEEF
40354 .xword 0xDEADBEEFDEADBEEF
40355 .xword 0xDEADBEEFDEADBEEF
40356 .xword 0xDEADBEEFDEADBEEF
40357 .xword 0xDEADBEEFDEADBEEF
40358 .xword 0xDEADBEEFDEADBEEF
40359 .xword 0xDEADBEEFDEADBEEF
40360 .xword 0xDEADBEEFDEADBEEF
40361 .xword 0xDEADBEEFDEADBEEF
40362 .xword 0xDEADBEEFDEADBEEF
40363 .xword 0xDEADBEEFDEADBEEF
40364 .xword 0xDEADBEEFDEADBEEF
40365 .xword 0xDEADBEEFDEADBEEF
40366 .xword 0xDEADBEEFDEADBEEF
40367 .xword 0xDEADBEEFDEADBEEF
40368 .xword 0xDEADBEEFDEADBEEF
40369 .xword 0xDEADBEEFDEADBEEF
40370 .xword 0xDEADBEEFDEADBEEF
40371 .xword 0xDEADBEEFDEADBEEF
40372 .xword 0xDEADBEEFDEADBEEF
40373 .xword 0xDEADBEEFDEADBEEF
40374 .xword 0xDEADBEEFDEADBEEF
40375 .xword 0xDEADBEEFDEADBEEF
40376 .xword 0xDEADBEEFDEADBEEF
40377 .xword 0xDEADBEEFDEADBEEF
40378 .xword 0xDEADBEEFDEADBEEF
40379 .xword 0xDEADBEEFDEADBEEF
40380 .xword 0xDEADBEEFDEADBEEF
40381 .xword 0xDEADBEEFDEADBEEF
40382 .xword 0xDEADBEEFDEADBEEF
40383 .xword 0xDEADBEEFDEADBEEF
40384 .xword 0xDEADBEEFDEADBEEF
40385 .xword 0xDEADBEEFDEADBEEF
40386 .xword 0xDEADBEEFDEADBEEF
40387 .xword 0xDEADBEEFDEADBEEF
40388 .xword 0xDEADBEEFDEADBEEF
40389 .xword 0xDEADBEEFDEADBEEF
40390 .xword 0xDEADBEEFDEADBEEF
40391 .xword 0xDEADBEEFDEADBEEF
40392 .xword 0xDEADBEEFDEADBEEF
40393 .xword 0xDEADBEEFDEADBEEF
40394 .xword 0xDEADBEEFDEADBEEF
40395 .xword 0xDEADBEEFDEADBEEF
40396 .xword 0xDEADBEEFDEADBEEF
40397 .xword 0xDEADBEEFDEADBEEF
40398 .xword 0xDEADBEEFDEADBEEF
40399 .xword 0xDEADBEEFDEADBEEF
40400 .xword 0xDEADBEEFDEADBEEF
40401 .xword 0xDEADBEEFDEADBEEF
40402 .xword 0xDEADBEEFDEADBEEF
40403 .xword 0xDEADBEEFDEADBEEF
40404 .xword 0xDEADBEEFDEADBEEF
40405 .xword 0xDEADBEEFDEADBEEF
40406 .xword 0xDEADBEEFDEADBEEF
40407 .xword 0xDEADBEEFDEADBEEF
40408 .xword 0xDEADBEEFDEADBEEF
40409 .xword 0xDEADBEEFDEADBEEF
40410 .xword 0xDEADBEEFDEADBEEF
40411 .xword 0xDEADBEEFDEADBEEF
40412 .xword 0xDEADBEEFDEADBEEF
40413 .xword 0xDEADBEEFDEADBEEF
40414 .xword 0xDEADBEEFDEADBEEF
40415 .xword 0xDEADBEEFDEADBEEF
40416 .xword 0xDEADBEEFDEADBEEF
40417 .xword 0xDEADBEEFDEADBEEF
40418 .xword 0xDEADBEEFDEADBEEF
40419 .xword 0xDEADBEEFDEADBEEF
40420 .xword 0xDEADBEEFDEADBEEF
40421 .xword 0xDEADBEEFDEADBEEF
40422 .xword 0xDEADBEEFDEADBEEF
40423 .xword 0xDEADBEEFDEADBEEF
40424 .xword 0xDEADBEEFDEADBEEF
40425 .xword 0xDEADBEEFDEADBEEF
40426 .xword 0xDEADBEEFDEADBEEF
40427 .xword 0xDEADBEEFDEADBEEF
40428 .xword 0xDEADBEEFDEADBEEF
40429 .xword 0xDEADBEEFDEADBEEF
40430 .xword 0xDEADBEEFDEADBEEF
40431 .xword 0xDEADBEEFDEADBEEF
40432 .xword 0xDEADBEEFDEADBEEF
40433 .xword 0xDEADBEEFDEADBEEF
40434 .xword 0xDEADBEEFDEADBEEF
40435 .xword 0xDEADBEEFDEADBEEF
40436 .xword 0xDEADBEEFDEADBEEF
40437 .xword 0xDEADBEEFDEADBEEF
40438 .xword 0xDEADBEEFDEADBEEF
40439 .xword 0xDEADBEEFDEADBEEF
40440 .xword 0xDEADBEEFDEADBEEF
40441 .xword 0xDEADBEEFDEADBEEF
40442 .xword 0xDEADBEEFDEADBEEF
40443 .xword 0xDEADBEEFDEADBEEF
40444 .xword 0xDEADBEEFDEADBEEF
40445 .xword 0xDEADBEEFDEADBEEF
40446 .xword 0xDEADBEEFDEADBEEF
40447_t1_crc_auth_key:
40448 .xword 0x707b7f8ecc799aac
40449 .xword 0x4277d16147207eba
40450 .xword 0xdccd9288aa93b147
40451 .xword 0x0871d8d5455b25db
40452 .xword 0x904caafe9597c9c5
40453 .xword 0xfd8f10c7126d48f5
40454 .xword 0x8045b1e4d4e4e063
40455 .xword 0xb9d0ccdff10461a8
40456 .xword 0x2cb8aafbe3f52311
40457 .xword 0x35ee881fd170897e
40458 .xword 0x52fa119f63432e08
40459 .xword 0xb7ca75c336d13738
40460 .xword 0xc0d6bd390df8d9be
40461 .xword 0x0dfd6893fa90a675
40462 .xword 0x1eb40cf8f2758e04
40463 .xword 0x0fc46eb5bdf8f59f
40464 .xword 0x13ec939eb5c50b5e
40465 .xword 0x7181542a00f1b518
40466 .xword 0xb8c5b7efe39bc27c
40467 .xword 0x0ee8f65e70c98e69
40468 .xword 0x66410b980fc2f7ee
40469 .xword 0xefcf1a09ff864690
40470 .xword 0x3b5c56234e414e8a
40471_t1_crc_auth_iv:
40472 .xword 0x8c9af3b6adf21c05
40473 .xword 0xa56d44930d344a38
40474 .xword 0xf6b8484fa8153e91
40475 .xword 0xc3a0ffccdf3b6afe
40476 .xword 0x49b75b8866505a05
40477 .xword 0x8c9443fc0b1f9b61
40478 .xword 0x51955031ce3f78da
40479 .xword 0x867c68bcb7971f4d
40480 .xword 0x0cf03e93736df5c4
40481 .xword 0xa143f9a1167fad27
40482 .xword 0x7b62d18fdf8394d7
40483 .xword 0xcbfb97ebccad6baa
40484 .xword 0x784437625af7af2f
40485 .xword 0x8f5027ca697a9177
40486 .xword 0x8e13d5c029809137
40487 .xword 0xa3ae0b3147b616fd
40488 .xword 0x4fafca25d215d746
40489 .xword 0x4b079a66e15ea21c
40490 .xword 0x6c1b97a66a4c1218
40491 .xword 0x52ed38a038391f35
40492 .xword 0xf00b7231bb625330
40493 .xword 0x0791bdaec745f1cf
40494 .xword 0xf345761345133236
40495_t1_crc_fas_result:
40496 .xword 0xDEADBEEFDEADBEEF
40497 .xword 0xDEADBEEFDEADBEEF
40498 .xword 0xDEADBEEFDEADBEEF
40499 .xword 0xDEADBEEFDEADBEEF
40500 .xword 0xDEADBEEFDEADBEEF
40501 .xword 0xDEADBEEFDEADBEEF
40502 .xword 0xDEADBEEFDEADBEEF
40503 .xword 0xDEADBEEFDEADBEEF
40504 .xword 0xDEADBEEFDEADBEEF
40505 .xword 0xDEADBEEFDEADBEEF
40506 .xword 0xDEADBEEFDEADBEEF
40507 .xword 0xDEADBEEFDEADBEEF
40508 .xword 0xDEADBEEFDEADBEEF
40509 .xword 0xDEADBEEFDEADBEEF
40510 .xword 0xDEADBEEFDEADBEEF
40511 .xword 0xDEADBEEFDEADBEEF
40512 .xword 0xDEADBEEFDEADBEEF
40513 .xword 0xDEADBEEFDEADBEEF
40514 .xword 0xDEADBEEFDEADBEEF
40515 .xword 0xDEADBEEFDEADBEEF
40516 .xword 0xDEADBEEFDEADBEEF
40517 .xword 0xDEADBEEFDEADBEEF
40518 .xword 0xDEADBEEFDEADBEEF
40519_t1_hash_key_array:
40520 .xword 0x5b9867ef20d3fe3d
40521 .xword 0x42826265b815891e
40522 .xword 0x6df5aecd514e95c4
40523 .xword 0xe24c48ca97265eca
40524 .xword 0x348533380053f5d2
40525 .xword 0x983e2f07816a7058
40526 .xword 0xe8d4d36e78899714
40527 .xword 0x98cdffe171370ba3
40528 .xword 0x2592587835075f7c
40529 .xword 0x4bfddf1b02855ed2
40530 .xword 0x20a44d6ddb3be1ad
40531 .xword 0x99a1a773b001e33a
40532 .xword 0xb8d3e40494753ac6
40533 .xword 0x8ad7291ace030ea6
40534 .xword 0xa22ad82232859738
40535 .xword 0xa8b99b130975452c
40536 .xword 0xeb5f204a62754885
40537 .xword 0x8578b96fd811defe
40538 .xword 0xd00aa330837529e2
40539 .xword 0xc5316f3bdcc366b1
40540 .xword 0x1860c62150b695e4
40541 .xword 0xa05ad0382f9894cf
40542 .xword 0x6b617ab32412c481
40543 .xword 0x08f0b8819ec45f16
40544 .xword 0x5d0a8e21da2d1738
40545 .xword 0x0d4a6e88642fd52a
40546 .xword 0x351db1d3c23d7fe1
40547 .xword 0xe3027c0c59d3956c
40548 .xword 0x35799e06748310df
40549 .xword 0xdb30a37938baae72
40550 .xword 0xba9238f7bf9a7414
40551 .xword 0x23906f27ecab5087
40552 .xword 0x104492ed1ec508eb
40553 .xword 0x3b67a42eaf63e653
40554 .xword 0xbea4f9f33fc81c69
40555 .xword 0x62fb523c21335fe9
40556 .xword 0x28835b53399a117e
40557 .xword 0xcad7fcb9f6dfb75a
40558 .xword 0xfbc133b534016799
40559 .xword 0x8c637d5fe68ca8d9
40560 .xword 0x79284e7f3c4ac72c
40561 .xword 0xc739d91271ace579
40562 .xword 0x1be99a93fe14766e
40563 .xword 0x181881efe0f9dee5
40564 .xword 0x51e5460a61c28f63
40565 .xword 0x327380fe4c3b8557
40566 .xword 0x9bc7ebd9616e0973
40567 .xword 0xc42460ebfbed7747
40568 .xword 0x6af6e2f2f2c8a0a8
40569 .xword 0x9e577af3955f1c29
40570 .xword 0xc74d95def87dc7f1
40571_t1_hash_iv_array:
40572 .xword 0x7a6d583056cadca0
40573 .xword 0xaca0229bef13f163
40574 .xword 0xb421a667d1fafaf2
40575 .xword 0xea5d682dadc452c1
40576 .xword 0x9d8f3c45315476cb
40577 .xword 0xe5beafce18ea4959
40578 .xword 0x01d61dc2d3f914d8
40579 .xword 0xc13a8f4b066a8a50
40580 .xword 0xbe42a9a71027b2ca
40581 .xword 0xde90d89d27e50c3c
40582 .xword 0x1b7bd9f47cf472ba
40583 .xword 0x6f438a48d640f8a3
40584 .xword 0xd081bab1fa866c43
40585 .xword 0xce2ec9e3812516c7
40586 .xword 0xc1bea92961c9b54f
40587 .xword 0x2db33ec36d441fa7
40588 .xword 0xf97069916d107937
40589 .xword 0xeea7f26178f668d8
40590 .xword 0xb5129219adcf523f
40591 .xword 0x21fa77c606b84024
40592 .xword 0x7f88e3b53a2a4837
40593 .xword 0xc2a7cfa86d2affda
40594 .xword 0x0a8c8997419a994f
40595 .xword 0xaa8a2d97ffa00e86
40596 .xword 0x7cb70357abe41889
40597 .xword 0x9d208b4cb195f5a8
40598 .xword 0xaafd005f266a65cc
40599 .xword 0x5a4bd884e8330a60
40600 .xword 0x4e4cb138bef95376
40601 .xword 0xeab8e52d2fe1af99
40602 .xword 0xc0410db6431fa019
40603 .xword 0xe26087b2e64d3b72
40604 .xword 0x325f7a38c1291071
40605 .xword 0x10b79e5270496551
40606 .xword 0x993ab5988751576e
40607 .xword 0xb4d92590456eb35a
40608 .xword 0x8ca1cb1838cb706f
40609 .xword 0x2dea5799f115d6f2
40610 .xword 0x8378bfeb5f4e1e61
40611 .xword 0x5d8a350c5f1dfc4d
40612 .xword 0x8079cb30442e8143
40613 .xword 0x8350ea26e8db606e
40614 .xword 0x67d64a8483c904ce
40615 .xword 0x3ed905fbbef1b7a3
40616 .xword 0x5b1871d8bd127fa9
40617_t1_hash_alignment_array:
40618 .xword 14
40619 .xword 8
40620 .xword 6
40621 .xword 8
40622 .xword 8
40623 .xword 0
40624 .xword 8
40625 .xword 15
40626 .xword 13
40627 .xword 7
40628 .xword 15
40629 .xword 5
40630 .xword 8
40631 .xword 8
40632 .xword 13
40633 .xword 13
40634 .xword 13
40635 .xword 9
40636 .xword 5
40637 .xword 13
40638 .xword 12
40639 .xword 4
40640 .xword 2
40641 .xword 6
40642 .xword 5
40643 .xword 5
40644 .xword 8
40645 .xword 6
40646 .xword 10
40647 .xword 14
40648 .xword 6
40649 .xword 6
40650 .xword 2
40651 .xword 13
40652 .xword 11
40653 .xword 5
40654 .xword 12
40655 .xword 15
40656 .xword 10
40657 .xword 6
40658 .xword 0
40659 .xword 15
40660 .xword 9
40661 .xword 0
40662 .xword 11
40663 .xword 1
40664 .xword 8
40665 .xword 5
40666 .xword 4
40667 .xword 8
40668 .xword 11
40669 .xword 5
40670 .xword 11
40671 .xword 15
40672 .xword 14
40673 .xword 0
40674 .xword 0
40675 .xword 14
40676 .xword 6
40677 .xword 12
40678 .xword 3
40679 .xword 13
40680 .xword 13
40681 .xword 5
40682 .xword 0
40683 .xword 14
40684 .xword 12
40685 .xword 12
40686 .xword 3
40687 .xword 2
40688 .xword 15
40689 .xword 8
40690 .xword 10
40691 .xword 6
40692 .xword 10
40693 .xword 6
40694 .xword 6
40695 .xword 5
40696 .xword 5
40697 .xword 9
40698 .xword 6
40699 .xword 9
40700 .xword 11
40701 .xword 2
40702 .xword 13
40703 .xword 1
40704 .xword 15
40705 .xword 14
40706 .xword 8
40707 .xword 2
40708 .xword 9
40709 .xword 15
40710 .xword 8
40711 .xword 1
40712 .xword 5
40713 .xword 4
40714 .xword 1
40715 .xword 2
40716 .xword 1
40717 .xword 8
40718 .xword 3
40719 .xword 13
40720 .xword 1
40721 .xword 3
40722 .xword 15
40723_t1_hash_src:
40724 .xword 0x438917786b064c72
40725 .xword 0x41d33334382e00aa
40726 .xword 0x8063ccbbfd0b79f0
40727 .xword 0xdce2142bcb9bb24a
40728 .xword 0xea6dc0a804619690
40729 .xword 0x33aede65f9b49927
40730 .xword 0x2ba5618ae223d237
40731 .xword 0x11c06b810f5acd7b
40732 .xword 0x3bd9214afeb03a00
40733 .xword 0x3d53d39e6b951434
40734 .xword 0xb4d7274b01e48766
40735 .xword 0x25165c4853357d62
40736 .xword 0x2fb6ca7af8ebe8bf
40737 .xword 0xb6cb7e9bcdeef04a
40738 .xword 0x3ca1cd3ed83a29d6
40739 .xword 0xc05b58de2e31d748
40740 .xword 0x9efd50a0c7652e21
40741 .xword 0x29aed6149c69f1da
40742 .xword 0x67311a0fc9781796
40743 .xword 0xbc188f5503c5bba2
40744 .xword 0x8317b0fd16148240
40745 .xword 0x7ae41fb5e1d631e6
40746 .xword 0x49277a4e22727869
40747 .xword 0x82a7d89473a7b3b5
40748 .xword 0x2627951f48fe5757
40749 .xword 0xc85575a26651fef1
40750 .xword 0xed612364b87ad8fe
40751 .xword 0x97beaba3085c6411
40752 .xword 0xc5e364ecf3189fcb
40753 .xword 0x08502d408d9343f4
40754 .xword 0xfd9c011b089af5bc
40755 .xword 0xb693e2b5cd92eefa
40756 .xword 0x949ae50bf54f9ca9
40757 .xword 0x6709b30d310953bc
40758 .xword 0x4add554a50b48361
40759 .xword 0x5f54220f05b93e4d
40760 .xword 0x804b0fed2188345e
40761 .xword 0xd44a842eff953ada
40762 .xword 0xace6acf80d72ecb7
40763 .xword 0x5cafae8b2781c832
40764 .xword 0x6cc85dce58b3b0f5
40765 .xword 0xdf13ce1b17c3cbcf
40766 .xword 0x37e871f89cb17af0
40767 .xword 0xc9b85f91318ac5f0
40768 .xword 0x0c053928fd34be52
40769 .xword 0x2a847a4affc49ec4
40770 .xword 0x34d82cb410053633
40771 .xword 0x9e57b9e06f4378e3
40772 .xword 0xd11b42063a391b61
40773 .xword 0x2f6ee24b734e293b
40774 .xword 0x191e8dd3cd07371a
40775 .xword 0xcaead0c8a1092f33
40776 .xword 0xecd1a28b2e927726
40777 .xword 0x59eef887682f4918
40778 .xword 0x0b7171cfe149c0a9
40779 .xword 0xd5858940b034cbf1
40780 .xword 0xf9d5480282d8025f
40781 .xword 0xfc71f3431f670b13
40782 .xword 0xd172de9a839a139f
40783 .xword 0xb32fc9f9e6c211f3
40784 .xword 0x539b986c7814c778
40785 .xword 0xe95bc9383603e2d2
40786 .xword 0xfc6b7e78319c007c
40787 .xword 0x818e6946b153f1bc
40788 .xword 0x9452ed5ba6d38882
40789 .xword 0x5fda6d8f62e8863d
40790 .xword 0x84eb4f5683af0ca6
40791 .xword 0x19672acec171964c
40792 .xword 0x1e8fae402de71382
40793 .xword 0x43ee1cfffaf2b527
40794 .xword 0x136f06b8e51d4b3b
40795 .xword 0x86ed318cd793724b
40796 .xword 0x6b43eacc19169643
40797 .xword 0x7888e5b7743da34c
40798 .xword 0xf5b597fd5b9b7fec
40799 .xword 0x71b6adeb35a49f54
40800 .xword 0x66b6ce577b307395
40801 .xword 0x23efdd1e3313c2f1
40802 .xword 0xb5ea09cc2ab440fe
40803 .xword 0x38665ef75bbc1c02
40804 .xword 0x7130d685f39d0721
40805 .xword 0x0f5c2ffeef524908
40806 .xword 0x98d93141047ebe83
40807 .xword 0x6ee6894649ad70bc
40808 .xword 0xc0451283936237c1
40809 .xword 0x990479879a36df30
40810 .xword 0x1ae8125cea661caf
40811 .xword 0x3edf20206920bbbb
40812 .xword 0xaa0a825302502c69
40813 .xword 0x2aba12b2151dd9d2
40814 .xword 0x286f1799c5c5890c
40815 .xword 0x38e28e3963ea6e30
40816 .xword 0x6edbdbb6b83f83b4
40817 .xword 0x97d88a73a7908c8d
40818 .xword 0xa13ec57a0b7a13fa
40819 .xword 0xcdb7b428080bb9dd
40820 .xword 0x7452a718d97767a1
40821 .xword 0x75050476ef8be6a0
40822 .xword 0x578442c086ab075f
40823 .xword 0x3eaa523d28894e73
40824 .xword 0x5be766025c674f35
40825 .xword 0xb42b1676348af756
40826 .xword 0xdecf0f032fd3b52c
40827 .xword 0x6e8e56db64fb3488
40828 .xword 0xd2c2c778bcdedb6a
40829 .xword 0xf5a2538ef5f180b5
40830 .xword 0x5162a6ec7c330faa
40831 .xword 0xbbb1a20b19e410f3
40832 .xword 0x553e9d6ad973a30e
40833 .xword 0x452d9d98be266caa
40834 .xword 0xf3df0dbee97a9b19
40835 .xword 0x47aeb68cca04367e
40836 .xword 0x95a0fbc667d8afa0
40837 .xword 0x3b41952a8645e04a
40838 .xword 0xbb9e1d3a28151e50
40839 .xword 0x892887dd3e881db0
40840 .xword 0x87919300176fe068
40841 .xword 0x0f11a4641f9f771c
40842 .xword 0x6486a9bd2aa031bb
40843 .xword 0x5b6d7635183a8f11
40844 .xword 0xa2839b5bfc8ebd82
40845 .xword 0xbfd1a188363ee8d2
40846 .xword 0x873339db3bed655e
40847 .xword 0x17e3f3165bfaeda3
40848 .xword 0xca773be5e456c7d4
40849 .xword 0x5c446874c610e816
40850 .xword 0xb66fe8ff02a61ced
40851 .xword 0x90e37377cae9882b
40852 .xword 0x20095489a7b595fe
40853 .xword 0x22ea627341246031
40854 .xword 0xa1a8439e57af9f32
40855 .xword 0x22676af48881f1ba
40856 .xword 0xf982d10ff1ae87c6
40857 .xword 0xc047b6e357ec257d
40858 .xword 0x4cb06c8f983808bd
40859 .xword 0x75bad501637dcb7f
40860 .xword 0x27c71b2daa7ba1e9
40861 .xword 0x364fe42a1ff0ff05
40862 .xword 0x339577fdab7ebf43
40863 .xword 0x018202982fdfbafc
40864 .xword 0x28e76fb7149d22b3
40865 .xword 0x8694d0ac6f6ac0d8
40866 .xword 0x37eb4f20609a60dd
40867 .xword 0xfaa6a742acb460d6
40868 .xword 0xf1b4fc2668ff5533
40869 .xword 0xeda74789295b3812
40870 .xword 0xd261ab42637e73b8
40871 .xword 0xcd30e1efa6c3112a
40872 .xword 0x07bebff773b3e12c
40873 .xword 0xb4b3106e9d345b37
40874 .xword 0xdfe47227d53be550
40875 .xword 0x2b35d9c7db79ee21
40876 .xword 0x0351bdfc3a05260a
40877 .xword 0x7a55b84ff7447b3c
40878 .xword 0x7c5676ed4abffd20
40879 .xword 0x2a2c815092dcac58
40880 .xword 0x0654617ede126bba
40881 .xword 0xae9ab30a1fd2150a
40882 .xword 0x4db394562b74ee03
40883 .xword 0x4d343de38355012b
40884 .xword 0x06357b0002a23c1c
40885 .xword 0x39c7eee44249637c
40886 .xword 0xf2aa8d41c80fcea5
40887 .xword 0x902ff4b811c55344
40888 .xword 0x222d7b517425bc10
40889 .xword 0x1b57f7929af09a1e
40890 .xword 0x320309edf755e108
40891 .xword 0xa326cb4683b11352
40892 .xword 0x1680f03fd462fc48
40893 .xword 0x1d631b1d5f44a08d
40894 .xword 0x6f0c40b008391d6d
40895 .xword 0xf993b93de08d3b24
40896 .xword 0x8e47435bd728aab9
40897 .xword 0x1dfcfda49aa37e57
40898 .xword 0x30339476175d59e1
40899 .xword 0x6ea8d8900ba6d1ae
40900 .xword 0xf9b2b8ad84638513
40901 .xword 0xef7f547c8750b4f5
40902 .xword 0x88374f455ca21928
40903 .xword 0x60fd0f58327e7cd7
40904 .xword 0xa1cb95eb8360f68a
40905 .xword 0x69d20ad3fdbba556
40906 .xword 0x4f23d15b49efedf7
40907 .xword 0xeb6870431f0910f0
40908 .xword 0x13da8cc7c6774ac2
40909 .xword 0xeb4267cbec474cc1
40910 .xword 0x2011baf4d77fde69
40911 .xword 0xf8d81ce4a0026ec7
40912 .xword 0xb8e10354c3efef8d
40913 .xword 0xe9e7b2ac354b9fff
40914 .xword 0xc4b17cf3df4da607
40915 .xword 0xb43da76de1068294
40916 .xword 0xd26bb250d4b5511a
40917 .xword 0xc4a323e34267b384
40918 .xword 0x88bbc28f8448ccb8
40919 .xword 0x1ff2b0fba10876d4
40920 .xword 0x194f211c08a10410
40921 .xword 0x97a20d2dc1032d02
40922 .xword 0xa7974fbfc1a3fd54
40923 .xword 0x726ad80b719d9b17
40924 .xword 0x9a4d25df6cf7b295
40925 .xword 0x83e73dad66dd82b1
40926 .xword 0xcc54ae703e9c3bb0
40927 .xword 0xc523861d6451af35
40928 .xword 0xc1aa727504c1bf38
40929 .xword 0x0a1597fda49d9204
40930 .xword 0x2ca47426d9815ec2
40931 .xword 0x78cd0840d3007c06
40932 .xword 0x2a352c81c5dc5669
40933 .xword 0xa1cec2aca98d393e
40934 .xword 0x5ece639076dead05
40935 .xword 0x2db6e4d2a47a10e3
40936 .xword 0x0f5323676acfaec8
40937 .xword 0x874ada0e91cec165
40938 .xword 0xe8278243a8f1a875
40939 .xword 0x3ce1d025e01dce92
40940 .xword 0x626381939b21920b
40941 .xword 0xbe11b2e7059c6a31
40942 .xword 0x121d5a3354036aeb
40943 .xword 0x54c27695c81d928a
40944 .xword 0x3a8c7ee4410bc8cd
40945 .xword 0xa808159e37fa2bda
40946 .xword 0x35d51dfebef6e775
40947 .xword 0x3ed0e84c098940da
40948 .xword 0xc1a0eb1d2585cf87
40949 .xword 0x0b00a5da66f97497
40950 .xword 0x16b7b757f7b03279
40951 .xword 0x947281c3757f68ad
40952 .xword 0x880e182a41c04e91
40953 .xword 0x25490fb614d21f0a
40954 .xword 0x33ac131753f1094d
40955 .xword 0x323fd387db9511a2
40956 .xword 0x686ae9d9666619cb
40957 .xword 0xcedbabbab1606d9c
40958 .xword 0x25c9aedc310eec67
40959 .xword 0x36bdcb9b9582d3a0
40960 .xword 0xaa88f399cfee6abe
40961 .xword 0x70bb2ec18c8c31be
40962 .xword 0x191930e46f087bf8
40963 .xword 0x97cb79885d0a8cec
40964 .xword 0xec56367197681825
40965 .xword 0xee135559a25ad7cf
40966 .xword 0xb126ea32f6b8ca7d
40967 .xword 0x2e37cf62a33c766f
40968 .xword 0x7236138a9778b6e2
40969 .xword 0x3e4482db683a1455
40970 .xword 0x785a2e40dde75a69
40971 .xword 0xe79e7bc0a0ec698a
40972 .xword 0xacf57362b1426146
40973 .xword 0x6b325da5a6da705f
40974 .xword 0x31228b9050332bd8
40975 .xword 0xf6adeea53ba13f0c
40976 .xword 0xe2136c89f0e587b0
40977 .xword 0x8187889af553deb5
40978 .xword 0x1bec9d621211ec05
40979 .xword 0x2d1ba6576172f72b
40980 .xword 0x25150c20713f9873
40981 .xword 0x62a4e06526ac4666
40982 .xword 0xdb2faae3af69385b
40983 .xword 0x5b062b42cf10709f
40984 .xword 0x1ad4632b53d103b7
40985 .xword 0xd10b5b9224d9c1f6
40986 .xword 0xf38537eaff8e5812
40987 .xword 0x228295d0a63eea79
40988 .xword 0xfd343c461a29b45d
40989 .xword 0x4d9de2666207a3e1
40990 .xword 0x418331018f054809
40991 .xword 0x3d2a4437be05db39
40992 .xword 0x1798702fd54e1a7f
40993 .xword 0x5007c17cbbd1856a
40994 .xword 0x5dd0d813f38830b4
40995 .xword 0x3bb413c68a0cef20
40996 .xword 0x5dba59047625b890
40997 .xword 0x353c5e8e65e493f6
40998 .xword 0xc3ef5aa19e178988
40999_t1_hash_dest:
41000 .xword 0xDEADBEEFDEADBEEF
41001 .xword 0xDEADBEEFDEADBEEF
41002 .xword 0xDEADBEEFDEADBEEF
41003 .xword 0xDEADBEEFDEADBEEF
41004 .xword 0xDEADBEEFDEADBEEF
41005 .xword 0xDEADBEEFDEADBEEF
41006 .xword 0xDEADBEEFDEADBEEF
41007 .xword 0xDEADBEEFDEADBEEF
41008 .xword 0xDEADBEEFDEADBEEF
41009 .xword 0xDEADBEEFDEADBEEF
41010 .xword 0xDEADBEEFDEADBEEF
41011 .xword 0xDEADBEEFDEADBEEF
41012 .xword 0xDEADBEEFDEADBEEF
41013 .xword 0xDEADBEEFDEADBEEF
41014 .xword 0xDEADBEEFDEADBEEF
41015 .xword 0xDEADBEEFDEADBEEF
41016 .xword 0xDEADBEEFDEADBEEF
41017 .xword 0xDEADBEEFDEADBEEF
41018 .xword 0xDEADBEEFDEADBEEF
41019 .xword 0xDEADBEEFDEADBEEF
41020 .xword 0xDEADBEEFDEADBEEF
41021 .xword 0xDEADBEEFDEADBEEF
41022 .xword 0xDEADBEEFDEADBEEF
41023 .xword 0xDEADBEEFDEADBEEF
41024 .xword 0xDEADBEEFDEADBEEF
41025 .xword 0xDEADBEEFDEADBEEF
41026 .xword 0xDEADBEEFDEADBEEF
41027 .xword 0xDEADBEEFDEADBEEF
41028 .xword 0xDEADBEEFDEADBEEF
41029 .xword 0xDEADBEEFDEADBEEF
41030 .xword 0xDEADBEEFDEADBEEF
41031 .xword 0xDEADBEEFDEADBEEF
41032 .xword 0xDEADBEEFDEADBEEF
41033 .xword 0xDEADBEEFDEADBEEF
41034 .xword 0xDEADBEEFDEADBEEF
41035 .xword 0xDEADBEEFDEADBEEF
41036 .xword 0xDEADBEEFDEADBEEF
41037 .xword 0xDEADBEEFDEADBEEF
41038 .xword 0xDEADBEEFDEADBEEF
41039 .xword 0xDEADBEEFDEADBEEF
41040 .xword 0xDEADBEEFDEADBEEF
41041 .xword 0xDEADBEEFDEADBEEF
41042 .xword 0xDEADBEEFDEADBEEF
41043 .xword 0xDEADBEEFDEADBEEF
41044 .xword 0xDEADBEEFDEADBEEF
41045 .xword 0xDEADBEEFDEADBEEF
41046 .xword 0xDEADBEEFDEADBEEF
41047 .xword 0xDEADBEEFDEADBEEF
41048 .xword 0xDEADBEEFDEADBEEF
41049 .xword 0xDEADBEEFDEADBEEF
41050 .xword 0xDEADBEEFDEADBEEF
41051 .xword 0xDEADBEEFDEADBEEF
41052 .xword 0xDEADBEEFDEADBEEF
41053 .xword 0xDEADBEEFDEADBEEF
41054 .xword 0xDEADBEEFDEADBEEF
41055 .xword 0xDEADBEEFDEADBEEF
41056 .xword 0xDEADBEEFDEADBEEF
41057 .xword 0xDEADBEEFDEADBEEF
41058 .xword 0xDEADBEEFDEADBEEF
41059 .xword 0xDEADBEEFDEADBEEF
41060 .xword 0xDEADBEEFDEADBEEF
41061 .xword 0xDEADBEEFDEADBEEF
41062 .xword 0xDEADBEEFDEADBEEF
41063 .xword 0xDEADBEEFDEADBEEF
41064 .xword 0xDEADBEEFDEADBEEF
41065 .xword 0xDEADBEEFDEADBEEF
41066 .xword 0xDEADBEEFDEADBEEF
41067 .xword 0xDEADBEEFDEADBEEF
41068 .xword 0xDEADBEEFDEADBEEF
41069 .xword 0xDEADBEEFDEADBEEF
41070 .xword 0xDEADBEEFDEADBEEF
41071 .xword 0xDEADBEEFDEADBEEF
41072 .xword 0xDEADBEEFDEADBEEF
41073 .xword 0xDEADBEEFDEADBEEF
41074 .xword 0xDEADBEEFDEADBEEF
41075 .xword 0xDEADBEEFDEADBEEF
41076 .xword 0xDEADBEEFDEADBEEF
41077 .xword 0xDEADBEEFDEADBEEF
41078 .xword 0xDEADBEEFDEADBEEF
41079 .xword 0xDEADBEEFDEADBEEF
41080 .xword 0xDEADBEEFDEADBEEF
41081 .xword 0xDEADBEEFDEADBEEF
41082 .xword 0xDEADBEEFDEADBEEF
41083 .xword 0xDEADBEEFDEADBEEF
41084 .xword 0xDEADBEEFDEADBEEF
41085 .xword 0xDEADBEEFDEADBEEF
41086 .xword 0xDEADBEEFDEADBEEF
41087 .xword 0xDEADBEEFDEADBEEF
41088 .xword 0xDEADBEEFDEADBEEF
41089 .xword 0xDEADBEEFDEADBEEF
41090 .xword 0xDEADBEEFDEADBEEF
41091 .xword 0xDEADBEEFDEADBEEF
41092 .xword 0xDEADBEEFDEADBEEF
41093 .xword 0xDEADBEEFDEADBEEF
41094 .xword 0xDEADBEEFDEADBEEF
41095 .xword 0xDEADBEEFDEADBEEF
41096 .xword 0xDEADBEEFDEADBEEF
41097 .xword 0xDEADBEEFDEADBEEF
41098 .xword 0xDEADBEEFDEADBEEF
41099 .xword 0xDEADBEEFDEADBEEF
41100 .xword 0xDEADBEEFDEADBEEF
41101 .xword 0xDEADBEEFDEADBEEF
41102 .xword 0xDEADBEEFDEADBEEF
41103 .xword 0xDEADBEEFDEADBEEF
41104 .xword 0xDEADBEEFDEADBEEF
41105 .xword 0xDEADBEEFDEADBEEF
41106 .xword 0xDEADBEEFDEADBEEF
41107 .xword 0xDEADBEEFDEADBEEF
41108 .xword 0xDEADBEEFDEADBEEF
41109 .xword 0xDEADBEEFDEADBEEF
41110 .xword 0xDEADBEEFDEADBEEF
41111 .xword 0xDEADBEEFDEADBEEF
41112 .xword 0xDEADBEEFDEADBEEF
41113 .xword 0xDEADBEEFDEADBEEF
41114 .xword 0xDEADBEEFDEADBEEF
41115 .xword 0xDEADBEEFDEADBEEF
41116 .xword 0xDEADBEEFDEADBEEF
41117 .xword 0xDEADBEEFDEADBEEF
41118 .xword 0xDEADBEEFDEADBEEF
41119 .xword 0xDEADBEEFDEADBEEF
41120 .xword 0xDEADBEEFDEADBEEF
41121 .xword 0xDEADBEEFDEADBEEF
41122 .xword 0xDEADBEEFDEADBEEF
41123 .xword 0xDEADBEEFDEADBEEF
41124 .xword 0xDEADBEEFDEADBEEF
41125 .xword 0xDEADBEEFDEADBEEF
41126 .xword 0xDEADBEEFDEADBEEF
41127 .xword 0xDEADBEEFDEADBEEF
41128 .xword 0xDEADBEEFDEADBEEF
41129 .xword 0xDEADBEEFDEADBEEF
41130 .xword 0xDEADBEEFDEADBEEF
41131 .xword 0xDEADBEEFDEADBEEF
41132 .xword 0xDEADBEEFDEADBEEF
41133 .xword 0xDEADBEEFDEADBEEF
41134 .xword 0xDEADBEEFDEADBEEF
41135 .xword 0xDEADBEEFDEADBEEF
41136 .xword 0xDEADBEEFDEADBEEF
41137 .xword 0xDEADBEEFDEADBEEF
41138 .xword 0xDEADBEEFDEADBEEF
41139 .xword 0xDEADBEEFDEADBEEF
41140 .xword 0xDEADBEEFDEADBEEF
41141 .xword 0xDEADBEEFDEADBEEF
41142 .xword 0xDEADBEEFDEADBEEF
41143 .xword 0xDEADBEEFDEADBEEF
41144 .xword 0xDEADBEEFDEADBEEF
41145 .xword 0xDEADBEEFDEADBEEF
41146 .xword 0xDEADBEEFDEADBEEF
41147 .xword 0xDEADBEEFDEADBEEF
41148 .xword 0xDEADBEEFDEADBEEF
41149 .xword 0xDEADBEEFDEADBEEF
41150 .xword 0xDEADBEEFDEADBEEF
41151 .xword 0xDEADBEEFDEADBEEF
41152 .xword 0xDEADBEEFDEADBEEF
41153 .xword 0xDEADBEEFDEADBEEF
41154 .xword 0xDEADBEEFDEADBEEF
41155 .xword 0xDEADBEEFDEADBEEF
41156 .xword 0xDEADBEEFDEADBEEF
41157 .xword 0xDEADBEEFDEADBEEF
41158 .xword 0xDEADBEEFDEADBEEF
41159 .xword 0xDEADBEEFDEADBEEF
41160 .xword 0xDEADBEEFDEADBEEF
41161 .xword 0xDEADBEEFDEADBEEF
41162 .xword 0xDEADBEEFDEADBEEF
41163 .xword 0xDEADBEEFDEADBEEF
41164 .xword 0xDEADBEEFDEADBEEF
41165 .xword 0xDEADBEEFDEADBEEF
41166 .xword 0xDEADBEEFDEADBEEF
41167 .xword 0xDEADBEEFDEADBEEF
41168 .xword 0xDEADBEEFDEADBEEF
41169 .xword 0xDEADBEEFDEADBEEF
41170 .xword 0xDEADBEEFDEADBEEF
41171 .xword 0xDEADBEEFDEADBEEF
41172 .xword 0xDEADBEEFDEADBEEF
41173 .xword 0xDEADBEEFDEADBEEF
41174 .xword 0xDEADBEEFDEADBEEF
41175 .xword 0xDEADBEEFDEADBEEF
41176 .xword 0xDEADBEEFDEADBEEF
41177 .xword 0xDEADBEEFDEADBEEF
41178 .xword 0xDEADBEEFDEADBEEF
41179 .xword 0xDEADBEEFDEADBEEF
41180 .xword 0xDEADBEEFDEADBEEF
41181 .xword 0xDEADBEEFDEADBEEF
41182 .xword 0xDEADBEEFDEADBEEF
41183 .xword 0xDEADBEEFDEADBEEF
41184 .xword 0xDEADBEEFDEADBEEF
41185 .xword 0xDEADBEEFDEADBEEF
41186 .xword 0xDEADBEEFDEADBEEF
41187 .xword 0xDEADBEEFDEADBEEF
41188 .xword 0xDEADBEEFDEADBEEF
41189 .xword 0xDEADBEEFDEADBEEF
41190 .xword 0xDEADBEEFDEADBEEF
41191 .xword 0xDEADBEEFDEADBEEF
41192 .xword 0xDEADBEEFDEADBEEF
41193 .xword 0xDEADBEEFDEADBEEF
41194 .xword 0xDEADBEEFDEADBEEF
41195 .xword 0xDEADBEEFDEADBEEF
41196 .xword 0xDEADBEEFDEADBEEF
41197 .xword 0xDEADBEEFDEADBEEF
41198 .xword 0xDEADBEEFDEADBEEF
41199 .xword 0xDEADBEEFDEADBEEF
41200 .xword 0xDEADBEEFDEADBEEF
41201 .xword 0xDEADBEEFDEADBEEF
41202 .xword 0xDEADBEEFDEADBEEF
41203 .xword 0xDEADBEEFDEADBEEF
41204 .xword 0xDEADBEEFDEADBEEF
41205 .xword 0xDEADBEEFDEADBEEF
41206 .xword 0xDEADBEEFDEADBEEF
41207 .xword 0xDEADBEEFDEADBEEF
41208 .xword 0xDEADBEEFDEADBEEF
41209 .xword 0xDEADBEEFDEADBEEF
41210 .xword 0xDEADBEEFDEADBEEF
41211 .xword 0xDEADBEEFDEADBEEF
41212 .xword 0xDEADBEEFDEADBEEF
41213 .xword 0xDEADBEEFDEADBEEF
41214 .xword 0xDEADBEEFDEADBEEF
41215 .xword 0xDEADBEEFDEADBEEF
41216 .xword 0xDEADBEEFDEADBEEF
41217 .xword 0xDEADBEEFDEADBEEF
41218 .xword 0xDEADBEEFDEADBEEF
41219 .xword 0xDEADBEEFDEADBEEF
41220 .xword 0xDEADBEEFDEADBEEF
41221 .xword 0xDEADBEEFDEADBEEF
41222 .xword 0xDEADBEEFDEADBEEF
41223 .xword 0xDEADBEEFDEADBEEF
41224 .xword 0xDEADBEEFDEADBEEF
41225 .xword 0xDEADBEEFDEADBEEF
41226 .xword 0xDEADBEEFDEADBEEF
41227 .xword 0xDEADBEEFDEADBEEF
41228 .xword 0xDEADBEEFDEADBEEF
41229 .xword 0xDEADBEEFDEADBEEF
41230 .xword 0xDEADBEEFDEADBEEF
41231 .xword 0xDEADBEEFDEADBEEF
41232 .xword 0xDEADBEEFDEADBEEF
41233 .xword 0xDEADBEEFDEADBEEF
41234 .xword 0xDEADBEEFDEADBEEF
41235 .xword 0xDEADBEEFDEADBEEF
41236 .xword 0xDEADBEEFDEADBEEF
41237 .xword 0xDEADBEEFDEADBEEF
41238 .xword 0xDEADBEEFDEADBEEF
41239 .xword 0xDEADBEEFDEADBEEF
41240 .xword 0xDEADBEEFDEADBEEF
41241 .xword 0xDEADBEEFDEADBEEF
41242 .xword 0xDEADBEEFDEADBEEF
41243 .xword 0xDEADBEEFDEADBEEF
41244 .xword 0xDEADBEEFDEADBEEF
41245 .xword 0xDEADBEEFDEADBEEF
41246 .xword 0xDEADBEEFDEADBEEF
41247 .xword 0xDEADBEEFDEADBEEF
41248 .xword 0xDEADBEEFDEADBEEF
41249 .xword 0xDEADBEEFDEADBEEF
41250 .xword 0xDEADBEEFDEADBEEF
41251 .xword 0xDEADBEEFDEADBEEF
41252 .xword 0xDEADBEEFDEADBEEF
41253 .xword 0xDEADBEEFDEADBEEF
41254 .xword 0xDEADBEEFDEADBEEF
41255 .xword 0xDEADBEEFDEADBEEF
41256 .xword 0xDEADBEEFDEADBEEF
41257 .xword 0xDEADBEEFDEADBEEF
41258 .xword 0xDEADBEEFDEADBEEF
41259 .xword 0xDEADBEEFDEADBEEF
41260 .xword 0xDEADBEEFDEADBEEF
41261 .xword 0xDEADBEEFDEADBEEF
41262 .xword 0xDEADBEEFDEADBEEF
41263 .xword 0xDEADBEEFDEADBEEF
41264 .xword 0xDEADBEEFDEADBEEF
41265 .xword 0xDEADBEEFDEADBEEF
41266 .xword 0xDEADBEEFDEADBEEF
41267 .xword 0xDEADBEEFDEADBEEF
41268 .xword 0xDEADBEEFDEADBEEF
41269 .xword 0xDEADBEEFDEADBEEF
41270 .xword 0xDEADBEEFDEADBEEF
41271 .xword 0xDEADBEEFDEADBEEF
41272 .xword 0xDEADBEEFDEADBEEF
41273 .xword 0xDEADBEEFDEADBEEF
41274 .xword 0xDEADBEEFDEADBEEF
41275_t1_hash_auth_key:
41276 .xword 0x759e9856454eb0f3
41277 .xword 0xb1ea156f58bf3858
41278 .xword 0x396294533d16fd52
41279 .xword 0xdc07a728e16902b1
41280 .xword 0x6c61472e3fef6511
41281 .xword 0x0fa3f46394834862
41282 .xword 0x5ef9437cfccfc56b
41283 .xword 0x740954ec44c687aa
41284 .xword 0xf170c58d8485461a
41285 .xword 0xce42166291e1250c
41286 .xword 0xe1abe16dc0025332
41287 .xword 0xfdca9e293dd9a875
41288 .xword 0x6e18eb04a84ef2b0
41289 .xword 0x277251c42ecaeead
41290 .xword 0x77caf48a26996b18
41291 .xword 0xfbce533da1fa8914
41292 .xword 0x41be4251c4bd6f78
41293 .xword 0xe70ce0cc96ca56b5
41294 .xword 0x5d8798e036a466ee
41295 .xword 0xc66285a156e35d02
41296 .xword 0xccc99f86c3b00925
41297 .xword 0xc004e3b06cfed753
41298 .xword 0x85fc39185242c881
41299_t1_hash_auth_iv:
41300 .xword 0xb5bcd190c21310a0
41301 .xword 0xe9947338012f5e91
41302 .xword 0xbccba6807343b15c
41303 .xword 0xac9eb9b39ec509ee
41304 .xword 0xbe13b4caba591a50
41305 .xword 0xfd2217dc6be5a725
41306 .xword 0x53de9fe8bb5b6e6b
41307 .xword 0x607a6948f05bffac
41308 .xword 0x0201930b3c53ddf5
41309 .xword 0xed4a5f3479250664
41310 .xword 0x4dc6b635d9b908ba
41311 .xword 0x000df4b4846771f8
41312 .xword 0x5ce6c938a59d7fa5
41313 .xword 0xf697e57789104757
41314 .xword 0x132c12e0646491f7
41315 .xword 0x147e9926158f868c
41316 .xword 0xff7f2b7f8c28aee9
41317 .xword 0xd3888489da6ec9d8
41318 .xword 0x79aa68f50a99555e
41319 .xword 0x70167f444de77e89
41320 .xword 0xf0fcea8f73995cb6
41321 .xword 0x1a5b4789c33b185c
41322 .xword 0xcc663bc7f3ee6c26
41323_t1_hash_fas_result:
41324 .xword 0xDEADBEEFDEADBEEF
41325 .xword 0xDEADBEEFDEADBEEF
41326 .xword 0xDEADBEEFDEADBEEF
41327 .xword 0xDEADBEEFDEADBEEF
41328 .xword 0xDEADBEEFDEADBEEF
41329 .xword 0xDEADBEEFDEADBEEF
41330 .xword 0xDEADBEEFDEADBEEF
41331 .xword 0xDEADBEEFDEADBEEF
41332 .xword 0xDEADBEEFDEADBEEF
41333 .xword 0xDEADBEEFDEADBEEF
41334 .xword 0xDEADBEEFDEADBEEF
41335 .xword 0xDEADBEEFDEADBEEF
41336 .xword 0xDEADBEEFDEADBEEF
41337 .xword 0xDEADBEEFDEADBEEF
41338 .xword 0xDEADBEEFDEADBEEF
41339 .xword 0xDEADBEEFDEADBEEF
41340 .xword 0xDEADBEEFDEADBEEF
41341 .xword 0xDEADBEEFDEADBEEF
41342 .xword 0xDEADBEEFDEADBEEF
41343 .xword 0xDEADBEEFDEADBEEF
41344 .xword 0xDEADBEEFDEADBEEF
41345 .xword 0xDEADBEEFDEADBEEF
41346 .xword 0xDEADBEEFDEADBEEF
41347_t1_hmac_key_array:
41348 .xword 0x2bd365cba98ad6db
41349 .xword 0x3a6709aad45e7e92
41350 .xword 0x7dec5343fe004756
41351 .xword 0xfbbe18a9dd84543a
41352 .xword 0xade9d62e9a0707cc
41353 .xword 0xe8357c90c51d0ea3
41354 .xword 0x5343047b7503fb5b
41355 .xword 0x5f2ae553262c7094
41356 .xword 0x647e28591ac088e0
41357 .xword 0x37d419e640592072
41358 .xword 0x54bf27ffd38ed2af
41359 .xword 0x118eef7f7806eb71
41360 .xword 0xa0ea6fbe52b3c166
41361 .xword 0x5a2ecf61a65ecb2d
41362 .xword 0x616a82093282dbb6
41363 .xword 0x4875ff97b2233e72
41364 .xword 0x165fe96b77409992
41365 .xword 0x73487f46db63d6ed
41366 .xword 0x39a5959b9a6cd7da
41367 .xword 0xa3e25bf080479805
41368 .xword 0x408892819960fb83
41369 .xword 0xa6e88ca2e6589c15
41370 .xword 0x38bb7b46e4fb9c59
41371 .xword 0x8113625ade3888e2
41372 .xword 0x263fc22de4a56f42
41373 .xword 0x80aa03b2a1ff781e
41374 .xword 0xa51b5ca0e5bfa540
41375 .xword 0xbbc1a86acf99ab14
41376 .xword 0x33a3ab661aab490c
41377 .xword 0xd03b6ff789003fd3
41378 .xword 0x80f52de9e996e257
41379 .xword 0x8f53eb252492e702
41380 .xword 0x83ad8f9702b8b13c
41381 .xword 0xce829071d1d71063
41382 .xword 0xdae731d781924a07
41383 .xword 0x0ed0e0169068dbcb
41384 .xword 0xdf943a493e814a86
41385 .xword 0x7dae647f92acabd1
41386 .xword 0xb166baddbd8282df
41387 .xword 0xaf166b7d6db3551f
41388 .xword 0x9b06aa8c993e1779
41389 .xword 0xc9c2f63d189c4d54
41390 .xword 0xe86bbf0ed32b325e
41391 .xword 0xed8b28abdcabf49b
41392 .xword 0xe28d6ee2b312544a
41393 .xword 0x260def06ccd182e3
41394 .xword 0xe5628a704dae4c0f
41395 .xword 0xcc2c7356fd96c7b9
41396 .xword 0x64e1b2089a8cc406
41397 .xword 0xbc2fb0622e6870f2
41398 .xword 0xe85fc50403f5471b
41399_t1_hmac_iv_array:
41400 .xword 0xc64f9c7ab72f854f
41401 .xword 0xa8ff55b61f8b2860
41402 .xword 0x64a6c223e3a203c2
41403 .xword 0xc11d4f8bf1efc98c
41404 .xword 0xb6ccb097c3b9a8e5
41405 .xword 0xfbd5cb4d20286c0c
41406 .xword 0x5117d7f2e4cbc4ba
41407 .xword 0x026d884dc92b92a4
41408 .xword 0xfdb94caafddfa191
41409 .xword 0xcd6a94164089ea35
41410 .xword 0xf7a24c9225194667
41411 .xword 0x7d88ca65efa62890
41412 .xword 0x8678ed8f8671d7db
41413 .xword 0xf7f74fcca22b31dc
41414 .xword 0x30d188bf2c6f77ef
41415 .xword 0x2e2093f66629d1a4
41416 .xword 0x973f07c1526a03c9
41417 .xword 0x516383e2bc3dcc6f
41418 .xword 0xabcbec9e5cf995e3
41419 .xword 0xf1ae0431cbd001c4
41420 .xword 0x32f2466298ae23a0
41421 .xword 0x4e60b180455bdd2b
41422 .xword 0x587e1b5dcaccaa9d
41423 .xword 0x5bcb0cc309819e57
41424 .xword 0xfae6dc5f55c15e1d
41425 .xword 0x4a39fb6853b63752
41426 .xword 0xd4e5c3565b25f155
41427 .xword 0x609bfd93df77aa66
41428 .xword 0x500c3dca24600cb7
41429 .xword 0x418985e12d100b15
41430 .xword 0xc5e4c5815ca54043
41431 .xword 0x66e0f5681cb98b0f
41432 .xword 0xa370dedf6a873b51
41433 .xword 0xaf14aefdca413193
41434 .xword 0x2aa84419877092fa
41435 .xword 0x446468ed38e5fb49
41436 .xword 0x5f708b3130ccbb24
41437 .xword 0x51be4da6c2135041
41438 .xword 0xc8d23a0cf3437a51
41439 .xword 0xdc92136202037bf5
41440 .xword 0x2e61c666373554c1
41441 .xword 0x4b7423ce700e39b2
41442 .xword 0xbcc153d47b746fd1
41443 .xword 0xbe87cb036e70c564
41444 .xword 0x306b174848d52449
41445_t1_hmac_alignment_array:
41446 .xword 12
41447 .xword 0
41448 .xword 11
41449 .xword 6
41450 .xword 1
41451 .xword 0
41452 .xword 12
41453 .xword 11
41454 .xword 12
41455 .xword 5
41456 .xword 1
41457 .xword 3
41458 .xword 5
41459 .xword 8
41460 .xword 4
41461 .xword 7
41462 .xword 12
41463 .xword 15
41464 .xword 3
41465 .xword 1
41466 .xword 7
41467 .xword 9
41468 .xword 13
41469 .xword 4
41470 .xword 5
41471 .xword 4
41472 .xword 14
41473 .xword 1
41474 .xword 0
41475 .xword 0
41476 .xword 11
41477 .xword 14
41478 .xword 6
41479 .xword 5
41480 .xword 13
41481 .xword 15
41482 .xword 8
41483 .xword 5
41484 .xword 4
41485 .xword 0
41486 .xword 15
41487 .xword 8
41488 .xword 3
41489 .xword 4
41490 .xword 8
41491 .xword 13
41492 .xword 1
41493 .xword 11
41494 .xword 15
41495 .xword 10
41496 .xword 4
41497 .xword 1
41498 .xword 2
41499 .xword 5
41500 .xword 12
41501 .xword 9
41502 .xword 10
41503 .xword 9
41504 .xword 15
41505 .xword 14
41506 .xword 11
41507 .xword 9
41508 .xword 4
41509 .xword 13
41510 .xword 5
41511 .xword 14
41512 .xword 13
41513 .xword 1
41514 .xword 8
41515 .xword 7
41516 .xword 14
41517 .xword 12
41518 .xword 14
41519 .xword 12
41520 .xword 5
41521 .xword 2
41522 .xword 3
41523 .xword 15
41524 .xword 3
41525 .xword 8
41526 .xword 5
41527 .xword 2
41528 .xword 2
41529 .xword 9
41530 .xword 2
41531 .xword 9
41532 .xword 10
41533 .xword 7
41534 .xword 9
41535 .xword 0
41536 .xword 2
41537 .xword 6
41538 .xword 9
41539 .xword 9
41540 .xword 0
41541 .xword 10
41542 .xword 15
41543 .xword 11
41544 .xword 9
41545 .xword 14
41546 .xword 3
41547 .xword 0
41548 .xword 6
41549 .xword 3
41550 .xword 15
41551_t1_hmac_src:
41552 .xword 0xd924c23595e8ff13
41553 .xword 0x1ce350d3fa2a0bb9
41554 .xword 0xa0a627297254da69
41555 .xword 0x50fe0d0019391fee
41556 .xword 0x02a1fd4519c9d097
41557 .xword 0x295e84f46d6f4299
41558 .xword 0xb8b78be66bace97f
41559 .xword 0x7cd038f68e6f8975
41560 .xword 0xd1015f835235fd20
41561 .xword 0x9485408ecd7f3077
41562 .xword 0x16e25805f555cf97
41563 .xword 0x49e005649f9b7914
41564 .xword 0xe858e25b1b31b875
41565 .xword 0xbec76f3e6890fb28
41566 .xword 0x55fa8b6a299dda74
41567 .xword 0xad839874abd72c6c
41568 .xword 0x8b16dc2f18811273
41569 .xword 0xb2a2e1fc93b739f0
41570 .xword 0x52b7e33bb184d71f
41571 .xword 0x0fc456f42d75dc3e
41572 .xword 0x4a989560eac27f5c
41573 .xword 0xfc804c66bdc18816
41574 .xword 0xf9851c194af47080
41575 .xword 0x70ee8295e1a0fc0c
41576 .xword 0xf34c67eae242f7b2
41577 .xword 0xe4305c5baa822681
41578 .xword 0x52b18524699c8dec
41579 .xword 0x837a57a7c5552a1b
41580 .xword 0x853e633a6da96d76
41581 .xword 0x7df858fa6e445519
41582 .xword 0x81c065104d4afdce
41583 .xword 0xb7dae05611024aa9
41584 .xword 0xda4c2b7f128fd56f
41585 .xword 0x7feaf6bdd29b6df4
41586 .xword 0xc195f35199b73a13
41587 .xword 0xb6890e6bcaeb7ad3
41588 .xword 0xb63147e8f0e7f6e4
41589 .xword 0xc9dffeeadb8da89a
41590 .xword 0xa744c2194082a81e
41591 .xword 0x6ae1ce54a05f3705
41592 .xword 0x42b72e22031ff890
41593 .xword 0x4935b53dc0110b78
41594 .xword 0xd97bd1cfc8a4c733
41595 .xword 0x5332a3dc38bf700e
41596 .xword 0x8b104fb12e8550cd
41597 .xword 0x918cb86a934d15ff
41598 .xword 0x7fe0533a204115c4
41599 .xword 0x2d628dd2f071656b
41600 .xword 0x7442af0db302c9f6
41601 .xword 0x44f8abd126d19774
41602 .xword 0x9404e66ab2cebdeb
41603 .xword 0x56cb124667f236b4
41604 .xword 0x03d1702305f7a4ab
41605 .xword 0x045dfcbad52dcb1e
41606 .xword 0xae7f7a24620c6a84
41607 .xword 0xaca899abdae9bffc
41608 .xword 0x3eb14ddee81b9c6b
41609 .xword 0xf57f03c796c827cb
41610 .xword 0xb5c2cf92cb738a04
41611 .xword 0xb316909e961f9ff5
41612 .xword 0xfc0810bcf70035e0
41613 .xword 0x7adcfdd9877bffd6
41614 .xword 0x8da61ff47184839d
41615 .xword 0x4a47cafad5fdb605
41616 .xword 0x8c87291adfeab680
41617 .xword 0xac68a6a26126a804
41618 .xword 0x820b8463dec68e23
41619 .xword 0x2da8934916f06d5a
41620 .xword 0xb99d55c4ff055a01
41621 .xword 0x3d2a353fbd659e9d
41622 .xword 0x73e3cad0cd23f5a6
41623 .xword 0xeb9f0df83f90156d
41624 .xword 0x53da7f53856ca522
41625 .xword 0xadfb800b8c0de032
41626 .xword 0xb2b70ae79fac3e73
41627 .xword 0x8fb98703bf780451
41628 .xword 0x881dad5f0feb9c11
41629 .xword 0x5ce6855f8ed23a9d
41630 .xword 0x2ec0a9ce895941c5
41631 .xword 0x635e089cb7c62868
41632 .xword 0xbbd06835ffccfefa
41633 .xword 0x7e6272f5dc6666e9
41634 .xword 0x3b111ba26bac56d7
41635 .xword 0x559dbd0dad9c9de1
41636 .xword 0x3a6cbe7913e39656
41637 .xword 0xdf3167cc32388c20
41638 .xword 0xb9ef88c22f69ef1f
41639 .xword 0xe4e505ed3a6aedca
41640 .xword 0x14869d20bffcbd58
41641 .xword 0x549ebb0791229cd0
41642 .xword 0x647e94d1b91d42ee
41643 .xword 0xc263180ae93a693f
41644 .xword 0xe4fb4dd9ec9d97e4
41645 .xword 0x0ee0994d87fe6109
41646 .xword 0x10982202656a8f99
41647 .xword 0xc16befd9f020e415
41648 .xword 0xb24eb0752b58408c
41649 .xword 0x4d2f8e00881b1145
41650 .xword 0xed079e34a1e06bbf
41651 .xword 0x272466de484c7c6d
41652 .xword 0x91ea38a7a9ca46bf
41653 .xword 0xfa07741ceb6e4901
41654 .xword 0xf2eb3b41202568ca
41655 .xword 0x7079e08717473ef8
41656 .xword 0x933ba22b79f13cc2
41657 .xword 0x42fa9065b2a9f1af
41658 .xword 0x063b705287d265be
41659 .xword 0x0da8f8535eecf5c9
41660 .xword 0x65a2637ee83569b5
41661 .xword 0xd30484931c3db125
41662 .xword 0xd4066bbdcf51b653
41663 .xword 0x19e043e0f73a531b
41664 .xword 0x0fc5e2841f6fb635
41665 .xword 0x769468cf945c5ecd
41666 .xword 0x982550438c52273d
41667 .xword 0xb8ceff7740acb07a
41668 .xword 0x2c0868ac0e950826
41669 .xword 0xe143563783722755
41670 .xword 0xfea493ca0e20c92a
41671 .xword 0xbf0822e063dd17d1
41672 .xword 0xa24cde67a0812e6b
41673 .xword 0x44273de2081201cf
41674 .xword 0x58f3538ae333d042
41675 .xword 0x96a2df243ad51981
41676 .xword 0x8c468f4918015c25
41677 .xword 0x2286ee73c7a06614
41678 .xword 0x07e8f516f47b176f
41679 .xword 0x9969d3610aa25152
41680 .xword 0x84fb71aa0d1f94b6
41681 .xword 0x211201506ec2f15d
41682 .xword 0x8180d23b1c910c91
41683 .xword 0xa954d161cb2d3ce7
41684 .xword 0x0dbd49c731fcdf11
41685 .xword 0xdc8506db0a284ecf
41686 .xword 0x3f0bfc97240a8f9f
41687 .xword 0x1dcc81bfad9a5e5e
41688 .xword 0xbda304f2dfe4ae8f
41689 .xword 0x3c5009d7684bec03
41690 .xword 0xd60a65aeef2879ba
41691 .xword 0x322d2f44c0600690
41692 .xword 0x5ab35d35e09c5fca
41693 .xword 0x216bb4753cc18743
41694 .xword 0xa2c9c713179cd41a
41695 .xword 0xcb0862fa8f446aa9
41696 .xword 0x6ce401901df7e272
41697 .xword 0xd24b2ce6d299149e
41698 .xword 0xd0c5f3b800eb320d
41699 .xword 0x1ae39ce028330e36
41700 .xword 0xffd3f9889048dbb7
41701 .xword 0xda98fba0f2e449ab
41702 .xword 0xe9a166672f77b3ce
41703 .xword 0xf8c7f665fbd6283b
41704 .xword 0x359f9b333d32adfb
41705 .xword 0x1be443cf3bbf0b5c
41706 .xword 0xb0510c0034ae7921
41707 .xword 0x3bb389689859ae00
41708 .xword 0x300253575a1ac8fe
41709 .xword 0x3a65be4890e779fa
41710 .xword 0xb9ae9162650a1361
41711 .xword 0x62fa40682fdb28c6
41712 .xword 0xe983917fcc9f867d
41713 .xword 0xe340593f8cece410
41714 .xword 0xaa270b16ff50d461
41715 .xword 0x7fb38f4df7edbe81
41716 .xword 0x9ce78bd569a88af9
41717 .xword 0x7092059e8965c1d0
41718 .xword 0xaa933044e2b3abe0
41719 .xword 0x5bbc8564958b8782
41720 .xword 0x7e8b8bae6d33650f
41721 .xword 0xc0552e7b79747728
41722 .xword 0xc85608196cdd498e
41723 .xword 0x678947ca06f04ef9
41724 .xword 0xe7a820c7156d4f8a
41725 .xword 0x325abfe8567eaacf
41726 .xword 0x84b3fc833f4be61e
41727 .xword 0x69a008dfa898f4be
41728 .xword 0x11cf8c6aff2228c5
41729 .xword 0xd52a699fe72208ff
41730 .xword 0x15c540ed94613c62
41731 .xword 0xdcff6f2f348732d2
41732 .xword 0xb3fd6eeed07914e2
41733 .xword 0xe5cdef79aa3cba42
41734 .xword 0x2c80c89c473b5e43
41735 .xword 0xa089a11ce14e636a
41736 .xword 0xf28665e0a8184fa6
41737 .xword 0x09322c305bbc0a3d
41738 .xword 0x1e306e1fb417a17b
41739 .xword 0xd4d196579332fa55
41740 .xword 0xe1c884a20ab4a4f6
41741 .xword 0x9ee9b57f726e1740
41742 .xword 0x2c93cbcd998d027c
41743 .xword 0xd21bc8a9a470d839
41744 .xword 0x4662e9af3a8abd22
41745 .xword 0x45a68d393c666c77
41746 .xword 0xc9d3cda4020b4efd
41747 .xword 0xef2ab27b70971764
41748 .xword 0x6e0779a28898992c
41749 .xword 0x1cb260c70241a4b9
41750 .xword 0xa40884e843ad65ec
41751 .xword 0x61613f74b1d17e4e
41752 .xword 0x8695f74e6667eeed
41753 .xword 0x05561708fd916b43
41754 .xword 0xf87f9b8f8adbf15c
41755 .xword 0x903c39e782e31336
41756 .xword 0xeb0488f12f1be151
41757 .xword 0x82d0f472288fe68b
41758 .xword 0x94512a59d99ab4fc
41759 .xword 0x7cdac741d4157496
41760 .xword 0x145188251642195a
41761 .xword 0x217ee70adfa8d4b0
41762 .xword 0xc52de9a81d1c3151
41763 .xword 0xd634a4654d363320
41764 .xword 0x7386a2ec0d837f59
41765 .xword 0x1889f91ba9fa6ef1
41766 .xword 0x4f64982ae3271561
41767 .xword 0xbc1b20305743912c
41768 .xword 0x580a1930a01ed645
41769 .xword 0x506980b46bd30e9a
41770 .xword 0x7e7e0783fb329ac2
41771 .xword 0x0d6fd9da19e6b30e
41772 .xword 0xb4fece658d59e610
41773 .xword 0x5be00746eeb04423
41774 .xword 0xb9d605c260ca3a89
41775 .xword 0x05e35707cc0b5789
41776 .xword 0xaec3bfb59a7dc157
41777 .xword 0xdaa8a8765041e4f1
41778 .xword 0x7e5d52f2ad06655d
41779 .xword 0x040484be3a858fb6
41780 .xword 0x33faf5bfb8645afb
41781 .xword 0xeda161a15f0de721
41782 .xword 0x1dd96f225db416d9
41783 .xword 0xf6b8ce8a4e129c5e
41784 .xword 0x8cc9d25bce0ff275
41785 .xword 0x0234731e4972fb6e
41786 .xword 0xa58c2845e34f439a
41787 .xword 0xf23ac3999e23df14
41788 .xword 0xe55e32038c4027c0
41789 .xword 0x147b103854f22a13
41790 .xword 0xbfed43494b01179c
41791 .xword 0x4f497ad5d43e7b8f
41792 .xword 0x72f1c08079781871
41793 .xword 0x6569d7b3f8e21971
41794 .xword 0x9f38f274aa834276
41795 .xword 0x42ebfb677fccd7d6
41796 .xword 0x0adf8cda55ff0ff3
41797 .xword 0x5d8c8d6aefd35be2
41798 .xword 0xf8ece8543e0eec54
41799 .xword 0x64199d4a0a39c05d
41800 .xword 0xc60db2b9014aab78
41801 .xword 0x2ae6a01eb50df609
41802 .xword 0xe365ef189dc03bea
41803 .xword 0xaa4d635bad6177ca
41804 .xword 0x2be43c0b008a26a8
41805 .xword 0x6e1a2e6ea94bed3e
41806 .xword 0x57e92223f391d7f2
41807 .xword 0x5d6f2c9a948981ed
41808 .xword 0xb10d33a476b6711b
41809 .xword 0x0cd1b9d06a64c56b
41810 .xword 0x9a6fa0f3c49f2c9e
41811 .xword 0x663505102202d113
41812 .xword 0x0d36c18653462d17
41813 .xword 0x270ddf7bedc7d67f
41814 .xword 0x26c74845046f95f4
41815 .xword 0xc12d7bf1eed67501
41816 .xword 0x019f990349d70e17
41817 .xword 0xa2161e2290c8af4f
41818 .xword 0x023d19b50a919bc5
41819 .xword 0x510ef19606b2d901
41820 .xword 0x3c9765fc079aab30
41821 .xword 0xfb61b2b24c284fe2
41822 .xword 0x01d67cf4372c165d
41823 .xword 0xda9a7593926df493
41824 .xword 0x62166afbc7c5775e
41825 .xword 0x8efc3bb9b8f9d385
41826 .xword 0xfe8fa7a49ee5fc48
41827_t1_hmac_dest:
41828 .xword 0xDEADBEEFDEADBEEF
41829 .xword 0xDEADBEEFDEADBEEF
41830 .xword 0xDEADBEEFDEADBEEF
41831 .xword 0xDEADBEEFDEADBEEF
41832 .xword 0xDEADBEEFDEADBEEF
41833 .xword 0xDEADBEEFDEADBEEF
41834 .xword 0xDEADBEEFDEADBEEF
41835 .xword 0xDEADBEEFDEADBEEF
41836 .xword 0xDEADBEEFDEADBEEF
41837 .xword 0xDEADBEEFDEADBEEF
41838 .xword 0xDEADBEEFDEADBEEF
41839 .xword 0xDEADBEEFDEADBEEF
41840 .xword 0xDEADBEEFDEADBEEF
41841 .xword 0xDEADBEEFDEADBEEF
41842 .xword 0xDEADBEEFDEADBEEF
41843 .xword 0xDEADBEEFDEADBEEF
41844 .xword 0xDEADBEEFDEADBEEF
41845 .xword 0xDEADBEEFDEADBEEF
41846 .xword 0xDEADBEEFDEADBEEF
41847 .xword 0xDEADBEEFDEADBEEF
41848 .xword 0xDEADBEEFDEADBEEF
41849 .xword 0xDEADBEEFDEADBEEF
41850 .xword 0xDEADBEEFDEADBEEF
41851 .xword 0xDEADBEEFDEADBEEF
41852 .xword 0xDEADBEEFDEADBEEF
41853 .xword 0xDEADBEEFDEADBEEF
41854 .xword 0xDEADBEEFDEADBEEF
41855 .xword 0xDEADBEEFDEADBEEF
41856 .xword 0xDEADBEEFDEADBEEF
41857 .xword 0xDEADBEEFDEADBEEF
41858 .xword 0xDEADBEEFDEADBEEF
41859 .xword 0xDEADBEEFDEADBEEF
41860 .xword 0xDEADBEEFDEADBEEF
41861 .xword 0xDEADBEEFDEADBEEF
41862 .xword 0xDEADBEEFDEADBEEF
41863 .xword 0xDEADBEEFDEADBEEF
41864 .xword 0xDEADBEEFDEADBEEF
41865 .xword 0xDEADBEEFDEADBEEF
41866 .xword 0xDEADBEEFDEADBEEF
41867 .xword 0xDEADBEEFDEADBEEF
41868 .xword 0xDEADBEEFDEADBEEF
41869 .xword 0xDEADBEEFDEADBEEF
41870 .xword 0xDEADBEEFDEADBEEF
41871 .xword 0xDEADBEEFDEADBEEF
41872 .xword 0xDEADBEEFDEADBEEF
41873 .xword 0xDEADBEEFDEADBEEF
41874 .xword 0xDEADBEEFDEADBEEF
41875 .xword 0xDEADBEEFDEADBEEF
41876 .xword 0xDEADBEEFDEADBEEF
41877 .xword 0xDEADBEEFDEADBEEF
41878 .xword 0xDEADBEEFDEADBEEF
41879 .xword 0xDEADBEEFDEADBEEF
41880 .xword 0xDEADBEEFDEADBEEF
41881 .xword 0xDEADBEEFDEADBEEF
41882 .xword 0xDEADBEEFDEADBEEF
41883 .xword 0xDEADBEEFDEADBEEF
41884 .xword 0xDEADBEEFDEADBEEF
41885 .xword 0xDEADBEEFDEADBEEF
41886 .xword 0xDEADBEEFDEADBEEF
41887 .xword 0xDEADBEEFDEADBEEF
41888 .xword 0xDEADBEEFDEADBEEF
41889 .xword 0xDEADBEEFDEADBEEF
41890 .xword 0xDEADBEEFDEADBEEF
41891 .xword 0xDEADBEEFDEADBEEF
41892 .xword 0xDEADBEEFDEADBEEF
41893 .xword 0xDEADBEEFDEADBEEF
41894 .xword 0xDEADBEEFDEADBEEF
41895 .xword 0xDEADBEEFDEADBEEF
41896 .xword 0xDEADBEEFDEADBEEF
41897 .xword 0xDEADBEEFDEADBEEF
41898 .xword 0xDEADBEEFDEADBEEF
41899 .xword 0xDEADBEEFDEADBEEF
41900 .xword 0xDEADBEEFDEADBEEF
41901 .xword 0xDEADBEEFDEADBEEF
41902 .xword 0xDEADBEEFDEADBEEF
41903 .xword 0xDEADBEEFDEADBEEF
41904 .xword 0xDEADBEEFDEADBEEF
41905 .xword 0xDEADBEEFDEADBEEF
41906 .xword 0xDEADBEEFDEADBEEF
41907 .xword 0xDEADBEEFDEADBEEF
41908 .xword 0xDEADBEEFDEADBEEF
41909 .xword 0xDEADBEEFDEADBEEF
41910 .xword 0xDEADBEEFDEADBEEF
41911 .xword 0xDEADBEEFDEADBEEF
41912 .xword 0xDEADBEEFDEADBEEF
41913 .xword 0xDEADBEEFDEADBEEF
41914 .xword 0xDEADBEEFDEADBEEF
41915 .xword 0xDEADBEEFDEADBEEF
41916 .xword 0xDEADBEEFDEADBEEF
41917 .xword 0xDEADBEEFDEADBEEF
41918 .xword 0xDEADBEEFDEADBEEF
41919 .xword 0xDEADBEEFDEADBEEF
41920 .xword 0xDEADBEEFDEADBEEF
41921 .xword 0xDEADBEEFDEADBEEF
41922 .xword 0xDEADBEEFDEADBEEF
41923 .xword 0xDEADBEEFDEADBEEF
41924 .xword 0xDEADBEEFDEADBEEF
41925 .xword 0xDEADBEEFDEADBEEF
41926 .xword 0xDEADBEEFDEADBEEF
41927 .xword 0xDEADBEEFDEADBEEF
41928 .xword 0xDEADBEEFDEADBEEF
41929 .xword 0xDEADBEEFDEADBEEF
41930 .xword 0xDEADBEEFDEADBEEF
41931 .xword 0xDEADBEEFDEADBEEF
41932 .xword 0xDEADBEEFDEADBEEF
41933 .xword 0xDEADBEEFDEADBEEF
41934 .xword 0xDEADBEEFDEADBEEF
41935 .xword 0xDEADBEEFDEADBEEF
41936 .xword 0xDEADBEEFDEADBEEF
41937 .xword 0xDEADBEEFDEADBEEF
41938 .xword 0xDEADBEEFDEADBEEF
41939 .xword 0xDEADBEEFDEADBEEF
41940 .xword 0xDEADBEEFDEADBEEF
41941 .xword 0xDEADBEEFDEADBEEF
41942 .xword 0xDEADBEEFDEADBEEF
41943 .xword 0xDEADBEEFDEADBEEF
41944 .xword 0xDEADBEEFDEADBEEF
41945 .xword 0xDEADBEEFDEADBEEF
41946 .xword 0xDEADBEEFDEADBEEF
41947 .xword 0xDEADBEEFDEADBEEF
41948 .xword 0xDEADBEEFDEADBEEF
41949 .xword 0xDEADBEEFDEADBEEF
41950 .xword 0xDEADBEEFDEADBEEF
41951 .xword 0xDEADBEEFDEADBEEF
41952 .xword 0xDEADBEEFDEADBEEF
41953 .xword 0xDEADBEEFDEADBEEF
41954 .xword 0xDEADBEEFDEADBEEF
41955 .xword 0xDEADBEEFDEADBEEF
41956 .xword 0xDEADBEEFDEADBEEF
41957 .xword 0xDEADBEEFDEADBEEF
41958 .xword 0xDEADBEEFDEADBEEF
41959 .xword 0xDEADBEEFDEADBEEF
41960 .xword 0xDEADBEEFDEADBEEF
41961 .xword 0xDEADBEEFDEADBEEF
41962 .xword 0xDEADBEEFDEADBEEF
41963 .xword 0xDEADBEEFDEADBEEF
41964 .xword 0xDEADBEEFDEADBEEF
41965 .xword 0xDEADBEEFDEADBEEF
41966 .xword 0xDEADBEEFDEADBEEF
41967 .xword 0xDEADBEEFDEADBEEF
41968 .xword 0xDEADBEEFDEADBEEF
41969 .xword 0xDEADBEEFDEADBEEF
41970 .xword 0xDEADBEEFDEADBEEF
41971 .xword 0xDEADBEEFDEADBEEF
41972 .xword 0xDEADBEEFDEADBEEF
41973 .xword 0xDEADBEEFDEADBEEF
41974 .xword 0xDEADBEEFDEADBEEF
41975 .xword 0xDEADBEEFDEADBEEF
41976 .xword 0xDEADBEEFDEADBEEF
41977 .xword 0xDEADBEEFDEADBEEF
41978 .xword 0xDEADBEEFDEADBEEF
41979 .xword 0xDEADBEEFDEADBEEF
41980 .xword 0xDEADBEEFDEADBEEF
41981 .xword 0xDEADBEEFDEADBEEF
41982 .xword 0xDEADBEEFDEADBEEF
41983 .xword 0xDEADBEEFDEADBEEF
41984 .xword 0xDEADBEEFDEADBEEF
41985 .xword 0xDEADBEEFDEADBEEF
41986 .xword 0xDEADBEEFDEADBEEF
41987 .xword 0xDEADBEEFDEADBEEF
41988 .xword 0xDEADBEEFDEADBEEF
41989 .xword 0xDEADBEEFDEADBEEF
41990 .xword 0xDEADBEEFDEADBEEF
41991 .xword 0xDEADBEEFDEADBEEF
41992 .xword 0xDEADBEEFDEADBEEF
41993 .xword 0xDEADBEEFDEADBEEF
41994 .xword 0xDEADBEEFDEADBEEF
41995 .xword 0xDEADBEEFDEADBEEF
41996 .xword 0xDEADBEEFDEADBEEF
41997 .xword 0xDEADBEEFDEADBEEF
41998 .xword 0xDEADBEEFDEADBEEF
41999 .xword 0xDEADBEEFDEADBEEF
42000 .xword 0xDEADBEEFDEADBEEF
42001 .xword 0xDEADBEEFDEADBEEF
42002 .xword 0xDEADBEEFDEADBEEF
42003 .xword 0xDEADBEEFDEADBEEF
42004 .xword 0xDEADBEEFDEADBEEF
42005 .xword 0xDEADBEEFDEADBEEF
42006 .xword 0xDEADBEEFDEADBEEF
42007 .xword 0xDEADBEEFDEADBEEF
42008 .xword 0xDEADBEEFDEADBEEF
42009 .xword 0xDEADBEEFDEADBEEF
42010 .xword 0xDEADBEEFDEADBEEF
42011 .xword 0xDEADBEEFDEADBEEF
42012 .xword 0xDEADBEEFDEADBEEF
42013 .xword 0xDEADBEEFDEADBEEF
42014 .xword 0xDEADBEEFDEADBEEF
42015 .xword 0xDEADBEEFDEADBEEF
42016 .xword 0xDEADBEEFDEADBEEF
42017 .xword 0xDEADBEEFDEADBEEF
42018 .xword 0xDEADBEEFDEADBEEF
42019 .xword 0xDEADBEEFDEADBEEF
42020 .xword 0xDEADBEEFDEADBEEF
42021 .xword 0xDEADBEEFDEADBEEF
42022 .xword 0xDEADBEEFDEADBEEF
42023 .xword 0xDEADBEEFDEADBEEF
42024 .xword 0xDEADBEEFDEADBEEF
42025 .xword 0xDEADBEEFDEADBEEF
42026 .xword 0xDEADBEEFDEADBEEF
42027 .xword 0xDEADBEEFDEADBEEF
42028 .xword 0xDEADBEEFDEADBEEF
42029 .xword 0xDEADBEEFDEADBEEF
42030 .xword 0xDEADBEEFDEADBEEF
42031 .xword 0xDEADBEEFDEADBEEF
42032 .xword 0xDEADBEEFDEADBEEF
42033 .xword 0xDEADBEEFDEADBEEF
42034 .xword 0xDEADBEEFDEADBEEF
42035 .xword 0xDEADBEEFDEADBEEF
42036 .xword 0xDEADBEEFDEADBEEF
42037 .xword 0xDEADBEEFDEADBEEF
42038 .xword 0xDEADBEEFDEADBEEF
42039 .xword 0xDEADBEEFDEADBEEF
42040 .xword 0xDEADBEEFDEADBEEF
42041 .xword 0xDEADBEEFDEADBEEF
42042 .xword 0xDEADBEEFDEADBEEF
42043 .xword 0xDEADBEEFDEADBEEF
42044 .xword 0xDEADBEEFDEADBEEF
42045 .xword 0xDEADBEEFDEADBEEF
42046 .xword 0xDEADBEEFDEADBEEF
42047 .xword 0xDEADBEEFDEADBEEF
42048 .xword 0xDEADBEEFDEADBEEF
42049 .xword 0xDEADBEEFDEADBEEF
42050 .xword 0xDEADBEEFDEADBEEF
42051 .xword 0xDEADBEEFDEADBEEF
42052 .xword 0xDEADBEEFDEADBEEF
42053 .xword 0xDEADBEEFDEADBEEF
42054 .xword 0xDEADBEEFDEADBEEF
42055 .xword 0xDEADBEEFDEADBEEF
42056 .xword 0xDEADBEEFDEADBEEF
42057 .xword 0xDEADBEEFDEADBEEF
42058 .xword 0xDEADBEEFDEADBEEF
42059 .xword 0xDEADBEEFDEADBEEF
42060 .xword 0xDEADBEEFDEADBEEF
42061 .xword 0xDEADBEEFDEADBEEF
42062 .xword 0xDEADBEEFDEADBEEF
42063 .xword 0xDEADBEEFDEADBEEF
42064 .xword 0xDEADBEEFDEADBEEF
42065 .xword 0xDEADBEEFDEADBEEF
42066 .xword 0xDEADBEEFDEADBEEF
42067 .xword 0xDEADBEEFDEADBEEF
42068 .xword 0xDEADBEEFDEADBEEF
42069 .xword 0xDEADBEEFDEADBEEF
42070 .xword 0xDEADBEEFDEADBEEF
42071 .xword 0xDEADBEEFDEADBEEF
42072 .xword 0xDEADBEEFDEADBEEF
42073 .xword 0xDEADBEEFDEADBEEF
42074 .xword 0xDEADBEEFDEADBEEF
42075 .xword 0xDEADBEEFDEADBEEF
42076 .xword 0xDEADBEEFDEADBEEF
42077 .xword 0xDEADBEEFDEADBEEF
42078 .xword 0xDEADBEEFDEADBEEF
42079 .xword 0xDEADBEEFDEADBEEF
42080 .xword 0xDEADBEEFDEADBEEF
42081 .xword 0xDEADBEEFDEADBEEF
42082 .xword 0xDEADBEEFDEADBEEF
42083 .xword 0xDEADBEEFDEADBEEF
42084 .xword 0xDEADBEEFDEADBEEF
42085 .xword 0xDEADBEEFDEADBEEF
42086 .xword 0xDEADBEEFDEADBEEF
42087 .xword 0xDEADBEEFDEADBEEF
42088 .xword 0xDEADBEEFDEADBEEF
42089 .xword 0xDEADBEEFDEADBEEF
42090 .xword 0xDEADBEEFDEADBEEF
42091 .xword 0xDEADBEEFDEADBEEF
42092 .xword 0xDEADBEEFDEADBEEF
42093 .xword 0xDEADBEEFDEADBEEF
42094 .xword 0xDEADBEEFDEADBEEF
42095 .xword 0xDEADBEEFDEADBEEF
42096 .xword 0xDEADBEEFDEADBEEF
42097 .xword 0xDEADBEEFDEADBEEF
42098 .xword 0xDEADBEEFDEADBEEF
42099 .xword 0xDEADBEEFDEADBEEF
42100 .xword 0xDEADBEEFDEADBEEF
42101 .xword 0xDEADBEEFDEADBEEF
42102 .xword 0xDEADBEEFDEADBEEF
42103_t1_hmac_auth_key:
42104 .xword 0x13558e558de7516f
42105 .xword 0xc252d04907270c98
42106 .xword 0x003afdcb9e4f1657
42107 .xword 0x9d1cfb13967ab232
42108 .xword 0xf19ed7a49d9fbc3d
42109 .xword 0xb399b80d4e9858a6
42110 .xword 0xa3329cf5403e40b1
42111 .xword 0x657af308f04dd440
42112 .xword 0xe1c22de45ed586ce
42113 .xword 0xbb7da520a28d0e1b
42114 .xword 0x56cc477496458061
42115 .xword 0x9f5b3180ff3ba90c
42116 .xword 0x0eeeb013f1441e5a
42117 .xword 0xd44d49ee14f86be1
42118 .xword 0x386d1e6ca7f4ab56
42119 .xword 0xfb79ed2107ef7dda
42120 .xword 0xa64302e6ce3f52d9
42121 .xword 0x6836049883b94a74
42122 .xword 0xd9099eb2caaf0f67
42123 .xword 0x8008b2679e27b6a8
42124 .xword 0x9ef0622aa6594555
42125 .xword 0x2f795b425a411f05
42126 .xword 0x7ab7196e2eba7b5d
42127_t1_hmac_auth_iv:
42128 .xword 0x4be15b1e00803161
42129 .xword 0xfe8ddb99b022dfbb
42130 .xword 0x80eed41bed545488
42131 .xword 0xcc5bde0c9a9e3504
42132 .xword 0x6decdb8fb12e15aa
42133 .xword 0xd6bafdf7f46fec69
42134 .xword 0x61965d2a994bd6a5
42135 .xword 0x0147cc9b5f345232
42136 .xword 0x4faab73417545e0b
42137 .xword 0x2963af3d4d76cac4
42138 .xword 0x4851f4c4e322efb8
42139 .xword 0x0a21a6d7af0b1080
42140 .xword 0xb62df6630ed409b7
42141 .xword 0x5285cca046c49808
42142 .xword 0x7dc5a77eb2debf5f
42143 .xword 0xfc858fcc04182fa3
42144 .xword 0x7faffd5be7a16791
42145 .xword 0x86abd7da32d5850c
42146 .xword 0xd1d922cce45a5297
42147 .xword 0x3894faf90049f026
42148 .xword 0x93fd1a639efabb43
42149 .xword 0xfb7901982f05e725
42150 .xword 0xf89985dd07dd08bb
42151_t1_hmac_fas_result:
42152 .xword 0xDEADBEEFDEADBEEF
42153 .xword 0xDEADBEEFDEADBEEF
42154 .xword 0xDEADBEEFDEADBEEF
42155 .xword 0xDEADBEEFDEADBEEF
42156 .xword 0xDEADBEEFDEADBEEF
42157 .xword 0xDEADBEEFDEADBEEF
42158 .xword 0xDEADBEEFDEADBEEF
42159 .xword 0xDEADBEEFDEADBEEF
42160 .xword 0xDEADBEEFDEADBEEF
42161 .xword 0xDEADBEEFDEADBEEF
42162 .xword 0xDEADBEEFDEADBEEF
42163 .xword 0xDEADBEEFDEADBEEF
42164 .xword 0xDEADBEEFDEADBEEF
42165 .xword 0xDEADBEEFDEADBEEF
42166 .xword 0xDEADBEEFDEADBEEF
42167 .xword 0xDEADBEEFDEADBEEF
42168 .xword 0xDEADBEEFDEADBEEF
42169 .xword 0xDEADBEEFDEADBEEF
42170 .xword 0xDEADBEEFDEADBEEF
42171 .xword 0xDEADBEEFDEADBEEF
42172 .xword 0xDEADBEEFDEADBEEF
42173 .xword 0xDEADBEEFDEADBEEF
42174 .xword 0xDEADBEEFDEADBEEF
42175_t1_rc4_key_array:
42176 .xword 0x2804f63357f3a9d1
42177 .xword 0x6bbfd36cc1599e96
42178 .xword 0x91cc578122571872
42179 .xword 0x08f5b95f6017c948
42180 .xword 0xeb83776784dd59e2
42181 .xword 0x5d92462f1b5fadc1
42182 .xword 0x68cc88b2d8e1eeba
42183 .xword 0x670d59e526d30f7c
42184 .xword 0x14a29361a43e9512
42185 .xword 0x4ac32c6168a53517
42186 .xword 0x84799602fe41e11b
42187 .xword 0xabbdc20b7b6f3554
42188 .xword 0x18065a44d9d0867b
42189 .xword 0xd7ab5462943c8b31
42190 .xword 0xb228752d27c7baea
42191 .xword 0x284da46d9b484325
42192 .xword 0x0c3d8237488fc3df
42193 .xword 0x419799599a405b00
42194 .xword 0xf4dc28dc7012479d
42195 .xword 0x41cfe96fd387d84a
42196 .xword 0x0ad79eaa895d453a
42197 .xword 0xc911ed2b261ccf79
42198 .xword 0x6de3b54976bda38d
42199 .xword 0x560fae6e1bbe2888
42200 .xword 0x72a19f084f4fa614
42201 .xword 0xdeac11057b562da8
42202 .xword 0x7d6df4176bee0651
42203 .xword 0x93b208f5e4b61523
42204 .xword 0x0170d05751f19639
42205 .xword 0xfd36d102bec47766
42206 .xword 0x2753037e5b685cfb
42207 .xword 0xa53db759d0d98485
42208 .xword 0x780fb3db215692f3
42209 .xword 0x8eda00c15c7c1d05
42210 .xword 0x884a37d52f56e7ff
42211 .xword 0x7daf62f9f7b3cd01
42212 .xword 0xaa8fd15dbc27a314
42213 .xword 0x4597d4b652a8d295
42214 .xword 0x6dc7b0edd06954db
42215 .xword 0xe4d14317a330a8a9
42216 .xword 0x787675f43cf52b65
42217 .xword 0xbdb4832a6b99650a
42218 .xword 0x6c0ff8835ede380c
42219 .xword 0x1fdccf5d74f18745
42220 .xword 0x957559d7251969c2
42221 .xword 0xb4b07cdc855b8db0
42222 .xword 0x59c97ff5ced04126
42223 .xword 0xc312d4733c05b9e1
42224 .xword 0x2cb13db5ac22f87c
42225 .xword 0x9c908e9fa8e2b620
42226 .xword 0xd95412e2b5fa283f
42227_t1_rc4_iv_array:
42228 .xword 0x78d95b2a80963c2d
42229 .xword 0xe88f2e1df02696b0
42230 .xword 0xde709e7ba4c7365f
42231 .xword 0x94e0eab2a56c97c5
42232 .xword 0xcc0fd33f09c64780
42233 .xword 0xfcbcb03f44ff5987
42234 .xword 0x2de342cc0634e7fe
42235 .xword 0xe48b6bfbdc3a9fd2
42236 .xword 0x8bcb632dae2b8f5c
42237 .xword 0x82ad6efcbb5efe78
42238 .xword 0x04ed0a4a8defe5e4
42239 .xword 0x9f34b5185e99a86f
42240 .xword 0x41b5789272010c94
42241 .xword 0xccd0a86487d1471e
42242 .xword 0x3eaad595cba91af9
42243 .xword 0x94febaf6496c0fbb
42244 .xword 0x59d728e308ade9bc
42245 .xword 0x090775c24fdf20d7
42246 .xword 0x5c107ab29c0754af
42247 .xword 0x1d6b1a769f964f63
42248 .xword 0xa6e1cda036d48887
42249 .xword 0x33e9c6271da3f711
42250 .xword 0xb781bfeb3fc4651c
42251 .xword 0x1c9555844616779b
42252 .xword 0xfa648571dac2fc37
42253 .xword 0x4722fcc8bfde29df
42254 .xword 0x7b55d293cf1bf79f
42255 .xword 0x9c462624daff7111
42256 .xword 0xbb5d13136039bfe3
42257 .xword 0x46a8d401d99297b6
42258 .xword 0x009531cc20e10132
42259 .xword 0x48383f6c0fa562e6
42260 .xword 0xb06ae29eca9596f2
42261 .xword 0xfe2e79c29a36f60c
42262 .xword 0x0ead229f12cb1b49
42263 .xword 0x5f6ab98512e3502b
42264 .xword 0x957e7d233409d825
42265 .xword 0x4dcef5e61e20c774
42266 .xword 0xaa9915ed171256dc
42267 .xword 0x8d4f680f8cbb982b
42268 .xword 0xfb8d7ed690415425
42269 .xword 0x11420bf809ca5c42
42270 .xword 0xd9cefb679f6a8a0d
42271 .xword 0x396cb1cb1be28eeb
42272 .xword 0xecc33c370418399f
42273_t1_rc4_alignment_array:
42274 .xword 10
42275 .xword 2
42276 .xword 0
42277 .xword 1
42278 .xword 7
42279 .xword 11
42280 .xword 6
42281 .xword 5
42282 .xword 11
42283 .xword 12
42284 .xword 12
42285 .xword 5
42286 .xword 3
42287 .xword 6
42288 .xword 12
42289 .xword 2
42290 .xword 0
42291 .xword 12
42292 .xword 0
42293 .xword 1
42294 .xword 15
42295 .xword 9
42296 .xword 8
42297 .xword 9
42298 .xword 0
42299 .xword 13
42300 .xword 8
42301 .xword 5
42302 .xword 1
42303 .xword 0
42304 .xword 10
42305 .xword 15
42306 .xword 8
42307 .xword 7
42308 .xword 2
42309 .xword 8
42310 .xword 11
42311 .xword 4
42312 .xword 6
42313 .xword 7
42314 .xword 5
42315 .xword 15
42316 .xword 15
42317 .xword 10
42318 .xword 2
42319 .xword 3
42320 .xword 6
42321 .xword 0
42322 .xword 1
42323 .xword 0
42324 .xword 12
42325 .xword 1
42326 .xword 3
42327 .xword 11
42328 .xword 14
42329 .xword 12
42330 .xword 4
42331 .xword 4
42332 .xword 11
42333 .xword 15
42334 .xword 12
42335 .xword 5
42336 .xword 3
42337 .xword 10
42338 .xword 4
42339 .xword 0
42340 .xword 10
42341 .xword 2
42342 .xword 2
42343 .xword 5
42344 .xword 8
42345 .xword 2
42346 .xword 14
42347 .xword 3
42348 .xword 11
42349 .xword 2
42350 .xword 15
42351 .xword 10
42352 .xword 3
42353 .xword 12
42354 .xword 1
42355 .xword 5
42356 .xword 15
42357 .xword 5
42358 .xword 12
42359 .xword 15
42360 .xword 13
42361 .xword 4
42362 .xword 0
42363 .xword 13
42364 .xword 5
42365 .xword 3
42366 .xword 4
42367 .xword 2
42368 .xword 14
42369 .xword 5
42370 .xword 12
42371 .xword 15
42372 .xword 4
42373 .xword 6
42374 .xword 10
42375 .xword 7
42376 .xword 2
42377 .xword 11
42378 .xword 4
42379_t1_rc4_src:
42380 .xword 0x13fd46bf910db114
42381 .xword 0x435438af216a29b8
42382 .xword 0xf8eefc63966c8cb0
42383 .xword 0x117259296a628bb0
42384 .xword 0x5264ad5a340aea14
42385 .xword 0x6235a04e7f419a2e
42386 .xword 0xfdee0660facb7413
42387 .xword 0x63d0f8bd9df418d0
42388 .xword 0x972bd3520ec081cd
42389 .xword 0xc45a380362b89fdb
42390 .xword 0x378940b3b393c10e
42391 .xword 0x1469db5d67cf5b12
42392 .xword 0x7b11ee886f6304ae
42393 .xword 0xfa3ccb9fbbee2755
42394 .xword 0x31716827cc9a53e2
42395 .xword 0x55de80b183b632a6
42396 .xword 0x031b3ddec5ccaead
42397 .xword 0x82bb67d0f4c19b4f
42398 .xword 0x4da57f7a38495dd4
42399 .xword 0x9b4d9fde5e8e6b8d
42400 .xword 0xa6e3758e7740f7a0
42401 .xword 0xf312d75bfc0adb70
42402 .xword 0x676169710ec1dc74
42403 .xword 0xe7aace1bd098d2e2
42404 .xword 0xb623ea8eb4a796d8
42405 .xword 0x35acbacd38175ce4
42406 .xword 0x0d086203c35acf89
42407 .xword 0x3834524f8f573714
42408 .xword 0x8191fbed217cf1d5
42409 .xword 0x7a147c198ca821aa
42410 .xword 0xe7a797aaeb1eabf3
42411 .xword 0xe75204856a287642
42412 .xword 0x9d0c008c56474569
42413 .xword 0xf0be1531e2517772
42414 .xword 0x7fb66e23d4326de1
42415 .xword 0x930dd3a2a01349fa
42416 .xword 0xba88ee5e3da97c4b
42417 .xword 0x97afab4d1bdf0759
42418 .xword 0x19b5be1addcd8a83
42419 .xword 0xcef40fd321a94b44
42420 .xword 0xf5f56ff12a9afd8d
42421 .xword 0xff330463ee87d5b5
42422 .xword 0x56aa015c7bff35fc
42423 .xword 0xbf618532ef044b58
42424 .xword 0x5d6e5a168ae3b4ce
42425 .xword 0xe098e560a22c8b7c
42426 .xword 0xaaebb62f0f4b1960
42427 .xword 0x83f5e2d577aec883
42428 .xword 0x4ab0032cca0d63c1
42429 .xword 0xea611936cdc4381d
42430 .xword 0x7d1bfc7cd46ffd29
42431 .xword 0xc73f1a64405f2821
42432 .xword 0xaa414871701715f8
42433 .xword 0x70c6c1598a012c29
42434 .xword 0xeda2d68e584e5114
42435 .xword 0xa3daa2f729d92550
42436 .xword 0x8458c979d2ffbe95
42437 .xword 0x6f1480ca17f349a6
42438 .xword 0x8060a45d8755e8c0
42439 .xword 0xfb18ef5c7dbc9891
42440 .xword 0xcb65cc3d11605c8a
42441 .xword 0xf3785e297889d6b5
42442 .xword 0x016f57d354a96f4f
42443 .xword 0x27f292878a32ae31
42444 .xword 0x4652a2839d3645ed
42445 .xword 0xfc7d0b0e56ab8929
42446 .xword 0xf0c1197068fcdaf7
42447 .xword 0x69f6842d677149bb
42448 .xword 0xf3fc341a40acca09
42449 .xword 0x0809d080d9f7cf1c
42450 .xword 0xa948d5346f9c42be
42451 .xword 0xd09db0b8b557ee28
42452 .xword 0x35149f93ad42117a
42453 .xword 0xc4d97f0762e3a5f0
42454 .xword 0x5848a8c45727c825
42455 .xword 0xff6344f7a825a501
42456 .xword 0xffece209fd23794b
42457 .xword 0xa8bf29d2eb416ff6
42458 .xword 0xcf45a8d3d77589b1
42459 .xword 0x083fd7dd79d55ca5
42460 .xword 0x843f8ab629ae5028
42461 .xword 0xef10171041d0e574
42462 .xword 0x0b83d84ac1323b35
42463 .xword 0x58593033787cc95d
42464 .xword 0x9419141fea532473
42465 .xword 0xff10c3ffcf8afab0
42466 .xword 0x5419f7ba1dc0a71a
42467 .xword 0x0eb5aec9c308a642
42468 .xword 0xbd37e43c978ce679
42469 .xword 0x0877727447ac552f
42470 .xword 0x3374e3cf16b266ee
42471 .xword 0xe8a9952c50e3e605
42472 .xword 0xc082158c8d613324
42473 .xword 0x0294e66d538363b9
42474 .xword 0xf6d8e1f1273432e8
42475 .xword 0x7caedfc063b9b0ac
42476 .xword 0x6fceda5f587f2e85
42477 .xword 0xdcad19afaaebd7a4
42478 .xword 0x29155e3725777d92
42479 .xword 0x5b3bf2d2077060b7
42480 .xword 0xbda3ba6a4e470d4e
42481 .xword 0x80ee2cb0b5964987
42482 .xword 0x0c6498b89866c2a8
42483 .xword 0xc3c5d7ac36681d75
42484 .xword 0x247b325a0b33f04a
42485 .xword 0x3869fcf8a897e590
42486 .xword 0xa803829f4c2faa5a
42487 .xword 0x3c09bbd3c040faaf
42488 .xword 0x88f87316d07bd696
42489 .xword 0x08befc53b14d6c77
42490 .xword 0xef4262b0a61fc74d
42491 .xword 0x7c4a007914a4782e
42492 .xword 0x83e9b2ed14626315
42493 .xword 0x8273c27c6dd3950a
42494 .xword 0xd963103e70ed46f7
42495 .xword 0xe13a0e09e2845833
42496 .xword 0x8ba479f96310c664
42497 .xword 0xd86e8b6a5bd50b28
42498 .xword 0xec10d6f1a7ac45ba
42499 .xword 0x2b8d216760436497
42500 .xword 0x5432a74f889695dc
42501 .xword 0xc41ed6fb5569e358
42502 .xword 0x76aa3da2c7921ce1
42503 .xword 0x8ca2eb894fad39a3
42504 .xword 0xff80589b4a8e95a7
42505 .xword 0xe560068ed9a2367f
42506 .xword 0x94b0a712ab6d76c0
42507 .xword 0x203242da1a60b5d7
42508 .xword 0x8d49f1e20ba76326
42509 .xword 0xd503b7bcb324bdf6
42510 .xword 0xb3e6bdc94afb29d3
42511 .xword 0xcea7b309f7846aeb
42512 .xword 0x153f2494bee6850f
42513 .xword 0x1cbd16606f23f07a
42514 .xword 0x6bbc494a5c608bb5
42515 .xword 0x4736f75df76c7824
42516 .xword 0xec37517d36ed5c29
42517 .xword 0x0d607f1190b24ffc
42518 .xword 0x7c1c56a4803bba55
42519 .xword 0x2be3dca84ed6ba3c
42520 .xword 0xa03bc7a495eeb217
42521 .xword 0x022b17fc8f4305fc
42522 .xword 0xc476411c211c779f
42523 .xword 0x5362e706195b4133
42524 .xword 0x1232bbecb028f206
42525 .xword 0x7f07e279155567e8
42526 .xword 0xb3e170bf1bd5ea16
42527 .xword 0x3277da234b2e672d
42528 .xword 0x7ddbfe0c3de8545c
42529 .xword 0x4101bc3db1aae506
42530 .xword 0x5bf6e7272f0405bc
42531 .xword 0x95fb9cf9de0a69e5
42532 .xword 0x419132e49be8a5bc
42533 .xword 0x9eb9acd57d312c0e
42534 .xword 0x93c39bb9a9cb9c65
42535 .xword 0x604b90955b1049ce
42536 .xword 0x68b3cad9c9616022
42537 .xword 0x255a0d769d243942
42538 .xword 0xe100f73cae1b242e
42539 .xword 0xfd78ec1213b42570
42540 .xword 0xf6fb11fb4989c3ad
42541 .xword 0x85098010a8a6984b
42542 .xword 0xfc4b4c450b8e5bc2
42543 .xword 0xe41ad446b40de9d5
42544 .xword 0x057e94df0e7f2571
42545 .xword 0xbf26e33af2a366fe
42546 .xword 0x6caf15e551fa528b
42547 .xword 0x3b0d403cacdc78a3
42548 .xword 0x4f4496f7dac251b6
42549 .xword 0x12f050fdb79a19e2
42550 .xword 0x094e3a5db00cae9e
42551 .xword 0x83293d0897865716
42552 .xword 0xfac2cb6f51855cef
42553 .xword 0x73878bdd0ff89fab
42554 .xword 0x168c79c3b9756632
42555 .xword 0xaa42efac6a4cf336
42556 .xword 0xc300342742009bc8
42557 .xword 0xecbb4ec8257fdd8b
42558 .xword 0xd2ed5ecc21dc8f1c
42559 .xword 0xabb81c0927158964
42560 .xword 0x5d9041cd846432e7
42561 .xword 0x7678f1468d2b1d47
42562 .xword 0x0b70781c6ae94422
42563 .xword 0xb59e61dd2e334d71
42564 .xword 0x34a4c6d49d2a1c72
42565 .xword 0x9060cc9412fa7dbd
42566 .xword 0x52e46394cfda9228
42567 .xword 0x89121186b91acb9b
42568 .xword 0x1a8eb58e68d53024
42569 .xword 0x8b6e9163071d6564
42570 .xword 0xbd39125c1c9b0c4e
42571 .xword 0x0dfe0318668f6fbb
42572 .xword 0x5ebd7ecd07956275
42573 .xword 0x3828ed3394247ca3
42574 .xword 0x2475a19bf4ab2b09
42575 .xword 0xe77e142ae2dd0880
42576 .xword 0xd47b9c3921b25ea7
42577 .xword 0xea2166f6e3ee6427
42578 .xword 0xe8d9425a66c93336
42579 .xword 0xb96eab3c1653c188
42580 .xword 0xa519568aae0742cb
42581 .xword 0x09cb0f80a632097e
42582 .xword 0x6e6ba045b7d8d391
42583 .xword 0x63d9d831e7803a47
42584 .xword 0xe317ff2187b91b55
42585 .xword 0x2ad934381aa7928c
42586 .xword 0xa5a01cf186f6d26b
42587 .xword 0x97441db5933de1b6
42588 .xword 0x6cfef5ea9cfddb92
42589 .xword 0x9f66a41a769e2d59
42590 .xword 0x54bb609f10fb75b7
42591 .xword 0x496a8278a5f0211f
42592 .xword 0x901d439e674d84e4
42593 .xword 0x0247d43e42dc3d42
42594 .xword 0xace41c727c164de8
42595 .xword 0x290ff55c9db5dbc6
42596 .xword 0xcbb3d9febce50db6
42597 .xword 0xaab5e7326541a822
42598 .xword 0xde1fc62a4ef6033d
42599 .xword 0xcd931878fc92bfb5
42600 .xword 0xb85e222068a3bcf7
42601 .xword 0x17cedf5052dbd1ae
42602 .xword 0xc5ae09a3630782bd
42603 .xword 0xfabaa7e4121fb28a
42604 .xword 0x8ec697b1ebdd0dc5
42605 .xword 0x9abf013c0e7a7a7c
42606 .xword 0xcf49e5cc282fb583
42607 .xword 0x1001b8b9d2fa80b8
42608 .xword 0x2576e323b4b1f611
42609 .xword 0x11c0598b0734902c
42610 .xword 0xaf37d671cfd94838
42611 .xword 0x8bcaead3950b8d89
42612 .xword 0x9f232143e96e7495
42613 .xword 0x0c9fac1c5da152c2
42614 .xword 0x13ced47dc8309c20
42615 .xword 0x212866be5588eead
42616 .xword 0x9ae23e7b1c77e86e
42617 .xword 0x425556fed1022594
42618 .xword 0xdfac6b50e329f8a3
42619 .xword 0xc73bf37c2544f69a
42620 .xword 0x7bf8ac6c193a46db
42621 .xword 0x867770f18695a146
42622 .xword 0xc9c794fb0f50cce6
42623 .xword 0x27af424982d96100
42624 .xword 0x408173bfc2ef37f0
42625 .xword 0x5e84caf24a1e231c
42626 .xword 0xc463c4347f714d1b
42627 .xword 0x72038660b85edb2d
42628 .xword 0x41bf9efd78ab3edb
42629 .xword 0x989b9d30fe9f63ed
42630 .xword 0x00c76856487d1be9
42631 .xword 0xd5f2e0d5cd423a4d
42632 .xword 0xa703a17a5d0848bd
42633 .xword 0x072cd9244e1d3054
42634 .xword 0x9d18d4bd4dcf69ba
42635 .xword 0xa2729a89f4809905
42636 .xword 0x5832fdd3a98165f7
42637 .xword 0x14ca678923394b45
42638 .xword 0x82209689425ca26a
42639 .xword 0x12d3d3d25a4805d4
42640 .xword 0x66112e4b46dcbb52
42641 .xword 0xff4f1c8d46959511
42642 .xword 0x4434f29038dfbe1b
42643 .xword 0xd0bd0fe16a7daf64
42644 .xword 0x1448e2961aab1c0c
42645 .xword 0x8632f782e744ed8a
42646 .xword 0x83817f0badcd794b
42647 .xword 0x9c9bba08148b94a5
42648 .xword 0xa8d484056928cfed
42649 .xword 0x97a048802915c22a
42650 .xword 0x0dfc912e8dd32189
42651 .xword 0x4dd4bd1551109db3
42652 .xword 0x1a1e9c55969af289
42653 .xword 0xe7ee7eefc92ef0f0
42654 .xword 0x48b768cdfc2c818a
42655_t1_rc4_dest:
42656 .xword 0xDEADBEEFDEADBEEF
42657 .xword 0xDEADBEEFDEADBEEF
42658 .xword 0xDEADBEEFDEADBEEF
42659 .xword 0xDEADBEEFDEADBEEF
42660 .xword 0xDEADBEEFDEADBEEF
42661 .xword 0xDEADBEEFDEADBEEF
42662 .xword 0xDEADBEEFDEADBEEF
42663 .xword 0xDEADBEEFDEADBEEF
42664 .xword 0xDEADBEEFDEADBEEF
42665 .xword 0xDEADBEEFDEADBEEF
42666 .xword 0xDEADBEEFDEADBEEF
42667 .xword 0xDEADBEEFDEADBEEF
42668 .xword 0xDEADBEEFDEADBEEF
42669 .xword 0xDEADBEEFDEADBEEF
42670 .xword 0xDEADBEEFDEADBEEF
42671 .xword 0xDEADBEEFDEADBEEF
42672 .xword 0xDEADBEEFDEADBEEF
42673 .xword 0xDEADBEEFDEADBEEF
42674 .xword 0xDEADBEEFDEADBEEF
42675 .xword 0xDEADBEEFDEADBEEF
42676 .xword 0xDEADBEEFDEADBEEF
42677 .xword 0xDEADBEEFDEADBEEF
42678 .xword 0xDEADBEEFDEADBEEF
42679 .xword 0xDEADBEEFDEADBEEF
42680 .xword 0xDEADBEEFDEADBEEF
42681 .xword 0xDEADBEEFDEADBEEF
42682 .xword 0xDEADBEEFDEADBEEF
42683 .xword 0xDEADBEEFDEADBEEF
42684 .xword 0xDEADBEEFDEADBEEF
42685 .xword 0xDEADBEEFDEADBEEF
42686 .xword 0xDEADBEEFDEADBEEF
42687 .xword 0xDEADBEEFDEADBEEF
42688 .xword 0xDEADBEEFDEADBEEF
42689 .xword 0xDEADBEEFDEADBEEF
42690 .xword 0xDEADBEEFDEADBEEF
42691 .xword 0xDEADBEEFDEADBEEF
42692 .xword 0xDEADBEEFDEADBEEF
42693 .xword 0xDEADBEEFDEADBEEF
42694 .xword 0xDEADBEEFDEADBEEF
42695 .xword 0xDEADBEEFDEADBEEF
42696 .xword 0xDEADBEEFDEADBEEF
42697 .xword 0xDEADBEEFDEADBEEF
42698 .xword 0xDEADBEEFDEADBEEF
42699 .xword 0xDEADBEEFDEADBEEF
42700 .xword 0xDEADBEEFDEADBEEF
42701 .xword 0xDEADBEEFDEADBEEF
42702 .xword 0xDEADBEEFDEADBEEF
42703 .xword 0xDEADBEEFDEADBEEF
42704 .xword 0xDEADBEEFDEADBEEF
42705 .xword 0xDEADBEEFDEADBEEF
42706 .xword 0xDEADBEEFDEADBEEF
42707 .xword 0xDEADBEEFDEADBEEF
42708 .xword 0xDEADBEEFDEADBEEF
42709 .xword 0xDEADBEEFDEADBEEF
42710 .xword 0xDEADBEEFDEADBEEF
42711 .xword 0xDEADBEEFDEADBEEF
42712 .xword 0xDEADBEEFDEADBEEF
42713 .xword 0xDEADBEEFDEADBEEF
42714 .xword 0xDEADBEEFDEADBEEF
42715 .xword 0xDEADBEEFDEADBEEF
42716 .xword 0xDEADBEEFDEADBEEF
42717 .xword 0xDEADBEEFDEADBEEF
42718 .xword 0xDEADBEEFDEADBEEF
42719 .xword 0xDEADBEEFDEADBEEF
42720 .xword 0xDEADBEEFDEADBEEF
42721 .xword 0xDEADBEEFDEADBEEF
42722 .xword 0xDEADBEEFDEADBEEF
42723 .xword 0xDEADBEEFDEADBEEF
42724 .xword 0xDEADBEEFDEADBEEF
42725 .xword 0xDEADBEEFDEADBEEF
42726 .xword 0xDEADBEEFDEADBEEF
42727 .xword 0xDEADBEEFDEADBEEF
42728 .xword 0xDEADBEEFDEADBEEF
42729 .xword 0xDEADBEEFDEADBEEF
42730 .xword 0xDEADBEEFDEADBEEF
42731 .xword 0xDEADBEEFDEADBEEF
42732 .xword 0xDEADBEEFDEADBEEF
42733 .xword 0xDEADBEEFDEADBEEF
42734 .xword 0xDEADBEEFDEADBEEF
42735 .xword 0xDEADBEEFDEADBEEF
42736 .xword 0xDEADBEEFDEADBEEF
42737 .xword 0xDEADBEEFDEADBEEF
42738 .xword 0xDEADBEEFDEADBEEF
42739 .xword 0xDEADBEEFDEADBEEF
42740 .xword 0xDEADBEEFDEADBEEF
42741 .xword 0xDEADBEEFDEADBEEF
42742 .xword 0xDEADBEEFDEADBEEF
42743 .xword 0xDEADBEEFDEADBEEF
42744 .xword 0xDEADBEEFDEADBEEF
42745 .xword 0xDEADBEEFDEADBEEF
42746 .xword 0xDEADBEEFDEADBEEF
42747 .xword 0xDEADBEEFDEADBEEF
42748 .xword 0xDEADBEEFDEADBEEF
42749 .xword 0xDEADBEEFDEADBEEF
42750 .xword 0xDEADBEEFDEADBEEF
42751 .xword 0xDEADBEEFDEADBEEF
42752 .xword 0xDEADBEEFDEADBEEF
42753 .xword 0xDEADBEEFDEADBEEF
42754 .xword 0xDEADBEEFDEADBEEF
42755 .xword 0xDEADBEEFDEADBEEF
42756 .xword 0xDEADBEEFDEADBEEF
42757 .xword 0xDEADBEEFDEADBEEF
42758 .xword 0xDEADBEEFDEADBEEF
42759 .xword 0xDEADBEEFDEADBEEF
42760 .xword 0xDEADBEEFDEADBEEF
42761 .xword 0xDEADBEEFDEADBEEF
42762 .xword 0xDEADBEEFDEADBEEF
42763 .xword 0xDEADBEEFDEADBEEF
42764 .xword 0xDEADBEEFDEADBEEF
42765 .xword 0xDEADBEEFDEADBEEF
42766 .xword 0xDEADBEEFDEADBEEF
42767 .xword 0xDEADBEEFDEADBEEF
42768 .xword 0xDEADBEEFDEADBEEF
42769 .xword 0xDEADBEEFDEADBEEF
42770 .xword 0xDEADBEEFDEADBEEF
42771 .xword 0xDEADBEEFDEADBEEF
42772 .xword 0xDEADBEEFDEADBEEF
42773 .xword 0xDEADBEEFDEADBEEF
42774 .xword 0xDEADBEEFDEADBEEF
42775 .xword 0xDEADBEEFDEADBEEF
42776 .xword 0xDEADBEEFDEADBEEF
42777 .xword 0xDEADBEEFDEADBEEF
42778 .xword 0xDEADBEEFDEADBEEF
42779 .xword 0xDEADBEEFDEADBEEF
42780 .xword 0xDEADBEEFDEADBEEF
42781 .xword 0xDEADBEEFDEADBEEF
42782 .xword 0xDEADBEEFDEADBEEF
42783 .xword 0xDEADBEEFDEADBEEF
42784 .xword 0xDEADBEEFDEADBEEF
42785 .xword 0xDEADBEEFDEADBEEF
42786 .xword 0xDEADBEEFDEADBEEF
42787 .xword 0xDEADBEEFDEADBEEF
42788 .xword 0xDEADBEEFDEADBEEF
42789 .xword 0xDEADBEEFDEADBEEF
42790 .xword 0xDEADBEEFDEADBEEF
42791 .xword 0xDEADBEEFDEADBEEF
42792 .xword 0xDEADBEEFDEADBEEF
42793 .xword 0xDEADBEEFDEADBEEF
42794 .xword 0xDEADBEEFDEADBEEF
42795 .xword 0xDEADBEEFDEADBEEF
42796 .xword 0xDEADBEEFDEADBEEF
42797 .xword 0xDEADBEEFDEADBEEF
42798 .xword 0xDEADBEEFDEADBEEF
42799 .xword 0xDEADBEEFDEADBEEF
42800 .xword 0xDEADBEEFDEADBEEF
42801 .xword 0xDEADBEEFDEADBEEF
42802 .xword 0xDEADBEEFDEADBEEF
42803 .xword 0xDEADBEEFDEADBEEF
42804 .xword 0xDEADBEEFDEADBEEF
42805 .xword 0xDEADBEEFDEADBEEF
42806 .xword 0xDEADBEEFDEADBEEF
42807 .xword 0xDEADBEEFDEADBEEF
42808 .xword 0xDEADBEEFDEADBEEF
42809 .xword 0xDEADBEEFDEADBEEF
42810 .xword 0xDEADBEEFDEADBEEF
42811 .xword 0xDEADBEEFDEADBEEF
42812 .xword 0xDEADBEEFDEADBEEF
42813 .xword 0xDEADBEEFDEADBEEF
42814 .xword 0xDEADBEEFDEADBEEF
42815 .xword 0xDEADBEEFDEADBEEF
42816 .xword 0xDEADBEEFDEADBEEF
42817 .xword 0xDEADBEEFDEADBEEF
42818 .xword 0xDEADBEEFDEADBEEF
42819 .xword 0xDEADBEEFDEADBEEF
42820 .xword 0xDEADBEEFDEADBEEF
42821 .xword 0xDEADBEEFDEADBEEF
42822 .xword 0xDEADBEEFDEADBEEF
42823 .xword 0xDEADBEEFDEADBEEF
42824 .xword 0xDEADBEEFDEADBEEF
42825 .xword 0xDEADBEEFDEADBEEF
42826 .xword 0xDEADBEEFDEADBEEF
42827 .xword 0xDEADBEEFDEADBEEF
42828 .xword 0xDEADBEEFDEADBEEF
42829 .xword 0xDEADBEEFDEADBEEF
42830 .xword 0xDEADBEEFDEADBEEF
42831 .xword 0xDEADBEEFDEADBEEF
42832 .xword 0xDEADBEEFDEADBEEF
42833 .xword 0xDEADBEEFDEADBEEF
42834 .xword 0xDEADBEEFDEADBEEF
42835 .xword 0xDEADBEEFDEADBEEF
42836 .xword 0xDEADBEEFDEADBEEF
42837 .xword 0xDEADBEEFDEADBEEF
42838 .xword 0xDEADBEEFDEADBEEF
42839 .xword 0xDEADBEEFDEADBEEF
42840 .xword 0xDEADBEEFDEADBEEF
42841 .xword 0xDEADBEEFDEADBEEF
42842 .xword 0xDEADBEEFDEADBEEF
42843 .xword 0xDEADBEEFDEADBEEF
42844 .xword 0xDEADBEEFDEADBEEF
42845 .xword 0xDEADBEEFDEADBEEF
42846 .xword 0xDEADBEEFDEADBEEF
42847 .xword 0xDEADBEEFDEADBEEF
42848 .xword 0xDEADBEEFDEADBEEF
42849 .xword 0xDEADBEEFDEADBEEF
42850 .xword 0xDEADBEEFDEADBEEF
42851 .xword 0xDEADBEEFDEADBEEF
42852 .xword 0xDEADBEEFDEADBEEF
42853 .xword 0xDEADBEEFDEADBEEF
42854 .xword 0xDEADBEEFDEADBEEF
42855 .xword 0xDEADBEEFDEADBEEF
42856 .xword 0xDEADBEEFDEADBEEF
42857 .xword 0xDEADBEEFDEADBEEF
42858 .xword 0xDEADBEEFDEADBEEF
42859 .xword 0xDEADBEEFDEADBEEF
42860 .xword 0xDEADBEEFDEADBEEF
42861 .xword 0xDEADBEEFDEADBEEF
42862 .xword 0xDEADBEEFDEADBEEF
42863 .xword 0xDEADBEEFDEADBEEF
42864 .xword 0xDEADBEEFDEADBEEF
42865 .xword 0xDEADBEEFDEADBEEF
42866 .xword 0xDEADBEEFDEADBEEF
42867 .xword 0xDEADBEEFDEADBEEF
42868 .xword 0xDEADBEEFDEADBEEF
42869 .xword 0xDEADBEEFDEADBEEF
42870 .xword 0xDEADBEEFDEADBEEF
42871 .xword 0xDEADBEEFDEADBEEF
42872 .xword 0xDEADBEEFDEADBEEF
42873 .xword 0xDEADBEEFDEADBEEF
42874 .xword 0xDEADBEEFDEADBEEF
42875 .xword 0xDEADBEEFDEADBEEF
42876 .xword 0xDEADBEEFDEADBEEF
42877 .xword 0xDEADBEEFDEADBEEF
42878 .xword 0xDEADBEEFDEADBEEF
42879 .xword 0xDEADBEEFDEADBEEF
42880 .xword 0xDEADBEEFDEADBEEF
42881 .xword 0xDEADBEEFDEADBEEF
42882 .xword 0xDEADBEEFDEADBEEF
42883 .xword 0xDEADBEEFDEADBEEF
42884 .xword 0xDEADBEEFDEADBEEF
42885 .xword 0xDEADBEEFDEADBEEF
42886 .xword 0xDEADBEEFDEADBEEF
42887 .xword 0xDEADBEEFDEADBEEF
42888 .xword 0xDEADBEEFDEADBEEF
42889 .xword 0xDEADBEEFDEADBEEF
42890 .xword 0xDEADBEEFDEADBEEF
42891 .xword 0xDEADBEEFDEADBEEF
42892 .xword 0xDEADBEEFDEADBEEF
42893 .xword 0xDEADBEEFDEADBEEF
42894 .xword 0xDEADBEEFDEADBEEF
42895 .xword 0xDEADBEEFDEADBEEF
42896 .xword 0xDEADBEEFDEADBEEF
42897 .xword 0xDEADBEEFDEADBEEF
42898 .xword 0xDEADBEEFDEADBEEF
42899 .xword 0xDEADBEEFDEADBEEF
42900 .xword 0xDEADBEEFDEADBEEF
42901 .xword 0xDEADBEEFDEADBEEF
42902 .xword 0xDEADBEEFDEADBEEF
42903 .xword 0xDEADBEEFDEADBEEF
42904 .xword 0xDEADBEEFDEADBEEF
42905 .xword 0xDEADBEEFDEADBEEF
42906 .xword 0xDEADBEEFDEADBEEF
42907 .xword 0xDEADBEEFDEADBEEF
42908 .xword 0xDEADBEEFDEADBEEF
42909 .xword 0xDEADBEEFDEADBEEF
42910 .xword 0xDEADBEEFDEADBEEF
42911 .xword 0xDEADBEEFDEADBEEF
42912 .xword 0xDEADBEEFDEADBEEF
42913 .xword 0xDEADBEEFDEADBEEF
42914 .xword 0xDEADBEEFDEADBEEF
42915 .xword 0xDEADBEEFDEADBEEF
42916 .xword 0xDEADBEEFDEADBEEF
42917 .xword 0xDEADBEEFDEADBEEF
42918 .xword 0xDEADBEEFDEADBEEF
42919 .xword 0xDEADBEEFDEADBEEF
42920 .xword 0xDEADBEEFDEADBEEF
42921 .xword 0xDEADBEEFDEADBEEF
42922 .xword 0xDEADBEEFDEADBEEF
42923 .xword 0xDEADBEEFDEADBEEF
42924 .xword 0xDEADBEEFDEADBEEF
42925 .xword 0xDEADBEEFDEADBEEF
42926 .xword 0xDEADBEEFDEADBEEF
42927 .xword 0xDEADBEEFDEADBEEF
42928 .xword 0xDEADBEEFDEADBEEF
42929 .xword 0xDEADBEEFDEADBEEF
42930 .xword 0xDEADBEEFDEADBEEF
42931_t1_rc4_auth_key:
42932 .xword 0x29aa5f8509fc50c3
42933 .xword 0x26b4d03fc823758c
42934 .xword 0x90f45576f95f4968
42935 .xword 0x3f18a94dfe611f9b
42936 .xword 0xd586aa38aa8cfebd
42937 .xword 0x49da3d582b873987
42938 .xword 0x9d743ddcbb953cdf
42939 .xword 0xc0e6541950ad8e13
42940 .xword 0x9c92b090d56ca3ba
42941 .xword 0x0833a8290654b732
42942 .xword 0x1df001d8eae101b9
42943 .xword 0xee6a8d25b8e0431d
42944 .xword 0xc636ac868e9cb246
42945 .xword 0xef50bb029a7ed373
42946 .xword 0x769bdf9a96efb6c7
42947 .xword 0xf0191717cabecfd2
42948 .xword 0xb66c3f58f99a41c5
42949 .xword 0xc0278435d8b48a44
42950 .xword 0x81ed746967bbe47b
42951 .xword 0xe9e9b70602cd5207
42952 .xword 0x3799337eb2a229f1
42953 .xword 0x7db669b6a7925624
42954 .xword 0x04bb021d75aad7e2
42955_t1_rc4_auth_iv:
42956 .xword 0x5886ed7cbdf46027
42957 .xword 0x75c37f232a28036f
42958 .xword 0x88f75578a587e652
42959 .xword 0x6ca8786d19f44c0c
42960 .xword 0x13a6cfb30f9624dc
42961 .xword 0x9ad3835af6f9f730
42962 .xword 0xe9ef8cc2f64f082b
42963 .xword 0x78be34c163475163
42964 .xword 0xf10c39636d2a75cc
42965 .xword 0x35f3edc2b04709db
42966 .xword 0x87aaf58b6007a62d
42967 .xword 0xba1db495eb9fe7d4
42968 .xword 0x63001dbd3478f0c8
42969 .xword 0xff4a00e39f4a56f8
42970 .xword 0x29b8e897bfd6bb85
42971 .xword 0xdb4158d7cf19af6b
42972 .xword 0x2780616174403c57
42973 .xword 0xd133a318602427a1
42974 .xword 0xae87ba70f66ccc57
42975 .xword 0xe1bdc4d7e0393c3d
42976 .xword 0x9b9d2e308cc2b27a
42977 .xword 0xb6c833477976461f
42978 .xword 0x015715581f5afb21
42979_t1_rc4_fas_result:
42980 .xword 0xDEADBEEFDEADBEEF
42981 .xword 0xDEADBEEFDEADBEEF
42982 .xword 0xDEADBEEFDEADBEEF
42983 .xword 0xDEADBEEFDEADBEEF
42984 .xword 0xDEADBEEFDEADBEEF
42985 .xword 0xDEADBEEFDEADBEEF
42986 .xword 0xDEADBEEFDEADBEEF
42987 .xword 0xDEADBEEFDEADBEEF
42988 .xword 0xDEADBEEFDEADBEEF
42989 .xword 0xDEADBEEFDEADBEEF
42990 .xword 0xDEADBEEFDEADBEEF
42991 .xword 0xDEADBEEFDEADBEEF
42992 .xword 0xDEADBEEFDEADBEEF
42993 .xword 0xDEADBEEFDEADBEEF
42994 .xword 0xDEADBEEFDEADBEEF
42995 .xword 0xDEADBEEFDEADBEEF
42996 .xword 0xDEADBEEFDEADBEEF
42997 .xword 0xDEADBEEFDEADBEEF
42998 .xword 0xDEADBEEFDEADBEEF
42999 .xword 0xDEADBEEFDEADBEEF
43000 .xword 0xDEADBEEFDEADBEEF
43001 .xword 0xDEADBEEFDEADBEEF
43002 .xword 0xDEADBEEFDEADBEEF
43003_t1_sslkey_key_array:
43004 .xword 0xa418c222d9e2286b
43005 .xword 0x64bb02c656b62e25
43006 .xword 0xbce71b8362a3bc72
43007 .xword 0x082965abbb6c623d
43008 .xword 0x3e5a88725fa480b8
43009 .xword 0x4ba51977d8fed107
43010 .xword 0x2290be3ae38a95cb
43011 .xword 0x6bc742b2d0245060
43012 .xword 0x564e18884b832146
43013 .xword 0x03950dee870c582c
43014 .xword 0xeac3cef8d2401934
43015 .xword 0xef6776cce2e1dae6
43016 .xword 0xf3aa8fe3c8982e05
43017 .xword 0xaa3bc7f7bfe3e7e2
43018 .xword 0x200933231a2d109c
43019 .xword 0xa3f6633f7fe8f179
43020 .xword 0x83ed5459fd98775b
43021 .xword 0x51a695fa7d79c49a
43022 .xword 0x23efd60526b354c7
43023 .xword 0x3398bbd27c1a82d7
43024 .xword 0xbd1b624432bfbb34
43025 .xword 0xc7fe24d16d298cbb
43026 .xword 0x2fdf3006f837dedc
43027 .xword 0x853238a249e020f4
43028 .xword 0xc2e2b93fa9a018f6
43029 .xword 0x4738eadec5746f74
43030 .xword 0x5bf98b8dfa05945d
43031 .xword 0xd85326c458affbc8
43032 .xword 0x6f91960046f55c7e
43033 .xword 0x4f8ce68e903492f1
43034 .xword 0xedd8b33865bab1e3
43035 .xword 0x3a9bf26da94b6f29
43036 .xword 0x95573b3d9c8190db
43037 .xword 0xa5d1e7c6669c0a62
43038 .xword 0x526d56bf42e337be
43039 .xword 0xd22063fdfd4e2503
43040 .xword 0x256cf39ee900b09f
43041 .xword 0xce3093ca54c6ec94
43042 .xword 0xa1a2a87a97343e06
43043 .xword 0x8b5e511aab2c88ac
43044 .xword 0xf631cfac0a90114d
43045 .xword 0x32f09f529357f69a
43046 .xword 0x2bd9215b57edb2be
43047 .xword 0x4b0587d90b5ae633
43048 .xword 0x1f27bb70e6584387
43049 .xword 0x290118e9ecc15593
43050 .xword 0xca6d18b62cc49c2f
43051 .xword 0x11290104ae877921
43052 .xword 0xb60091a4026fae32
43053 .xword 0x75800b04a628b0c5
43054 .xword 0x1b7e012496877c89
43055_t1_sslkey_iv_array:
43056 .xword 0xe454ec2204407ad2
43057 .xword 0x2bbbad84498a2458
43058 .xword 0xf52b99633efc126b
43059 .xword 0x8b6b67f55e317e0c
43060 .xword 0x5ea87fd3b565878d
43061 .xword 0x0ab053df8415865e
43062 .xword 0xc79552d2841bbff6
43063 .xword 0x3ac7e0840cd97de0
43064 .xword 0x5feea7848af0f533
43065 .xword 0x4513f6d57d044c24
43066 .xword 0x404436298b7f36f4
43067 .xword 0xf97a26065a033388
43068 .xword 0x57b26b2def87c538
43069 .xword 0x22a50af0dc4315d4
43070 .xword 0x98e6e09a046e551a
43071 .xword 0x5bc0afca5f01aaba
43072 .xword 0x1799e5e9d92f8d83
43073 .xword 0x011722ae255a5a94
43074 .xword 0xed85442383c67a15
43075 .xword 0x7e809e3675a3196c
43076 .xword 0xaae05fa11730d9da
43077 .xword 0x3a1183bf319b4e16
43078 .xword 0x0af2b69944c943b6
43079 .xword 0xc4664ef526c6ed28
43080 .xword 0xcbb1aa1a2a33762b
43081 .xword 0x971481470073ef27
43082 .xword 0x0aee31cea6e26dac
43083 .xword 0xcb7829089f398bf9
43084 .xword 0xed1d7720c578c99d
43085 .xword 0x30d4df318fb0b974
43086 .xword 0x5aec871b794d4ecf
43087 .xword 0x8bb13a1eb2c24b5c
43088 .xword 0xcf056c0d603e40ae
43089 .xword 0xdb4ccb5231dc46f7
43090 .xword 0x41c4089580782118
43091 .xword 0x604307f385fbe42e
43092 .xword 0x3aafdd9969b17084
43093 .xword 0x75e2f8ebbf4753d9
43094 .xword 0xddf36cdc4de644bf
43095 .xword 0x5b8448e5f58c0b96
43096 .xword 0x5ecdbde492c67935
43097 .xword 0x0bed7cddb242fdc5
43098 .xword 0xcfcfe45c82aa12e5
43099 .xword 0xef9e2d39c7208f3a
43100 .xword 0x6d7f71985d6bc84a
43101_t1_sslkey_alignment_array:
43102 .xword 0
43103 .xword 0
43104 .xword 0
43105 .xword 0
43106 .xword 0
43107 .xword 0
43108 .xword 0
43109 .xword 0
43110 .xword 0
43111 .xword 0
43112 .xword 0
43113 .xword 0
43114 .xword 0
43115 .xword 0
43116 .xword 0
43117 .xword 0
43118 .xword 0
43119 .xword 0
43120 .xword 0
43121 .xword 0
43122 .xword 0
43123 .xword 0
43124 .xword 0
43125 .xword 0
43126 .xword 0
43127 .xword 0
43128 .xword 0
43129 .xword 0
43130 .xword 0
43131 .xword 0
43132 .xword 0
43133 .xword 0
43134 .xword 0
43135 .xword 0
43136 .xword 0
43137 .xword 0
43138 .xword 0
43139 .xword 0
43140 .xword 0
43141 .xword 0
43142 .xword 0
43143 .xword 0
43144 .xword 0
43145 .xword 0
43146 .xword 0
43147 .xword 0
43148 .xword 0
43149 .xword 0
43150 .xword 0
43151 .xword 0
43152 .xword 0
43153 .xword 0
43154 .xword 0
43155 .xword 0
43156 .xword 0
43157 .xword 0
43158 .xword 0
43159 .xword 0
43160 .xword 0
43161 .xword 0
43162 .xword 0
43163 .xword 0
43164 .xword 0
43165 .xword 0
43166 .xword 0
43167 .xword 0
43168 .xword 0
43169 .xword 0
43170 .xword 0
43171 .xword 0
43172 .xword 0
43173 .xword 0
43174 .xword 0
43175 .xword 0
43176 .xword 0
43177 .xword 0
43178 .xword 0
43179 .xword 0
43180 .xword 0
43181 .xword 0
43182 .xword 0
43183 .xword 0
43184 .xword 0
43185 .xword 0
43186 .xword 0
43187 .xword 0
43188 .xword 0
43189 .xword 0
43190 .xword 0
43191 .xword 0
43192 .xword 0
43193 .xword 0
43194 .xword 0
43195 .xword 0
43196 .xword 0
43197 .xword 0
43198 .xword 0
43199 .xword 0
43200 .xword 0
43201 .xword 0
43202 .xword 0
43203 .xword 0
43204 .xword 0
43205 .xword 0
43206 .xword 0
43207_t1_sslkey_src:
43208 .xword 0x639a5fcbf1960afc
43209 .xword 0x627ba2a262074a36
43210 .xword 0x0b0a0bab66e94c93
43211 .xword 0x30a648b7ca627e4d
43212 .xword 0x6ee1629cc017609f
43213 .xword 0xf3c652ee05324357
43214 .xword 0x8712a8c4e7ca8762
43215 .xword 0x342ba5272c38969b
43216 .xword 0xb8a6329a64563281
43217 .xword 0xb0f7b281cba7df1a
43218 .xword 0xc977ded8900495c9
43219 .xword 0x0adf8163295f4458
43220 .xword 0xeec7ff8f1ce5fb8c
43221 .xword 0x262f1f39d2c3ce98
43222 .xword 0xfdc2377981a88caf
43223 .xword 0x4b7b0ade5a575ecb
43224 .xword 0x23481335e6a804ae
43225 .xword 0x794b5833adfb5c04
43226 .xword 0x1837930cb8a89102
43227 .xword 0x4753336db1f25cb2
43228 .xword 0xf40a3e5ba87f8e07
43229 .xword 0x7a47d5f0c6a2537f
43230 .xword 0x353dbbe626d0f8a3
43231 .xword 0x1a9921ed6667eca8
43232 .xword 0x95774050069ca822
43233 .xword 0x7865fbe5e11a7238
43234 .xword 0x18cc68431b321fd6
43235 .xword 0x3ecd0303cfcfb3eb
43236 .xword 0xeccc3d39f0691ad6
43237 .xword 0xb003b8726e5ce7d6
43238 .xword 0x73776ad0420cee8b
43239 .xword 0x0c605dd05ed1c724
43240 .xword 0x9580cbeb56acfc28
43241 .xword 0x582a20c794e7e076
43242 .xword 0x2d30af9714fccee8
43243 .xword 0x2aca5303bfe3fa5f
43244 .xword 0x9ffedca996a442ce
43245 .xword 0x1f45f4cb77ae761e
43246 .xword 0x8695bc27e2695b80
43247 .xword 0x600943b5229e222f
43248 .xword 0x250e31cac2c03d00
43249 .xword 0xfba906f3f5e1b9d1
43250 .xword 0xf4aaaca52dbe1799
43251 .xword 0x17eb5c558b200026
43252 .xword 0x0a21e5650c872359
43253 .xword 0xb73bd4eb0e6c428d
43254 .xword 0x95ef361a50c1684d
43255 .xword 0x100f88896b996843
43256 .xword 0xd3be8628a1942e63
43257 .xword 0x5872dd8f9bc1a08a
43258 .xword 0x9e51aefa85ce32de
43259 .xword 0x745d8d33c1607aba
43260 .xword 0x39537637d8ca459c
43261 .xword 0xfc9069ff959c43d6
43262 .xword 0x8d2b94a705ffa0e4
43263 .xword 0xf095e45167a08066
43264 .xword 0x3bb5bf3b3ce7f5e0
43265 .xword 0x0a8736e0c877ab25
43266 .xword 0xe1b51d59d1f1e3c9
43267 .xword 0xd7bdee3f3eac5d7f
43268 .xword 0x4051577b5f2588b5
43269 .xword 0xcc962ace916deddf
43270 .xword 0xd99a9df8efe89815
43271 .xword 0xa205a73e98e2b14e
43272 .xword 0xd79712f848dd19c1
43273 .xword 0x9cfbac71dff32620
43274 .xword 0x5520d44665c7967d
43275 .xword 0x579e6884b2e2e4bc
43276 .xword 0x2b2da09c4c0c41a3
43277 .xword 0xaa8ecda49bd5bfd3
43278 .xword 0x72bf45d50e9ba17c
43279 .xword 0x66c48406368e2700
43280 .xword 0x2dc45fb9699d79ec
43281 .xword 0x114d22495bcfd84b
43282 .xword 0x98091e897cb70781
43283 .xword 0x2d9f53ad6232c318
43284 .xword 0x816b3e4ae6b3df0b
43285 .xword 0xafebf3d627675fb8
43286 .xword 0xdaaf73323091f3a9
43287 .xword 0xb1d46356727d5c2d
43288 .xword 0xcd5a223b8d2c6ff1
43289 .xword 0x55c254959916a7d6
43290 .xword 0x0a6a1e52b1a83c71
43291 .xword 0x8150dbb5994042d3
43292 .xword 0x868b64f5e49cad01
43293 .xword 0x9bec4f52f9d58786
43294 .xword 0x985e347ad6937993
43295 .xword 0xdd3436a29da7e43b
43296 .xword 0xe4b280dd6227afff
43297 .xword 0x276f80de39e93d7b
43298 .xword 0x530030fe4b58972c
43299 .xword 0x7c72dc1beabf223c
43300 .xword 0x18c3f705d822fb9b
43301 .xword 0xb83bf3e1ecc1df71
43302 .xword 0x2f00a5669a81f14f
43303 .xword 0x26698f88a7f0c534
43304 .xword 0x53adade3210c19f8
43305 .xword 0x7bdc6ae34ebc0205
43306 .xword 0x5a0305f2342f7d53
43307 .xword 0x2150fe3a8bc41c4a
43308 .xword 0x83bd0b33b0b68d05
43309 .xword 0x32f698e1a75ff90d
43310 .xword 0xc1d08c32a6306213
43311 .xword 0xb51f2e2e96b57078
43312 .xword 0xbb791a4d47d9b471
43313 .xword 0xa425b42b57dba850
43314 .xword 0xcb2cbb17b7b3a23c
43315 .xword 0x11e6dd0f4ff512ec
43316 .xword 0x1a7b948dac01cc38
43317 .xword 0xd7f13ddf37e5c181
43318 .xword 0xe69dced18da978ff
43319 .xword 0xa1999e7a66c12532
43320 .xword 0x111c9da026976ca6
43321 .xword 0x23471ee07ff3b3d5
43322 .xword 0xba7b7e20f9c9402d
43323 .xword 0x59d4f6479b80f6e9
43324 .xword 0x7eb9f8bf4f4d831b
43325 .xword 0x0dbd6d13fbc0ec49
43326 .xword 0xb4c90f104f84ce3d
43327 .xword 0x8baddf38a3635fd3
43328 .xword 0x6b281fa8cb16a82d
43329 .xword 0xd00ec56b2fcb4f6d
43330 .xword 0x6c45cdf7d6a0c0f4
43331 .xword 0xa30b6b818cd916cc
43332 .xword 0x06822177b1f325f8
43333 .xword 0x82ce4644830c64a9
43334 .xword 0xb112446bb8846d94
43335 .xword 0xe5ce0410770369a0
43336 .xword 0x300176f0a41574c1
43337 .xword 0xacb4043764c5c958
43338 .xword 0xae6c5c75b12d7feb
43339 .xword 0xdcbc61402984643d
43340 .xword 0xdb7c530b7a75b921
43341 .xword 0x06ef0f3bde9b9879
43342 .xword 0x2a7e3902b49a6339
43343 .xword 0x956d4fc93ae5596b
43344 .xword 0x68176cffbe3b3682
43345 .xword 0xcc4a288b5759f51d
43346 .xword 0x81f563fcf74e0dbc
43347 .xword 0x6af0f6b22ec8b1ee
43348 .xword 0x53d5e9222400588d
43349 .xword 0x3b6bac31ebb5cfb0
43350 .xword 0xf306338299a18066
43351 .xword 0xc98324197962d2ec
43352 .xword 0xf500e8dd8c837555
43353 .xword 0x91bae5eafe35c26f
43354 .xword 0xedbc9eff45020fe4
43355 .xword 0xd6a7062290da2766
43356 .xword 0x7dc5f91c6acb726b
43357 .xword 0x4d37e8677cf327a3
43358 .xword 0x72d88c76c6c4c932
43359 .xword 0xc842543e77b47b3e
43360 .xword 0x1edbb0a5bfa2a1c2
43361 .xword 0xe42db78d39b2f04d
43362 .xword 0xe85e6778ff2b9800
43363 .xword 0x99d6d8bc4c1671cd
43364 .xword 0x8f91a35f9516b428
43365 .xword 0x300c3201eddb4808
43366 .xword 0x6938d113d6570c6d
43367 .xword 0x773c2545f8ea48dd
43368 .xword 0x2c294038cc76261d
43369 .xword 0x86baa53a33fbc1cf
43370 .xword 0x16d5785f69f63cc9
43371 .xword 0x56724ee6272f3344
43372 .xword 0x4f26b32205b4556c
43373 .xword 0x763f802da581be5b
43374 .xword 0xcd6a6260712f7fe6
43375 .xword 0xc88d1f93bdf621aa
43376 .xword 0xc2cb8f0513920d86
43377 .xword 0xf7522aed9a7521a5
43378 .xword 0x351d850a372a1e89
43379 .xword 0xaf4e3855f96c5bcd
43380 .xword 0x581082040a024c1d
43381 .xword 0xd9db85094d749816
43382 .xword 0xb4ae8ff78607c7d3
43383 .xword 0xc30e301d41aaf2fa
43384 .xword 0xda2637c37058bbb3
43385 .xword 0xe4e8e3a0ec76d8f4
43386 .xword 0x554109bbedabb619
43387 .xword 0x0bb8fadf3dbc7efe
43388 .xword 0xe99cc681f52f6d6d
43389 .xword 0x3004709c7b532dbe
43390 .xword 0x09eb0ea8fd524aa7
43391 .xword 0x6f85a4323e345588
43392 .xword 0x2e505194647eb339
43393 .xword 0xc1a8745030e5fef6
43394 .xword 0xfec9c76287b81a19
43395 .xword 0xc4bc6f77e24df962
43396 .xword 0xb0df072066e93d00
43397 .xword 0xd0806a4a057709af
43398 .xword 0x392a8bce0ad94cf4
43399 .xword 0xa86696b191d128be
43400 .xword 0xdc8bcea6212a0096
43401 .xword 0x114b5ba5da44ee43
43402 .xword 0x25449e7b2919e364
43403 .xword 0xab59ac8410a8ed3c
43404 .xword 0x3f4d45326972a92b
43405 .xword 0x6321c81da9098d13
43406 .xword 0x06769720679b4b9f
43407 .xword 0xbe2b97048fe91fec
43408 .xword 0x65caaef298eb5537
43409 .xword 0x5a16088c5ba3603a
43410 .xword 0xd36771561df6a90d
43411 .xword 0xf254d27e24f02518
43412 .xword 0x11994448a6dea463
43413 .xword 0x1ade2b7080b359d8
43414 .xword 0xc5e30121f6220920
43415 .xword 0x208fcdf727601773
43416 .xword 0x5a12ae3470fbf733
43417 .xword 0x286d015fae403048
43418 .xword 0xe4e6b5f2b2be38f0
43419 .xword 0x006b64e29192cde4
43420 .xword 0xa44b699acb0c67da
43421 .xword 0x78932e6b08ce0003
43422 .xword 0xf5aaa304064dac0c
43423 .xword 0xb54449ce670b147c
43424 .xword 0xc60bc58c7b7a7d93
43425 .xword 0x9027ae243abac9ca
43426 .xword 0x1d2c5ce8cdfc409c
43427 .xword 0x54918a1eee8b677d
43428 .xword 0xdcee9254233f60fa
43429 .xword 0xdfe63f0f818791e4
43430 .xword 0xe84ab6dd96475a8b
43431 .xword 0x3626bf76baae24ee
43432 .xword 0x4a0bf090440e8e33
43433 .xword 0xf54d37b85ac30d98
43434 .xword 0x8a2d18d55f4e7686
43435 .xword 0x7ca4701dbe36f08a
43436 .xword 0x4da69e06d5270443
43437 .xword 0xdc16ed8f8f6e9bc7
43438 .xword 0x842e9b8a207a12f6
43439 .xword 0x9089d0327db5a6ee
43440 .xword 0x12c45517eb3ddb40
43441 .xword 0x391e90cf91e67445
43442 .xword 0x4197b4d9cd209bf0
43443 .xword 0x35366aef58b143c1
43444 .xword 0xb77b9ab88ae110b2
43445 .xword 0xbe6079804d90fc08
43446 .xword 0xef5db72e1a49bc90
43447 .xword 0x9843d0d7fa4108de
43448 .xword 0x3e27bac015e324df
43449 .xword 0x031b049a76b0ab7a
43450 .xword 0x870e72455f12da4d
43451 .xword 0x6841629dda4bf2d3
43452 .xword 0x111e921f44456a51
43453 .xword 0xbd8295ab4bf51ae3
43454 .xword 0x15dd3670197921e8
43455 .xword 0xb7917d3619f69c1d
43456 .xword 0xf4cbcc3e862dd542
43457 .xword 0x0e13979222fae02b
43458 .xword 0x4c2fc6a2d1642319
43459 .xword 0xadd4345b1b4540f2
43460 .xword 0xf69f163ede0fdc01
43461 .xword 0x74824e8bccd3749e
43462 .xword 0x395bd51233d179e7
43463 .xword 0x4649d0110a797767
43464 .xword 0xa0ac7a8ed1485e34
43465 .xword 0xd5400cce347f6bfe
43466 .xword 0x6783f9cc6c2adbe6
43467 .xword 0xfb79ac63435386bd
43468 .xword 0x33faf68ff79c5b4a
43469 .xword 0xb11fe190f868069f
43470 .xword 0x97145f761e68dd2e
43471 .xword 0xa7c6c547c61b9ce2
43472 .xword 0xe904f7d70edc99b8
43473 .xword 0xd2e2bcd6ee3ad79f
43474 .xword 0xaeab163b7ce09686
43475 .xword 0x7b35db243e10682b
43476 .xword 0x5b0059eb61ca10ba
43477 .xword 0xde66bfc029bb43d4
43478 .xword 0x813b1412767b04f9
43479 .xword 0x5cab3d4ee485602b
43480 .xword 0x59f48838a6c9932b
43481 .xword 0x2f45516eb0097bf6
43482 .xword 0xa0d3fccb11937343
43483_t1_sslkey_dest:
43484 .xword 0xDEADBEEFDEADBEEF
43485 .xword 0xDEADBEEFDEADBEEF
43486 .xword 0xDEADBEEFDEADBEEF
43487 .xword 0xDEADBEEFDEADBEEF
43488 .xword 0xDEADBEEFDEADBEEF
43489 .xword 0xDEADBEEFDEADBEEF
43490 .xword 0xDEADBEEFDEADBEEF
43491 .xword 0xDEADBEEFDEADBEEF
43492 .xword 0xDEADBEEFDEADBEEF
43493 .xword 0xDEADBEEFDEADBEEF
43494 .xword 0xDEADBEEFDEADBEEF
43495 .xword 0xDEADBEEFDEADBEEF
43496 .xword 0xDEADBEEFDEADBEEF
43497 .xword 0xDEADBEEFDEADBEEF
43498 .xword 0xDEADBEEFDEADBEEF
43499 .xword 0xDEADBEEFDEADBEEF
43500 .xword 0xDEADBEEFDEADBEEF
43501 .xword 0xDEADBEEFDEADBEEF
43502 .xword 0xDEADBEEFDEADBEEF
43503 .xword 0xDEADBEEFDEADBEEF
43504 .xword 0xDEADBEEFDEADBEEF
43505 .xword 0xDEADBEEFDEADBEEF
43506 .xword 0xDEADBEEFDEADBEEF
43507 .xword 0xDEADBEEFDEADBEEF
43508 .xword 0xDEADBEEFDEADBEEF
43509 .xword 0xDEADBEEFDEADBEEF
43510 .xword 0xDEADBEEFDEADBEEF
43511 .xword 0xDEADBEEFDEADBEEF
43512 .xword 0xDEADBEEFDEADBEEF
43513 .xword 0xDEADBEEFDEADBEEF
43514 .xword 0xDEADBEEFDEADBEEF
43515 .xword 0xDEADBEEFDEADBEEF
43516 .xword 0xDEADBEEFDEADBEEF
43517 .xword 0xDEADBEEFDEADBEEF
43518 .xword 0xDEADBEEFDEADBEEF
43519 .xword 0xDEADBEEFDEADBEEF
43520 .xword 0xDEADBEEFDEADBEEF
43521 .xword 0xDEADBEEFDEADBEEF
43522 .xword 0xDEADBEEFDEADBEEF
43523 .xword 0xDEADBEEFDEADBEEF
43524 .xword 0xDEADBEEFDEADBEEF
43525 .xword 0xDEADBEEFDEADBEEF
43526 .xword 0xDEADBEEFDEADBEEF
43527 .xword 0xDEADBEEFDEADBEEF
43528 .xword 0xDEADBEEFDEADBEEF
43529 .xword 0xDEADBEEFDEADBEEF
43530 .xword 0xDEADBEEFDEADBEEF
43531 .xword 0xDEADBEEFDEADBEEF
43532 .xword 0xDEADBEEFDEADBEEF
43533 .xword 0xDEADBEEFDEADBEEF
43534 .xword 0xDEADBEEFDEADBEEF
43535 .xword 0xDEADBEEFDEADBEEF
43536 .xword 0xDEADBEEFDEADBEEF
43537 .xword 0xDEADBEEFDEADBEEF
43538 .xword 0xDEADBEEFDEADBEEF
43539 .xword 0xDEADBEEFDEADBEEF
43540 .xword 0xDEADBEEFDEADBEEF
43541 .xword 0xDEADBEEFDEADBEEF
43542 .xword 0xDEADBEEFDEADBEEF
43543 .xword 0xDEADBEEFDEADBEEF
43544 .xword 0xDEADBEEFDEADBEEF
43545 .xword 0xDEADBEEFDEADBEEF
43546 .xword 0xDEADBEEFDEADBEEF
43547 .xword 0xDEADBEEFDEADBEEF
43548 .xword 0xDEADBEEFDEADBEEF
43549 .xword 0xDEADBEEFDEADBEEF
43550 .xword 0xDEADBEEFDEADBEEF
43551 .xword 0xDEADBEEFDEADBEEF
43552 .xword 0xDEADBEEFDEADBEEF
43553 .xword 0xDEADBEEFDEADBEEF
43554 .xword 0xDEADBEEFDEADBEEF
43555 .xword 0xDEADBEEFDEADBEEF
43556 .xword 0xDEADBEEFDEADBEEF
43557 .xword 0xDEADBEEFDEADBEEF
43558 .xword 0xDEADBEEFDEADBEEF
43559 .xword 0xDEADBEEFDEADBEEF
43560 .xword 0xDEADBEEFDEADBEEF
43561 .xword 0xDEADBEEFDEADBEEF
43562 .xword 0xDEADBEEFDEADBEEF
43563 .xword 0xDEADBEEFDEADBEEF
43564 .xword 0xDEADBEEFDEADBEEF
43565 .xword 0xDEADBEEFDEADBEEF
43566 .xword 0xDEADBEEFDEADBEEF
43567 .xword 0xDEADBEEFDEADBEEF
43568 .xword 0xDEADBEEFDEADBEEF
43569 .xword 0xDEADBEEFDEADBEEF
43570 .xword 0xDEADBEEFDEADBEEF
43571 .xword 0xDEADBEEFDEADBEEF
43572 .xword 0xDEADBEEFDEADBEEF
43573 .xword 0xDEADBEEFDEADBEEF
43574 .xword 0xDEADBEEFDEADBEEF
43575 .xword 0xDEADBEEFDEADBEEF
43576 .xword 0xDEADBEEFDEADBEEF
43577 .xword 0xDEADBEEFDEADBEEF
43578 .xword 0xDEADBEEFDEADBEEF
43579 .xword 0xDEADBEEFDEADBEEF
43580 .xword 0xDEADBEEFDEADBEEF
43581 .xword 0xDEADBEEFDEADBEEF
43582 .xword 0xDEADBEEFDEADBEEF
43583 .xword 0xDEADBEEFDEADBEEF
43584 .xword 0xDEADBEEFDEADBEEF
43585 .xword 0xDEADBEEFDEADBEEF
43586 .xword 0xDEADBEEFDEADBEEF
43587 .xword 0xDEADBEEFDEADBEEF
43588 .xword 0xDEADBEEFDEADBEEF
43589 .xword 0xDEADBEEFDEADBEEF
43590 .xword 0xDEADBEEFDEADBEEF
43591 .xword 0xDEADBEEFDEADBEEF
43592 .xword 0xDEADBEEFDEADBEEF
43593 .xword 0xDEADBEEFDEADBEEF
43594 .xword 0xDEADBEEFDEADBEEF
43595 .xword 0xDEADBEEFDEADBEEF
43596 .xword 0xDEADBEEFDEADBEEF
43597 .xword 0xDEADBEEFDEADBEEF
43598 .xword 0xDEADBEEFDEADBEEF
43599 .xword 0xDEADBEEFDEADBEEF
43600 .xword 0xDEADBEEFDEADBEEF
43601 .xword 0xDEADBEEFDEADBEEF
43602 .xword 0xDEADBEEFDEADBEEF
43603 .xword 0xDEADBEEFDEADBEEF
43604 .xword 0xDEADBEEFDEADBEEF
43605 .xword 0xDEADBEEFDEADBEEF
43606 .xword 0xDEADBEEFDEADBEEF
43607 .xword 0xDEADBEEFDEADBEEF
43608 .xword 0xDEADBEEFDEADBEEF
43609 .xword 0xDEADBEEFDEADBEEF
43610 .xword 0xDEADBEEFDEADBEEF
43611 .xword 0xDEADBEEFDEADBEEF
43612 .xword 0xDEADBEEFDEADBEEF
43613 .xword 0xDEADBEEFDEADBEEF
43614 .xword 0xDEADBEEFDEADBEEF
43615 .xword 0xDEADBEEFDEADBEEF
43616 .xword 0xDEADBEEFDEADBEEF
43617 .xword 0xDEADBEEFDEADBEEF
43618 .xword 0xDEADBEEFDEADBEEF
43619 .xword 0xDEADBEEFDEADBEEF
43620 .xword 0xDEADBEEFDEADBEEF
43621 .xword 0xDEADBEEFDEADBEEF
43622 .xword 0xDEADBEEFDEADBEEF
43623 .xword 0xDEADBEEFDEADBEEF
43624 .xword 0xDEADBEEFDEADBEEF
43625 .xword 0xDEADBEEFDEADBEEF
43626 .xword 0xDEADBEEFDEADBEEF
43627 .xword 0xDEADBEEFDEADBEEF
43628 .xword 0xDEADBEEFDEADBEEF
43629 .xword 0xDEADBEEFDEADBEEF
43630 .xword 0xDEADBEEFDEADBEEF
43631 .xword 0xDEADBEEFDEADBEEF
43632 .xword 0xDEADBEEFDEADBEEF
43633 .xword 0xDEADBEEFDEADBEEF
43634 .xword 0xDEADBEEFDEADBEEF
43635 .xword 0xDEADBEEFDEADBEEF
43636 .xword 0xDEADBEEFDEADBEEF
43637 .xword 0xDEADBEEFDEADBEEF
43638 .xword 0xDEADBEEFDEADBEEF
43639 .xword 0xDEADBEEFDEADBEEF
43640 .xword 0xDEADBEEFDEADBEEF
43641 .xword 0xDEADBEEFDEADBEEF
43642 .xword 0xDEADBEEFDEADBEEF
43643 .xword 0xDEADBEEFDEADBEEF
43644 .xword 0xDEADBEEFDEADBEEF
43645 .xword 0xDEADBEEFDEADBEEF
43646 .xword 0xDEADBEEFDEADBEEF
43647 .xword 0xDEADBEEFDEADBEEF
43648 .xword 0xDEADBEEFDEADBEEF
43649 .xword 0xDEADBEEFDEADBEEF
43650 .xword 0xDEADBEEFDEADBEEF
43651 .xword 0xDEADBEEFDEADBEEF
43652 .xword 0xDEADBEEFDEADBEEF
43653 .xword 0xDEADBEEFDEADBEEF
43654 .xword 0xDEADBEEFDEADBEEF
43655 .xword 0xDEADBEEFDEADBEEF
43656 .xword 0xDEADBEEFDEADBEEF
43657 .xword 0xDEADBEEFDEADBEEF
43658 .xword 0xDEADBEEFDEADBEEF
43659 .xword 0xDEADBEEFDEADBEEF
43660 .xword 0xDEADBEEFDEADBEEF
43661 .xword 0xDEADBEEFDEADBEEF
43662 .xword 0xDEADBEEFDEADBEEF
43663 .xword 0xDEADBEEFDEADBEEF
43664 .xword 0xDEADBEEFDEADBEEF
43665 .xword 0xDEADBEEFDEADBEEF
43666 .xword 0xDEADBEEFDEADBEEF
43667 .xword 0xDEADBEEFDEADBEEF
43668 .xword 0xDEADBEEFDEADBEEF
43669 .xword 0xDEADBEEFDEADBEEF
43670 .xword 0xDEADBEEFDEADBEEF
43671 .xword 0xDEADBEEFDEADBEEF
43672 .xword 0xDEADBEEFDEADBEEF
43673 .xword 0xDEADBEEFDEADBEEF
43674 .xword 0xDEADBEEFDEADBEEF
43675 .xword 0xDEADBEEFDEADBEEF
43676 .xword 0xDEADBEEFDEADBEEF
43677 .xword 0xDEADBEEFDEADBEEF
43678 .xword 0xDEADBEEFDEADBEEF
43679 .xword 0xDEADBEEFDEADBEEF
43680 .xword 0xDEADBEEFDEADBEEF
43681 .xword 0xDEADBEEFDEADBEEF
43682 .xword 0xDEADBEEFDEADBEEF
43683 .xword 0xDEADBEEFDEADBEEF
43684 .xword 0xDEADBEEFDEADBEEF
43685 .xword 0xDEADBEEFDEADBEEF
43686 .xword 0xDEADBEEFDEADBEEF
43687 .xword 0xDEADBEEFDEADBEEF
43688 .xword 0xDEADBEEFDEADBEEF
43689 .xword 0xDEADBEEFDEADBEEF
43690 .xword 0xDEADBEEFDEADBEEF
43691 .xword 0xDEADBEEFDEADBEEF
43692 .xword 0xDEADBEEFDEADBEEF
43693 .xword 0xDEADBEEFDEADBEEF
43694 .xword 0xDEADBEEFDEADBEEF
43695 .xword 0xDEADBEEFDEADBEEF
43696 .xword 0xDEADBEEFDEADBEEF
43697 .xword 0xDEADBEEFDEADBEEF
43698 .xword 0xDEADBEEFDEADBEEF
43699 .xword 0xDEADBEEFDEADBEEF
43700 .xword 0xDEADBEEFDEADBEEF
43701 .xword 0xDEADBEEFDEADBEEF
43702 .xword 0xDEADBEEFDEADBEEF
43703 .xword 0xDEADBEEFDEADBEEF
43704 .xword 0xDEADBEEFDEADBEEF
43705 .xword 0xDEADBEEFDEADBEEF
43706 .xword 0xDEADBEEFDEADBEEF
43707 .xword 0xDEADBEEFDEADBEEF
43708 .xword 0xDEADBEEFDEADBEEF
43709 .xword 0xDEADBEEFDEADBEEF
43710 .xword 0xDEADBEEFDEADBEEF
43711 .xword 0xDEADBEEFDEADBEEF
43712 .xword 0xDEADBEEFDEADBEEF
43713 .xword 0xDEADBEEFDEADBEEF
43714 .xword 0xDEADBEEFDEADBEEF
43715 .xword 0xDEADBEEFDEADBEEF
43716 .xword 0xDEADBEEFDEADBEEF
43717 .xword 0xDEADBEEFDEADBEEF
43718 .xword 0xDEADBEEFDEADBEEF
43719 .xword 0xDEADBEEFDEADBEEF
43720 .xword 0xDEADBEEFDEADBEEF
43721 .xword 0xDEADBEEFDEADBEEF
43722 .xword 0xDEADBEEFDEADBEEF
43723 .xword 0xDEADBEEFDEADBEEF
43724 .xword 0xDEADBEEFDEADBEEF
43725 .xword 0xDEADBEEFDEADBEEF
43726 .xword 0xDEADBEEFDEADBEEF
43727 .xword 0xDEADBEEFDEADBEEF
43728 .xword 0xDEADBEEFDEADBEEF
43729 .xword 0xDEADBEEFDEADBEEF
43730 .xword 0xDEADBEEFDEADBEEF
43731 .xword 0xDEADBEEFDEADBEEF
43732 .xword 0xDEADBEEFDEADBEEF
43733 .xword 0xDEADBEEFDEADBEEF
43734 .xword 0xDEADBEEFDEADBEEF
43735 .xword 0xDEADBEEFDEADBEEF
43736 .xword 0xDEADBEEFDEADBEEF
43737 .xword 0xDEADBEEFDEADBEEF
43738 .xword 0xDEADBEEFDEADBEEF
43739 .xword 0xDEADBEEFDEADBEEF
43740 .xword 0xDEADBEEFDEADBEEF
43741 .xword 0xDEADBEEFDEADBEEF
43742 .xword 0xDEADBEEFDEADBEEF
43743 .xword 0xDEADBEEFDEADBEEF
43744 .xword 0xDEADBEEFDEADBEEF
43745 .xword 0xDEADBEEFDEADBEEF
43746 .xword 0xDEADBEEFDEADBEEF
43747 .xword 0xDEADBEEFDEADBEEF
43748 .xword 0xDEADBEEFDEADBEEF
43749 .xword 0xDEADBEEFDEADBEEF
43750 .xword 0xDEADBEEFDEADBEEF
43751 .xword 0xDEADBEEFDEADBEEF
43752 .xword 0xDEADBEEFDEADBEEF
43753 .xword 0xDEADBEEFDEADBEEF
43754 .xword 0xDEADBEEFDEADBEEF
43755 .xword 0xDEADBEEFDEADBEEF
43756 .xword 0xDEADBEEFDEADBEEF
43757 .xword 0xDEADBEEFDEADBEEF
43758 .xword 0xDEADBEEFDEADBEEF
43759_t1_sslkey_auth_key:
43760 .xword 0x13235ddc8c1c1ea2
43761 .xword 0x4352b7c0cd0ae87e
43762 .xword 0x222afb4b4f084a52
43763 .xword 0xb1f8b2aa591e21ef
43764 .xword 0x99c2c28c6bdcf3ce
43765 .xword 0xb86852cbbbb7d752
43766 .xword 0xc04d57f249020dee
43767 .xword 0x99c2b78e9604cc0e
43768 .xword 0xf7fe2b9e8e52c7e9
43769 .xword 0x4d7b02c00d0db9a8
43770 .xword 0x347b85b6e38a7854
43771 .xword 0x7e7a600ec7706c32
43772 .xword 0x3fc86aab321809f3
43773 .xword 0x4afc4d7bb9d8e842
43774 .xword 0xf7813bd9f704757d
43775 .xword 0xa7527dcf6f5ad9d7
43776 .xword 0x494cf8250b6d32ec
43777 .xword 0x4e55334b2646de6c
43778 .xword 0x64165e58855c22b2
43779 .xword 0xb372d4e433a4114f
43780 .xword 0x5bacff1d281e12db
43781 .xword 0x75c1f37ecca81a10
43782 .xword 0xe163701d49a62d4d
43783_t1_sslkey_auth_iv:
43784 .xword 0x98b7674a6003a333
43785 .xword 0x63804f65c36cd4a3
43786 .xword 0xf1565425bdd3bf6c
43787 .xword 0xac8bf26a42fba805
43788 .xword 0xfb57edb7922576cd
43789 .xword 0x43535cfe84f948df
43790 .xword 0xf5cd48640c448cdf
43791 .xword 0xe0d73535f8088d0b
43792 .xword 0xacf99dfa399bdf73
43793 .xword 0x3739a7d00d43e8c2
43794 .xword 0x19c6ff6a0251b344
43795 .xword 0xd88dbaf2ea6ea6ce
43796 .xword 0x42d80d48d2c377a4
43797 .xword 0xfade94f0d3733eab
43798 .xword 0xfdd34b34b6a429d9
43799 .xword 0xf731b0ba819d3a58
43800 .xword 0xba06276b3e1c67e0
43801 .xword 0x6aa7c82f62641115
43802 .xword 0xbedfb18c70d34e2e
43803 .xword 0x647c55f50b10032a
43804 .xword 0x406ee05136572446
43805 .xword 0x1cc6a8f876d65766
43806 .xword 0x5051685c04a891e9
43807_t1_sslkey_fas_result:
43808 .xword 0xDEADBEEFDEADBEEF
43809 .xword 0xDEADBEEFDEADBEEF
43810 .xword 0xDEADBEEFDEADBEEF
43811 .xword 0xDEADBEEFDEADBEEF
43812 .xword 0xDEADBEEFDEADBEEF
43813 .xword 0xDEADBEEFDEADBEEF
43814 .xword 0xDEADBEEFDEADBEEF
43815 .xword 0xDEADBEEFDEADBEEF
43816 .xword 0xDEADBEEFDEADBEEF
43817 .xword 0xDEADBEEFDEADBEEF
43818 .xword 0xDEADBEEFDEADBEEF
43819 .xword 0xDEADBEEFDEADBEEF
43820 .xword 0xDEADBEEFDEADBEEF
43821 .xword 0xDEADBEEFDEADBEEF
43822 .xword 0xDEADBEEFDEADBEEF
43823 .xword 0xDEADBEEFDEADBEEF
43824 .xword 0xDEADBEEFDEADBEEF
43825 .xword 0xDEADBEEFDEADBEEF
43826 .xword 0xDEADBEEFDEADBEEF
43827 .xword 0xDEADBEEFDEADBEEF
43828 .xword 0xDEADBEEFDEADBEEF
43829 .xword 0xDEADBEEFDEADBEEF
43830 .xword 0xDEADBEEFDEADBEEF
43831_t1_aes_toc:
43832 .xword _t1_aes_cwd_array
43833 .xword _t1_aes_src
43834 .xword _t1_aes_auth_key
43835 .xword _t1_aes_auth_iv
43836 .xword _t1_aes_fas_result
43837 .xword _t1_aes_key_array
43838 .xword _t1_aes_iv_array
43839 .xword _t1_aes_dest
43840 .xword _t1_aes_alignment_array
43841_t1_des_toc:
43842 .xword _t1_des_cwd_array
43843 .xword _t1_des_src
43844 .xword _t1_des_auth_key
43845 .xword _t1_des_auth_iv
43846 .xword _t1_des_fas_result
43847 .xword _t1_des_key_array
43848 .xword _t1_des_iv_array
43849 .xword _t1_des_dest
43850 .xword _t1_des_alignment_array
43851_t1_copy_toc:
43852 .xword _t1_copy_cwd_array
43853 .xword _t1_copy_src
43854 .xword _t1_copy_auth_key
43855 .xword _t1_copy_auth_iv
43856 .xword _t1_copy_fas_result
43857 .xword _t1_copy_key_array
43858 .xword _t1_copy_iv_array
43859 .xword _t1_copy_dest
43860 .xword _t1_copy_alignment_array
43861_t1_crc_toc:
43862 .xword _t1_crc_cwd_array
43863 .xword _t1_crc_src
43864 .xword _t1_crc_auth_key
43865 .xword _t1_crc_auth_iv
43866 .xword _t1_crc_fas_result
43867 .xword _t1_crc_key_array
43868 .xword _t1_crc_iv_array
43869 .xword _t1_crc_dest
43870 .xword _t1_crc_alignment_array
43871_t1_hash_toc:
43872 .xword _t1_hash_cwd_array
43873 .xword _t1_hash_src
43874 .xword _t1_hash_auth_key
43875 .xword _t1_hash_auth_iv
43876 .xword _t1_hash_fas_result
43877 .xword _t1_hash_key_array
43878 .xword _t1_hash_iv_array
43879 .xword _t1_hash_dest
43880 .xword _t1_hash_alignment_array
43881_t1_hmac_toc:
43882 .xword _t1_hmac_cwd_array
43883 .xword _t1_hmac_src
43884 .xword _t1_hmac_auth_key
43885 .xword _t1_hmac_auth_iv
43886 .xword _t1_hmac_fas_result
43887 .xword _t1_hmac_key_array
43888 .xword _t1_hmac_iv_array
43889 .xword _t1_hmac_dest
43890 .xword _t1_hmac_alignment_array
43891_t1_rc4_toc:
43892 .xword _t1_rc4_cwd_array
43893 .xword _t1_rc4_src
43894 .xword _t1_rc4_auth_key
43895 .xword _t1_rc4_auth_iv
43896 .xword _t1_rc4_fas_result
43897 .xword _t1_rc4_key_array
43898 .xword _t1_rc4_iv_array
43899 .xword _t1_rc4_dest
43900 .xword _t1_rc4_alignment_array
43901_t1_sslkey_toc:
43902 .xword _t1_sslkey_cwd_array
43903 .xword _t1_sslkey_src
43904 .xword _t1_sslkey_auth_key
43905 .xword _t1_sslkey_auth_iv
43906 .xword _t1_sslkey_fas_result
43907 .xword _t1_sslkey_key_array
43908 .xword _t1_sslkey_iv_array
43909 .xword _t1_sslkey_dest
43910 .xword _t1_sslkey_alignment_array
43911_t1_table_of_context3:
43912 .xword _t1_aes_toc
43913 .xword _t1_des_toc
43914 .xword _t1_copy_toc
43915 .xword _t1_crc_toc
43916 .xword _t1_hash_toc
43917 .xword _t1_hmac_toc
43918 .xword _t1_rc4_toc
43919 .xword _t1_sslkey_toc
43920
43921!# CWQ data area, set aside 512 CW's worth
43922!# 512*8*8 = 32KB
43923.align 32*1024
43924_t1_cwq_base3:
43925 .xword 0xAAAAAAAAAAAAAAA
43926 .xword 0xAAAAAAAAAAAAAAA
43927 .xword 0xAAAAAAAAAAAAAAA
43928 .xword 0xAAAAAAAAAAAAAAA
43929 .xword 0xAAAAAAAAAAAAAAA
43930 .xword 0xAAAAAAAAAAAAAAA
43931 .xword 0xAAAAAAAAAAAAAAA
43932 .xword 0xAAAAAAAAAAAAAAA
43933.align 32*1024
43934_t1_cwq_last3:
43935
43936SECTION ._t1_T_CWQ_DATA4 DATA_VA=289406976
43937attr_data {
43938 Name = ._t1_T_CWQ_DATA4
43939 hypervisor
43940}
43941 .data
43942_t1_user_data_start4:
43943_t1_scratch_area4:
43944
43945.align 16
43946_t1_spu_op_array4:
43947 .xword 3
43948 .xword 6
43949 .xword 6
43950 .xword 3
43951 .xword 2
43952 .xword 3
43953 .xword 4
43954 .xword 4
43955 .xword 1
43956 .xword 1
43957 .xword 2
43958 .xword 6
43959 .xword 0
43960 .xword 5
43961 .xword 7
43962_t1_aes_cwd_array4:
43963 .xword 0xc0e100a01b00000f
43964 .xword 0x406100601400002f
43965 .xword 0xc0e100e01700001f
43966 .xword 0xc0e000e01800003f
43967 .xword 0x406000601100003f
43968 .xword 0xc0e100a01400002f
43969 .xword 0x40e000e01300000f
43970 .xword 0xc06000001b00000f
43971 .xword 0xc06000e01900001f
43972 .xword 0x40e000201100001f
43973 .xword 0xc0e000801700002f
43974 .xword 0x406000401100000f
43975 .xword 0x40e100801300001f
43976 .xword 0x40e100201100001f
43977 .xword 0xc06000c01000003f
43978_t1_des_cwd_array4:
43979 .xword 0xc06000400e00000f
43980 .xword 0x40e100c00c00000f
43981 .xword 0x40e000a00900000f
43982 .xword 0xc0e100a008000017
43983 .xword 0xc0e100200a00000f
43984 .xword 0xc0e000600c00001f
43985 .xword 0xc0e000a008000007
43986 .xword 0xc0e000800800001f
43987 .xword 0xc0e100400a000007
43988 .xword 0xc06100400900001f
43989 .xword 0x40e100800d00000f
43990 .xword 0x40e100a009000007
43991 .xword 0x40e100600c000017
43992 .xword 0x406000400d000017
43993 .xword 0xc06000c00d000007
43994_t1_copy_cwd_array4:
43995 .xword 0xa061006000000003
43996 .xword 0x2060000000000009
43997 .xword 0x2061000000000007
43998 .xword 0xa060008000000008
43999 .xword 0x2061004000000003
44000 .xword 0x206000e000000001
44001 .xword 0xa06100e00000000a
44002 .xword 0x206000600000000d
44003 .xword 0xa061002000000008
44004 .xword 0x206100a000000000
44005 .xword 0xa060000000000005
44006 .xword 0x2060000000000008
44007 .xword 0x2061008000000004
44008 .xword 0xa06000a00000000b
44009 .xword 0xa061004000000008
44010_t1_crc_cwd_array4:
44011 .xword 0xc16203e40000000e
44012 .xword 0xc16301c80000000f
44013 .xword 0xc160030400000008
44014 .xword 0x416001880000000f
44015 .xword 0x416203c40000000e
44016 .xword 0xc162018800000003
44017 .xword 0x4160032400000008
44018 .xword 0xc161010800000009
44019 .xword 0x4163036400000001
44020 .xword 0xc163016800000008
44021 .xword 0x416303e400000003
44022 .xword 0xc16101680000000d
44023 .xword 0xc16003c400000003
44024 .xword 0x4162018800000008
44025_t1_hash_cwd_array4:
44026 .xword 0x4160040100000040
44027 .xword 0x416312830000003b
44028 .xword 0xc1630de100000028
44029 .xword 0xc160042100000037
44030 .xword 0xc160054200000023
44031 .xword 0x416302a20000003c
44032 .xword 0x41610de10000000b
44033 .xword 0x41610e0100000010
44034 .xword 0x41601c030000001b
44035 .xword 0xc163004100000038
44036 .xword 0xc162058100000020
44037 .xword 0x416302410000000a
44038 .xword 0x41630c810000001a
44039 .xword 0xc16108e100000025
44040 .xword 0xc160018100000001
44041_t1_hmac_cwd_array4:
44042 .xword 0x416202a9000f002d
44043 .xword 0x41611527001f000c
44044 .xword 0xc1630d09000f003d
44045 .xword 0x416111ea00130021
44046 .xword 0xc1600e09000f003a
44047 .xword 0x416201a9000f0029
44048 .xword 0x41620e49000f0003
44049 .xword 0x416103e7001f0003
44050 .xword 0xc1630285000f0006
44051 .xword 0xc1620b4600130034
44052 .xword 0xc162122a00130016
44053 .xword 0x41600809000f0022
44054 .xword 0x4160034600130028
44055 .xword 0xc1610385000f0006
44056 .xword 0xc16300860013000b
44057_t1_rc4_cwd_array4:
44058 .xword 0xc0e100e000000001
44059 .xword 0x40e100c004000005
44060 .xword 0xc0e1000004000008
44061 .xword 0xc0e0004004000005
44062 .xword 0x40e1008000000005
44063 .xword 0xc0e100a00400000a
44064 .xword 0x40e000a000000004
44065 .xword 0xc0e100c000000000
44066 .xword 0xc0e000a004000000
44067 .xword 0x40e000a00400000d
44068 .xword 0xc0e1004000000009
44069 .xword 0xc0e000a000000001
44070 .xword 0x40e000e00400000f
44071 .xword 0xc0e000a00400000b
44072 .xword 0xc0e1002004000004
44073_t1_sslkey_cwd_array4:
44074 .xword 0x90601e4000000000, 0
44075 .xword 0x90601fc000000000, 0
44076 .xword 0x10602d8000000000, 0
44077 .xword 0x906033e000000000, 0
44078 .xword 0x1060186000000000, 0
44079 .xword 0x1060046000000000, 0
44080 .xword 0x9060094000000000, 0
44081 .xword 0x9060206000000000, 0
44082 .xword 0x106001c000000000, 0
44083 .xword 0x90601aa000000000, 0
44084 .xword 0x1060294000000000, 0
44085 .xword 0x90600dc000000000, 0
44086 .xword 0x1060278000000000, 0
44087 .xword 0x906024a000000000, 0
44088 .xword 0x906034e000000000, 0
44089_t1_aes_key_array:
44090 .xword 0x502937098bdb6495
44091 .xword 0x23ee369283a46e2c
44092 .xword 0x72c7dcb5f0b8db95
44093 .xword 0x68c45740eb89bcd8
44094 .xword 0x24ad4cf3f0e4ffcf
44095 .xword 0x960567a583339a6f
44096 .xword 0x615222f4320c58be
44097 .xword 0x19543312938ce236
44098 .xword 0xc3e3569bd0238a2c
44099 .xword 0x47589888b36c1806
44100 .xword 0x9df69b1b35b9f76d
44101 .xword 0xe9c9270224bdeafd
44102 .xword 0x03f07b5162f1922d
44103 .xword 0xf08ad64e9cd2b3dc
44104 .xword 0x104b5f64dfc9b2d8
44105 .xword 0x696d7da175751720
44106 .xword 0x4fdf3f69a3ced4cb
44107 .xword 0x95a79f600fffb786
44108 .xword 0x9d781fd2e5377d7b
44109 .xword 0xb036773a4558ebe4
44110 .xword 0x99cda40362bd2740
44111 .xword 0xb17392a028fc5ee1
44112 .xword 0xf879b57897786b2c
44113 .xword 0xd49426e8a7fa8bd3
44114 .xword 0x3a09e74c83fada61
44115 .xword 0x19dd4959095a571b
44116 .xword 0xf65be2d3c4d9882c
44117 .xword 0x5469e5f9c02d0cbb
44118 .xword 0x80cfec485dc735ee
44119 .xword 0x739b365aea43ed37
44120 .xword 0x348538db6cbf4dc2
44121 .xword 0x16adc7064281fb0e
44122 .xword 0xc53847eefb34ed84
44123 .xword 0x51a86ae80ee897fd
44124 .xword 0x7da8685c196ec89e
44125 .xword 0x2cb2430966fa0ed9
44126 .xword 0x64239c2697bc1e88
44127 .xword 0xf29660fdf2ebee90
44128 .xword 0xfc39436ff6db449d
44129 .xword 0xc9d8977668b50ce5
44130 .xword 0xd75556454756c648
44131 .xword 0x981f68abd80c5158
44132 .xword 0x1133e30f6b6e23d0
44133 .xword 0xe3a0a252c25ae0e2
44134 .xword 0xea1b922f33d7c26c
44135 .xword 0x5c00822b705c41ad
44136 .xword 0x59a0217903d2c33c
44137 .xword 0x5d37982b94569732
44138 .xword 0x285c319f1d4a174c
44139 .xword 0xe054e75179e1706f
44140 .xword 0x3257efa572b616db
44141_t1_aes_iv_array:
44142 .xword 0xd8427c4c1fc98e51
44143 .xword 0xc0bf9e317d79cdc5
44144 .xword 0xfb964e31d6cbe22a
44145 .xword 0xaa30064b9e174605
44146 .xword 0x8731afe615c52955
44147 .xword 0xbf617069830db33e
44148 .xword 0xb03d1462cbfa68fb
44149 .xword 0x37296cd211d9e0f9
44150 .xword 0x521377652d6ce0a0
44151 .xword 0xda85820e1d7e4925
44152 .xword 0x4fd566d0d77ea581
44153 .xword 0xf8df873a63eac167
44154 .xword 0xdad006f4436ba3f8
44155 .xword 0x000e11f548c48e37
44156 .xword 0xe41fefd71302dacb
44157 .xword 0x9581fdebbfd0c003
44158 .xword 0x8dd41a8411f7632f
44159 .xword 0x66a85d6dc0a777f5
44160 .xword 0xcaced7ac539067fb
44161 .xword 0x39fb915c9bcbd75d
44162 .xword 0x6c0e2f7d3673db1d
44163 .xword 0xed111b088fb5f116
44164 .xword 0x53940002d6acc179
44165 .xword 0xd32a6b671b5c8055
44166 .xword 0x31d7154e2dd83483
44167 .xword 0xd576f9520391f0f0
44168 .xword 0x1c5072e35ac697f7
44169 .xword 0x9b84cb036425ca7f
44170 .xword 0xd05d74c0dacc6700
44171 .xword 0x0ce066fc584179b4
44172 .xword 0xe5730ad12083ee44
44173 .xword 0xc488f31b3a53dcbc
44174 .xword 0x80fffe80d6223270
44175 .xword 0xb61bbc08ef7a980d
44176 .xword 0xb2c162baf9695598
44177 .xword 0xefaf73f66e698c3e
44178 .xword 0x9fc15526fcdbb76e
44179 .xword 0xb46ff32da619e90b
44180 .xword 0xe2a72d66a11481a0
44181 .xword 0x5d43c9dc99bb0606
44182 .xword 0xc38e25ce064c8645
44183 .xword 0x799115e0e1033b9f
44184 .xword 0x7700c3051644705f
44185 .xword 0x7bdbc221c2c5888b
44186 .xword 0x0dc5449174a8d788
44187_t1_aes_alignment_array:
44188 .xword 6
44189 .xword 1
44190 .xword 3
44191 .xword 2
44192 .xword 2
44193 .xword 10
44194 .xword 8
44195 .xword 0
44196 .xword 8
44197 .xword 0
44198 .xword 7
44199 .xword 4
44200 .xword 4
44201 .xword 6
44202 .xword 2
44203 .xword 6
44204 .xword 11
44205 .xword 6
44206 .xword 4
44207 .xword 11
44208 .xword 5
44209 .xword 7
44210 .xword 10
44211 .xword 9
44212 .xword 15
44213 .xword 5
44214 .xword 1
44215 .xword 4
44216 .xword 3
44217 .xword 12
44218 .xword 10
44219 .xword 4
44220 .xword 6
44221 .xword 0
44222 .xword 7
44223 .xword 4
44224 .xword 5
44225 .xword 0
44226 .xword 3
44227 .xword 14
44228 .xword 1
44229 .xword 2
44230 .xword 4
44231 .xword 13
44232 .xword 3
44233 .xword 1
44234 .xword 10
44235 .xword 5
44236 .xword 6
44237 .xword 14
44238 .xword 11
44239 .xword 5
44240 .xword 14
44241 .xword 8
44242 .xword 10
44243 .xword 1
44244 .xword 10
44245 .xword 11
44246 .xword 1
44247 .xword 9
44248 .xword 1
44249 .xword 6
44250 .xword 0
44251 .xword 10
44252 .xword 15
44253 .xword 1
44254 .xword 8
44255 .xword 8
44256 .xword 13
44257 .xword 13
44258 .xword 13
44259 .xword 1
44260 .xword 0
44261 .xword 13
44262 .xword 3
44263 .xword 9
44264 .xword 14
44265 .xword 2
44266 .xword 3
44267 .xword 6
44268 .xword 3
44269 .xword 15
44270 .xword 11
44271 .xword 4
44272 .xword 6
44273 .xword 3
44274 .xword 15
44275 .xword 1
44276 .xword 15
44277 .xword 12
44278 .xword 12
44279 .xword 8
44280 .xword 6
44281 .xword 5
44282 .xword 8
44283 .xword 14
44284 .xword 6
44285 .xword 6
44286 .xword 4
44287 .xword 10
44288 .xword 4
44289 .xword 9
44290 .xword 4
44291 .xword 15
44292 .xword 5
44293_t1_aes_src:
44294 .xword 0x3c3187cbd8927f94
44295 .xword 0xd6c9672377594f58
44296 .xword 0xbeb0a768e446438e
44297 .xword 0xaa1ba0cce56aecd0
44298 .xword 0x077e377c1f81b72a
44299 .xword 0xc4b7646d92293f41
44300 .xword 0xe24fcc633cbb6456
44301 .xword 0xb8851d6083d2d583
44302 .xword 0xb29c5dc93f0ad7d4
44303 .xword 0xd8d394d3bf78651a
44304 .xword 0xeb196e3f201413f8
44305 .xword 0xc23683d11b216372
44306 .xword 0x0d55ae4b01be3e9f
44307 .xword 0xc8d456c3684d41b2
44308 .xword 0x7186de4e2b9fdabb
44309 .xword 0x21bb5f04c1ce6631
44310 .xword 0x6b55f9d4063ff5d2
44311 .xword 0x07427f814296af70
44312 .xword 0x1257b6ba81106d98
44313 .xword 0x4211ff9697ef6af6
44314 .xword 0xe7ac59d447e984c1
44315 .xword 0x50ac8a4a7261f77b
44316 .xword 0xe983eee8cf94aadb
44317 .xword 0x501e3df4cfd4e564
44318 .xword 0xfbe9e10d152d1e29
44319 .xword 0xea80057276120cce
44320 .xword 0x843833bf1243d94f
44321 .xword 0x9fa20026aff0a5dc
44322 .xword 0x678eaffaa3b9f484
44323 .xword 0x25dec07c8f90103f
44324 .xword 0x643f4dafb9239401
44325 .xword 0x20a6f00c7ef62830
44326 .xword 0xbeb58203ed7b6a1e
44327 .xword 0x1e37b72f0f577b49
44328 .xword 0x2f93150314daa400
44329 .xword 0x223fe569c3885642
44330 .xword 0x50b22b29bf18346e
44331 .xword 0x9ed31e85f0071395
44332 .xword 0x8dbe3d0908859ac7
44333 .xword 0xc6fbae7421ee86b0
44334 .xword 0xab89da9f26ebc4bd
44335 .xword 0x6b0db4e385bd7234
44336 .xword 0x1a2d020fe0a4f858
44337 .xword 0x309b94b705c7b6f1
44338 .xword 0xf4565ed0f42c1c7a
44339 .xword 0xee2020526bee379c
44340 .xword 0x71c3d57af3f61cf2
44341 .xword 0x16574ffb33f9742d
44342 .xword 0x82b958015f50ec58
44343 .xword 0xe4665c6a4d7f1ec2
44344 .xword 0x00f9e9623b325e0a
44345 .xword 0x3e0c7e20111daa05
44346 .xword 0x740e41b18111a6c2
44347 .xword 0xd2c7185beab09595
44348 .xword 0x25b9eca0a005caa0
44349 .xword 0x3bab15af8029cfe4
44350 .xword 0xec9e7de584712ee1
44351 .xword 0xd211dd1cff1d8156
44352 .xword 0x077d9f5f1227d164
44353 .xword 0xc3ada1b001aae088
44354 .xword 0x7ed01353b976c403
44355 .xword 0x7f4719c93b96439a
44356 .xword 0x05d15b2201b213c0
44357 .xword 0xd6b78c3f2396a775
44358 .xword 0x41e1bdd2b4e76f8e
44359 .xword 0xa01ad4b3c2e70896
44360 .xword 0x2c5c74a6a5ef02ca
44361 .xword 0x50225578f98bfc5e
44362 .xword 0x33ef92f6f80347de
44363 .xword 0x0849c59aa2666c13
44364 .xword 0xc1b7060338da6eea
44365 .xword 0x56e03ae5b11fb0f0
44366 .xword 0x395b38c1ec989861
44367 .xword 0xa7a521324735ca4b
44368 .xword 0xf8efb81e7330164b
44369 .xword 0xbbe52902dfe9bed3
44370 .xword 0x2c9c18e272006c13
44371 .xword 0x4d46101b063995ee
44372 .xword 0xd738ac3a439074cc
44373 .xword 0x3662e70f3166d7d3
44374 .xword 0x6d21641f2eb6d0cb
44375 .xword 0x571e1277011feb9f
44376 .xword 0x9a774d3d0767ea1d
44377 .xword 0xb0460b718ef61091
44378 .xword 0xbfd981ca4f7aa024
44379 .xword 0xe455708a27d107de
44380 .xword 0x4110d1deae2c2886
44381 .xword 0x5c9faf5c2141da3f
44382 .xword 0xc8335cdc5d2db5b3
44383 .xword 0xde3bad27a1369b3b
44384 .xword 0x2866a83113c27702
44385 .xword 0x61d5116b0f3a5ed7
44386 .xword 0x5b0d8fa9fc5a8a20
44387 .xword 0xdac7fb785fc69bac
44388 .xword 0x1253af3492452c0c
44389 .xword 0x30a1ecbeee631a13
44390 .xword 0x869c300c7e3e5ffb
44391 .xword 0x4ecbeb2fe96086f3
44392 .xword 0xc587b7b03966bae8
44393 .xword 0x21911045e683fde1
44394 .xword 0xdb6955eec5c18204
44395 .xword 0xe938538b85b78ece
44396 .xword 0xbb67a8e05137c8f6
44397 .xword 0xb3fa3ad31c56b688
44398 .xword 0x1b9968d4762e0e83
44399 .xword 0x5509675f8b2fc9f4
44400 .xword 0x4644dc82232d8d39
44401 .xword 0xc210b53fc5c6f3b9
44402 .xword 0xe270f58b41b8298d
44403 .xword 0x367ab9afb04b8317
44404 .xword 0x8fd7f92034581ac2
44405 .xword 0x11a414eb841df91f
44406 .xword 0xb6bc447458f8bf31
44407 .xword 0x998a6d8515377301
44408 .xword 0x88978e1f1e6b7a2e
44409 .xword 0x8ed6ac62a6cedc9a
44410 .xword 0x3616aeaf5b97d6f0
44411 .xword 0x6814b299acfe4bca
44412 .xword 0xc67163c9a98a123d
44413 .xword 0xf73d23435a7c88ed
44414 .xword 0xe723b8ca96e30991
44415 .xword 0xe59bf70ddbb9e900
44416 .xword 0x802997113fc1c235
44417 .xword 0x0f986ad1f0642b6e
44418 .xword 0x0c8a887d9c342c17
44419 .xword 0xee88eef975bc8590
44420 .xword 0xf6122ac08183c21a
44421 .xword 0x1a166535eb9541c0
44422 .xword 0x6bb7107adaa8ac32
44423 .xword 0x39cf998ebdb3c10c
44424 .xword 0x78e4735449ad0e23
44425 .xword 0x1c15ed62a0e20418
44426 .xword 0xd490a5cbc207d45f
44427 .xword 0x86960b8af6210e2a
44428 .xword 0x08ce0ed5374b8164
44429 .xword 0xa880ec0ca99ea4b7
44430 .xword 0xdc96f446dcc690a0
44431 .xword 0x7aff687de254ffb4
44432 .xword 0x0a7799d70ab0ca2a
44433 .xword 0xa4fcaf212bd95734
44434 .xword 0x512512a6ae98f79b
44435 .xword 0xf0ee4b97954ff6af
44436 .xword 0x3a846ad502689e93
44437 .xword 0x1734edca28f01f2c
44438 .xword 0x6db350d27ae4ab17
44439 .xword 0xd60772acf5cbfbf7
44440 .xword 0x96c8cc683bff1b50
44441 .xword 0xb9bcd6868b3f4163
44442 .xword 0xdfaeaae5865a50e5
44443 .xword 0x8ebb0d957debe301
44444 .xword 0xa1b5b94a8de83ab0
44445 .xword 0x951fcfafffae4eee
44446 .xword 0x01c332599c6261da
44447 .xword 0x5bc47f9b67f0659c
44448 .xword 0x6d8dbb21199e3661
44449 .xword 0xf80951b3de213a8b
44450 .xword 0x0833683acd1cd197
44451 .xword 0xec83db63e9614dc0
44452 .xword 0xc19f3191d618a969
44453 .xword 0x2cf00c4dd646c3b6
44454 .xword 0x6748009d42763073
44455 .xword 0xce37edc796680b94
44456 .xword 0x5b6d05fe6eaefc79
44457 .xword 0xb0645ca4223b6d56
44458 .xword 0xfbe64c2dd84586d8
44459 .xword 0xb12b7e3a35537f82
44460 .xword 0x4fdcb8fe0f5e4226
44461 .xword 0x8267f5b4354058b5
44462 .xword 0x562996d7698120e4
44463 .xword 0x14a25d5a27b79f7d
44464 .xword 0x6b0e5939b12de7fb
44465 .xword 0x106ff50d9f2543f7
44466 .xword 0x01280def5f8801dd
44467 .xword 0x608fec501cf2b1e8
44468 .xword 0xb867efb8062bb3bb
44469 .xword 0xe87c2b8c9fcb19b3
44470 .xword 0x26312aa37bbca49b
44471 .xword 0x65828fbe6d0e8b5a
44472 .xword 0x9b145e0c91489d72
44473 .xword 0x317abe9478e121c1
44474 .xword 0x52a7b31b829e84d6
44475 .xword 0xd46b0e7925a217fa
44476 .xword 0x8d343135d1b94880
44477 .xword 0x89979029979d6359
44478 .xword 0x7e77c2b13d73b2aa
44479 .xword 0x0d67bc5105885667
44480 .xword 0x096aad0659ac00d7
44481 .xword 0x71988ae01918e329
44482 .xword 0x53b32a323f2c7c59
44483 .xword 0x0b7567833451b2a2
44484 .xword 0x5360a4d20c87f5e1
44485 .xword 0xe709a7ff04f96118
44486 .xword 0x60dc9d6c490114db
44487 .xword 0x557e2e07fcbf091b
44488 .xword 0xa3b4082bc5943080
44489 .xword 0xcfc780f8f24b451b
44490 .xword 0x09035ad7669b710f
44491 .xword 0x05fa63fabb696dbb
44492 .xword 0xf0136991418648bc
44493 .xword 0x1cd3bfaa92d05c38
44494 .xword 0x09238b76ece38d1a
44495 .xword 0xd5c594a777fe6614
44496 .xword 0xe19b465d5b6a63d8
44497 .xword 0x2186db4999c5f633
44498 .xword 0x4d4143e09e2d8ca4
44499 .xword 0x0adcc8c95a4fe50c
44500 .xword 0x4e994775729d76e4
44501 .xword 0x0e512078b2135ac9
44502 .xword 0x6a6966bc4c520e6f
44503 .xword 0xd8e48d5c1a4844c3
44504 .xword 0x1c97b31c8728ea8c
44505 .xword 0xaee3af2d46a47a1f
44506 .xword 0xbac1b48dfeb4c4aa
44507 .xword 0xadb5e420fc79737a
44508 .xword 0x5ce4e34a20a2c367
44509 .xword 0x48d5488ca19549a4
44510 .xword 0xd87556e25c6190a2
44511 .xword 0x2b4f1804b0c53205
44512 .xword 0x17da1e0838ce3fec
44513 .xword 0xb69b33a2d6007e57
44514 .xword 0x2e859d777d0d4a16
44515 .xword 0xb7df0ee2d2406b23
44516 .xword 0xf485d5a072ca90f5
44517 .xword 0x5c9f9a6389b9fca4
44518 .xword 0x697f710b9fc5bfb2
44519 .xword 0xd2b817369592c5bd
44520 .xword 0xa34f62f7f02730e7
44521 .xword 0x694d78d9baf13475
44522 .xword 0x8eeac325c17a747d
44523 .xword 0xed92ea3580b07fea
44524 .xword 0xe3acdcbbb7eeb50d
44525 .xword 0x5648a2d0970b8fac
44526 .xword 0x175fe77f59acfe26
44527 .xword 0xfda010d589a2ab1f
44528 .xword 0xa3e60f393761c12a
44529 .xword 0x969c9c90181af3ba
44530 .xword 0xb34f2fc1beb1edf9
44531 .xword 0x24c46a12dc3d4ec5
44532 .xword 0xb48d27956fec991b
44533 .xword 0xcd76d94a611f9668
44534 .xword 0xbcf7b21d8abbfd35
44535 .xword 0x15c03ba1745d60fc
44536 .xword 0x8141a9f3db9b8880
44537 .xword 0xa63bb272adaf55ce
44538 .xword 0x803f7f5fa91738c9
44539 .xword 0xa50b4d18e501cf26
44540 .xword 0x2d34350eb6395fc3
44541 .xword 0x8018139d18462d4c
44542 .xword 0xd58171552a07e5b0
44543 .xword 0xdef7894d0b8f916e
44544 .xword 0xd1c20f700e4af4f5
44545 .xword 0xf6b0b82d64b3c6df
44546 .xword 0x9c2924cf7d1aacac
44547 .xword 0x96bd0f8cb39749cb
44548 .xword 0x746295ff1a032b18
44549 .xword 0xb263bbc8f53d98f4
44550 .xword 0x29aa6794f549f452
44551 .xword 0xe2bae5b2ad63da1d
44552 .xword 0xbc5e9f5345896071
44553 .xword 0xa7e67daf0cc8d761
44554 .xword 0xd33dbeb31aa4793e
44555 .xword 0x58e67ae07a494fc3
44556 .xword 0x46b6fe018a663798
44557 .xword 0x394f654b3e6867f3
44558 .xword 0x153bac62cfd28847
44559 .xword 0xaf469a26c003623c
44560 .xword 0x29054f3701233706
44561 .xword 0x3a7bf30021358982
44562 .xword 0x164563a5c5c2b2df
44563 .xword 0xd8bb5b652d3e8657
44564 .xword 0x0e6bb7e0328b0676
44565 .xword 0x191e4c06c7e1378f
44566 .xword 0xd382259b628fa521
44567 .xword 0xfd5e1ca871f676fb
44568 .xword 0xfddfbe322f21206d
44569_t1_aes_dest:
44570 .xword 0xDEADBEEFDEADBEEF
44571 .xword 0xDEADBEEFDEADBEEF
44572 .xword 0xDEADBEEFDEADBEEF
44573 .xword 0xDEADBEEFDEADBEEF
44574 .xword 0xDEADBEEFDEADBEEF
44575 .xword 0xDEADBEEFDEADBEEF
44576 .xword 0xDEADBEEFDEADBEEF
44577 .xword 0xDEADBEEFDEADBEEF
44578 .xword 0xDEADBEEFDEADBEEF
44579 .xword 0xDEADBEEFDEADBEEF
44580 .xword 0xDEADBEEFDEADBEEF
44581 .xword 0xDEADBEEFDEADBEEF
44582 .xword 0xDEADBEEFDEADBEEF
44583 .xword 0xDEADBEEFDEADBEEF
44584 .xword 0xDEADBEEFDEADBEEF
44585 .xword 0xDEADBEEFDEADBEEF
44586 .xword 0xDEADBEEFDEADBEEF
44587 .xword 0xDEADBEEFDEADBEEF
44588 .xword 0xDEADBEEFDEADBEEF
44589 .xword 0xDEADBEEFDEADBEEF
44590 .xword 0xDEADBEEFDEADBEEF
44591 .xword 0xDEADBEEFDEADBEEF
44592 .xword 0xDEADBEEFDEADBEEF
44593 .xword 0xDEADBEEFDEADBEEF
44594 .xword 0xDEADBEEFDEADBEEF
44595 .xword 0xDEADBEEFDEADBEEF
44596 .xword 0xDEADBEEFDEADBEEF
44597 .xword 0xDEADBEEFDEADBEEF
44598 .xword 0xDEADBEEFDEADBEEF
44599 .xword 0xDEADBEEFDEADBEEF
44600 .xword 0xDEADBEEFDEADBEEF
44601 .xword 0xDEADBEEFDEADBEEF
44602 .xword 0xDEADBEEFDEADBEEF
44603 .xword 0xDEADBEEFDEADBEEF
44604 .xword 0xDEADBEEFDEADBEEF
44605 .xword 0xDEADBEEFDEADBEEF
44606 .xword 0xDEADBEEFDEADBEEF
44607 .xword 0xDEADBEEFDEADBEEF
44608 .xword 0xDEADBEEFDEADBEEF
44609 .xword 0xDEADBEEFDEADBEEF
44610 .xword 0xDEADBEEFDEADBEEF
44611 .xword 0xDEADBEEFDEADBEEF
44612 .xword 0xDEADBEEFDEADBEEF
44613 .xword 0xDEADBEEFDEADBEEF
44614 .xword 0xDEADBEEFDEADBEEF
44615 .xword 0xDEADBEEFDEADBEEF
44616 .xword 0xDEADBEEFDEADBEEF
44617 .xword 0xDEADBEEFDEADBEEF
44618 .xword 0xDEADBEEFDEADBEEF
44619 .xword 0xDEADBEEFDEADBEEF
44620 .xword 0xDEADBEEFDEADBEEF
44621 .xword 0xDEADBEEFDEADBEEF
44622 .xword 0xDEADBEEFDEADBEEF
44623 .xword 0xDEADBEEFDEADBEEF
44624 .xword 0xDEADBEEFDEADBEEF
44625 .xword 0xDEADBEEFDEADBEEF
44626 .xword 0xDEADBEEFDEADBEEF
44627 .xword 0xDEADBEEFDEADBEEF
44628 .xword 0xDEADBEEFDEADBEEF
44629 .xword 0xDEADBEEFDEADBEEF
44630 .xword 0xDEADBEEFDEADBEEF
44631 .xword 0xDEADBEEFDEADBEEF
44632 .xword 0xDEADBEEFDEADBEEF
44633 .xword 0xDEADBEEFDEADBEEF
44634 .xword 0xDEADBEEFDEADBEEF
44635 .xword 0xDEADBEEFDEADBEEF
44636 .xword 0xDEADBEEFDEADBEEF
44637 .xword 0xDEADBEEFDEADBEEF
44638 .xword 0xDEADBEEFDEADBEEF
44639 .xword 0xDEADBEEFDEADBEEF
44640 .xword 0xDEADBEEFDEADBEEF
44641 .xword 0xDEADBEEFDEADBEEF
44642 .xword 0xDEADBEEFDEADBEEF
44643 .xword 0xDEADBEEFDEADBEEF
44644 .xword 0xDEADBEEFDEADBEEF
44645 .xword 0xDEADBEEFDEADBEEF
44646 .xword 0xDEADBEEFDEADBEEF
44647 .xword 0xDEADBEEFDEADBEEF
44648 .xword 0xDEADBEEFDEADBEEF
44649 .xword 0xDEADBEEFDEADBEEF
44650 .xword 0xDEADBEEFDEADBEEF
44651 .xword 0xDEADBEEFDEADBEEF
44652 .xword 0xDEADBEEFDEADBEEF
44653 .xword 0xDEADBEEFDEADBEEF
44654 .xword 0xDEADBEEFDEADBEEF
44655 .xword 0xDEADBEEFDEADBEEF
44656 .xword 0xDEADBEEFDEADBEEF
44657 .xword 0xDEADBEEFDEADBEEF
44658 .xword 0xDEADBEEFDEADBEEF
44659 .xword 0xDEADBEEFDEADBEEF
44660 .xword 0xDEADBEEFDEADBEEF
44661 .xword 0xDEADBEEFDEADBEEF
44662 .xword 0xDEADBEEFDEADBEEF
44663 .xword 0xDEADBEEFDEADBEEF
44664 .xword 0xDEADBEEFDEADBEEF
44665 .xword 0xDEADBEEFDEADBEEF
44666 .xword 0xDEADBEEFDEADBEEF
44667 .xword 0xDEADBEEFDEADBEEF
44668 .xword 0xDEADBEEFDEADBEEF
44669 .xword 0xDEADBEEFDEADBEEF
44670 .xword 0xDEADBEEFDEADBEEF
44671 .xword 0xDEADBEEFDEADBEEF
44672 .xword 0xDEADBEEFDEADBEEF
44673 .xword 0xDEADBEEFDEADBEEF
44674 .xword 0xDEADBEEFDEADBEEF
44675 .xword 0xDEADBEEFDEADBEEF
44676 .xword 0xDEADBEEFDEADBEEF
44677 .xword 0xDEADBEEFDEADBEEF
44678 .xword 0xDEADBEEFDEADBEEF
44679 .xword 0xDEADBEEFDEADBEEF
44680 .xword 0xDEADBEEFDEADBEEF
44681 .xword 0xDEADBEEFDEADBEEF
44682 .xword 0xDEADBEEFDEADBEEF
44683 .xword 0xDEADBEEFDEADBEEF
44684 .xword 0xDEADBEEFDEADBEEF
44685 .xword 0xDEADBEEFDEADBEEF
44686 .xword 0xDEADBEEFDEADBEEF
44687 .xword 0xDEADBEEFDEADBEEF
44688 .xword 0xDEADBEEFDEADBEEF
44689 .xword 0xDEADBEEFDEADBEEF
44690 .xword 0xDEADBEEFDEADBEEF
44691 .xword 0xDEADBEEFDEADBEEF
44692 .xword 0xDEADBEEFDEADBEEF
44693 .xword 0xDEADBEEFDEADBEEF
44694 .xword 0xDEADBEEFDEADBEEF
44695 .xword 0xDEADBEEFDEADBEEF
44696 .xword 0xDEADBEEFDEADBEEF
44697 .xword 0xDEADBEEFDEADBEEF
44698 .xword 0xDEADBEEFDEADBEEF
44699 .xword 0xDEADBEEFDEADBEEF
44700 .xword 0xDEADBEEFDEADBEEF
44701 .xword 0xDEADBEEFDEADBEEF
44702 .xword 0xDEADBEEFDEADBEEF
44703 .xword 0xDEADBEEFDEADBEEF
44704 .xword 0xDEADBEEFDEADBEEF
44705 .xword 0xDEADBEEFDEADBEEF
44706 .xword 0xDEADBEEFDEADBEEF
44707 .xword 0xDEADBEEFDEADBEEF
44708 .xword 0xDEADBEEFDEADBEEF
44709 .xword 0xDEADBEEFDEADBEEF
44710 .xword 0xDEADBEEFDEADBEEF
44711 .xword 0xDEADBEEFDEADBEEF
44712 .xword 0xDEADBEEFDEADBEEF
44713 .xword 0xDEADBEEFDEADBEEF
44714 .xword 0xDEADBEEFDEADBEEF
44715 .xword 0xDEADBEEFDEADBEEF
44716 .xword 0xDEADBEEFDEADBEEF
44717 .xword 0xDEADBEEFDEADBEEF
44718 .xword 0xDEADBEEFDEADBEEF
44719 .xword 0xDEADBEEFDEADBEEF
44720 .xword 0xDEADBEEFDEADBEEF
44721 .xword 0xDEADBEEFDEADBEEF
44722 .xword 0xDEADBEEFDEADBEEF
44723 .xword 0xDEADBEEFDEADBEEF
44724 .xword 0xDEADBEEFDEADBEEF
44725 .xword 0xDEADBEEFDEADBEEF
44726 .xword 0xDEADBEEFDEADBEEF
44727 .xword 0xDEADBEEFDEADBEEF
44728 .xword 0xDEADBEEFDEADBEEF
44729 .xword 0xDEADBEEFDEADBEEF
44730 .xword 0xDEADBEEFDEADBEEF
44731 .xword 0xDEADBEEFDEADBEEF
44732 .xword 0xDEADBEEFDEADBEEF
44733 .xword 0xDEADBEEFDEADBEEF
44734 .xword 0xDEADBEEFDEADBEEF
44735 .xword 0xDEADBEEFDEADBEEF
44736 .xword 0xDEADBEEFDEADBEEF
44737 .xword 0xDEADBEEFDEADBEEF
44738 .xword 0xDEADBEEFDEADBEEF
44739 .xword 0xDEADBEEFDEADBEEF
44740 .xword 0xDEADBEEFDEADBEEF
44741 .xword 0xDEADBEEFDEADBEEF
44742 .xword 0xDEADBEEFDEADBEEF
44743 .xword 0xDEADBEEFDEADBEEF
44744 .xword 0xDEADBEEFDEADBEEF
44745 .xword 0xDEADBEEFDEADBEEF
44746 .xword 0xDEADBEEFDEADBEEF
44747 .xword 0xDEADBEEFDEADBEEF
44748 .xword 0xDEADBEEFDEADBEEF
44749 .xword 0xDEADBEEFDEADBEEF
44750 .xword 0xDEADBEEFDEADBEEF
44751 .xword 0xDEADBEEFDEADBEEF
44752 .xword 0xDEADBEEFDEADBEEF
44753 .xword 0xDEADBEEFDEADBEEF
44754 .xword 0xDEADBEEFDEADBEEF
44755 .xword 0xDEADBEEFDEADBEEF
44756 .xword 0xDEADBEEFDEADBEEF
44757 .xword 0xDEADBEEFDEADBEEF
44758 .xword 0xDEADBEEFDEADBEEF
44759 .xword 0xDEADBEEFDEADBEEF
44760 .xword 0xDEADBEEFDEADBEEF
44761 .xword 0xDEADBEEFDEADBEEF
44762 .xword 0xDEADBEEFDEADBEEF
44763 .xword 0xDEADBEEFDEADBEEF
44764 .xword 0xDEADBEEFDEADBEEF
44765 .xword 0xDEADBEEFDEADBEEF
44766 .xword 0xDEADBEEFDEADBEEF
44767 .xword 0xDEADBEEFDEADBEEF
44768 .xword 0xDEADBEEFDEADBEEF
44769 .xword 0xDEADBEEFDEADBEEF
44770 .xword 0xDEADBEEFDEADBEEF
44771 .xword 0xDEADBEEFDEADBEEF
44772 .xword 0xDEADBEEFDEADBEEF
44773 .xword 0xDEADBEEFDEADBEEF
44774 .xword 0xDEADBEEFDEADBEEF
44775 .xword 0xDEADBEEFDEADBEEF
44776 .xword 0xDEADBEEFDEADBEEF
44777 .xword 0xDEADBEEFDEADBEEF
44778 .xword 0xDEADBEEFDEADBEEF
44779 .xword 0xDEADBEEFDEADBEEF
44780 .xword 0xDEADBEEFDEADBEEF
44781 .xword 0xDEADBEEFDEADBEEF
44782 .xword 0xDEADBEEFDEADBEEF
44783 .xword 0xDEADBEEFDEADBEEF
44784 .xword 0xDEADBEEFDEADBEEF
44785 .xword 0xDEADBEEFDEADBEEF
44786 .xword 0xDEADBEEFDEADBEEF
44787 .xword 0xDEADBEEFDEADBEEF
44788 .xword 0xDEADBEEFDEADBEEF
44789 .xword 0xDEADBEEFDEADBEEF
44790 .xword 0xDEADBEEFDEADBEEF
44791 .xword 0xDEADBEEFDEADBEEF
44792 .xword 0xDEADBEEFDEADBEEF
44793 .xword 0xDEADBEEFDEADBEEF
44794 .xword 0xDEADBEEFDEADBEEF
44795 .xword 0xDEADBEEFDEADBEEF
44796 .xword 0xDEADBEEFDEADBEEF
44797 .xword 0xDEADBEEFDEADBEEF
44798 .xword 0xDEADBEEFDEADBEEF
44799 .xword 0xDEADBEEFDEADBEEF
44800 .xword 0xDEADBEEFDEADBEEF
44801 .xword 0xDEADBEEFDEADBEEF
44802 .xword 0xDEADBEEFDEADBEEF
44803 .xword 0xDEADBEEFDEADBEEF
44804 .xword 0xDEADBEEFDEADBEEF
44805 .xword 0xDEADBEEFDEADBEEF
44806 .xword 0xDEADBEEFDEADBEEF
44807 .xword 0xDEADBEEFDEADBEEF
44808 .xword 0xDEADBEEFDEADBEEF
44809 .xword 0xDEADBEEFDEADBEEF
44810 .xword 0xDEADBEEFDEADBEEF
44811 .xword 0xDEADBEEFDEADBEEF
44812 .xword 0xDEADBEEFDEADBEEF
44813 .xword 0xDEADBEEFDEADBEEF
44814 .xword 0xDEADBEEFDEADBEEF
44815 .xword 0xDEADBEEFDEADBEEF
44816 .xword 0xDEADBEEFDEADBEEF
44817 .xword 0xDEADBEEFDEADBEEF
44818 .xword 0xDEADBEEFDEADBEEF
44819 .xword 0xDEADBEEFDEADBEEF
44820 .xword 0xDEADBEEFDEADBEEF
44821 .xword 0xDEADBEEFDEADBEEF
44822 .xword 0xDEADBEEFDEADBEEF
44823 .xword 0xDEADBEEFDEADBEEF
44824 .xword 0xDEADBEEFDEADBEEF
44825 .xword 0xDEADBEEFDEADBEEF
44826 .xword 0xDEADBEEFDEADBEEF
44827 .xword 0xDEADBEEFDEADBEEF
44828 .xword 0xDEADBEEFDEADBEEF
44829 .xword 0xDEADBEEFDEADBEEF
44830 .xword 0xDEADBEEFDEADBEEF
44831 .xword 0xDEADBEEFDEADBEEF
44832 .xword 0xDEADBEEFDEADBEEF
44833 .xword 0xDEADBEEFDEADBEEF
44834 .xword 0xDEADBEEFDEADBEEF
44835 .xword 0xDEADBEEFDEADBEEF
44836 .xword 0xDEADBEEFDEADBEEF
44837 .xword 0xDEADBEEFDEADBEEF
44838 .xword 0xDEADBEEFDEADBEEF
44839 .xword 0xDEADBEEFDEADBEEF
44840 .xword 0xDEADBEEFDEADBEEF
44841 .xword 0xDEADBEEFDEADBEEF
44842 .xword 0xDEADBEEFDEADBEEF
44843 .xword 0xDEADBEEFDEADBEEF
44844 .xword 0xDEADBEEFDEADBEEF
44845_t1_aes_auth_key:
44846 .xword 0xbda8bbf84c9e95b9
44847 .xword 0xf405424c50503c6a
44848 .xword 0xf62d44a5c1f6395d
44849 .xword 0x56aa65c6c82e8f42
44850 .xword 0xaf12ed54b0403702
44851 .xword 0xb48f73260e56659a
44852 .xword 0x5f9e683b66204377
44853 .xword 0xe4f182b535f45b85
44854 .xword 0x934a2b0258684d9e
44855 .xword 0xfd2e1eb9567e8abf
44856 .xword 0x177495bc591e4eff
44857 .xword 0xf9f8cf2cddf25bb5
44858 .xword 0x5342a76a4a695a61
44859 .xword 0xaf83319d213f6356
44860 .xword 0x5970dbd411079acb
44861 .xword 0x290590a5a1812f7b
44862 .xword 0xa0f8cc20f7852336
44863 .xword 0x1e00a54786ef1ae6
44864 .xword 0xb56fbcfae0b4bd0e
44865 .xword 0xb35ea8873e48888c
44866 .xword 0x0bc199d0dec23952
44867 .xword 0xff1852a65407cde7
44868 .xword 0xe13e63c7551088a8
44869_t1_aes_auth_iv:
44870 .xword 0x49cfdb4d60a5b41d
44871 .xword 0x78aa8a2c2ef75904
44872 .xword 0xe79a63f7958a4cd3
44873 .xword 0x1f51412ae03e068a
44874 .xword 0xfa22b3687178a371
44875 .xword 0x1eb71828beed097f
44876 .xword 0x25f87067ebe73ea2
44877 .xword 0x7c4216438d1ba016
44878 .xword 0x1e4e1c3436cf5592
44879 .xword 0x86758cdaa97610f1
44880 .xword 0x33f6b5438ed17884
44881 .xword 0x4b24d1e68d2af077
44882 .xword 0xe6bbc288a4151628
44883 .xword 0x84231c73e389c751
44884 .xword 0xe12eb39a4603a510
44885 .xword 0x2645e804bc377587
44886 .xword 0xffb9d63ef135986a
44887 .xword 0x9f74f89652b697f2
44888 .xword 0x67925707b1056c34
44889 .xword 0x29541435993607ad
44890 .xword 0xeb82fa6c26fc9616
44891 .xword 0x460852620b0ed3b7
44892 .xword 0x8187d2e317b2a89c
44893_t1_aes_fas_result:
44894 .xword 0xDEADBEEFDEADBEEF
44895 .xword 0xDEADBEEFDEADBEEF
44896 .xword 0xDEADBEEFDEADBEEF
44897 .xword 0xDEADBEEFDEADBEEF
44898 .xword 0xDEADBEEFDEADBEEF
44899 .xword 0xDEADBEEFDEADBEEF
44900 .xword 0xDEADBEEFDEADBEEF
44901 .xword 0xDEADBEEFDEADBEEF
44902 .xword 0xDEADBEEFDEADBEEF
44903 .xword 0xDEADBEEFDEADBEEF
44904 .xword 0xDEADBEEFDEADBEEF
44905 .xword 0xDEADBEEFDEADBEEF
44906 .xword 0xDEADBEEFDEADBEEF
44907 .xword 0xDEADBEEFDEADBEEF
44908 .xword 0xDEADBEEFDEADBEEF
44909 .xword 0xDEADBEEFDEADBEEF
44910 .xword 0xDEADBEEFDEADBEEF
44911 .xword 0xDEADBEEFDEADBEEF
44912 .xword 0xDEADBEEFDEADBEEF
44913 .xword 0xDEADBEEFDEADBEEF
44914 .xword 0xDEADBEEFDEADBEEF
44915 .xword 0xDEADBEEFDEADBEEF
44916 .xword 0xDEADBEEFDEADBEEF
44917_t1_des_key_array:
44918 .xword 0x7d0343009b1fbe86
44919 .xword 0x7a346a532940a47e
44920 .xword 0xa58910ebd6025ec8
44921 .xword 0x2afef58dbcdf14e9
44922 .xword 0xb4da46c86a455cd2
44923 .xword 0x1850d89cb504ca8f
44924 .xword 0x15eb8930b74f6b52
44925 .xword 0x526ecc6c6015ff35
44926 .xword 0x3008ff5bf7cd2efd
44927 .xword 0xbcf0b50627d96e3c
44928 .xword 0xf652ee5442064e0e
44929 .xword 0x628a45e6f7be06c3
44930 .xword 0xb06559aa9b4e837a
44931 .xword 0x94bcb981f5327a61
44932 .xword 0x410110c185e9edc1
44933 .xword 0xe1ee2ea0c196a847
44934 .xword 0x8dbd484737ebb33e
44935 .xword 0xdd2d6927d4a2f25b
44936 .xword 0x759b6b1fbba57d10
44937 .xword 0x528bbdb76b2dbf10
44938 .xword 0xfdf44959b98f1152
44939 .xword 0xa3c6212d81d73047
44940 .xword 0x6964e8fe8a0a3f01
44941 .xword 0x7eba5ec06b268d9a
44942 .xword 0x7f3c9907ba0d932c
44943 .xword 0x769052b34a9d1429
44944 .xword 0x11f00923bec1349a
44945 .xword 0xe1e4c6a503bb6bba
44946 .xword 0x07d4ff8d378f7526
44947 .xword 0x80b0b18450e24140
44948 .xword 0x167518c20d65863b
44949 .xword 0x817b3b77d03744ca
44950 .xword 0x931d1aacf414ec10
44951 .xword 0x09afa97c2b2eab62
44952 .xword 0xa7e13f75e95af1b0
44953 .xword 0x29b7281b577d56b2
44954 .xword 0xe6a474e2e420a8c2
44955 .xword 0xe5e5c6adc3c89f31
44956 .xword 0x64a9ce25cc3d10a3
44957 .xword 0x44a79de8b6da7e14
44958 .xword 0x2ffc7577d3811029
44959 .xword 0xdcfc427594359ae0
44960 .xword 0x4023beb20c4791dd
44961 .xword 0xfc22c4300ef51581
44962 .xword 0x989367628853d409
44963 .xword 0xae51ae714706777b
44964 .xword 0x954769555bfc028f
44965 .xword 0x212d1ae189b58f3d
44966 .xword 0x75c38ed483ce298f
44967 .xword 0x564440af6245c2fb
44968 .xword 0xd0e4297ee69aa48c
44969_t1_des_iv_array:
44970 .xword 0x80b2e5a7dd74b48c
44971 .xword 0x5f5406d264bff5fd
44972 .xword 0xa6292c4f1a9e67a4
44973 .xword 0xf6f1c9fe82414002
44974 .xword 0x3e79da6d8689c0db
44975 .xword 0x98ee22bf273b6e9f
44976 .xword 0x4be0240fee11b952
44977 .xword 0x292a9311971201ea
44978 .xword 0xbbe9d937bd04c6e5
44979 .xword 0x07f7ecc7d2e9f0ee
44980 .xword 0xc7f149cce5aac1f5
44981 .xword 0xc99e72e258ae14da
44982 .xword 0x09b7cc2ebb7126c2
44983 .xword 0xc78e5bdb3efa2215
44984 .xword 0x939b0f5bfdc259cb
44985 .xword 0x7ddf2378c71afbf7
44986 .xword 0x7a6f113aa3dcc7f2
44987 .xword 0xbb185f851ff7a786
44988 .xword 0xdb8a1532f1aa1784
44989 .xword 0x6582c1a9da7a569d
44990 .xword 0xf2fc0af856f72196
44991 .xword 0x516caa30d6521153
44992 .xword 0xe480559e54550663
44993 .xword 0x88742d5713d7d84e
44994 .xword 0x19d72305f3f86671
44995 .xword 0x50ffeb6ce9900302
44996 .xword 0xd21c8480da090675
44997 .xword 0xa2b82f3cacf23733
44998 .xword 0x4f33af9fc84cf3c8
44999 .xword 0xd997e63c835b58a2
45000 .xword 0x68efa447cf27b71b
45001 .xword 0xb6d097b1afc0d267
45002 .xword 0xe175b630d2586485
45003 .xword 0x0679cb6093b55979
45004 .xword 0xf432b6a1a966f47e
45005 .xword 0xebdaac76dcccf0d8
45006 .xword 0x63b025180434e6db
45007 .xword 0x9343e44cf617a8d5
45008 .xword 0xcac0dad52c8ee43a
45009 .xword 0x7cecfe68cb189d61
45010 .xword 0xa3fb0c8b41456153
45011 .xword 0x1e86d023b9e71038
45012 .xword 0x7bae5c793c6f7d36
45013 .xword 0x4fb21f3a48449452
45014 .xword 0x894d20bc50bcfcf1
45015_t1_des_alignment_array:
45016 .xword 13
45017 .xword 1
45018 .xword 4
45019 .xword 5
45020 .xword 5
45021 .xword 1
45022 .xword 7
45023 .xword 7
45024 .xword 1
45025 .xword 15
45026 .xword 5
45027 .xword 7
45028 .xword 15
45029 .xword 0
45030 .xword 0
45031 .xword 8
45032 .xword 4
45033 .xword 13
45034 .xword 5
45035 .xword 12
45036 .xword 13
45037 .xword 10
45038 .xword 7
45039 .xword 0
45040 .xword 3
45041 .xword 1
45042 .xword 4
45043 .xword 13
45044 .xword 1
45045 .xword 14
45046 .xword 5
45047 .xword 12
45048 .xword 15
45049 .xword 8
45050 .xword 12
45051 .xword 8
45052 .xword 6
45053 .xword 8
45054 .xword 8
45055 .xword 2
45056 .xword 0
45057 .xword 13
45058 .xword 11
45059 .xword 11
45060 .xword 6
45061 .xword 8
45062 .xword 9
45063 .xword 14
45064 .xword 2
45065 .xword 14
45066 .xword 4
45067 .xword 1
45068 .xword 1
45069 .xword 0
45070 .xword 1
45071 .xword 10
45072 .xword 0
45073 .xword 8
45074 .xword 4
45075 .xword 9
45076 .xword 5
45077 .xword 15
45078 .xword 5
45079 .xword 14
45080 .xword 15
45081 .xword 5
45082 .xword 13
45083 .xword 8
45084 .xword 6
45085 .xword 13
45086 .xword 11
45087 .xword 12
45088 .xword 1
45089 .xword 3
45090 .xword 8
45091 .xword 2
45092 .xword 13
45093 .xword 10
45094 .xword 12
45095 .xword 6
45096 .xword 1
45097 .xword 15
45098 .xword 5
45099 .xword 2
45100 .xword 10
45101 .xword 2
45102 .xword 15
45103 .xword 14
45104 .xword 4
45105 .xword 0
45106 .xword 1
45107 .xword 13
45108 .xword 13
45109 .xword 9
45110 .xword 15
45111 .xword 2
45112 .xword 6
45113 .xword 0
45114 .xword 15
45115 .xword 0
45116 .xword 5
45117 .xword 10
45118 .xword 9
45119 .xword 15
45120 .xword 8
45121_t1_des_src:
45122 .xword 0x7caf3572326f7e95
45123 .xword 0x45ca94903034c9d9
45124 .xword 0x5a93c95d42abe670
45125 .xword 0x523185058b1e7e05
45126 .xword 0x50a16654774da5ec
45127 .xword 0x23a7443b0bcdd7d8
45128 .xword 0xc06ecaf7de56317b
45129 .xword 0xbbb64a6c9d381cc5
45130 .xword 0xc8bbce0c73874f71
45131 .xword 0x19e8d0c6fc98e20b
45132 .xword 0x0a7d96e8ab104424
45133 .xword 0x07c055dcc4086a75
45134 .xword 0xba4a651758a3b988
45135 .xword 0x2bef236280457096
45136 .xword 0x13bd260168cbd931
45137 .xword 0x567a2b744d551dd3
45138 .xword 0x494589386cfc5581
45139 .xword 0x4172e87399d2c46f
45140 .xword 0xa6fe50099672f5c4
45141 .xword 0x767e18197f84737e
45142 .xword 0xfbdf75617c8eef4c
45143 .xword 0x9a0cbbfb883beb94
45144 .xword 0x7951e484ff9b2eb4
45145 .xword 0x04298c22b98ec701
45146 .xword 0x73fb007b5d9cb1b2
45147 .xword 0x0efdac0ef8dee163
45148 .xword 0x0fd5374dff175feb
45149 .xword 0x106543750df8fe4e
45150 .xword 0x5fcdf9a1fc65fee0
45151 .xword 0x093abe50de43252f
45152 .xword 0x7b42c92c133e4553
45153 .xword 0x90c9505f3dab7b20
45154 .xword 0xbc5bc17f08799206
45155 .xword 0x206ed1dbd67ce5aa
45156 .xword 0x2923cbb5262bce51
45157 .xword 0xe27ede31d7f8230d
45158 .xword 0x0ea7606c02966536
45159 .xword 0x7a17c5326b342508
45160 .xword 0xfcb95a7fc5830a6a
45161 .xword 0x2bc41539a941d2dd
45162 .xword 0x8929cd044d38eb15
45163 .xword 0xdf4e458103e83812
45164 .xword 0xfdb9dda26b7405b6
45165 .xword 0xcf67ba3caa286358
45166 .xword 0x785ea2896af71034
45167 .xword 0x38dfaab47d26851b
45168 .xword 0x9bd5c8a45ef39c96
45169 .xword 0xde696111c5fe272c
45170 .xword 0x86c8cd97ed13547b
45171 .xword 0x811b08050433ec6f
45172 .xword 0x1e5a6f9566b0e9cd
45173 .xword 0x26963417fc04bd9c
45174 .xword 0x4b1fb06e6113ce08
45175 .xword 0x1d34eb981e92dfdd
45176 .xword 0x99c4af210ac54032
45177 .xword 0x2195cb9cc4883ba3
45178 .xword 0x8d0cb61e80c19f29
45179 .xword 0x5675ddbd18521c85
45180 .xword 0x03ed9a5c7e8f3d24
45181 .xword 0x2ca8c971d52a6988
45182 .xword 0x32ee98c67df65cf2
45183 .xword 0x7e3ac0fb5a781509
45184 .xword 0xdaa5c6b2a4f114e7
45185 .xword 0x7d26c4bfccc45d69
45186 .xword 0x2a976b41bd4f2ea0
45187 .xword 0xeab6375287fed3fd
45188 .xword 0x715f9c887daf828d
45189 .xword 0x5f5f724bb505bc83
45190 .xword 0x22119ba2a08bfec5
45191 .xword 0xc6a4a2b9358a2695
45192 .xword 0x94023bda1542ef10
45193 .xword 0xf7561bf8ec3d404c
45194 .xword 0x063c64f82d88b549
45195 .xword 0xe2de440426e978ea
45196 .xword 0x30d171964f61795e
45197 .xword 0x173cb0ccdd836121
45198 .xword 0x98363cc389cf7b83
45199 .xword 0x310135f46fc25fed
45200 .xword 0x0860aa5583334d0b
45201 .xword 0x2e12e7ab0e1cc8c9
45202 .xword 0xf042b90942241494
45203 .xword 0x77af5b21439a6b2a
45204 .xword 0x5e02c640e0346b62
45205 .xword 0xebb8772cb437030e
45206 .xword 0xbeb1c3a442991d6d
45207 .xword 0x09a8c20993fbbb41
45208 .xword 0xcf9c9e62b95cca35
45209 .xword 0x586fb6a25274ef82
45210 .xword 0x366823e5ea673201
45211 .xword 0xdbf5a9a7d42c130a
45212 .xword 0x1f4c5b4f470f5c4b
45213 .xword 0x9dffc3644c562a7a
45214 .xword 0x324ad3f4d1f8a367
45215 .xword 0x3923d778d7bc19ce
45216 .xword 0x3e5015fc7916ebb6
45217 .xword 0x8c62ba3b6626d9e2
45218 .xword 0x7d5c6915fd471ee0
45219 .xword 0xfc6a0558c5d758af
45220 .xword 0xd623750ac85f850e
45221 .xword 0xb7f65fd7b5042e47
45222 .xword 0x3b64422cabc5b251
45223 .xword 0xe00ca5095e23db6f
45224 .xword 0xf2b72b0dcb5c65f8
45225 .xword 0xe2d200913dcf4185
45226 .xword 0xf6b8c9b49ef3f1a9
45227 .xword 0xfba8543e8fd77345
45228 .xword 0xaa4cc8fa66c5a059
45229 .xword 0x3aee3589b74041cb
45230 .xword 0x2793305f8935fd97
45231 .xword 0xa3c42047df2b7c3d
45232 .xword 0x4b3ccd803df04bd1
45233 .xword 0x6001c7c91bc20b6b
45234 .xword 0x0121bd2dd053be71
45235 .xword 0xdce3e5d140606c07
45236 .xword 0x872d1de00e986183
45237 .xword 0xc9f9e76c8b4f78df
45238 .xword 0x64bda025a2f019bf
45239 .xword 0x7f08a50ebce8b672
45240 .xword 0xaefa672a995035ed
45241 .xword 0xa2929910d042a820
45242 .xword 0x051f58992d1abe46
45243 .xword 0xb4aa6936e22067b1
45244 .xword 0x4f0b527c15b06853
45245 .xword 0x4b93c7c60c009a9e
45246 .xword 0xb570158243f9131e
45247 .xword 0xfe5b8b4b64fbc580
45248 .xword 0xdee8326ea13398ee
45249 .xword 0x973c740e64c27de1
45250 .xword 0x9e323121276a2d4a
45251 .xword 0x6c030190a1000f0c
45252 .xword 0x82d5e73e9747d0f0
45253 .xword 0x1fc499b929d019a2
45254 .xword 0xce36d521a26606ce
45255 .xword 0x41dc1847c2f16992
45256 .xword 0xa94d2976139f1ece
45257 .xword 0xd16a730ff1e2e9ef
45258 .xword 0x8d7f7d02fa8ff498
45259 .xword 0x05ae209fe8b59e97
45260 .xword 0x26649884557202ce
45261 .xword 0x7f376cadcba832bf
45262 .xword 0xf2b0bcd0b46f5e2e
45263 .xword 0x3061a00fd2dbd84a
45264 .xword 0xa0255f3bfe6604cc
45265 .xword 0x812ef8d100de4805
45266 .xword 0xa1d13d18fe9d3beb
45267 .xword 0x6a85ddab5869f29e
45268 .xword 0x1d64816ed7202ba6
45269 .xword 0xcbc85970ebea246d
45270 .xword 0x9e232b76c27894bb
45271 .xword 0xf7b69a573b4c81b4
45272 .xword 0x609173d033693056
45273 .xword 0x07b6b531ebef3f73
45274 .xword 0xe4484c6543ac40f3
45275 .xword 0x40d6c4524db8ae38
45276 .xword 0xfe52d7e8fe194aa0
45277 .xword 0x70292dca49ae9728
45278 .xword 0xe0c1dd49ad14aa41
45279 .xword 0x83a0fa1e6dab661a
45280 .xword 0xe2e448764e1b0fac
45281 .xword 0xda8821aa38b6a9a7
45282 .xword 0xa9f12b7ed0112f50
45283 .xword 0x360649f4c961b16a
45284 .xword 0xd393431c02afe344
45285 .xword 0x3ed51ad63c6dff35
45286 .xword 0x35a7d6d3955f73f2
45287 .xword 0x4683a9095c3da94f
45288 .xword 0x68e6447d6af2d19c
45289 .xword 0x2a06f8b4fb23f38d
45290 .xword 0x209db276d692a95d
45291 .xword 0x634a4edab4f3d6d7
45292 .xword 0x6da07ecd833decb2
45293 .xword 0x9b749557b7bf2c39
45294 .xword 0x1456654b0b287ee6
45295 .xword 0xaea62fbd58749807
45296 .xword 0x3426e0644f402719
45297 .xword 0xeb12f56e1146679f
45298 .xword 0x87b45b6493587994
45299 .xword 0x4fac7e6635ea06fe
45300 .xword 0x7607c48dab79ad75
45301 .xword 0xd86b5951f55907b6
45302 .xword 0xc7fbbae3bdad9a4d
45303 .xword 0x79fb84ea1ce54fde
45304 .xword 0x41bc8565103c784e
45305 .xword 0xdcf17022bf69bce2
45306 .xword 0x479df459a29bbb83
45307 .xword 0xac1ee5a19ddc066e
45308 .xword 0x2d00b9e558289c58
45309 .xword 0x3eb1b2e1d56cdb02
45310 .xword 0x4b83708a5e7ec863
45311 .xword 0xba932bcea2daca27
45312 .xword 0x60eb5fca0fb9d0cd
45313 .xword 0x7bb8d8be3070d7f8
45314 .xword 0xa024ac4232a1526a
45315 .xword 0x60c1e41f7acf1222
45316 .xword 0xc2c06641f33c421e
45317 .xword 0x97a7e9a455edc506
45318 .xword 0xc9dc8f004fa8a542
45319 .xword 0x3705718513542374
45320 .xword 0xba1b58fdd23a5b21
45321 .xword 0x3f14e6bdcc2d1247
45322 .xword 0x9f8fd1d0400060ab
45323 .xword 0xa3726e48f09741c2
45324 .xword 0xe293556feb2ba539
45325 .xword 0xa315cf511e674278
45326 .xword 0xc56487222d51aa15
45327 .xword 0x6bdec8f7e7140794
45328 .xword 0xb3d5bc0639167d0a
45329 .xword 0x48d34dfe41325f68
45330 .xword 0x42ec31b34447d66e
45331 .xword 0x0b719951aea7febe
45332 .xword 0x4f9113696d8c43b7
45333 .xword 0x3c8fc4552d924399
45334 .xword 0x22c1e61d8b1bcaeb
45335 .xword 0xcf3b1521dc25dc9b
45336 .xword 0x74a8304ffabec419
45337 .xword 0x43c998ebef524fa8
45338 .xword 0x56977e1107a9d3f4
45339 .xword 0x9ebccc855c50f5d2
45340 .xword 0xe8cc0e1685f7ac98
45341 .xword 0x9b953eac85cfea2a
45342 .xword 0xa95e91cbac4d56a0
45343 .xword 0x35ad952544f0f913
45344 .xword 0x05ad9e44864cca4f
45345 .xword 0x73a471f4c4fc2f33
45346 .xword 0x59fe6dcc7fa62e05
45347 .xword 0xf841e4bae159aa56
45348 .xword 0x8b7bb7371a3aff02
45349 .xword 0xa1c5cb3fe0864609
45350 .xword 0x9595e1c300921860
45351 .xword 0xe9630743e9fed426
45352 .xword 0xef1892c922f74211
45353 .xword 0x5f046bfa9d5ce327
45354 .xword 0x96dfe299bdde6686
45355 .xword 0xfb737906419cb55d
45356 .xword 0xab418f6dafbeb49d
45357 .xword 0xd374a1cf8a55d307
45358 .xword 0x28a8788b24a55871
45359 .xword 0x9f32f5280ee78e4d
45360 .xword 0x510a672a7bfa0387
45361 .xword 0x336056537fabef66
45362 .xword 0x38d841d6e9c675cd
45363 .xword 0x362ef69160f4ccf3
45364 .xword 0x943b590316155d97
45365 .xword 0x2624063c6b429217
45366 .xword 0x782df4fb3beb1e74
45367 .xword 0xc9dd52776f6dfb38
45368 .xword 0xb1a9e85bfc28fd39
45369 .xword 0x7904e291ba884878
45370 .xword 0x7fda2b37e3b72697
45371 .xword 0x2525766ee80d0b78
45372 .xword 0xfd37a9b846d18c70
45373 .xword 0xed3408eaffb5e3ee
45374 .xword 0xe389e0a4f10f09cf
45375 .xword 0x79009924648fe83a
45376 .xword 0xf6ea3704de53ba1b
45377 .xword 0x887a2717b7f7a43f
45378 .xword 0x77eb7283b34838aa
45379 .xword 0x6916dcd3e2ad367b
45380 .xword 0x0dd3ea0c44130cfe
45381 .xword 0x536ec3edf50e500d
45382 .xword 0x4dab7a69a575f609
45383 .xword 0x883b536b24c7e731
45384 .xword 0x72ade23180ba4faf
45385 .xword 0x5e9529b5af8e4222
45386 .xword 0x18b7b7547cca1f64
45387 .xword 0x6b5df14e35de9224
45388 .xword 0xeb177965e1ac305c
45389 .xword 0x5307d1c483841e69
45390 .xword 0xc23cdb03e2074d94
45391 .xword 0xcd09ae917d505509
45392 .xword 0x012ab4b6f23e69e7
45393 .xword 0xf091cf759bbb88bb
45394 .xword 0x0474bec5c36f5fc8
45395 .xword 0xa3cca39ae54b9cc1
45396 .xword 0x123edc537b550789
45397_t1_des_dest:
45398 .xword 0xDEADBEEFDEADBEEF
45399 .xword 0xDEADBEEFDEADBEEF
45400 .xword 0xDEADBEEFDEADBEEF
45401 .xword 0xDEADBEEFDEADBEEF
45402 .xword 0xDEADBEEFDEADBEEF
45403 .xword 0xDEADBEEFDEADBEEF
45404 .xword 0xDEADBEEFDEADBEEF
45405 .xword 0xDEADBEEFDEADBEEF
45406 .xword 0xDEADBEEFDEADBEEF
45407 .xword 0xDEADBEEFDEADBEEF
45408 .xword 0xDEADBEEFDEADBEEF
45409 .xword 0xDEADBEEFDEADBEEF
45410 .xword 0xDEADBEEFDEADBEEF
45411 .xword 0xDEADBEEFDEADBEEF
45412 .xword 0xDEADBEEFDEADBEEF
45413 .xword 0xDEADBEEFDEADBEEF
45414 .xword 0xDEADBEEFDEADBEEF
45415 .xword 0xDEADBEEFDEADBEEF
45416 .xword 0xDEADBEEFDEADBEEF
45417 .xword 0xDEADBEEFDEADBEEF
45418 .xword 0xDEADBEEFDEADBEEF
45419 .xword 0xDEADBEEFDEADBEEF
45420 .xword 0xDEADBEEFDEADBEEF
45421 .xword 0xDEADBEEFDEADBEEF
45422 .xword 0xDEADBEEFDEADBEEF
45423 .xword 0xDEADBEEFDEADBEEF
45424 .xword 0xDEADBEEFDEADBEEF
45425 .xword 0xDEADBEEFDEADBEEF
45426 .xword 0xDEADBEEFDEADBEEF
45427 .xword 0xDEADBEEFDEADBEEF
45428 .xword 0xDEADBEEFDEADBEEF
45429 .xword 0xDEADBEEFDEADBEEF
45430 .xword 0xDEADBEEFDEADBEEF
45431 .xword 0xDEADBEEFDEADBEEF
45432 .xword 0xDEADBEEFDEADBEEF
45433 .xword 0xDEADBEEFDEADBEEF
45434 .xword 0xDEADBEEFDEADBEEF
45435 .xword 0xDEADBEEFDEADBEEF
45436 .xword 0xDEADBEEFDEADBEEF
45437 .xword 0xDEADBEEFDEADBEEF
45438 .xword 0xDEADBEEFDEADBEEF
45439 .xword 0xDEADBEEFDEADBEEF
45440 .xword 0xDEADBEEFDEADBEEF
45441 .xword 0xDEADBEEFDEADBEEF
45442 .xword 0xDEADBEEFDEADBEEF
45443 .xword 0xDEADBEEFDEADBEEF
45444 .xword 0xDEADBEEFDEADBEEF
45445 .xword 0xDEADBEEFDEADBEEF
45446 .xword 0xDEADBEEFDEADBEEF
45447 .xword 0xDEADBEEFDEADBEEF
45448 .xword 0xDEADBEEFDEADBEEF
45449 .xword 0xDEADBEEFDEADBEEF
45450 .xword 0xDEADBEEFDEADBEEF
45451 .xword 0xDEADBEEFDEADBEEF
45452 .xword 0xDEADBEEFDEADBEEF
45453 .xword 0xDEADBEEFDEADBEEF
45454 .xword 0xDEADBEEFDEADBEEF
45455 .xword 0xDEADBEEFDEADBEEF
45456 .xword 0xDEADBEEFDEADBEEF
45457 .xword 0xDEADBEEFDEADBEEF
45458 .xword 0xDEADBEEFDEADBEEF
45459 .xword 0xDEADBEEFDEADBEEF
45460 .xword 0xDEADBEEFDEADBEEF
45461 .xword 0xDEADBEEFDEADBEEF
45462 .xword 0xDEADBEEFDEADBEEF
45463 .xword 0xDEADBEEFDEADBEEF
45464 .xword 0xDEADBEEFDEADBEEF
45465 .xword 0xDEADBEEFDEADBEEF
45466 .xword 0xDEADBEEFDEADBEEF
45467 .xword 0xDEADBEEFDEADBEEF
45468 .xword 0xDEADBEEFDEADBEEF
45469 .xword 0xDEADBEEFDEADBEEF
45470 .xword 0xDEADBEEFDEADBEEF
45471 .xword 0xDEADBEEFDEADBEEF
45472 .xword 0xDEADBEEFDEADBEEF
45473 .xword 0xDEADBEEFDEADBEEF
45474 .xword 0xDEADBEEFDEADBEEF
45475 .xword 0xDEADBEEFDEADBEEF
45476 .xword 0xDEADBEEFDEADBEEF
45477 .xword 0xDEADBEEFDEADBEEF
45478 .xword 0xDEADBEEFDEADBEEF
45479 .xword 0xDEADBEEFDEADBEEF
45480 .xword 0xDEADBEEFDEADBEEF
45481 .xword 0xDEADBEEFDEADBEEF
45482 .xword 0xDEADBEEFDEADBEEF
45483 .xword 0xDEADBEEFDEADBEEF
45484 .xword 0xDEADBEEFDEADBEEF
45485 .xword 0xDEADBEEFDEADBEEF
45486 .xword 0xDEADBEEFDEADBEEF
45487 .xword 0xDEADBEEFDEADBEEF
45488 .xword 0xDEADBEEFDEADBEEF
45489 .xword 0xDEADBEEFDEADBEEF
45490 .xword 0xDEADBEEFDEADBEEF
45491 .xword 0xDEADBEEFDEADBEEF
45492 .xword 0xDEADBEEFDEADBEEF
45493 .xword 0xDEADBEEFDEADBEEF
45494 .xword 0xDEADBEEFDEADBEEF
45495 .xword 0xDEADBEEFDEADBEEF
45496 .xword 0xDEADBEEFDEADBEEF
45497 .xword 0xDEADBEEFDEADBEEF
45498 .xword 0xDEADBEEFDEADBEEF
45499 .xword 0xDEADBEEFDEADBEEF
45500 .xword 0xDEADBEEFDEADBEEF
45501 .xword 0xDEADBEEFDEADBEEF
45502 .xword 0xDEADBEEFDEADBEEF
45503 .xword 0xDEADBEEFDEADBEEF
45504 .xword 0xDEADBEEFDEADBEEF
45505 .xword 0xDEADBEEFDEADBEEF
45506 .xword 0xDEADBEEFDEADBEEF
45507 .xword 0xDEADBEEFDEADBEEF
45508 .xword 0xDEADBEEFDEADBEEF
45509 .xword 0xDEADBEEFDEADBEEF
45510 .xword 0xDEADBEEFDEADBEEF
45511 .xword 0xDEADBEEFDEADBEEF
45512 .xword 0xDEADBEEFDEADBEEF
45513 .xword 0xDEADBEEFDEADBEEF
45514 .xword 0xDEADBEEFDEADBEEF
45515 .xword 0xDEADBEEFDEADBEEF
45516 .xword 0xDEADBEEFDEADBEEF
45517 .xword 0xDEADBEEFDEADBEEF
45518 .xword 0xDEADBEEFDEADBEEF
45519 .xword 0xDEADBEEFDEADBEEF
45520 .xword 0xDEADBEEFDEADBEEF
45521 .xword 0xDEADBEEFDEADBEEF
45522 .xword 0xDEADBEEFDEADBEEF
45523 .xword 0xDEADBEEFDEADBEEF
45524 .xword 0xDEADBEEFDEADBEEF
45525 .xword 0xDEADBEEFDEADBEEF
45526 .xword 0xDEADBEEFDEADBEEF
45527 .xword 0xDEADBEEFDEADBEEF
45528 .xword 0xDEADBEEFDEADBEEF
45529 .xword 0xDEADBEEFDEADBEEF
45530 .xword 0xDEADBEEFDEADBEEF
45531 .xword 0xDEADBEEFDEADBEEF
45532 .xword 0xDEADBEEFDEADBEEF
45533 .xword 0xDEADBEEFDEADBEEF
45534 .xword 0xDEADBEEFDEADBEEF
45535 .xword 0xDEADBEEFDEADBEEF
45536 .xword 0xDEADBEEFDEADBEEF
45537 .xword 0xDEADBEEFDEADBEEF
45538 .xword 0xDEADBEEFDEADBEEF
45539 .xword 0xDEADBEEFDEADBEEF
45540 .xword 0xDEADBEEFDEADBEEF
45541 .xword 0xDEADBEEFDEADBEEF
45542 .xword 0xDEADBEEFDEADBEEF
45543 .xword 0xDEADBEEFDEADBEEF
45544 .xword 0xDEADBEEFDEADBEEF
45545 .xword 0xDEADBEEFDEADBEEF
45546 .xword 0xDEADBEEFDEADBEEF
45547 .xword 0xDEADBEEFDEADBEEF
45548 .xword 0xDEADBEEFDEADBEEF
45549 .xword 0xDEADBEEFDEADBEEF
45550 .xword 0xDEADBEEFDEADBEEF
45551 .xword 0xDEADBEEFDEADBEEF
45552 .xword 0xDEADBEEFDEADBEEF
45553 .xword 0xDEADBEEFDEADBEEF
45554 .xword 0xDEADBEEFDEADBEEF
45555 .xword 0xDEADBEEFDEADBEEF
45556 .xword 0xDEADBEEFDEADBEEF
45557 .xword 0xDEADBEEFDEADBEEF
45558 .xword 0xDEADBEEFDEADBEEF
45559 .xword 0xDEADBEEFDEADBEEF
45560 .xword 0xDEADBEEFDEADBEEF
45561 .xword 0xDEADBEEFDEADBEEF
45562 .xword 0xDEADBEEFDEADBEEF
45563 .xword 0xDEADBEEFDEADBEEF
45564 .xword 0xDEADBEEFDEADBEEF
45565 .xword 0xDEADBEEFDEADBEEF
45566 .xword 0xDEADBEEFDEADBEEF
45567 .xword 0xDEADBEEFDEADBEEF
45568 .xword 0xDEADBEEFDEADBEEF
45569 .xword 0xDEADBEEFDEADBEEF
45570 .xword 0xDEADBEEFDEADBEEF
45571 .xword 0xDEADBEEFDEADBEEF
45572 .xword 0xDEADBEEFDEADBEEF
45573 .xword 0xDEADBEEFDEADBEEF
45574 .xword 0xDEADBEEFDEADBEEF
45575 .xword 0xDEADBEEFDEADBEEF
45576 .xword 0xDEADBEEFDEADBEEF
45577 .xword 0xDEADBEEFDEADBEEF
45578 .xword 0xDEADBEEFDEADBEEF
45579 .xword 0xDEADBEEFDEADBEEF
45580 .xword 0xDEADBEEFDEADBEEF
45581 .xword 0xDEADBEEFDEADBEEF
45582 .xword 0xDEADBEEFDEADBEEF
45583 .xword 0xDEADBEEFDEADBEEF
45584 .xword 0xDEADBEEFDEADBEEF
45585 .xword 0xDEADBEEFDEADBEEF
45586 .xword 0xDEADBEEFDEADBEEF
45587 .xword 0xDEADBEEFDEADBEEF
45588 .xword 0xDEADBEEFDEADBEEF
45589 .xword 0xDEADBEEFDEADBEEF
45590 .xword 0xDEADBEEFDEADBEEF
45591 .xword 0xDEADBEEFDEADBEEF
45592 .xword 0xDEADBEEFDEADBEEF
45593 .xword 0xDEADBEEFDEADBEEF
45594 .xword 0xDEADBEEFDEADBEEF
45595 .xword 0xDEADBEEFDEADBEEF
45596 .xword 0xDEADBEEFDEADBEEF
45597 .xword 0xDEADBEEFDEADBEEF
45598 .xword 0xDEADBEEFDEADBEEF
45599 .xword 0xDEADBEEFDEADBEEF
45600 .xword 0xDEADBEEFDEADBEEF
45601 .xword 0xDEADBEEFDEADBEEF
45602 .xword 0xDEADBEEFDEADBEEF
45603 .xword 0xDEADBEEFDEADBEEF
45604 .xword 0xDEADBEEFDEADBEEF
45605 .xword 0xDEADBEEFDEADBEEF
45606 .xword 0xDEADBEEFDEADBEEF
45607 .xword 0xDEADBEEFDEADBEEF
45608 .xword 0xDEADBEEFDEADBEEF
45609 .xword 0xDEADBEEFDEADBEEF
45610 .xword 0xDEADBEEFDEADBEEF
45611 .xword 0xDEADBEEFDEADBEEF
45612 .xword 0xDEADBEEFDEADBEEF
45613 .xword 0xDEADBEEFDEADBEEF
45614 .xword 0xDEADBEEFDEADBEEF
45615 .xword 0xDEADBEEFDEADBEEF
45616 .xword 0xDEADBEEFDEADBEEF
45617 .xword 0xDEADBEEFDEADBEEF
45618 .xword 0xDEADBEEFDEADBEEF
45619 .xword 0xDEADBEEFDEADBEEF
45620 .xword 0xDEADBEEFDEADBEEF
45621 .xword 0xDEADBEEFDEADBEEF
45622 .xword 0xDEADBEEFDEADBEEF
45623 .xword 0xDEADBEEFDEADBEEF
45624 .xword 0xDEADBEEFDEADBEEF
45625 .xword 0xDEADBEEFDEADBEEF
45626 .xword 0xDEADBEEFDEADBEEF
45627 .xword 0xDEADBEEFDEADBEEF
45628 .xword 0xDEADBEEFDEADBEEF
45629 .xword 0xDEADBEEFDEADBEEF
45630 .xword 0xDEADBEEFDEADBEEF
45631 .xword 0xDEADBEEFDEADBEEF
45632 .xword 0xDEADBEEFDEADBEEF
45633 .xword 0xDEADBEEFDEADBEEF
45634 .xword 0xDEADBEEFDEADBEEF
45635 .xword 0xDEADBEEFDEADBEEF
45636 .xword 0xDEADBEEFDEADBEEF
45637 .xword 0xDEADBEEFDEADBEEF
45638 .xword 0xDEADBEEFDEADBEEF
45639 .xword 0xDEADBEEFDEADBEEF
45640 .xword 0xDEADBEEFDEADBEEF
45641 .xword 0xDEADBEEFDEADBEEF
45642 .xword 0xDEADBEEFDEADBEEF
45643 .xword 0xDEADBEEFDEADBEEF
45644 .xword 0xDEADBEEFDEADBEEF
45645 .xword 0xDEADBEEFDEADBEEF
45646 .xword 0xDEADBEEFDEADBEEF
45647 .xword 0xDEADBEEFDEADBEEF
45648 .xword 0xDEADBEEFDEADBEEF
45649 .xword 0xDEADBEEFDEADBEEF
45650 .xword 0xDEADBEEFDEADBEEF
45651 .xword 0xDEADBEEFDEADBEEF
45652 .xword 0xDEADBEEFDEADBEEF
45653 .xword 0xDEADBEEFDEADBEEF
45654 .xword 0xDEADBEEFDEADBEEF
45655 .xword 0xDEADBEEFDEADBEEF
45656 .xword 0xDEADBEEFDEADBEEF
45657 .xword 0xDEADBEEFDEADBEEF
45658 .xword 0xDEADBEEFDEADBEEF
45659 .xword 0xDEADBEEFDEADBEEF
45660 .xword 0xDEADBEEFDEADBEEF
45661 .xword 0xDEADBEEFDEADBEEF
45662 .xword 0xDEADBEEFDEADBEEF
45663 .xword 0xDEADBEEFDEADBEEF
45664 .xword 0xDEADBEEFDEADBEEF
45665 .xword 0xDEADBEEFDEADBEEF
45666 .xword 0xDEADBEEFDEADBEEF
45667 .xword 0xDEADBEEFDEADBEEF
45668 .xword 0xDEADBEEFDEADBEEF
45669 .xword 0xDEADBEEFDEADBEEF
45670 .xword 0xDEADBEEFDEADBEEF
45671 .xword 0xDEADBEEFDEADBEEF
45672 .xword 0xDEADBEEFDEADBEEF
45673_t1_des_auth_key:
45674 .xword 0x576059bc41a35286
45675 .xword 0xde94b5bd737f88a3
45676 .xword 0x232ae85f9a195b26
45677 .xword 0x2030f2df5ba0ab3f
45678 .xword 0x18338e8c786e41ca
45679 .xword 0xf1e7eaedcc536e1c
45680 .xword 0xece33c88d143181c
45681 .xword 0xfd520d918900a35d
45682 .xword 0x8be423f427cac91a
45683 .xword 0x4291d26a9b146301
45684 .xword 0xdac3e4e947618367
45685 .xword 0xb379466071718eaf
45686 .xword 0xef78924d06347755
45687 .xword 0xd2832e8a74546ab3
45688 .xword 0x5d43b024970d5898
45689 .xword 0xc8724d94f6a5acdb
45690 .xword 0xbd93ecd929ab2b42
45691 .xword 0xa618f8f4c2baace2
45692 .xword 0x75627d81a49b3b9a
45693 .xword 0xa6be1bb2bac402db
45694 .xword 0x7b0d189c3cbfd7f6
45695 .xword 0x205700a3ecfaecd5
45696 .xword 0x1b6908fc169365b8
45697_t1_des_auth_iv:
45698 .xword 0x42613d80d3bc1f6d
45699 .xword 0x299ba454366a5d16
45700 .xword 0xa46e373bf7b01b78
45701 .xword 0x82958cf5284ef8d1
45702 .xword 0x4565ceec0cd0b3b2
45703 .xword 0x37eafe6e21e1556a
45704 .xword 0x2d0a1ad7c008e308
45705 .xword 0x8264dbe4e865dcbf
45706 .xword 0x386cfb7b1bcb115f
45707 .xword 0x269f8dd4ddc88350
45708 .xword 0x96b15d44fd86ca88
45709 .xword 0x9fc9a832c2b404ce
45710 .xword 0x2c51d631b0fd61a4
45711 .xword 0xf4c283102f24f9d1
45712 .xword 0x2b7995cc02eaed18
45713 .xword 0x5ea81e06567e08a0
45714 .xword 0x571d7903a16b23aa
45715 .xword 0x90d2133caedaed02
45716 .xword 0x4012c4d53a736dc6
45717 .xword 0x1a09ff3e811dc3af
45718 .xword 0xa5438f1d88715b07
45719 .xword 0xc445fbdba74b0c57
45720 .xword 0xf2e6050c40d88105
45721_t1_des_fas_result:
45722 .xword 0xDEADBEEFDEADBEEF
45723 .xword 0xDEADBEEFDEADBEEF
45724 .xword 0xDEADBEEFDEADBEEF
45725 .xword 0xDEADBEEFDEADBEEF
45726 .xword 0xDEADBEEFDEADBEEF
45727 .xword 0xDEADBEEFDEADBEEF
45728 .xword 0xDEADBEEFDEADBEEF
45729 .xword 0xDEADBEEFDEADBEEF
45730 .xword 0xDEADBEEFDEADBEEF
45731 .xword 0xDEADBEEFDEADBEEF
45732 .xword 0xDEADBEEFDEADBEEF
45733 .xword 0xDEADBEEFDEADBEEF
45734 .xword 0xDEADBEEFDEADBEEF
45735 .xword 0xDEADBEEFDEADBEEF
45736 .xword 0xDEADBEEFDEADBEEF
45737 .xword 0xDEADBEEFDEADBEEF
45738 .xword 0xDEADBEEFDEADBEEF
45739 .xword 0xDEADBEEFDEADBEEF
45740 .xword 0xDEADBEEFDEADBEEF
45741 .xword 0xDEADBEEFDEADBEEF
45742 .xword 0xDEADBEEFDEADBEEF
45743 .xword 0xDEADBEEFDEADBEEF
45744 .xword 0xDEADBEEFDEADBEEF
45745_t1_copy_key_array:
45746 .xword 0xbd8d0106406b12ae
45747 .xword 0x5df21854cded3bbf
45748 .xword 0x3ab4c4718ce93a90
45749 .xword 0x0897641e0cbf1634
45750 .xword 0x8e5b0e49d6c68b23
45751 .xword 0xd3ca39e3e2e65fbd
45752 .xword 0x843abf1558b50b8e
45753 .xword 0xcf2a1cc6b51f85d2
45754 .xword 0x2791bb2164b92ed6
45755 .xword 0xe8c4ece1d51f490d
45756 .xword 0x41a0b0e5a6e63dea
45757 .xword 0x3e84e229f49fc35a
45758 .xword 0xfe8827e4b2be2047
45759 .xword 0x76c525d3dbd7f937
45760 .xword 0x2f4c95fc27df8942
45761 .xword 0x3e7ce683bf3ef041
45762 .xword 0x5146b8f7e645df2c
45763 .xword 0x22b9f5ff88c07889
45764 .xword 0x171b106c787f4484
45765 .xword 0x81b1d7981627948b
45766 .xword 0x30f57679383589cd
45767 .xword 0xebf9979d2a89bccd
45768 .xword 0xb13fe8859beec487
45769 .xword 0x88a69ee11ef803df
45770 .xword 0x2fc96a3bd684ea15
45771 .xword 0xb873143d054f4856
45772 .xword 0x4ce55d4f4dd81db1
45773 .xword 0xb0dd97fea85ac071
45774 .xword 0x643ea2ab94868071
45775 .xword 0x4e66b7665f226a77
45776 .xword 0x871a4bb1714369ab
45777 .xword 0x823827d45a75d69d
45778 .xword 0xec2495eb0677e90f
45779 .xword 0x46ec6f9229df155c
45780 .xword 0x0e7c56c5d90ad8c1
45781 .xword 0x71563a0f02e626e7
45782 .xword 0x8a09e5f4a9b2b7f9
45783 .xword 0xe618d29434661001
45784 .xword 0xb4850f0dca3a423e
45785 .xword 0x2cde62ffff7b560a
45786 .xword 0xbc4563cc92248788
45787 .xword 0xf0245de93afc1cc9
45788 .xword 0xb38cbd1302640300
45789 .xword 0xc7f747d941c38e04
45790 .xword 0x2ed9411c3da69bda
45791 .xword 0xe9fdf8d15b467fc4
45792 .xword 0xf3bffbcb11c7179a
45793 .xword 0xb92abfe5f3a269d0
45794 .xword 0x0ad8485a40024843
45795 .xword 0x1b42828de331c525
45796 .xword 0x566fe99cbacd5852
45797_t1_copy_iv_array:
45798 .xword 0xcf73936ca0ca835b
45799 .xword 0x06307bf9c42a1bb1
45800 .xword 0x857f274d0f2e81eb
45801 .xword 0x3258cbf80a2c7205
45802 .xword 0xbce88edb38e66ede
45803 .xword 0x82e5774ef3b6340d
45804 .xword 0xd2695f624d36b136
45805 .xword 0x7d5c6267ac4d6183
45806 .xword 0xa51018117d397eaa
45807 .xword 0x1739a0e511ab877a
45808 .xword 0xc5dcf9b720713cb5
45809 .xword 0xe582cd252ef8f6b0
45810 .xword 0x9970ad9434a44974
45811 .xword 0x056584b17a393ea9
45812 .xword 0x7a9e0d60f1ffc3c6
45813 .xword 0xac25a9281feb5030
45814 .xword 0x735562648f4519eb
45815 .xword 0xc277901174288b6c
45816 .xword 0x7d771acd5532d28e
45817 .xword 0xbaddb8d66d494586
45818 .xword 0x73180a07f1da700b
45819 .xword 0xb7efbadf66c0aaf0
45820 .xword 0x1394f91e58c6c9ed
45821 .xword 0xf64c8e33152ef52a
45822 .xword 0xa8ff7cbbd1dee90e
45823 .xword 0xf70d9f36f9e53c45
45824 .xword 0x82c67c6d5accde25
45825 .xword 0xd745edc054a320dc
45826 .xword 0x1a858c5b31d3acf9
45827 .xword 0x2b07365b43f783ff
45828 .xword 0x23d99193bf4cb315
45829 .xword 0xfe66f74480fd7ae6
45830 .xword 0x374c035bc58d9097
45831 .xword 0xf8a4870871fbcd82
45832 .xword 0x2e6edc8ff20c03be
45833 .xword 0x22f9dd4baa6be372
45834 .xword 0x9defe993a7a912b7
45835 .xword 0xd4910bb610af93dc
45836 .xword 0xad13a2f6195c8113
45837 .xword 0x6dc3b43ca8c6be77
45838 .xword 0x9da658074de3f5cd
45839 .xword 0x95d1e8a149db7372
45840 .xword 0x1c1dc090ac4cd4d1
45841 .xword 0x55eaf43aa79ee767
45842 .xword 0xd8e13945ce557974
45843_t1_copy_alignment_array:
45844 .xword 4
45845 .xword 13
45846 .xword 2
45847 .xword 12
45848 .xword 8
45849 .xword 3
45850 .xword 11
45851 .xword 0
45852 .xword 2
45853 .xword 3
45854 .xword 9
45855 .xword 12
45856 .xword 14
45857 .xword 11
45858 .xword 6
45859 .xword 11
45860 .xword 14
45861 .xword 13
45862 .xword 15
45863 .xword 6
45864 .xword 3
45865 .xword 11
45866 .xword 10
45867 .xword 14
45868 .xword 9
45869 .xword 9
45870 .xword 7
45871 .xword 1
45872 .xword 11
45873 .xword 9
45874 .xword 8
45875 .xword 0
45876 .xword 0
45877 .xword 15
45878 .xword 0
45879 .xword 12
45880 .xword 12
45881 .xword 6
45882 .xword 10
45883 .xword 12
45884 .xword 10
45885 .xword 15
45886 .xword 6
45887 .xword 7
45888 .xword 9
45889 .xword 5
45890 .xword 4
45891 .xword 0
45892 .xword 3
45893 .xword 2
45894 .xword 12
45895 .xword 0
45896 .xword 0
45897 .xword 10
45898 .xword 0
45899 .xword 6
45900 .xword 3
45901 .xword 4
45902 .xword 12
45903 .xword 1
45904 .xword 1
45905 .xword 7
45906 .xword 6
45907 .xword 15
45908 .xword 0
45909 .xword 8
45910 .xword 7
45911 .xword 10
45912 .xword 15
45913 .xword 4
45914 .xword 15
45915 .xword 6
45916 .xword 8
45917 .xword 15
45918 .xword 7
45919 .xword 11
45920 .xword 10
45921 .xword 6
45922 .xword 14
45923 .xword 13
45924 .xword 7
45925 .xword 2
45926 .xword 12
45927 .xword 10
45928 .xword 14
45929 .xword 13
45930 .xword 15
45931 .xword 11
45932 .xword 15
45933 .xword 8
45934 .xword 3
45935 .xword 8
45936 .xword 5
45937 .xword 0
45938 .xword 10
45939 .xword 8
45940 .xword 12
45941 .xword 11
45942 .xword 15
45943 .xword 1
45944 .xword 12
45945 .xword 4
45946 .xword 5
45947 .xword 8
45948 .xword 12
45949_t1_copy_src:
45950 .xword 0xa014f5c77d19a795
45951 .xword 0xabc71fe9623dd3e3
45952 .xword 0xdfd90d1d5c4a3890
45953 .xword 0x0afa9a8a30fca8d4
45954 .xword 0x79bb397ee78353a4
45955 .xword 0xbf669dfd4c188531
45956 .xword 0x169d5ba5f15d9a7d
45957 .xword 0x4748221da0427372
45958 .xword 0x0e056953c8fe1b52
45959 .xword 0x084d6bb144646f6c
45960 .xword 0xbe15573b9893c32b
45961 .xword 0x925512779df00cea
45962 .xword 0x7572e41366180f53
45963 .xword 0x1940235ebb2542c9
45964 .xword 0x4dc526ce08936d09
45965 .xword 0x1a82ea1af2b194be
45966 .xword 0xcb57c402196f495f
45967 .xword 0x38114a445e1d919e
45968 .xword 0x89be5f7acd2a1f17
45969 .xword 0xdacc773b0f3093a3
45970 .xword 0x09e91fe1cca0ba0c
45971 .xword 0x676bf5c61d78eeff
45972 .xword 0xac4dca5ecb61c41f
45973 .xword 0x4a531824533cc182
45974 .xword 0xc3c29afe2869c771
45975 .xword 0x49cf44568121c89b
45976 .xword 0x7ccbf14092448e77
45977 .xword 0x88979a960a7aaf0f
45978 .xword 0xb2638b6ca9f89749
45979 .xword 0x910db6ff86c74e92
45980 .xword 0xb2a9ca39fb7f517c
45981 .xword 0x3fc48ba7304c0552
45982 .xword 0x3c243623c49a9aed
45983 .xword 0x36814c879a11da50
45984 .xword 0x3f5c56f1a6856a6c
45985 .xword 0xae47c47fa97082d9
45986 .xword 0x0d500b651ea23602
45987 .xword 0xb5f9cb5ce97f0282
45988 .xword 0xb56e221e911d8669
45989 .xword 0xedf3ad884926e20e
45990 .xword 0xd469f950bf710cbc
45991 .xword 0xe77b90db2a550368
45992 .xword 0xe17f98e80c39bdef
45993 .xword 0x24cd324cc7cefa14
45994 .xword 0x3bd4104111afbabd
45995 .xword 0xe6113703bcfdeec3
45996 .xword 0x4e85388002a988e5
45997 .xword 0x7616ca6c78324523
45998 .xword 0x8d9b4186e3bd2997
45999 .xword 0x4c3fc8b09c842732
46000 .xword 0xc1923c5a611b03db
46001 .xword 0xb5fa458bdd12f70c
46002 .xword 0x1f35c86225518360
46003 .xword 0x2b1f1645250439ab
46004 .xword 0x9d368ed222eca7c7
46005 .xword 0xa0414adcb1ed3df8
46006 .xword 0x98a2275460e9b1e4
46007 .xword 0x53dea95ac30c9c4b
46008 .xword 0xe87a03b16088d3cb
46009 .xword 0x4f30f10a66f36249
46010 .xword 0xc97d77b90b148088
46011 .xword 0xd48c231ecc3b182a
46012 .xword 0x055392ff3bcc0d24
46013 .xword 0x9255be6656ec67cb
46014 .xword 0xa929530f9393d2e8
46015 .xword 0x7c3a0c59447819f5
46016 .xword 0xf339820850e507d0
46017 .xword 0xb366b933199a7c86
46018 .xword 0xb9f8a61517f602ed
46019 .xword 0x27316e71b7c9055c
46020 .xword 0xbcdd4cabcb20d74a
46021 .xword 0xf5a05bda0caef431
46022 .xword 0x680bdd9d9e770721
46023 .xword 0xdbad74116fc97e5a
46024 .xword 0xd17aafc379b2a07d
46025 .xword 0x6c4c5b29427acb4f
46026 .xword 0x5863a57114cb7dbb
46027 .xword 0x40b5771b6d9debfc
46028 .xword 0x0a104d2d4b56570c
46029 .xword 0xae6dbe6c71c10dca
46030 .xword 0x39975f258abafd7f
46031 .xword 0x153a3df93c960c24
46032 .xword 0x5328152bcd60e6dd
46033 .xword 0xc6ed7981993c6db9
46034 .xword 0x34692034475f1371
46035 .xword 0x7d9353a4912ebe07
46036 .xword 0x1d5199b7561ab7a6
46037 .xword 0x175710aac2a1cc83
46038 .xword 0x8df0f7d70bb71692
46039 .xword 0x3fc85d860bbfc40a
46040 .xword 0x632d35cee128ba7b
46041 .xword 0x9c4497503a091cc8
46042 .xword 0x6733588f1b0dc00a
46043 .xword 0x0bbdafa1845f76ca
46044 .xword 0x9b742b9cd93fe37d
46045 .xword 0xa0f911dc6402edcb
46046 .xword 0xe05b39331877a4e4
46047 .xword 0x42ed9fb314db9903
46048 .xword 0xa7cbe88b84aa3f87
46049 .xword 0x22e96480539d504e
46050 .xword 0x3b05f9dae6bfd5ac
46051 .xword 0x086ce81243a56eeb
46052 .xword 0x0cf7b9500d748211
46053 .xword 0x67f5a2cf2c29e69d
46054 .xword 0x02a12425683890ee
46055 .xword 0xeb205343d76dfd03
46056 .xword 0x008ec9d65b62760b
46057 .xword 0xc1860a54402e1515
46058 .xword 0xd20faa010022db11
46059 .xword 0x3d73f608370227df
46060 .xword 0x7f276e185eeb78f2
46061 .xword 0x70b81663339e73b6
46062 .xword 0xb38bceaee8bd52b9
46063 .xword 0xb2b6cab335ffc75c
46064 .xword 0xbea0c70a20eec8e1
46065 .xword 0x9e0016d69c95f725
46066 .xword 0xcff4043e5e2dbf70
46067 .xword 0x30e0e14c8ce7206a
46068 .xword 0xb69d52b444671ccf
46069 .xword 0x9e477cb35cf8613e
46070 .xword 0xeae15654ff6bc59a
46071 .xword 0xb5eaaa1ffd5e617e
46072 .xword 0x3b120208afe146c3
46073 .xword 0x62a647fa7d6cc317
46074 .xword 0x69f06d9847813d8a
46075 .xword 0x234f14215315279b
46076 .xword 0x8a0e4ae3bbbf8f80
46077 .xword 0x29dbbc479a05743f
46078 .xword 0x7b116c4da8403ff2
46079 .xword 0x6360e4e6c353edc9
46080 .xword 0x60dbfe5a0a849324
46081 .xword 0x460dcc8e981d9852
46082 .xword 0xd1fc615b4257df2f
46083 .xword 0xe06da8e35c751bc3
46084 .xword 0x7c786c4343853aa7
46085 .xword 0x9e64086d41537018
46086 .xword 0x6f36853bf9d69d1d
46087 .xword 0x244aa7be4f1422d7
46088 .xword 0x1c43439ad3c8bbb9
46089 .xword 0xede3760f8195d686
46090 .xword 0x2d533f7fd7632a98
46091 .xword 0xcbaa569b7893c9af
46092 .xword 0x5584b514b80f74fe
46093 .xword 0xab878b6428e08de6
46094 .xword 0x8b12b449d3462929
46095 .xword 0x83bc5af29e05599f
46096 .xword 0x77ee3e514b122009
46097 .xword 0xdbb28ed56e5fdaab
46098 .xword 0xd68adb29bf016d35
46099 .xword 0x141ebc46bdf17c15
46100 .xword 0x52c1650695bb7b79
46101 .xword 0x21d2469c7c729aef
46102 .xword 0xaf1594f983a8d5a8
46103 .xword 0x3bfda73358206a56
46104 .xword 0xc8e0e3630d893d8b
46105 .xword 0x13ba27e780d0bdf2
46106 .xword 0x254dd2cd74c65e93
46107 .xword 0xd4126a4d92d4b7cf
46108 .xword 0xe402462f3c92db91
46109 .xword 0x8274d247e6fe24f4
46110 .xword 0x6c13611164007557
46111 .xword 0x905e2ba5729b6042
46112 .xword 0x8203f07ee1487843
46113 .xword 0x5189a1f488832b6e
46114 .xword 0x3a7cf20e328e3a08
46115 .xword 0xba257e573e5a7a9f
46116 .xword 0x2c384ed458fa9b85
46117 .xword 0x0b1c9e67991e6b67
46118 .xword 0x5ff6fa6793d8db9b
46119 .xword 0x34bc7e397d014be4
46120 .xword 0xc5cc3e69b55ab581
46121 .xword 0xf54f8ed8ce8f68d7
46122 .xword 0xe567269027610207
46123 .xword 0xc386b1345fc3a2f7
46124 .xword 0xabc680f66b052f01
46125 .xword 0xa1c49dbcaf847b64
46126 .xword 0xcc98b5df10be1a91
46127 .xword 0x7581b9973f19ff84
46128 .xword 0x3610c20d342c4e7a
46129 .xword 0xab88e8c2924c0ec3
46130 .xword 0xfd9ac0011f74a106
46131 .xword 0x194466944e41ed63
46132 .xword 0x29536b028b87e185
46133 .xword 0x96c7b32e0eb758cd
46134 .xword 0x5a5cd8ee3aef726b
46135 .xword 0x5eef5d2bb064469d
46136 .xword 0x356d39fc93401359
46137 .xword 0x2109771fa2cb2906
46138 .xword 0xee3c1fe69eb66cc1
46139 .xword 0x8dde0272148674da
46140 .xword 0xe1c994d73cacfae8
46141 .xword 0xa4aa39c4fa9dd920
46142 .xword 0x69c0c9736100e7c7
46143 .xword 0x524c1d7e1c594cc2
46144 .xword 0x008652cd481de01f
46145 .xword 0xbb9aebfabb940f37
46146 .xword 0x9d68aca26f1287b5
46147 .xword 0xaad49f2b3ef0c17f
46148 .xword 0x12140252cc045948
46149 .xword 0x2cd84fc0a13ec36e
46150 .xword 0xb62d5c98ce93930f
46151 .xword 0x9cf9e13c7fa61b44
46152 .xword 0x1b87bc11fdbc0018
46153 .xword 0x7e80b423d2d73206
46154 .xword 0xb3bf4b7922977305
46155 .xword 0xabdec909400d72f6
46156 .xword 0xf8cd60ba2bc5a65d
46157 .xword 0xeed5ef91e50fd5ca
46158 .xword 0xca55affebe6a50d3
46159 .xword 0xeac75f909e4822bc
46160 .xword 0xb8cda67db2f72606
46161 .xword 0x23f2f74c1fad80b0
46162 .xword 0xe51beb45ed8cf2b7
46163 .xword 0xd7f2b77c012cf47d
46164 .xword 0xc999b3f1d76823e0
46165 .xword 0x7c669ccb304b59a2
46166 .xword 0x3c18a8f9821c9209
46167 .xword 0xe63139347afc3b32
46168 .xword 0xf730213f350acd83
46169 .xword 0x37f82f2208258878
46170 .xword 0x3e670c4b4781df1c
46171 .xword 0x21121513befa6035
46172 .xword 0xf5d8d16dd7292b18
46173 .xword 0x26f6cd41c1b3f8da
46174 .xword 0x552db13cea4cfef4
46175 .xword 0x68547b791ef675cf
46176 .xword 0x33b1719597b027ff
46177 .xword 0xcc04124c72f50f07
46178 .xword 0xfc4c128a476b9a63
46179 .xword 0x6f8e5b2d55f78591
46180 .xword 0x44f7103e9f4319a2
46181 .xword 0xabf07995397b31b4
46182 .xword 0x3207041f6e8edca4
46183 .xword 0x6f8dbd79358d2d10
46184 .xword 0x8d7c4e50ac2ec721
46185 .xword 0x0a4d0d5069b8141b
46186 .xword 0xf314b6808c2196d6
46187 .xword 0x25ad7f97b8d510a8
46188 .xword 0x600da703b45b3e39
46189 .xword 0x5420bdefa34d4ab7
46190 .xword 0x5896f0e2ee1c13f6
46191 .xword 0xf65583f68f483dee
46192 .xword 0x238975ffc03d626e
46193 .xword 0x44ad09095a7a2078
46194 .xword 0x1fe65d88cb4ac939
46195 .xword 0x0e19de0c07d99ac2
46196 .xword 0x31bd4c2f8eeddb3a
46197 .xword 0x036ac7046176a766
46198 .xword 0x30461b3759b42ed3
46199 .xword 0x63c67448379d5a9c
46200 .xword 0x008c279a7a085afc
46201 .xword 0x70b0709aa4391632
46202 .xword 0x8ab6d6f86940d429
46203 .xword 0xdb6a5b6613652ffb
46204 .xword 0x7f0ba9542d9316d0
46205 .xword 0x9e9e49a0280118d1
46206 .xword 0x82ae52cce8d78705
46207 .xword 0xb9c82101c5dcb888
46208 .xword 0x3332d26fff455db7
46209 .xword 0xbd860425e65fe895
46210 .xword 0xfd608b9237c2372d
46211 .xword 0x08099d3a0caeeff5
46212 .xword 0x35193071fc5c0632
46213 .xword 0x21c2148c72d53971
46214 .xword 0xf402024a90df31d9
46215 .xword 0x6a121d3b7431d52a
46216 .xword 0x9e6d3aa5f859e4ec
46217 .xword 0x7a5319a95d8666e7
46218 .xword 0x96247f21f874f472
46219 .xword 0xe95878597545c5fe
46220 .xword 0x860152fa716f3ea1
46221 .xword 0xab69fb790f6ee544
46222 .xword 0x3d62692f9d7dcc67
46223 .xword 0x54a6c75f1ba427a8
46224 .xword 0x32c96206094867c0
46225_t1_copy_dest:
46226 .xword 0xDEADBEEFDEADBEEF
46227 .xword 0xDEADBEEFDEADBEEF
46228 .xword 0xDEADBEEFDEADBEEF
46229 .xword 0xDEADBEEFDEADBEEF
46230 .xword 0xDEADBEEFDEADBEEF
46231 .xword 0xDEADBEEFDEADBEEF
46232 .xword 0xDEADBEEFDEADBEEF
46233 .xword 0xDEADBEEFDEADBEEF
46234 .xword 0xDEADBEEFDEADBEEF
46235 .xword 0xDEADBEEFDEADBEEF
46236 .xword 0xDEADBEEFDEADBEEF
46237 .xword 0xDEADBEEFDEADBEEF
46238 .xword 0xDEADBEEFDEADBEEF
46239 .xword 0xDEADBEEFDEADBEEF
46240 .xword 0xDEADBEEFDEADBEEF
46241 .xword 0xDEADBEEFDEADBEEF
46242 .xword 0xDEADBEEFDEADBEEF
46243 .xword 0xDEADBEEFDEADBEEF
46244 .xword 0xDEADBEEFDEADBEEF
46245 .xword 0xDEADBEEFDEADBEEF
46246 .xword 0xDEADBEEFDEADBEEF
46247 .xword 0xDEADBEEFDEADBEEF
46248 .xword 0xDEADBEEFDEADBEEF
46249 .xword 0xDEADBEEFDEADBEEF
46250 .xword 0xDEADBEEFDEADBEEF
46251 .xword 0xDEADBEEFDEADBEEF
46252 .xword 0xDEADBEEFDEADBEEF
46253 .xword 0xDEADBEEFDEADBEEF
46254 .xword 0xDEADBEEFDEADBEEF
46255 .xword 0xDEADBEEFDEADBEEF
46256 .xword 0xDEADBEEFDEADBEEF
46257 .xword 0xDEADBEEFDEADBEEF
46258 .xword 0xDEADBEEFDEADBEEF
46259 .xword 0xDEADBEEFDEADBEEF
46260 .xword 0xDEADBEEFDEADBEEF
46261 .xword 0xDEADBEEFDEADBEEF
46262 .xword 0xDEADBEEFDEADBEEF
46263 .xword 0xDEADBEEFDEADBEEF
46264 .xword 0xDEADBEEFDEADBEEF
46265 .xword 0xDEADBEEFDEADBEEF
46266 .xword 0xDEADBEEFDEADBEEF
46267 .xword 0xDEADBEEFDEADBEEF
46268 .xword 0xDEADBEEFDEADBEEF
46269 .xword 0xDEADBEEFDEADBEEF
46270 .xword 0xDEADBEEFDEADBEEF
46271 .xword 0xDEADBEEFDEADBEEF
46272 .xword 0xDEADBEEFDEADBEEF
46273 .xword 0xDEADBEEFDEADBEEF
46274 .xword 0xDEADBEEFDEADBEEF
46275 .xword 0xDEADBEEFDEADBEEF
46276 .xword 0xDEADBEEFDEADBEEF
46277 .xword 0xDEADBEEFDEADBEEF
46278 .xword 0xDEADBEEFDEADBEEF
46279 .xword 0xDEADBEEFDEADBEEF
46280 .xword 0xDEADBEEFDEADBEEF
46281 .xword 0xDEADBEEFDEADBEEF
46282 .xword 0xDEADBEEFDEADBEEF
46283 .xword 0xDEADBEEFDEADBEEF
46284 .xword 0xDEADBEEFDEADBEEF
46285 .xword 0xDEADBEEFDEADBEEF
46286 .xword 0xDEADBEEFDEADBEEF
46287 .xword 0xDEADBEEFDEADBEEF
46288 .xword 0xDEADBEEFDEADBEEF
46289 .xword 0xDEADBEEFDEADBEEF
46290 .xword 0xDEADBEEFDEADBEEF
46291 .xword 0xDEADBEEFDEADBEEF
46292 .xword 0xDEADBEEFDEADBEEF
46293 .xword 0xDEADBEEFDEADBEEF
46294 .xword 0xDEADBEEFDEADBEEF
46295 .xword 0xDEADBEEFDEADBEEF
46296 .xword 0xDEADBEEFDEADBEEF
46297 .xword 0xDEADBEEFDEADBEEF
46298 .xword 0xDEADBEEFDEADBEEF
46299 .xword 0xDEADBEEFDEADBEEF
46300 .xword 0xDEADBEEFDEADBEEF
46301 .xword 0xDEADBEEFDEADBEEF
46302 .xword 0xDEADBEEFDEADBEEF
46303 .xword 0xDEADBEEFDEADBEEF
46304 .xword 0xDEADBEEFDEADBEEF
46305 .xword 0xDEADBEEFDEADBEEF
46306 .xword 0xDEADBEEFDEADBEEF
46307 .xword 0xDEADBEEFDEADBEEF
46308 .xword 0xDEADBEEFDEADBEEF
46309 .xword 0xDEADBEEFDEADBEEF
46310 .xword 0xDEADBEEFDEADBEEF
46311 .xword 0xDEADBEEFDEADBEEF
46312 .xword 0xDEADBEEFDEADBEEF
46313 .xword 0xDEADBEEFDEADBEEF
46314 .xword 0xDEADBEEFDEADBEEF
46315 .xword 0xDEADBEEFDEADBEEF
46316 .xword 0xDEADBEEFDEADBEEF
46317 .xword 0xDEADBEEFDEADBEEF
46318 .xword 0xDEADBEEFDEADBEEF
46319 .xword 0xDEADBEEFDEADBEEF
46320 .xword 0xDEADBEEFDEADBEEF
46321 .xword 0xDEADBEEFDEADBEEF
46322 .xword 0xDEADBEEFDEADBEEF
46323 .xword 0xDEADBEEFDEADBEEF
46324 .xword 0xDEADBEEFDEADBEEF
46325 .xword 0xDEADBEEFDEADBEEF
46326 .xword 0xDEADBEEFDEADBEEF
46327 .xword 0xDEADBEEFDEADBEEF
46328 .xword 0xDEADBEEFDEADBEEF
46329 .xword 0xDEADBEEFDEADBEEF
46330 .xword 0xDEADBEEFDEADBEEF
46331 .xword 0xDEADBEEFDEADBEEF
46332 .xword 0xDEADBEEFDEADBEEF
46333 .xword 0xDEADBEEFDEADBEEF
46334 .xword 0xDEADBEEFDEADBEEF
46335 .xword 0xDEADBEEFDEADBEEF
46336 .xword 0xDEADBEEFDEADBEEF
46337 .xword 0xDEADBEEFDEADBEEF
46338 .xword 0xDEADBEEFDEADBEEF
46339 .xword 0xDEADBEEFDEADBEEF
46340 .xword 0xDEADBEEFDEADBEEF
46341 .xword 0xDEADBEEFDEADBEEF
46342 .xword 0xDEADBEEFDEADBEEF
46343 .xword 0xDEADBEEFDEADBEEF
46344 .xword 0xDEADBEEFDEADBEEF
46345 .xword 0xDEADBEEFDEADBEEF
46346 .xword 0xDEADBEEFDEADBEEF
46347 .xword 0xDEADBEEFDEADBEEF
46348 .xword 0xDEADBEEFDEADBEEF
46349 .xword 0xDEADBEEFDEADBEEF
46350 .xword 0xDEADBEEFDEADBEEF
46351 .xword 0xDEADBEEFDEADBEEF
46352 .xword 0xDEADBEEFDEADBEEF
46353 .xword 0xDEADBEEFDEADBEEF
46354 .xword 0xDEADBEEFDEADBEEF
46355 .xword 0xDEADBEEFDEADBEEF
46356 .xword 0xDEADBEEFDEADBEEF
46357 .xword 0xDEADBEEFDEADBEEF
46358 .xword 0xDEADBEEFDEADBEEF
46359 .xword 0xDEADBEEFDEADBEEF
46360 .xword 0xDEADBEEFDEADBEEF
46361 .xword 0xDEADBEEFDEADBEEF
46362 .xword 0xDEADBEEFDEADBEEF
46363 .xword 0xDEADBEEFDEADBEEF
46364 .xword 0xDEADBEEFDEADBEEF
46365 .xword 0xDEADBEEFDEADBEEF
46366 .xword 0xDEADBEEFDEADBEEF
46367 .xword 0xDEADBEEFDEADBEEF
46368 .xword 0xDEADBEEFDEADBEEF
46369 .xword 0xDEADBEEFDEADBEEF
46370 .xword 0xDEADBEEFDEADBEEF
46371 .xword 0xDEADBEEFDEADBEEF
46372 .xword 0xDEADBEEFDEADBEEF
46373 .xword 0xDEADBEEFDEADBEEF
46374 .xword 0xDEADBEEFDEADBEEF
46375 .xword 0xDEADBEEFDEADBEEF
46376 .xword 0xDEADBEEFDEADBEEF
46377 .xword 0xDEADBEEFDEADBEEF
46378 .xword 0xDEADBEEFDEADBEEF
46379 .xword 0xDEADBEEFDEADBEEF
46380 .xword 0xDEADBEEFDEADBEEF
46381 .xword 0xDEADBEEFDEADBEEF
46382 .xword 0xDEADBEEFDEADBEEF
46383 .xword 0xDEADBEEFDEADBEEF
46384 .xword 0xDEADBEEFDEADBEEF
46385 .xword 0xDEADBEEFDEADBEEF
46386 .xword 0xDEADBEEFDEADBEEF
46387 .xword 0xDEADBEEFDEADBEEF
46388 .xword 0xDEADBEEFDEADBEEF
46389 .xword 0xDEADBEEFDEADBEEF
46390 .xword 0xDEADBEEFDEADBEEF
46391 .xword 0xDEADBEEFDEADBEEF
46392 .xword 0xDEADBEEFDEADBEEF
46393 .xword 0xDEADBEEFDEADBEEF
46394 .xword 0xDEADBEEFDEADBEEF
46395 .xword 0xDEADBEEFDEADBEEF
46396 .xword 0xDEADBEEFDEADBEEF
46397 .xword 0xDEADBEEFDEADBEEF
46398 .xword 0xDEADBEEFDEADBEEF
46399 .xword 0xDEADBEEFDEADBEEF
46400 .xword 0xDEADBEEFDEADBEEF
46401 .xword 0xDEADBEEFDEADBEEF
46402 .xword 0xDEADBEEFDEADBEEF
46403 .xword 0xDEADBEEFDEADBEEF
46404 .xword 0xDEADBEEFDEADBEEF
46405 .xword 0xDEADBEEFDEADBEEF
46406 .xword 0xDEADBEEFDEADBEEF
46407 .xword 0xDEADBEEFDEADBEEF
46408 .xword 0xDEADBEEFDEADBEEF
46409 .xword 0xDEADBEEFDEADBEEF
46410 .xword 0xDEADBEEFDEADBEEF
46411 .xword 0xDEADBEEFDEADBEEF
46412 .xword 0xDEADBEEFDEADBEEF
46413 .xword 0xDEADBEEFDEADBEEF
46414 .xword 0xDEADBEEFDEADBEEF
46415 .xword 0xDEADBEEFDEADBEEF
46416 .xword 0xDEADBEEFDEADBEEF
46417 .xword 0xDEADBEEFDEADBEEF
46418 .xword 0xDEADBEEFDEADBEEF
46419 .xword 0xDEADBEEFDEADBEEF
46420 .xword 0xDEADBEEFDEADBEEF
46421 .xword 0xDEADBEEFDEADBEEF
46422 .xword 0xDEADBEEFDEADBEEF
46423 .xword 0xDEADBEEFDEADBEEF
46424 .xword 0xDEADBEEFDEADBEEF
46425 .xword 0xDEADBEEFDEADBEEF
46426 .xword 0xDEADBEEFDEADBEEF
46427 .xword 0xDEADBEEFDEADBEEF
46428 .xword 0xDEADBEEFDEADBEEF
46429 .xword 0xDEADBEEFDEADBEEF
46430 .xword 0xDEADBEEFDEADBEEF
46431 .xword 0xDEADBEEFDEADBEEF
46432 .xword 0xDEADBEEFDEADBEEF
46433 .xword 0xDEADBEEFDEADBEEF
46434 .xword 0xDEADBEEFDEADBEEF
46435 .xword 0xDEADBEEFDEADBEEF
46436 .xword 0xDEADBEEFDEADBEEF
46437 .xword 0xDEADBEEFDEADBEEF
46438 .xword 0xDEADBEEFDEADBEEF
46439 .xword 0xDEADBEEFDEADBEEF
46440 .xword 0xDEADBEEFDEADBEEF
46441 .xword 0xDEADBEEFDEADBEEF
46442 .xword 0xDEADBEEFDEADBEEF
46443 .xword 0xDEADBEEFDEADBEEF
46444 .xword 0xDEADBEEFDEADBEEF
46445 .xword 0xDEADBEEFDEADBEEF
46446 .xword 0xDEADBEEFDEADBEEF
46447 .xword 0xDEADBEEFDEADBEEF
46448 .xword 0xDEADBEEFDEADBEEF
46449 .xword 0xDEADBEEFDEADBEEF
46450 .xword 0xDEADBEEFDEADBEEF
46451 .xword 0xDEADBEEFDEADBEEF
46452 .xword 0xDEADBEEFDEADBEEF
46453 .xword 0xDEADBEEFDEADBEEF
46454 .xword 0xDEADBEEFDEADBEEF
46455 .xword 0xDEADBEEFDEADBEEF
46456 .xword 0xDEADBEEFDEADBEEF
46457 .xword 0xDEADBEEFDEADBEEF
46458 .xword 0xDEADBEEFDEADBEEF
46459 .xword 0xDEADBEEFDEADBEEF
46460 .xword 0xDEADBEEFDEADBEEF
46461 .xword 0xDEADBEEFDEADBEEF
46462 .xword 0xDEADBEEFDEADBEEF
46463 .xword 0xDEADBEEFDEADBEEF
46464 .xword 0xDEADBEEFDEADBEEF
46465 .xword 0xDEADBEEFDEADBEEF
46466 .xword 0xDEADBEEFDEADBEEF
46467 .xword 0xDEADBEEFDEADBEEF
46468 .xword 0xDEADBEEFDEADBEEF
46469 .xword 0xDEADBEEFDEADBEEF
46470 .xword 0xDEADBEEFDEADBEEF
46471 .xword 0xDEADBEEFDEADBEEF
46472 .xword 0xDEADBEEFDEADBEEF
46473 .xword 0xDEADBEEFDEADBEEF
46474 .xword 0xDEADBEEFDEADBEEF
46475 .xword 0xDEADBEEFDEADBEEF
46476 .xword 0xDEADBEEFDEADBEEF
46477 .xword 0xDEADBEEFDEADBEEF
46478 .xword 0xDEADBEEFDEADBEEF
46479 .xword 0xDEADBEEFDEADBEEF
46480 .xword 0xDEADBEEFDEADBEEF
46481 .xword 0xDEADBEEFDEADBEEF
46482 .xword 0xDEADBEEFDEADBEEF
46483 .xword 0xDEADBEEFDEADBEEF
46484 .xword 0xDEADBEEFDEADBEEF
46485 .xword 0xDEADBEEFDEADBEEF
46486 .xword 0xDEADBEEFDEADBEEF
46487 .xword 0xDEADBEEFDEADBEEF
46488 .xword 0xDEADBEEFDEADBEEF
46489 .xword 0xDEADBEEFDEADBEEF
46490 .xword 0xDEADBEEFDEADBEEF
46491 .xword 0xDEADBEEFDEADBEEF
46492 .xword 0xDEADBEEFDEADBEEF
46493 .xword 0xDEADBEEFDEADBEEF
46494 .xword 0xDEADBEEFDEADBEEF
46495 .xword 0xDEADBEEFDEADBEEF
46496 .xword 0xDEADBEEFDEADBEEF
46497 .xword 0xDEADBEEFDEADBEEF
46498 .xword 0xDEADBEEFDEADBEEF
46499 .xword 0xDEADBEEFDEADBEEF
46500 .xword 0xDEADBEEFDEADBEEF
46501_t1_copy_auth_key:
46502 .xword 0x6a59f4c7f2b0bbc5
46503 .xword 0x8c73117682842a71
46504 .xword 0xd6ae599835b4fe3c
46505 .xword 0x0ef3fbc3c6e7d1ae
46506 .xword 0xaa7413230ade1263
46507 .xword 0xe111febab2abb8b2
46508 .xword 0x0ac1ba9111268a96
46509 .xword 0x5ea2c04c264f7dc8
46510 .xword 0xffa4eac82c7a1277
46511 .xword 0x28bdc962df616c99
46512 .xword 0xa6d2d0175f757303
46513 .xword 0x97778c836184536b
46514 .xword 0x0431620a5b118878
46515 .xword 0xfdd01841f4429beb
46516 .xword 0x046841a5dea6bdea
46517 .xword 0x7d5ef7a6fb259718
46518 .xword 0x92b229676bdabefa
46519 .xword 0x604a024fc834baed
46520 .xword 0xc55f9db50aa9d56b
46521 .xword 0x56a78c78eda5a6a6
46522 .xword 0x751f41e33803a2ef
46523 .xword 0xf21890ccc9b21484
46524 .xword 0xb6b49814767e702d
46525_t1_copy_auth_iv:
46526 .xword 0x5f1eb1040d4a8724
46527 .xword 0x51ff456c6864d89c
46528 .xword 0xedda0c350b2c9f0e
46529 .xword 0x58fb4fabb3fdf574
46530 .xword 0xcedb97bca5b9ec41
46531 .xword 0x472468d68b572db9
46532 .xword 0x75438e9c69a76d34
46533 .xword 0x70b2bad3b761d856
46534 .xword 0xf522d06524e740e6
46535 .xword 0x108d91ddebb24f40
46536 .xword 0xc73b2008000949b4
46537 .xword 0xf092769fff0781eb
46538 .xword 0xec2213cdb6bfdba1
46539 .xword 0xac6c432cec2dbb85
46540 .xword 0xa127e0e7bdfbdb6c
46541 .xword 0xb0fa17869b7fd393
46542 .xword 0x7581ffafd74a98f9
46543 .xword 0x67973c8e6d5da510
46544 .xword 0x5eab36177933252a
46545 .xword 0x1e162976a4e8a8ae
46546 .xword 0x4dd2a6b172c50ca3
46547 .xword 0xf5334042ed838688
46548 .xword 0x337366fccde166fa
46549_t1_copy_fas_result:
46550 .xword 0xDEADBEEFDEADBEEF
46551 .xword 0xDEADBEEFDEADBEEF
46552 .xword 0xDEADBEEFDEADBEEF
46553 .xword 0xDEADBEEFDEADBEEF
46554 .xword 0xDEADBEEFDEADBEEF
46555 .xword 0xDEADBEEFDEADBEEF
46556 .xword 0xDEADBEEFDEADBEEF
46557 .xword 0xDEADBEEFDEADBEEF
46558 .xword 0xDEADBEEFDEADBEEF
46559 .xword 0xDEADBEEFDEADBEEF
46560 .xword 0xDEADBEEFDEADBEEF
46561 .xword 0xDEADBEEFDEADBEEF
46562 .xword 0xDEADBEEFDEADBEEF
46563 .xword 0xDEADBEEFDEADBEEF
46564 .xword 0xDEADBEEFDEADBEEF
46565 .xword 0xDEADBEEFDEADBEEF
46566 .xword 0xDEADBEEFDEADBEEF
46567 .xword 0xDEADBEEFDEADBEEF
46568 .xword 0xDEADBEEFDEADBEEF
46569 .xword 0xDEADBEEFDEADBEEF
46570 .xword 0xDEADBEEFDEADBEEF
46571 .xword 0xDEADBEEFDEADBEEF
46572 .xword 0xDEADBEEFDEADBEEF
46573_t1_crc_key_array:
46574 .xword 0x27538a78e41d0174
46575 .xword 0x465ebdfbc8854821
46576 .xword 0x3c0c5838f3d8f7e0
46577 .xword 0x1f7b22940d61129a
46578 .xword 0x8e32819eb489d0f0
46579 .xword 0x0c5b614aef69e7c6
46580 .xword 0xf8c2ef4522a6493d
46581 .xword 0x574f368ac96e1fe2
46582 .xword 0x90d7dd0af403d66b
46583 .xword 0xe8ba288ebffe495f
46584 .xword 0x1f846a16db65acf5
46585 .xword 0x37c2eb3c925c9844
46586 .xword 0xc81377d4b6002ee1
46587 .xword 0xfcfc2167a00bce11
46588 .xword 0x53af947fe063c904
46589 .xword 0xcf927b48b824f824
46590 .xword 0xb73f9f961eb32a6b
46591 .xword 0xce170322069f54c5
46592 .xword 0x3ce4c09794d7b3e0
46593 .xword 0xfaa57553c9de5e4b
46594 .xword 0xefeb957a1bbbfc18
46595 .xword 0x674792c19e45ff7a
46596 .xword 0x86292463289058ff
46597 .xword 0x8ca035ba90e6df5a
46598 .xword 0xbb83aa46160bffba
46599 .xword 0x9866e6cfb988d9e2
46600 .xword 0x8ef426939c6f55f5
46601 .xword 0x0d4e37cbab1eff23
46602 .xword 0x043d8b4ba6812ba6
46603 .xword 0xa267287c3218f23c
46604 .xword 0x899d936169c776ee
46605 .xword 0xba0683e4ded9d897
46606 .xword 0x1d7e9bc8a91f869a
46607 .xword 0x34cfe502c0886b50
46608 .xword 0x65d371773ff4f8b2
46609 .xword 0xa11e00652155c1cf
46610 .xword 0x26d6569e227df0ac
46611 .xword 0xff55c14589e54ffc
46612 .xword 0x8010f21d1650cd5e
46613 .xword 0xaac55bd154e34fcd
46614 .xword 0xddadad5352908770
46615 .xword 0x0ffd1a880aed13cb
46616 .xword 0xcab5463bd09112d0
46617 .xword 0x79c1f1da54cf5b95
46618 .xword 0xd3377efa60527057
46619 .xword 0x63f9d635b665df5c
46620 .xword 0x1fe008d86a27b079
46621 .xword 0xed73b0574c393dde
46622 .xword 0x344f5da0eaff5e24
46623 .xword 0xdd05aec6b768f7f6
46624 .xword 0xee1e9706a296d660
46625_t1_crc_iv_array:
46626 .xword 0x4e1d26fa9198e1dc
46627 .xword 0x80db17406b5ec3e9
46628 .xword 0x03f98e95450ebf6c
46629 .xword 0xeeee42e7730f3c8b
46630 .xword 0x5a7c58e5be73ffa5
46631 .xword 0x33c383609be8a846
46632 .xword 0xf0dba0b2ba6ef82b
46633 .xword 0xee10111b42536716
46634 .xword 0x5478b26cb32f8e3c
46635 .xword 0xe2797653fde90f7c
46636 .xword 0xd8c24046ab402e52
46637 .xword 0xbf41737e4934f45a
46638 .xword 0x99a94d03fde1097d
46639 .xword 0x74fb4bb52dad2cbe
46640 .xword 0x2d3a75f1c2948de7
46641 .xword 0x310d8de55bd11c0b
46642 .xword 0x0a9264522138ca53
46643 .xword 0xeb012c3573589a75
46644 .xword 0x2d381ed73ac42901
46645 .xword 0xa803b4bee5d14af1
46646 .xword 0x0c75675a0c2f3e8f
46647 .xword 0x9a6666065457d406
46648 .xword 0xeedaa569b27a4310
46649 .xword 0x1a5356a66850e4fd
46650 .xword 0xc7b6cd90d4560445
46651 .xword 0x4caa4f64a21aabf1
46652 .xword 0x778b4dc358963bcd
46653 .xword 0x61c7616425e1a058
46654 .xword 0xec25c18166ee77e6
46655 .xword 0xfd15c734c97b5354
46656 .xword 0xd55314448bb9bf86
46657 .xword 0x7287ec156da64d6b
46658 .xword 0x355952975b75d8ca
46659 .xword 0xf621a14221398fc5
46660 .xword 0x88f641f9bde56296
46661 .xword 0x33588b2a1ad8d319
46662 .xword 0xeed55ff31ba20627
46663 .xword 0xdf723fabb8ef250f
46664 .xword 0xba8aaaca270ce875
46665 .xword 0xb6738650d4b2953d
46666 .xword 0xc1cd338ee54a393d
46667 .xword 0xb988af9fb5c36012
46668 .xword 0x62f167c1b1f078eb
46669 .xword 0x5755a04d6bce42b8
46670 .xword 0x8cfed5ae28c9592f
46671_t1_crc_alignment_array:
46672 .xword 13
46673 .xword 2
46674 .xword 5
46675 .xword 10
46676 .xword 6
46677 .xword 15
46678 .xword 14
46679 .xword 0
46680 .xword 5
46681 .xword 12
46682 .xword 11
46683 .xword 5
46684 .xword 4
46685 .xword 13
46686 .xword 12
46687 .xword 3
46688 .xword 13
46689 .xword 8
46690 .xword 6
46691 .xword 15
46692 .xword 10
46693 .xword 0
46694 .xword 11
46695 .xword 9
46696 .xword 6
46697 .xword 9
46698 .xword 10
46699 .xword 15
46700 .xword 13
46701 .xword 6
46702 .xword 14
46703 .xword 10
46704 .xword 7
46705 .xword 4
46706 .xword 12
46707 .xword 10
46708 .xword 3
46709 .xword 1
46710 .xword 14
46711 .xword 4
46712 .xword 12
46713 .xword 5
46714 .xword 10
46715 .xword 15
46716 .xword 10
46717 .xword 8
46718 .xword 2
46719 .xword 6
46720 .xword 12
46721 .xword 8
46722 .xword 8
46723 .xword 3
46724 .xword 0
46725 .xword 12
46726 .xword 15
46727 .xword 15
46728 .xword 12
46729 .xword 1
46730 .xword 14
46731 .xword 8
46732 .xword 1
46733 .xword 11
46734 .xword 1
46735 .xword 3
46736 .xword 10
46737 .xword 12
46738 .xword 10
46739 .xword 3
46740 .xword 4
46741 .xword 13
46742 .xword 6
46743 .xword 3
46744 .xword 1
46745 .xword 12
46746 .xword 15
46747 .xword 10
46748 .xword 3
46749 .xword 0
46750 .xword 11
46751 .xword 12
46752 .xword 6
46753 .xword 12
46754 .xword 11
46755 .xword 11
46756 .xword 1
46757 .xword 12
46758 .xword 10
46759 .xword 13
46760 .xword 1
46761 .xword 13
46762 .xword 13
46763 .xword 0
46764 .xword 5
46765 .xword 11
46766 .xword 13
46767 .xword 2
46768 .xword 11
46769 .xword 7
46770 .xword 11
46771 .xword 13
46772 .xword 9
46773 .xword 3
46774 .xword 12
46775 .xword 13
46776 .xword 10
46777_t1_crc_src:
46778 .xword 0x1b4162781f2b156e
46779 .xword 0xa92b246c9a461b8e
46780 .xword 0x650233f045f1da97
46781 .xword 0xb789bc74066a350d
46782 .xword 0x44828208868a37d8
46783 .xword 0x60fc2a0d453d99c2
46784 .xword 0x49112979b59bef83
46785 .xword 0x8db8c2aefb7a3051
46786 .xword 0xfff887ce868c327d
46787 .xword 0xde5dcd53a513de20
46788 .xword 0x67e24760a58b60c6
46789 .xword 0x17f5922a43430f6b
46790 .xword 0x6ff54b789713241e
46791 .xword 0xbef1b020d38a0cc6
46792 .xword 0xfca86560fdfc4209
46793 .xword 0x09990cabf2537506
46794 .xword 0x976110729aaacf79
46795 .xword 0xd3cfb60ffa59adb9
46796 .xword 0x88d0ed217ddabbcb
46797 .xword 0xf40b2009ea6dc6ad
46798 .xword 0x76c0df97c118dda1
46799 .xword 0xf5ee86d60ef8e099
46800 .xword 0x866b08c610777173
46801 .xword 0xda5413bf21e56903
46802 .xword 0x2f28ec294f39ad97
46803 .xword 0x22828c3d70ae9410
46804 .xword 0x31fa3cebf5ff1ddf
46805 .xword 0xc853c7d02946b779
46806 .xword 0x3af57936b9124b6a
46807 .xword 0x33643efbee0bc693
46808 .xword 0x412d0bed17a4cc64
46809 .xword 0xf227079b52dd4ba5
46810 .xword 0x80d611927a06819e
46811 .xword 0x6d8ec8f6941ce0b8
46812 .xword 0x8b9a169b92346551
46813 .xword 0x5a688be1fc03056b
46814 .xword 0x7fbbd18d1d8e3c60
46815 .xword 0x9c08ed861df5121d
46816 .xword 0x2413d37e9106b74c
46817 .xword 0x39fef789deef04f2
46818 .xword 0x56e44e0ddc8a426f
46819 .xword 0xe6f4acf6240d9f74
46820 .xword 0xf27e3aaa379ce0fe
46821 .xword 0xf09b0f143e10180f
46822 .xword 0x448baafd34355f42
46823 .xword 0x73cf970cb49aeda8
46824 .xword 0x5ea084ddbc70ae75
46825 .xword 0x5d903aa182054b1b
46826 .xword 0x594978d87bb792d0
46827 .xword 0x61698528e991c850
46828 .xword 0x856d5d9573c3ab89
46829 .xword 0xce3bfe8de097eee7
46830 .xword 0xba953b45ee21ab66
46831 .xword 0x46fc727be2de8eae
46832 .xword 0x7d48c1790057916f
46833 .xword 0xa0306b8175e2aa87
46834 .xword 0x01ef23a177127258
46835 .xword 0x8dd7d0b8e6425e94
46836 .xword 0xd08cae7eabd42c70
46837 .xword 0xb57774e0ccd9423f
46838 .xword 0x3c6bd5195596ad9f
46839 .xword 0x8ac37c93c5550e15
46840 .xword 0x3db3870dc534c89c
46841 .xword 0xc34fbafa13664f71
46842 .xword 0x73c715f516d07b8c
46843 .xword 0x1d6081a04b8f2977
46844 .xword 0x43324421c789c747
46845 .xword 0xf71a78e8abb5fcac
46846 .xword 0xe9051561ad432f0c
46847 .xword 0x24aad590e1f84b40
46848 .xword 0x41180b46d1e7411c
46849 .xword 0xaa8bfda5c6e5a364
46850 .xword 0x03e77bfec48a3a01
46851 .xword 0x7cc14a70c1646066
46852 .xword 0xc9aedaa71a9f2901
46853 .xword 0x46331af3c8a4d089
46854 .xword 0x8ed4130d38e9bb2d
46855 .xword 0xa81eb7e10df37099
46856 .xword 0xc13693ef6263291b
46857 .xword 0x5af90222bacf8bbc
46858 .xword 0xae42c58b89bf40b6
46859 .xword 0xf83f3cebd2645f0b
46860 .xword 0x401839ede095f243
46861 .xword 0xf313a2c8ac9b95d9
46862 .xword 0x899d6b257b38bcf5
46863 .xword 0x69f8606fcd620fd4
46864 .xword 0x63d44a010ccd5761
46865 .xword 0x3fdad45b3296f7aa
46866 .xword 0x987b6d6d634651fc
46867 .xword 0xdc05a39c6e130ee1
46868 .xword 0x4b826d16f78cd9b8
46869 .xword 0x55483407e2959429
46870 .xword 0x680664cda1ef41a2
46871 .xword 0x3a7c8fda0337a924
46872 .xword 0x814576ddeb16dc94
46873 .xword 0xd31cc39286dd472e
46874 .xword 0x2e60dd61e5060231
46875 .xword 0x86ea02667378d308
46876 .xword 0xc207b15aac5b9453
46877 .xword 0x38d152e3766417e5
46878 .xword 0x193f682e657a5160
46879 .xword 0x99828a0e28292e71
46880 .xword 0xffa4d1c01b82a884
46881 .xword 0x759020bb8e7b653c
46882 .xword 0x7d8bbcf7eaf772e6
46883 .xword 0xf90243d267a7dfbd
46884 .xword 0x06ee9beba5a986d7
46885 .xword 0xbdc1c3ed4c19868a
46886 .xword 0x86d7f4338859b7c6
46887 .xword 0xef8be83a078e4c61
46888 .xword 0x71b1f9c0b9cafdd9
46889 .xword 0x0190306843c3a622
46890 .xword 0xc4fd5f38dae81937
46891 .xword 0x3a3a1e7ecd840738
46892 .xword 0xedcf5ffc12872c2e
46893 .xword 0xb3129e21811aa0fc
46894 .xword 0xa94cef249816a673
46895 .xword 0xcbc74d258d4d4553
46896 .xword 0x7179f0b6e8d68c85
46897 .xword 0xf8fa5286034b8558
46898 .xword 0x702e15de880e7952
46899 .xword 0xffe62edf344f36ac
46900 .xword 0x4ec4afb347e6da79
46901 .xword 0xe780bb02ee6cca1d
46902 .xword 0xc89d1d72ddc8e8b3
46903 .xword 0xfe8dae53bed10cf5
46904 .xword 0x6e44c8fe77a168c7
46905 .xword 0x0dd06edd320fd191
46906 .xword 0xbf3e64359c9c3465
46907 .xword 0x32869ba77bafa3ab
46908 .xword 0xeb23d56566300030
46909 .xword 0x4eed246098fa96d5
46910 .xword 0x6f8fb023be02b986
46911 .xword 0x9336fcde78bc16a5
46912 .xword 0x276f4806f13926ff
46913 .xword 0x5718bf8e3c535aaf
46914 .xword 0xdfc443b09d2927bd
46915 .xword 0xdd7f44d54f0ae407
46916 .xword 0xd0e4ef2949247036
46917 .xword 0xd60efda99245dfa3
46918 .xword 0x7631d9f64dd87cd1
46919 .xword 0x687ce721c1c0814f
46920 .xword 0x3e3622403b0ceec1
46921 .xword 0x8e326d72363fb3c9
46922 .xword 0x926db1c042cf799a
46923 .xword 0x668bf444b0b243af
46924 .xword 0xa1b922d38d5d5c8a
46925 .xword 0xb0a3933eac782c86
46926 .xword 0x3e2efb6a9bdef797
46927 .xword 0x4ca3c75ec843cfeb
46928 .xword 0xc7de9d5f8141fe1c
46929 .xword 0x6c9e9eb3b5ef4aa9
46930 .xword 0x5dd93b8060745e5a
46931 .xword 0x4c9c89f0190787f8
46932 .xword 0x399251135d4a8c52
46933 .xword 0xd7150c5ac6d4d67d
46934 .xword 0x05d0b48a4095e14c
46935 .xword 0xa79e6739f44e8971
46936 .xword 0x71f495e690f9b7b9
46937 .xword 0xc998a718a26ebef8
46938 .xword 0x2e7abe2703191465
46939 .xword 0x2ab6b52456a21dec
46940 .xword 0x6d4cb036110495e2
46941 .xword 0x363c00ded291a0a1
46942 .xword 0xb7767557e893db95
46943 .xword 0x00ebddc58da500d6
46944 .xword 0x35d0213d2fe3f511
46945 .xword 0xf8ef33b6757be9f5
46946 .xword 0xca93628ace8d8aa0
46947 .xword 0x320c5b5ba651f003
46948 .xword 0x5d0c0bd0643d2be9
46949 .xword 0x446fbe2e51a1ff98
46950 .xword 0x5267e3275d8aa0ee
46951 .xword 0x50c3aefd40bc9a60
46952 .xword 0x5bd74eccbaafb9c0
46953 .xword 0x6fd3203edb7c2a31
46954 .xword 0x58dea65c2bb9bb9a
46955 .xword 0xe9e1648c265d4e0e
46956 .xword 0x964998f5f2302bbc
46957 .xword 0xde93110ae099303b
46958 .xword 0x7423e0ae04b6eac5
46959 .xword 0x0a52b660161581db
46960 .xword 0x302410e4b2914948
46961 .xword 0xb12c5a30de1984d5
46962 .xword 0x21733d224c7450b4
46963 .xword 0xf8dc30df261e4a71
46964 .xword 0x5ab97b29144cea57
46965 .xword 0x67d3308c00e02acb
46966 .xword 0xef2f59d7678a4590
46967 .xword 0x590c28b7cb75b4d9
46968 .xword 0xb52f826c7bf5b49c
46969 .xword 0x504d37ecc6deb70c
46970 .xword 0xe35164399efaac6b
46971 .xword 0xa4e5748b139817fa
46972 .xword 0x0f858d3f14ce63fb
46973 .xword 0x42e0bee9fb235179
46974 .xword 0x82a2fb5f3ee7e14d
46975 .xword 0x9d2957e7586310a3
46976 .xword 0x5e5146e82b1e24d2
46977 .xword 0x2625a8df97cde9c8
46978 .xword 0xbc229ff0d412ec2d
46979 .xword 0xff6e2db962150426
46980 .xword 0x106fd97398104eb3
46981 .xword 0x937c06db46ac3dda
46982 .xword 0xba0235bc84aff7b3
46983 .xword 0x69006a8c0af595b8
46984 .xword 0xc116b07f3e4e45bb
46985 .xword 0x5488b3ccdaba5b2c
46986 .xword 0x443ae6347a544e73
46987 .xword 0xb6df8b3b10541b11
46988 .xword 0xed939ce0941a4e4c
46989 .xword 0xcb1cadbef322acaf
46990 .xword 0x17a012a267e6dfd4
46991 .xword 0x0000e78aeeb22c4a
46992 .xword 0x1f789d939aa9a0e7
46993 .xword 0xa913d7f1491a2bda
46994 .xword 0x8e74e51c817eb165
46995 .xword 0x27f01a71feb04b49
46996 .xword 0x0c6a2eca02b45e22
46997 .xword 0xf178410586d25d80
46998 .xword 0x882d6a061c89d5c9
46999 .xword 0xe86b95c2926a52f6
47000 .xword 0x93f4381bfab3acd7
47001 .xword 0x1c281592cc20643d
47002 .xword 0x0dca2772daaaddc0
47003 .xword 0x821e7d2b93af414a
47004 .xword 0x8eb677b63e32da28
47005 .xword 0x0ca6976086d1453a
47006 .xword 0x9f3c9bf257bc5f8f
47007 .xword 0x761a846c9f6f0036
47008 .xword 0x4b37bfb33be0588b
47009 .xword 0x08e5dc854757a864
47010 .xword 0x213f124a563f5381
47011 .xword 0x4fe7cf46541f2332
47012 .xword 0x23b6233a22a5ad40
47013 .xword 0x8b67a22eefc9690d
47014 .xword 0xa04947a65dd75bf9
47015 .xword 0x9e01b50c2567cdad
47016 .xword 0xc5525038a51b84f2
47017 .xword 0x99a9596670694017
47018 .xword 0xb467e6e70674d965
47019 .xword 0xa1fe24df78ec135f
47020 .xword 0xf24b42dc535bb741
47021 .xword 0x8fa072b670cfdfed
47022 .xword 0x6c98df64d50a40cb
47023 .xword 0xef34e5a311ad28b3
47024 .xword 0xf098f34fe3a5b579
47025 .xword 0x4a461085e9fe52d8
47026 .xword 0x38d9271587bc6932
47027 .xword 0xa15881ab0c50ab98
47028 .xword 0x9c47a2b6cf9db7f1
47029 .xword 0xf31c6b2c97b8152b
47030 .xword 0x117df885e6390942
47031 .xword 0x8146f34649359131
47032 .xword 0xd7301a083e272ae0
47033 .xword 0x0efddaef69f626bb
47034 .xword 0x38d20e82a152f153
47035 .xword 0xf8e84e7e2bf5ee1d
47036 .xword 0x7cccdd230ab7f919
47037 .xword 0x1f0dba38093a673e
47038 .xword 0xdcaa503d7d91e2f2
47039 .xword 0x89c51ecb66b1c0d9
47040 .xword 0x1414ab35baa3c8c0
47041 .xword 0x2743f05531edaf8c
47042 .xword 0x76ac1317958ef49f
47043 .xword 0x2e5a2a590fe8c0da
47044 .xword 0x55a168424da4a9a2
47045 .xword 0xcdb26d13348fada7
47046 .xword 0x67e7f2d142e590bb
47047 .xword 0xc338ec728c9bb5a5
47048 .xword 0xacd5b01c80e1701b
47049 .xword 0x7ba5e9c53a1599c5
47050 .xword 0x3f99d20e59f14c30
47051 .xword 0x8313deb582a19916
47052 .xword 0x86c3fdb7faa62bcb
47053_t1_crc_dest:
47054 .xword 0xDEADBEEFDEADBEEF
47055 .xword 0xDEADBEEFDEADBEEF
47056 .xword 0xDEADBEEFDEADBEEF
47057 .xword 0xDEADBEEFDEADBEEF
47058 .xword 0xDEADBEEFDEADBEEF
47059 .xword 0xDEADBEEFDEADBEEF
47060 .xword 0xDEADBEEFDEADBEEF
47061 .xword 0xDEADBEEFDEADBEEF
47062 .xword 0xDEADBEEFDEADBEEF
47063 .xword 0xDEADBEEFDEADBEEF
47064 .xword 0xDEADBEEFDEADBEEF
47065 .xword 0xDEADBEEFDEADBEEF
47066 .xword 0xDEADBEEFDEADBEEF
47067 .xword 0xDEADBEEFDEADBEEF
47068 .xword 0xDEADBEEFDEADBEEF
47069 .xword 0xDEADBEEFDEADBEEF
47070 .xword 0xDEADBEEFDEADBEEF
47071 .xword 0xDEADBEEFDEADBEEF
47072 .xword 0xDEADBEEFDEADBEEF
47073 .xword 0xDEADBEEFDEADBEEF
47074 .xword 0xDEADBEEFDEADBEEF
47075 .xword 0xDEADBEEFDEADBEEF
47076 .xword 0xDEADBEEFDEADBEEF
47077 .xword 0xDEADBEEFDEADBEEF
47078 .xword 0xDEADBEEFDEADBEEF
47079 .xword 0xDEADBEEFDEADBEEF
47080 .xword 0xDEADBEEFDEADBEEF
47081 .xword 0xDEADBEEFDEADBEEF
47082 .xword 0xDEADBEEFDEADBEEF
47083 .xword 0xDEADBEEFDEADBEEF
47084 .xword 0xDEADBEEFDEADBEEF
47085 .xword 0xDEADBEEFDEADBEEF
47086 .xword 0xDEADBEEFDEADBEEF
47087 .xword 0xDEADBEEFDEADBEEF
47088 .xword 0xDEADBEEFDEADBEEF
47089 .xword 0xDEADBEEFDEADBEEF
47090 .xword 0xDEADBEEFDEADBEEF
47091 .xword 0xDEADBEEFDEADBEEF
47092 .xword 0xDEADBEEFDEADBEEF
47093 .xword 0xDEADBEEFDEADBEEF
47094 .xword 0xDEADBEEFDEADBEEF
47095 .xword 0xDEADBEEFDEADBEEF
47096 .xword 0xDEADBEEFDEADBEEF
47097 .xword 0xDEADBEEFDEADBEEF
47098 .xword 0xDEADBEEFDEADBEEF
47099 .xword 0xDEADBEEFDEADBEEF
47100 .xword 0xDEADBEEFDEADBEEF
47101 .xword 0xDEADBEEFDEADBEEF
47102 .xword 0xDEADBEEFDEADBEEF
47103 .xword 0xDEADBEEFDEADBEEF
47104 .xword 0xDEADBEEFDEADBEEF
47105 .xword 0xDEADBEEFDEADBEEF
47106 .xword 0xDEADBEEFDEADBEEF
47107 .xword 0xDEADBEEFDEADBEEF
47108 .xword 0xDEADBEEFDEADBEEF
47109 .xword 0xDEADBEEFDEADBEEF
47110 .xword 0xDEADBEEFDEADBEEF
47111 .xword 0xDEADBEEFDEADBEEF
47112 .xword 0xDEADBEEFDEADBEEF
47113 .xword 0xDEADBEEFDEADBEEF
47114 .xword 0xDEADBEEFDEADBEEF
47115 .xword 0xDEADBEEFDEADBEEF
47116 .xword 0xDEADBEEFDEADBEEF
47117 .xword 0xDEADBEEFDEADBEEF
47118 .xword 0xDEADBEEFDEADBEEF
47119 .xword 0xDEADBEEFDEADBEEF
47120 .xword 0xDEADBEEFDEADBEEF
47121 .xword 0xDEADBEEFDEADBEEF
47122 .xword 0xDEADBEEFDEADBEEF
47123 .xword 0xDEADBEEFDEADBEEF
47124 .xword 0xDEADBEEFDEADBEEF
47125 .xword 0xDEADBEEFDEADBEEF
47126 .xword 0xDEADBEEFDEADBEEF
47127 .xword 0xDEADBEEFDEADBEEF
47128 .xword 0xDEADBEEFDEADBEEF
47129 .xword 0xDEADBEEFDEADBEEF
47130 .xword 0xDEADBEEFDEADBEEF
47131 .xword 0xDEADBEEFDEADBEEF
47132 .xword 0xDEADBEEFDEADBEEF
47133 .xword 0xDEADBEEFDEADBEEF
47134 .xword 0xDEADBEEFDEADBEEF
47135 .xword 0xDEADBEEFDEADBEEF
47136 .xword 0xDEADBEEFDEADBEEF
47137 .xword 0xDEADBEEFDEADBEEF
47138 .xword 0xDEADBEEFDEADBEEF
47139 .xword 0xDEADBEEFDEADBEEF
47140 .xword 0xDEADBEEFDEADBEEF
47141 .xword 0xDEADBEEFDEADBEEF
47142 .xword 0xDEADBEEFDEADBEEF
47143 .xword 0xDEADBEEFDEADBEEF
47144 .xword 0xDEADBEEFDEADBEEF
47145 .xword 0xDEADBEEFDEADBEEF
47146 .xword 0xDEADBEEFDEADBEEF
47147 .xword 0xDEADBEEFDEADBEEF
47148 .xword 0xDEADBEEFDEADBEEF
47149 .xword 0xDEADBEEFDEADBEEF
47150 .xword 0xDEADBEEFDEADBEEF
47151 .xword 0xDEADBEEFDEADBEEF
47152 .xword 0xDEADBEEFDEADBEEF
47153 .xword 0xDEADBEEFDEADBEEF
47154 .xword 0xDEADBEEFDEADBEEF
47155 .xword 0xDEADBEEFDEADBEEF
47156 .xword 0xDEADBEEFDEADBEEF
47157 .xword 0xDEADBEEFDEADBEEF
47158 .xword 0xDEADBEEFDEADBEEF
47159 .xword 0xDEADBEEFDEADBEEF
47160 .xword 0xDEADBEEFDEADBEEF
47161 .xword 0xDEADBEEFDEADBEEF
47162 .xword 0xDEADBEEFDEADBEEF
47163 .xword 0xDEADBEEFDEADBEEF
47164 .xword 0xDEADBEEFDEADBEEF
47165 .xword 0xDEADBEEFDEADBEEF
47166 .xword 0xDEADBEEFDEADBEEF
47167 .xword 0xDEADBEEFDEADBEEF
47168 .xword 0xDEADBEEFDEADBEEF
47169 .xword 0xDEADBEEFDEADBEEF
47170 .xword 0xDEADBEEFDEADBEEF
47171 .xword 0xDEADBEEFDEADBEEF
47172 .xword 0xDEADBEEFDEADBEEF
47173 .xword 0xDEADBEEFDEADBEEF
47174 .xword 0xDEADBEEFDEADBEEF
47175 .xword 0xDEADBEEFDEADBEEF
47176 .xword 0xDEADBEEFDEADBEEF
47177 .xword 0xDEADBEEFDEADBEEF
47178 .xword 0xDEADBEEFDEADBEEF
47179 .xword 0xDEADBEEFDEADBEEF
47180 .xword 0xDEADBEEFDEADBEEF
47181 .xword 0xDEADBEEFDEADBEEF
47182 .xword 0xDEADBEEFDEADBEEF
47183 .xword 0xDEADBEEFDEADBEEF
47184 .xword 0xDEADBEEFDEADBEEF
47185 .xword 0xDEADBEEFDEADBEEF
47186 .xword 0xDEADBEEFDEADBEEF
47187 .xword 0xDEADBEEFDEADBEEF
47188 .xword 0xDEADBEEFDEADBEEF
47189 .xword 0xDEADBEEFDEADBEEF
47190 .xword 0xDEADBEEFDEADBEEF
47191 .xword 0xDEADBEEFDEADBEEF
47192 .xword 0xDEADBEEFDEADBEEF
47193 .xword 0xDEADBEEFDEADBEEF
47194 .xword 0xDEADBEEFDEADBEEF
47195 .xword 0xDEADBEEFDEADBEEF
47196 .xword 0xDEADBEEFDEADBEEF
47197 .xword 0xDEADBEEFDEADBEEF
47198 .xword 0xDEADBEEFDEADBEEF
47199 .xword 0xDEADBEEFDEADBEEF
47200 .xword 0xDEADBEEFDEADBEEF
47201 .xword 0xDEADBEEFDEADBEEF
47202 .xword 0xDEADBEEFDEADBEEF
47203 .xword 0xDEADBEEFDEADBEEF
47204 .xword 0xDEADBEEFDEADBEEF
47205 .xword 0xDEADBEEFDEADBEEF
47206 .xword 0xDEADBEEFDEADBEEF
47207 .xword 0xDEADBEEFDEADBEEF
47208 .xword 0xDEADBEEFDEADBEEF
47209 .xword 0xDEADBEEFDEADBEEF
47210 .xword 0xDEADBEEFDEADBEEF
47211 .xword 0xDEADBEEFDEADBEEF
47212 .xword 0xDEADBEEFDEADBEEF
47213 .xword 0xDEADBEEFDEADBEEF
47214 .xword 0xDEADBEEFDEADBEEF
47215 .xword 0xDEADBEEFDEADBEEF
47216 .xword 0xDEADBEEFDEADBEEF
47217 .xword 0xDEADBEEFDEADBEEF
47218 .xword 0xDEADBEEFDEADBEEF
47219 .xword 0xDEADBEEFDEADBEEF
47220 .xword 0xDEADBEEFDEADBEEF
47221 .xword 0xDEADBEEFDEADBEEF
47222 .xword 0xDEADBEEFDEADBEEF
47223 .xword 0xDEADBEEFDEADBEEF
47224 .xword 0xDEADBEEFDEADBEEF
47225 .xword 0xDEADBEEFDEADBEEF
47226 .xword 0xDEADBEEFDEADBEEF
47227 .xword 0xDEADBEEFDEADBEEF
47228 .xword 0xDEADBEEFDEADBEEF
47229 .xword 0xDEADBEEFDEADBEEF
47230 .xword 0xDEADBEEFDEADBEEF
47231 .xword 0xDEADBEEFDEADBEEF
47232 .xword 0xDEADBEEFDEADBEEF
47233 .xword 0xDEADBEEFDEADBEEF
47234 .xword 0xDEADBEEFDEADBEEF
47235 .xword 0xDEADBEEFDEADBEEF
47236 .xword 0xDEADBEEFDEADBEEF
47237 .xword 0xDEADBEEFDEADBEEF
47238 .xword 0xDEADBEEFDEADBEEF
47239 .xword 0xDEADBEEFDEADBEEF
47240 .xword 0xDEADBEEFDEADBEEF
47241 .xword 0xDEADBEEFDEADBEEF
47242 .xword 0xDEADBEEFDEADBEEF
47243 .xword 0xDEADBEEFDEADBEEF
47244 .xword 0xDEADBEEFDEADBEEF
47245 .xword 0xDEADBEEFDEADBEEF
47246 .xword 0xDEADBEEFDEADBEEF
47247 .xword 0xDEADBEEFDEADBEEF
47248 .xword 0xDEADBEEFDEADBEEF
47249 .xword 0xDEADBEEFDEADBEEF
47250 .xword 0xDEADBEEFDEADBEEF
47251 .xword 0xDEADBEEFDEADBEEF
47252 .xword 0xDEADBEEFDEADBEEF
47253 .xword 0xDEADBEEFDEADBEEF
47254 .xword 0xDEADBEEFDEADBEEF
47255 .xword 0xDEADBEEFDEADBEEF
47256 .xword 0xDEADBEEFDEADBEEF
47257 .xword 0xDEADBEEFDEADBEEF
47258 .xword 0xDEADBEEFDEADBEEF
47259 .xword 0xDEADBEEFDEADBEEF
47260 .xword 0xDEADBEEFDEADBEEF
47261 .xword 0xDEADBEEFDEADBEEF
47262 .xword 0xDEADBEEFDEADBEEF
47263 .xword 0xDEADBEEFDEADBEEF
47264 .xword 0xDEADBEEFDEADBEEF
47265 .xword 0xDEADBEEFDEADBEEF
47266 .xword 0xDEADBEEFDEADBEEF
47267 .xword 0xDEADBEEFDEADBEEF
47268 .xword 0xDEADBEEFDEADBEEF
47269 .xword 0xDEADBEEFDEADBEEF
47270 .xword 0xDEADBEEFDEADBEEF
47271 .xword 0xDEADBEEFDEADBEEF
47272 .xword 0xDEADBEEFDEADBEEF
47273 .xword 0xDEADBEEFDEADBEEF
47274 .xword 0xDEADBEEFDEADBEEF
47275 .xword 0xDEADBEEFDEADBEEF
47276 .xword 0xDEADBEEFDEADBEEF
47277 .xword 0xDEADBEEFDEADBEEF
47278 .xword 0xDEADBEEFDEADBEEF
47279 .xword 0xDEADBEEFDEADBEEF
47280 .xword 0xDEADBEEFDEADBEEF
47281 .xword 0xDEADBEEFDEADBEEF
47282 .xword 0xDEADBEEFDEADBEEF
47283 .xword 0xDEADBEEFDEADBEEF
47284 .xword 0xDEADBEEFDEADBEEF
47285 .xword 0xDEADBEEFDEADBEEF
47286 .xword 0xDEADBEEFDEADBEEF
47287 .xword 0xDEADBEEFDEADBEEF
47288 .xword 0xDEADBEEFDEADBEEF
47289 .xword 0xDEADBEEFDEADBEEF
47290 .xword 0xDEADBEEFDEADBEEF
47291 .xword 0xDEADBEEFDEADBEEF
47292 .xword 0xDEADBEEFDEADBEEF
47293 .xword 0xDEADBEEFDEADBEEF
47294 .xword 0xDEADBEEFDEADBEEF
47295 .xword 0xDEADBEEFDEADBEEF
47296 .xword 0xDEADBEEFDEADBEEF
47297 .xword 0xDEADBEEFDEADBEEF
47298 .xword 0xDEADBEEFDEADBEEF
47299 .xword 0xDEADBEEFDEADBEEF
47300 .xword 0xDEADBEEFDEADBEEF
47301 .xword 0xDEADBEEFDEADBEEF
47302 .xword 0xDEADBEEFDEADBEEF
47303 .xword 0xDEADBEEFDEADBEEF
47304 .xword 0xDEADBEEFDEADBEEF
47305 .xword 0xDEADBEEFDEADBEEF
47306 .xword 0xDEADBEEFDEADBEEF
47307 .xword 0xDEADBEEFDEADBEEF
47308 .xword 0xDEADBEEFDEADBEEF
47309 .xword 0xDEADBEEFDEADBEEF
47310 .xword 0xDEADBEEFDEADBEEF
47311 .xword 0xDEADBEEFDEADBEEF
47312 .xword 0xDEADBEEFDEADBEEF
47313 .xword 0xDEADBEEFDEADBEEF
47314 .xword 0xDEADBEEFDEADBEEF
47315 .xword 0xDEADBEEFDEADBEEF
47316 .xword 0xDEADBEEFDEADBEEF
47317 .xword 0xDEADBEEFDEADBEEF
47318 .xword 0xDEADBEEFDEADBEEF
47319 .xword 0xDEADBEEFDEADBEEF
47320 .xword 0xDEADBEEFDEADBEEF
47321 .xword 0xDEADBEEFDEADBEEF
47322 .xword 0xDEADBEEFDEADBEEF
47323 .xword 0xDEADBEEFDEADBEEF
47324 .xword 0xDEADBEEFDEADBEEF
47325 .xword 0xDEADBEEFDEADBEEF
47326 .xword 0xDEADBEEFDEADBEEF
47327 .xword 0xDEADBEEFDEADBEEF
47328 .xword 0xDEADBEEFDEADBEEF
47329_t1_crc_auth_key:
47330 .xword 0x27a0cbfa1c6e1b65
47331 .xword 0xe3a9a3a48a35313e
47332 .xword 0x452bacbf7bdd340b
47333 .xword 0x5162bf1d6d82f11e
47334 .xword 0x974400c77b928b18
47335 .xword 0x4338ab07812a317d
47336 .xword 0xec02a9da2f8213f8
47337 .xword 0x4ffaa78524c4ba4d
47338 .xword 0x0f77e24673a3f8e9
47339 .xword 0x5855f9b81875bfe5
47340 .xword 0xdc831ddf32e5f236
47341 .xword 0x31546a5712c4b7ba
47342 .xword 0x94bc060f19aff5d5
47343 .xword 0xe3855be0932e688c
47344 .xword 0xc771a23a6325d7e3
47345 .xword 0x8a078d36d1188a11
47346 .xword 0x7107322bc0b2aae9
47347 .xword 0x89011beeb228763f
47348 .xword 0xac2db5e17ba76d68
47349 .xword 0x7111292b5bd6cefb
47350 .xword 0x207a686d219770c4
47351 .xword 0x703656798a3286fa
47352 .xword 0x77654d0e410e5e68
47353_t1_crc_auth_iv:
47354 .xword 0x2f8e0a3c4c9d14f0
47355 .xword 0x2079a86dd354931f
47356 .xword 0x7feb143c576ef4ff
47357 .xword 0x5b292a9660f46dd5
47358 .xword 0x228a4f27682962d8
47359 .xword 0x271c0f0ccae00fb0
47360 .xword 0x792ec0daf2f0972f
47361 .xword 0xe50bd98db793071e
47362 .xword 0x62e135608a045b44
47363 .xword 0x6162b9d7ba03463e
47364 .xword 0x665d7606c0abc539
47365 .xword 0x7dc852f35c6039ff
47366 .xword 0x92501a069fb63d75
47367 .xword 0x3c14d62b5263fa4e
47368 .xword 0x9dfd2e57a2a03a1d
47369 .xword 0x0d01319ea4001898
47370 .xword 0x335feeb4a6158895
47371 .xword 0xd9e314fa9dd01487
47372 .xword 0xe84c5c0334a1b5d2
47373 .xword 0x9dc16c550efa9876
47374 .xword 0x42f14777208e9023
47375 .xword 0x91f2084b3be79b72
47376 .xword 0x565d369874b206fa
47377_t1_crc_fas_result:
47378 .xword 0xDEADBEEFDEADBEEF
47379 .xword 0xDEADBEEFDEADBEEF
47380 .xword 0xDEADBEEFDEADBEEF
47381 .xword 0xDEADBEEFDEADBEEF
47382 .xword 0xDEADBEEFDEADBEEF
47383 .xword 0xDEADBEEFDEADBEEF
47384 .xword 0xDEADBEEFDEADBEEF
47385 .xword 0xDEADBEEFDEADBEEF
47386 .xword 0xDEADBEEFDEADBEEF
47387 .xword 0xDEADBEEFDEADBEEF
47388 .xword 0xDEADBEEFDEADBEEF
47389 .xword 0xDEADBEEFDEADBEEF
47390 .xword 0xDEADBEEFDEADBEEF
47391 .xword 0xDEADBEEFDEADBEEF
47392 .xword 0xDEADBEEFDEADBEEF
47393 .xword 0xDEADBEEFDEADBEEF
47394 .xword 0xDEADBEEFDEADBEEF
47395 .xword 0xDEADBEEFDEADBEEF
47396 .xword 0xDEADBEEFDEADBEEF
47397 .xword 0xDEADBEEFDEADBEEF
47398 .xword 0xDEADBEEFDEADBEEF
47399 .xword 0xDEADBEEFDEADBEEF
47400 .xword 0xDEADBEEFDEADBEEF
47401_t1_hash_key_array:
47402 .xword 0x0decd486340bb6d1
47403 .xword 0x8d9aee38960d67f4
47404 .xword 0xc030e13f8faac7a1
47405 .xword 0xc65224f2e3e13d5f
47406 .xword 0x3f79c11b728c5a03
47407 .xword 0xf062cf56a7e517f2
47408 .xword 0x71c58ef14d48d67e
47409 .xword 0x5cb07e08ba0520d8
47410 .xword 0x3efd5cdc8c03acf5
47411 .xword 0xd7c9c0124bd3a2db
47412 .xword 0x23812d653acb1f5b
47413 .xword 0x32acea0c43d8e8ea
47414 .xword 0xc08d35234ad932fe
47415 .xword 0x36b1f0062f869937
47416 .xword 0x58540c2584d000d1
47417 .xword 0x5a9fb210d207ef50
47418 .xword 0x055b83ca550ec09a
47419 .xword 0xbb84a9570f5ba2b0
47420 .xword 0x44cf2738f2340e0f
47421 .xword 0x886eb9641bdfd64a
47422 .xword 0x64ccfed6b45aeabd
47423 .xword 0xde89d938e5fc09e3
47424 .xword 0xa3c5bb21b88c83f6
47425 .xword 0x9214393cfe8fb082
47426 .xword 0xcf8f8fc25fcfc91d
47427 .xword 0xcaa1bb3da82b8894
47428 .xword 0xd94110099ad133f0
47429 .xword 0x026624c63307720a
47430 .xword 0x5d3c9bae5f66c0ce
47431 .xword 0x78507bf767df822c
47432 .xword 0xb90d29d7847d10be
47433 .xword 0x384f143d8cdcf6c8
47434 .xword 0xf4e9b58e6498c208
47435 .xword 0x581316d49a51b576
47436 .xword 0x8892d01409ec553f
47437 .xword 0x1de2f3b6ebe183d7
47438 .xword 0x3d7db57a63af690f
47439 .xword 0x2e5746cf9c95cd23
47440 .xword 0x1cb024442cc6557d
47441 .xword 0x6506b25a7490775f
47442 .xword 0xceea41a941f2f934
47443 .xword 0x89cb9cf91f8b1e1a
47444 .xword 0x0acdf2e98a80df01
47445 .xword 0x3dd3168e086699af
47446 .xword 0xf47565cf5085decc
47447 .xword 0x83bac3f3d9636f24
47448 .xword 0x0e75eaa97539cc1f
47449 .xword 0xa1ccf057c6c2440f
47450 .xword 0x27e2ba12a8671f38
47451 .xword 0x2614d19a3e5fd033
47452 .xword 0x30714ab6d288aa13
47453_t1_hash_iv_array:
47454 .xword 0x12e71c57ebc69ab9
47455 .xword 0xfbb1acd81999ba9a
47456 .xword 0xcbfed21c92cbc73d
47457 .xword 0x4cae933d9d8f5a58
47458 .xword 0x98527b71ef16507f
47459 .xword 0x428bcc4146b8d6e7
47460 .xword 0x33d9019e5aeaec95
47461 .xword 0x8336943d802f2c1f
47462 .xword 0x9b3b62d28aea3633
47463 .xword 0x1cbe59326006f9c6
47464 .xword 0xc33c2f362d735aff
47465 .xword 0xe251b294f136d267
47466 .xword 0xf15a4cfffd28c0bd
47467 .xword 0x85e53d5b6ab17583
47468 .xword 0xc4a26c28c126901e
47469 .xword 0xe2387716a8b0da82
47470 .xword 0x43790f9fc71a8cf5
47471 .xword 0x656fff6ccefca73c
47472 .xword 0xe6e38617152cc5fa
47473 .xword 0xee37705e7f6400b4
47474 .xword 0xde3433aaef60468e
47475 .xword 0x488f00d94a614d34
47476 .xword 0x0893bc86d67126ca
47477 .xword 0x7ef9838cb66f43ce
47478 .xword 0x2436f52b95876c56
47479 .xword 0x7e07b31951e92696
47480 .xword 0x87525b9a0b2a499b
47481 .xword 0x94965bba970a791b
47482 .xword 0x451b044b95a58175
47483 .xword 0x5c4d1d3dc1365078
47484 .xword 0xbf2a12a5cd22f5d8
47485 .xword 0x23f0e3012702dddc
47486 .xword 0x0f6e12b1a8bd70c9
47487 .xword 0x1f49d2f3e139c2ec
47488 .xword 0x56c397d5e584105a
47489 .xword 0x8cd42d48dd9620ee
47490 .xword 0xdd73cb94236f0ab7
47491 .xword 0xd52e46db7ced9095
47492 .xword 0x50666a1d09e3d3c1
47493 .xword 0xa19823fbe222b1a6
47494 .xword 0x6c43dac4d8f13c4e
47495 .xword 0xa74d56c82f6692c1
47496 .xword 0x51e6980b756bfdb5
47497 .xword 0x748379e3880ed0fc
47498 .xword 0x3f9ccea93b43233b
47499_t1_hash_alignment_array:
47500 .xword 6
47501 .xword 13
47502 .xword 9
47503 .xword 3
47504 .xword 3
47505 .xword 10
47506 .xword 13
47507 .xword 0
47508 .xword 0
47509 .xword 11
47510 .xword 10
47511 .xword 14
47512 .xword 6
47513 .xword 5
47514 .xword 3
47515 .xword 10
47516 .xword 2
47517 .xword 2
47518 .xword 2
47519 .xword 15
47520 .xword 0
47521 .xword 0
47522 .xword 6
47523 .xword 11
47524 .xword 1
47525 .xword 15
47526 .xword 7
47527 .xword 8
47528 .xword 11
47529 .xword 7
47530 .xword 14
47531 .xword 10
47532 .xword 13
47533 .xword 4
47534 .xword 9
47535 .xword 9
47536 .xword 11
47537 .xword 14
47538 .xword 15
47539 .xword 14
47540 .xword 12
47541 .xword 14
47542 .xword 3
47543 .xword 7
47544 .xword 6
47545 .xword 7
47546 .xword 6
47547 .xword 4
47548 .xword 9
47549 .xword 11
47550 .xword 10
47551 .xword 6
47552 .xword 10
47553 .xword 1
47554 .xword 12
47555 .xword 2
47556 .xword 7
47557 .xword 9
47558 .xword 11
47559 .xword 8
47560 .xword 7
47561 .xword 14
47562 .xword 7
47563 .xword 6
47564 .xword 0
47565 .xword 11
47566 .xword 13
47567 .xword 9
47568 .xword 14
47569 .xword 11
47570 .xword 14
47571 .xword 10
47572 .xword 9
47573 .xword 4
47574 .xword 10
47575 .xword 3
47576 .xword 8
47577 .xword 7
47578 .xword 3
47579 .xword 7
47580 .xword 3
47581 .xword 14
47582 .xword 15
47583 .xword 6
47584 .xword 14
47585 .xword 15
47586 .xword 4
47587 .xword 15
47588 .xword 6
47589 .xword 14
47590 .xword 11
47591 .xword 4
47592 .xword 3
47593 .xword 7
47594 .xword 5
47595 .xword 5
47596 .xword 6
47597 .xword 2
47598 .xword 12
47599 .xword 3
47600 .xword 13
47601 .xword 10
47602 .xword 15
47603 .xword 6
47604 .xword 2
47605_t1_hash_src:
47606 .xword 0xca7bbbb7df235707
47607 .xword 0x9f5042f1b98f469c
47608 .xword 0x1d265faebbbbcebc
47609 .xword 0xec230a8f12940174
47610 .xword 0x3e94ff4ba219ec3b
47611 .xword 0x50a255e04fe5e8c5
47612 .xword 0xcbd8a4de4491eb2e
47613 .xword 0xaac3cdb8559b588d
47614 .xword 0x65929abc14a32976
47615 .xword 0xe606ca106beacd38
47616 .xword 0xc63aae64be79724c
47617 .xword 0xa027220d4efc9ad1
47618 .xword 0x0ac76788bc5c7895
47619 .xword 0x8c0e7df0c986019c
47620 .xword 0xbaf07ed7ec20eaea
47621 .xword 0x4d65628c37816125
47622 .xword 0xbcefc9266a0ec957
47623 .xword 0x4216ded324c4b2b4
47624 .xword 0x5890ee46d9905ed9
47625 .xword 0xb7431af7296e77eb
47626 .xword 0x4d529ad4bde51fb3
47627 .xword 0x0cbacd1bd87d5ef6
47628 .xword 0xc025e3b4e460282d
47629 .xword 0x684362c22e5be7fd
47630 .xword 0x9f28961e1ed01174
47631 .xword 0xd3b39f58bd693e2c
47632 .xword 0xcd1a7507f81795cb
47633 .xword 0x51285bf68c484877
47634 .xword 0x4e56f55512abe03e
47635 .xword 0xde367c70299cab9c
47636 .xword 0x7517664fb67f8d18
47637 .xword 0x4e6617ad239eaa25
47638 .xword 0x9111e3310075b133
47639 .xword 0xf8abccb32ba0fe2f
47640 .xword 0xd54a591d5d84371d
47641 .xword 0x5ee97c230d19b6bd
47642 .xword 0x0226bae9b78660c9
47643 .xword 0x82be64f2bfe40635
47644 .xword 0x2fb33af45c58b5ab
47645 .xword 0xd7e0c00e86d760ad
47646 .xword 0xeef063e200f280a0
47647 .xword 0x5d0a890a05599dc0
47648 .xword 0xf56ab3a8468e0454
47649 .xword 0x9ca768dd41a96f91
47650 .xword 0xc821f8769a5540e9
47651 .xword 0x4fb3ea3fe1fa2055
47652 .xword 0x3670e78672ccc68d
47653 .xword 0x70b2b1eaa7efc510
47654 .xword 0xc5ac5e1c233f9727
47655 .xword 0x63fca6730968244d
47656 .xword 0x646d1ef6044b314d
47657 .xword 0x77b03c5784f3310a
47658 .xword 0x7d58931b2086f3db
47659 .xword 0xca6c5b7dfd5ff733
47660 .xword 0x7512b60e7a3df383
47661 .xword 0x3b87da2755e22a4c
47662 .xword 0xa5d534cb8715aed8
47663 .xword 0xe98732a6106248a8
47664 .xword 0xcf52498db46ffe2b
47665 .xword 0xc842e6d960798a36
47666 .xword 0x037214e14ae76b5d
47667 .xword 0x38e41dd8b49570f8
47668 .xword 0x04c308554d13a342
47669 .xword 0x0f08558d236c5fd0
47670 .xword 0x5cff78973770a5f1
47671 .xword 0xa746897d98d1bf83
47672 .xword 0xfb68048da79d4329
47673 .xword 0xde3a4aed83bc920e
47674 .xword 0x8a626e043a40f557
47675 .xword 0xaca0712b6eea2731
47676 .xword 0x147be7c5c0681bfe
47677 .xword 0xc5cf3ddae54c14dc
47678 .xword 0xc3a7634f7865a13f
47679 .xword 0x2a74373468a2d844
47680 .xword 0x6a4b487b1a094b97
47681 .xword 0x5959242d2c70c275
47682 .xword 0x47d0e762b8233b7a
47683 .xword 0xeed30f2b47674b1f
47684 .xword 0x116fadbbd5eead12
47685 .xword 0x383e9c72a69b4b8e
47686 .xword 0xcf17e922eab9a3a2
47687 .xword 0x891c07628837dd2a
47688 .xword 0xf2aeac2d34cf50b0
47689 .xword 0xec9188103585a779
47690 .xword 0x02b79f9f53dfd678
47691 .xword 0x6af3828de18b9f2b
47692 .xword 0x0261ac9d1229b14e
47693 .xword 0x86a6ad3a3619db32
47694 .xword 0x978f0b6fdcaaf8cc
47695 .xword 0xc57d57cf519b1e32
47696 .xword 0xd8a94e6ad16ab08a
47697 .xword 0x295ffa69b0942cb5
47698 .xword 0x91049b74740c2a38
47699 .xword 0x60df9d1e65b192a8
47700 .xword 0xd44301d7b3aff1a2
47701 .xword 0x31939d5ce3806e36
47702 .xword 0x9a08261f098b1ade
47703 .xword 0x0d1f95f21af1f541
47704 .xword 0x1ca32b5308a05888
47705 .xword 0xfc8505a98ae42a84
47706 .xword 0x812d995b275e4b52
47707 .xword 0x37d21f3c28ad96c9
47708 .xword 0x0b48889a9dc93028
47709 .xword 0x81be45e1e54b5a26
47710 .xword 0x364593e9cab41bc3
47711 .xword 0x63c2b74026195b54
47712 .xword 0xee56023f24c817f0
47713 .xword 0xf4179a1e6af2554b
47714 .xword 0xc4d9626e709f54ce
47715 .xword 0x150000d9d884c607
47716 .xword 0xb8f4bf3007c12805
47717 .xword 0x9e693d8a9f985b2a
47718 .xword 0x44923c12714f5455
47719 .xword 0x970d18b4fdbb569b
47720 .xword 0x74fe9e48cbe67af2
47721 .xword 0x5b2769f75a70c40b
47722 .xword 0x6ef492dc53eea3a3
47723 .xword 0xc1128876306c4c5f
47724 .xword 0x75fdf8741c8519ed
47725 .xword 0xd764a9fb63c9e6b3
47726 .xword 0x062692e798854f42
47727 .xword 0xfc340e59418161de
47728 .xword 0x70ea37ba3ffd5063
47729 .xword 0x19c0a33a14459e24
47730 .xword 0x81341df3d1bfb46c
47731 .xword 0x20c3dcf7172d808a
47732 .xword 0xd778561aecb8eda8
47733 .xword 0x462697eed9bbcaab
47734 .xword 0xbb5a40413f94c2a2
47735 .xword 0xe58c12798074039d
47736 .xword 0x361685c719c1c0e4
47737 .xword 0x26ebe4d388d0766c
47738 .xword 0xd978e01ead86b94e
47739 .xword 0xf281b6bd3cb07918
47740 .xword 0xed6e69f5f1a1d7a3
47741 .xword 0x1a76c2f648c9eedf
47742 .xword 0x74adbb82e4fda01b
47743 .xword 0xe14744afa0cb69f9
47744 .xword 0x11cd812d65f3b41a
47745 .xword 0xbe92d273895eb65c
47746 .xword 0x96247ad6a760cf7f
47747 .xword 0x13c24310889a113a
47748 .xword 0x7a64c4f5e9cb589a
47749 .xword 0xe43a0f7176040558
47750 .xword 0xf433f49216df80d1
47751 .xword 0xf6439ed3c8e0dff0
47752 .xword 0x8dea6486580b16e6
47753 .xword 0x9d72a96c45ca75fd
47754 .xword 0xea82eead2e35e5d4
47755 .xword 0x0726d03ab02c8f63
47756 .xword 0xf3eb788df58ec820
47757 .xword 0xc95fac1df64b772b
47758 .xword 0xc22feca2e440d188
47759 .xword 0xa8aad09a8ef1c5fb
47760 .xword 0xa9fde3fe6c027bbc
47761 .xword 0xdd37ba00c4b057d9
47762 .xword 0xaa466493b96fa01b
47763 .xword 0x0fbe34db65c36fef
47764 .xword 0xee4df411569b01fe
47765 .xword 0x77b1d8fa9dea0a9b
47766 .xword 0x89e274040db96df4
47767 .xword 0x9e5d550f4b8a80b3
47768 .xword 0x0db5cef4ccec936a
47769 .xword 0x6e3a863f67689c70
47770 .xword 0xeb03b8599a762612
47771 .xword 0xf0214d42a8b85956
47772 .xword 0x9c98d2237c82a9d9
47773 .xword 0xddf9efb0a62250be
47774 .xword 0x4532bfced566bcd8
47775 .xword 0x43a493a1bb1075a8
47776 .xword 0x2254e9224b5d17af
47777 .xword 0xe35a0abfbbbf59a1
47778 .xword 0xf6c8eb3b9d235497
47779 .xword 0xb4997f7c5a21e379
47780 .xword 0x7b46872307b5c888
47781 .xword 0x520f234f3a63fb9e
47782 .xword 0xfbf3d7f7047e9de4
47783 .xword 0xed9972ca15e97e6c
47784 .xword 0x6b80d182b59cc572
47785 .xword 0x8d8a0e4924a3d1c5
47786 .xword 0x6088a017d4de61ed
47787 .xword 0xe84f8a47c299583c
47788 .xword 0xa6a89add687398ba
47789 .xword 0xffb968e837f259aa
47790 .xword 0x3f1b8e1d6684d92a
47791 .xword 0x23c3b246589af2fd
47792 .xword 0x41dfff72f59d8bbc
47793 .xword 0x256d13cfbcf786b9
47794 .xword 0x98c06fc4e61afef9
47795 .xword 0x558c2006be3c1255
47796 .xword 0x4161d842ab7a34b7
47797 .xword 0x3fd3a905befd9bce
47798 .xword 0xb4d200bfce740aaf
47799 .xword 0xb32ab480e5cc053a
47800 .xword 0xbe6688125a83371f
47801 .xword 0x60af30ebd8d66fa3
47802 .xword 0x2fd9d8c1b9f0e3a0
47803 .xword 0xaff4a88c3441753b
47804 .xword 0xd7b452757c2bdf7c
47805 .xword 0x08d23c21879bd8d1
47806 .xword 0xb3df29373960cf93
47807 .xword 0xfdf2f73790e23523
47808 .xword 0x1dd25e1b74ff78a3
47809 .xword 0xbb53883dd43a5258
47810 .xword 0x25afbc084b38b7ad
47811 .xword 0x6cc71333812ad593
47812 .xword 0x7edb54f2bd862cf0
47813 .xword 0x34725756f8fb1290
47814 .xword 0x2436c11c856105e0
47815 .xword 0xd91f3c85db4deb39
47816 .xword 0xf888314008ad9bca
47817 .xword 0x7aa7b4ec783b0ff6
47818 .xword 0x72e46a83d746cf6f
47819 .xword 0xac0eda566223aa40
47820 .xword 0xa75eac7da97e28ca
47821 .xword 0x35feb20814685929
47822 .xword 0xd169841b34a84e2a
47823 .xword 0x45af3916ce46577e
47824 .xword 0x370e600b1817da7b
47825 .xword 0x2c190ee8c9d5e98d
47826 .xword 0xb991e043f1a8f5d5
47827 .xword 0x9a8e8fd563ad1250
47828 .xword 0xfcccfaefb4e60263
47829 .xword 0x21a61e8d304cdeb4
47830 .xword 0x0e20247266cf2799
47831 .xword 0x4c4dacf97da4bee8
47832 .xword 0xafe632ed3092001e
47833 .xword 0x20c5c519c7a747d0
47834 .xword 0xbcc26f4d6c842940
47835 .xword 0xb3fd668ce1645517
47836 .xword 0xe8273f6f18d480f7
47837 .xword 0xe9e0473110b7b049
47838 .xword 0xfc378a2dc204e18b
47839 .xword 0x79a568845c6fefda
47840 .xword 0x1f43b7efc2b17f53
47841 .xword 0x8052944ef3cdd5bb
47842 .xword 0xb6fe412679583bdb
47843 .xword 0x6d00852c8e192246
47844 .xword 0xe28d9c386a44e486
47845 .xword 0x9fe016fa60d39ec6
47846 .xword 0xdcba73ff5ccfc61a
47847 .xword 0x3f311717653bd11b
47848 .xword 0xd64d8e544e9c6771
47849 .xword 0x55e2ea73300c9349
47850 .xword 0x83a812b6d9721b6e
47851 .xword 0x3b4ee5106c7281e5
47852 .xword 0x50e39817eae91f69
47853 .xword 0x64d75fbe2ec3f1e6
47854 .xword 0x9ecf3122466c5455
47855 .xword 0xa54de2201b1de9d8
47856 .xword 0x1917981b011ba74f
47857 .xword 0x405ed36502b8eef3
47858 .xword 0x33deeb7b8056d624
47859 .xword 0x0d5189dea137512c
47860 .xword 0xcd85bbeb2261a821
47861 .xword 0xe28e0efb8cd93225
47862 .xword 0x3ad3ed9a8eee7ff8
47863 .xword 0x9e7079cd3ba7f495
47864 .xword 0x798b312bf74500f6
47865 .xword 0xd9d132f01a36dfac
47866 .xword 0xd109f5b4f6d9e197
47867 .xword 0x2e8e124a4433161f
47868 .xword 0x8927f65d89575279
47869 .xword 0x2989f17cba9edb84
47870 .xword 0x02af651ae1c813f6
47871 .xword 0x1d3ce584f468afac
47872 .xword 0xaa6e442386e6cb5c
47873 .xword 0x2776a9f10c01e501
47874 .xword 0xa13b01c79a7272f4
47875 .xword 0x282618a7b3abce3a
47876 .xword 0x72ebe48674fda7f3
47877 .xword 0xad283999123d5b6c
47878 .xword 0x06ec6478c37712c9
47879 .xword 0xdb66cfe9a817038c
47880 .xword 0x28864eb0cb512f78
47881_t1_hash_dest:
47882 .xword 0xDEADBEEFDEADBEEF
47883 .xword 0xDEADBEEFDEADBEEF
47884 .xword 0xDEADBEEFDEADBEEF
47885 .xword 0xDEADBEEFDEADBEEF
47886 .xword 0xDEADBEEFDEADBEEF
47887 .xword 0xDEADBEEFDEADBEEF
47888 .xword 0xDEADBEEFDEADBEEF
47889 .xword 0xDEADBEEFDEADBEEF
47890 .xword 0xDEADBEEFDEADBEEF
47891 .xword 0xDEADBEEFDEADBEEF
47892 .xword 0xDEADBEEFDEADBEEF
47893 .xword 0xDEADBEEFDEADBEEF
47894 .xword 0xDEADBEEFDEADBEEF
47895 .xword 0xDEADBEEFDEADBEEF
47896 .xword 0xDEADBEEFDEADBEEF
47897 .xword 0xDEADBEEFDEADBEEF
47898 .xword 0xDEADBEEFDEADBEEF
47899 .xword 0xDEADBEEFDEADBEEF
47900 .xword 0xDEADBEEFDEADBEEF
47901 .xword 0xDEADBEEFDEADBEEF
47902 .xword 0xDEADBEEFDEADBEEF
47903 .xword 0xDEADBEEFDEADBEEF
47904 .xword 0xDEADBEEFDEADBEEF
47905 .xword 0xDEADBEEFDEADBEEF
47906 .xword 0xDEADBEEFDEADBEEF
47907 .xword 0xDEADBEEFDEADBEEF
47908 .xword 0xDEADBEEFDEADBEEF
47909 .xword 0xDEADBEEFDEADBEEF
47910 .xword 0xDEADBEEFDEADBEEF
47911 .xword 0xDEADBEEFDEADBEEF
47912 .xword 0xDEADBEEFDEADBEEF
47913 .xword 0xDEADBEEFDEADBEEF
47914 .xword 0xDEADBEEFDEADBEEF
47915 .xword 0xDEADBEEFDEADBEEF
47916 .xword 0xDEADBEEFDEADBEEF
47917 .xword 0xDEADBEEFDEADBEEF
47918 .xword 0xDEADBEEFDEADBEEF
47919 .xword 0xDEADBEEFDEADBEEF
47920 .xword 0xDEADBEEFDEADBEEF
47921 .xword 0xDEADBEEFDEADBEEF
47922 .xword 0xDEADBEEFDEADBEEF
47923 .xword 0xDEADBEEFDEADBEEF
47924 .xword 0xDEADBEEFDEADBEEF
47925 .xword 0xDEADBEEFDEADBEEF
47926 .xword 0xDEADBEEFDEADBEEF
47927 .xword 0xDEADBEEFDEADBEEF
47928 .xword 0xDEADBEEFDEADBEEF
47929 .xword 0xDEADBEEFDEADBEEF
47930 .xword 0xDEADBEEFDEADBEEF
47931 .xword 0xDEADBEEFDEADBEEF
47932 .xword 0xDEADBEEFDEADBEEF
47933 .xword 0xDEADBEEFDEADBEEF
47934 .xword 0xDEADBEEFDEADBEEF
47935 .xword 0xDEADBEEFDEADBEEF
47936 .xword 0xDEADBEEFDEADBEEF
47937 .xword 0xDEADBEEFDEADBEEF
47938 .xword 0xDEADBEEFDEADBEEF
47939 .xword 0xDEADBEEFDEADBEEF
47940 .xword 0xDEADBEEFDEADBEEF
47941 .xword 0xDEADBEEFDEADBEEF
47942 .xword 0xDEADBEEFDEADBEEF
47943 .xword 0xDEADBEEFDEADBEEF
47944 .xword 0xDEADBEEFDEADBEEF
47945 .xword 0xDEADBEEFDEADBEEF
47946 .xword 0xDEADBEEFDEADBEEF
47947 .xword 0xDEADBEEFDEADBEEF
47948 .xword 0xDEADBEEFDEADBEEF
47949 .xword 0xDEADBEEFDEADBEEF
47950 .xword 0xDEADBEEFDEADBEEF
47951 .xword 0xDEADBEEFDEADBEEF
47952 .xword 0xDEADBEEFDEADBEEF
47953 .xword 0xDEADBEEFDEADBEEF
47954 .xword 0xDEADBEEFDEADBEEF
47955 .xword 0xDEADBEEFDEADBEEF
47956 .xword 0xDEADBEEFDEADBEEF
47957 .xword 0xDEADBEEFDEADBEEF
47958 .xword 0xDEADBEEFDEADBEEF
47959 .xword 0xDEADBEEFDEADBEEF
47960 .xword 0xDEADBEEFDEADBEEF
47961 .xword 0xDEADBEEFDEADBEEF
47962 .xword 0xDEADBEEFDEADBEEF
47963 .xword 0xDEADBEEFDEADBEEF
47964 .xword 0xDEADBEEFDEADBEEF
47965 .xword 0xDEADBEEFDEADBEEF
47966 .xword 0xDEADBEEFDEADBEEF
47967 .xword 0xDEADBEEFDEADBEEF
47968 .xword 0xDEADBEEFDEADBEEF
47969 .xword 0xDEADBEEFDEADBEEF
47970 .xword 0xDEADBEEFDEADBEEF
47971 .xword 0xDEADBEEFDEADBEEF
47972 .xword 0xDEADBEEFDEADBEEF
47973 .xword 0xDEADBEEFDEADBEEF
47974 .xword 0xDEADBEEFDEADBEEF
47975 .xword 0xDEADBEEFDEADBEEF
47976 .xword 0xDEADBEEFDEADBEEF
47977 .xword 0xDEADBEEFDEADBEEF
47978 .xword 0xDEADBEEFDEADBEEF
47979 .xword 0xDEADBEEFDEADBEEF
47980 .xword 0xDEADBEEFDEADBEEF
47981 .xword 0xDEADBEEFDEADBEEF
47982 .xword 0xDEADBEEFDEADBEEF
47983 .xword 0xDEADBEEFDEADBEEF
47984 .xword 0xDEADBEEFDEADBEEF
47985 .xword 0xDEADBEEFDEADBEEF
47986 .xword 0xDEADBEEFDEADBEEF
47987 .xword 0xDEADBEEFDEADBEEF
47988 .xword 0xDEADBEEFDEADBEEF
47989 .xword 0xDEADBEEFDEADBEEF
47990 .xword 0xDEADBEEFDEADBEEF
47991 .xword 0xDEADBEEFDEADBEEF
47992 .xword 0xDEADBEEFDEADBEEF
47993 .xword 0xDEADBEEFDEADBEEF
47994 .xword 0xDEADBEEFDEADBEEF
47995 .xword 0xDEADBEEFDEADBEEF
47996 .xword 0xDEADBEEFDEADBEEF
47997 .xword 0xDEADBEEFDEADBEEF
47998 .xword 0xDEADBEEFDEADBEEF
47999 .xword 0xDEADBEEFDEADBEEF
48000 .xword 0xDEADBEEFDEADBEEF
48001 .xword 0xDEADBEEFDEADBEEF
48002 .xword 0xDEADBEEFDEADBEEF
48003 .xword 0xDEADBEEFDEADBEEF
48004 .xword 0xDEADBEEFDEADBEEF
48005 .xword 0xDEADBEEFDEADBEEF
48006 .xword 0xDEADBEEFDEADBEEF
48007 .xword 0xDEADBEEFDEADBEEF
48008 .xword 0xDEADBEEFDEADBEEF
48009 .xword 0xDEADBEEFDEADBEEF
48010 .xword 0xDEADBEEFDEADBEEF
48011 .xword 0xDEADBEEFDEADBEEF
48012 .xword 0xDEADBEEFDEADBEEF
48013 .xword 0xDEADBEEFDEADBEEF
48014 .xword 0xDEADBEEFDEADBEEF
48015 .xword 0xDEADBEEFDEADBEEF
48016 .xword 0xDEADBEEFDEADBEEF
48017 .xword 0xDEADBEEFDEADBEEF
48018 .xword 0xDEADBEEFDEADBEEF
48019 .xword 0xDEADBEEFDEADBEEF
48020 .xword 0xDEADBEEFDEADBEEF
48021 .xword 0xDEADBEEFDEADBEEF
48022 .xword 0xDEADBEEFDEADBEEF
48023 .xword 0xDEADBEEFDEADBEEF
48024 .xword 0xDEADBEEFDEADBEEF
48025 .xword 0xDEADBEEFDEADBEEF
48026 .xword 0xDEADBEEFDEADBEEF
48027 .xword 0xDEADBEEFDEADBEEF
48028 .xword 0xDEADBEEFDEADBEEF
48029 .xword 0xDEADBEEFDEADBEEF
48030 .xword 0xDEADBEEFDEADBEEF
48031 .xword 0xDEADBEEFDEADBEEF
48032 .xword 0xDEADBEEFDEADBEEF
48033 .xword 0xDEADBEEFDEADBEEF
48034 .xword 0xDEADBEEFDEADBEEF
48035 .xword 0xDEADBEEFDEADBEEF
48036 .xword 0xDEADBEEFDEADBEEF
48037 .xword 0xDEADBEEFDEADBEEF
48038 .xword 0xDEADBEEFDEADBEEF
48039 .xword 0xDEADBEEFDEADBEEF
48040 .xword 0xDEADBEEFDEADBEEF
48041 .xword 0xDEADBEEFDEADBEEF
48042 .xword 0xDEADBEEFDEADBEEF
48043 .xword 0xDEADBEEFDEADBEEF
48044 .xword 0xDEADBEEFDEADBEEF
48045 .xword 0xDEADBEEFDEADBEEF
48046 .xword 0xDEADBEEFDEADBEEF
48047 .xword 0xDEADBEEFDEADBEEF
48048 .xword 0xDEADBEEFDEADBEEF
48049 .xword 0xDEADBEEFDEADBEEF
48050 .xword 0xDEADBEEFDEADBEEF
48051 .xword 0xDEADBEEFDEADBEEF
48052 .xword 0xDEADBEEFDEADBEEF
48053 .xword 0xDEADBEEFDEADBEEF
48054 .xword 0xDEADBEEFDEADBEEF
48055 .xword 0xDEADBEEFDEADBEEF
48056 .xword 0xDEADBEEFDEADBEEF
48057 .xword 0xDEADBEEFDEADBEEF
48058 .xword 0xDEADBEEFDEADBEEF
48059 .xword 0xDEADBEEFDEADBEEF
48060 .xword 0xDEADBEEFDEADBEEF
48061 .xword 0xDEADBEEFDEADBEEF
48062 .xword 0xDEADBEEFDEADBEEF
48063 .xword 0xDEADBEEFDEADBEEF
48064 .xword 0xDEADBEEFDEADBEEF
48065 .xword 0xDEADBEEFDEADBEEF
48066 .xword 0xDEADBEEFDEADBEEF
48067 .xword 0xDEADBEEFDEADBEEF
48068 .xword 0xDEADBEEFDEADBEEF
48069 .xword 0xDEADBEEFDEADBEEF
48070 .xword 0xDEADBEEFDEADBEEF
48071 .xword 0xDEADBEEFDEADBEEF
48072 .xword 0xDEADBEEFDEADBEEF
48073 .xword 0xDEADBEEFDEADBEEF
48074 .xword 0xDEADBEEFDEADBEEF
48075 .xword 0xDEADBEEFDEADBEEF
48076 .xword 0xDEADBEEFDEADBEEF
48077 .xword 0xDEADBEEFDEADBEEF
48078 .xword 0xDEADBEEFDEADBEEF
48079 .xword 0xDEADBEEFDEADBEEF
48080 .xword 0xDEADBEEFDEADBEEF
48081 .xword 0xDEADBEEFDEADBEEF
48082 .xword 0xDEADBEEFDEADBEEF
48083 .xword 0xDEADBEEFDEADBEEF
48084 .xword 0xDEADBEEFDEADBEEF
48085 .xword 0xDEADBEEFDEADBEEF
48086 .xword 0xDEADBEEFDEADBEEF
48087 .xword 0xDEADBEEFDEADBEEF
48088 .xword 0xDEADBEEFDEADBEEF
48089 .xword 0xDEADBEEFDEADBEEF
48090 .xword 0xDEADBEEFDEADBEEF
48091 .xword 0xDEADBEEFDEADBEEF
48092 .xword 0xDEADBEEFDEADBEEF
48093 .xword 0xDEADBEEFDEADBEEF
48094 .xword 0xDEADBEEFDEADBEEF
48095 .xword 0xDEADBEEFDEADBEEF
48096 .xword 0xDEADBEEFDEADBEEF
48097 .xword 0xDEADBEEFDEADBEEF
48098 .xword 0xDEADBEEFDEADBEEF
48099 .xword 0xDEADBEEFDEADBEEF
48100 .xword 0xDEADBEEFDEADBEEF
48101 .xword 0xDEADBEEFDEADBEEF
48102 .xword 0xDEADBEEFDEADBEEF
48103 .xword 0xDEADBEEFDEADBEEF
48104 .xword 0xDEADBEEFDEADBEEF
48105 .xword 0xDEADBEEFDEADBEEF
48106 .xword 0xDEADBEEFDEADBEEF
48107 .xword 0xDEADBEEFDEADBEEF
48108 .xword 0xDEADBEEFDEADBEEF
48109 .xword 0xDEADBEEFDEADBEEF
48110 .xword 0xDEADBEEFDEADBEEF
48111 .xword 0xDEADBEEFDEADBEEF
48112 .xword 0xDEADBEEFDEADBEEF
48113 .xword 0xDEADBEEFDEADBEEF
48114 .xword 0xDEADBEEFDEADBEEF
48115 .xword 0xDEADBEEFDEADBEEF
48116 .xword 0xDEADBEEFDEADBEEF
48117 .xword 0xDEADBEEFDEADBEEF
48118 .xword 0xDEADBEEFDEADBEEF
48119 .xword 0xDEADBEEFDEADBEEF
48120 .xword 0xDEADBEEFDEADBEEF
48121 .xword 0xDEADBEEFDEADBEEF
48122 .xword 0xDEADBEEFDEADBEEF
48123 .xword 0xDEADBEEFDEADBEEF
48124 .xword 0xDEADBEEFDEADBEEF
48125 .xword 0xDEADBEEFDEADBEEF
48126 .xword 0xDEADBEEFDEADBEEF
48127 .xword 0xDEADBEEFDEADBEEF
48128 .xword 0xDEADBEEFDEADBEEF
48129 .xword 0xDEADBEEFDEADBEEF
48130 .xword 0xDEADBEEFDEADBEEF
48131 .xword 0xDEADBEEFDEADBEEF
48132 .xword 0xDEADBEEFDEADBEEF
48133 .xword 0xDEADBEEFDEADBEEF
48134 .xword 0xDEADBEEFDEADBEEF
48135 .xword 0xDEADBEEFDEADBEEF
48136 .xword 0xDEADBEEFDEADBEEF
48137 .xword 0xDEADBEEFDEADBEEF
48138 .xword 0xDEADBEEFDEADBEEF
48139 .xword 0xDEADBEEFDEADBEEF
48140 .xword 0xDEADBEEFDEADBEEF
48141 .xword 0xDEADBEEFDEADBEEF
48142 .xword 0xDEADBEEFDEADBEEF
48143 .xword 0xDEADBEEFDEADBEEF
48144 .xword 0xDEADBEEFDEADBEEF
48145 .xword 0xDEADBEEFDEADBEEF
48146 .xword 0xDEADBEEFDEADBEEF
48147 .xword 0xDEADBEEFDEADBEEF
48148 .xword 0xDEADBEEFDEADBEEF
48149 .xword 0xDEADBEEFDEADBEEF
48150 .xword 0xDEADBEEFDEADBEEF
48151 .xword 0xDEADBEEFDEADBEEF
48152 .xword 0xDEADBEEFDEADBEEF
48153 .xword 0xDEADBEEFDEADBEEF
48154 .xword 0xDEADBEEFDEADBEEF
48155 .xword 0xDEADBEEFDEADBEEF
48156 .xword 0xDEADBEEFDEADBEEF
48157_t1_hash_auth_key:
48158 .xword 0x1545bd5696568e28
48159 .xword 0x5313f92cf102689d
48160 .xword 0x2b75054341c5cb0d
48161 .xword 0x4f67cffe242a07cd
48162 .xword 0xc9ff022ed7374f73
48163 .xword 0x0f6930aa0f5460c2
48164 .xword 0xaec2a65f1b4dd5ee
48165 .xword 0x058dc1387c7121bc
48166 .xword 0x7a7efb8cd6222a2b
48167 .xword 0xb7b4df6cbf795cb1
48168 .xword 0xd882a260f845860c
48169 .xword 0x037f38035220a922
48170 .xword 0x72e6b455c0699c47
48171 .xword 0x78a6bc589ce8a855
48172 .xword 0xdad3122fa49d0b4e
48173 .xword 0x87e3cd6ce6dde0c0
48174 .xword 0xbf1b77b042800f52
48175 .xword 0x71016a8e68ee9c6a
48176 .xword 0x67a40bab1f8aa0f6
48177 .xword 0x1f8da536a08a971c
48178 .xword 0xec43f3fbca5d97c9
48179 .xword 0x723ecb43e664db9a
48180 .xword 0xf87871c62449e5cd
48181_t1_hash_auth_iv:
48182 .xword 0x90cf7d012064c2a5
48183 .xword 0xc1ad13cd8d860928
48184 .xword 0x0a0a9ebcd1cb8626
48185 .xword 0xb66f38f89b0a8ef5
48186 .xword 0xd0e7a6e79e14e024
48187 .xword 0xc49d8cbc76e6abc2
48188 .xword 0x3cf69c026000c18d
48189 .xword 0x259615dc57e1e4df
48190 .xword 0x1dae84f97e496162
48191 .xword 0xba4d66e07492cd8c
48192 .xword 0xf8851a6062a715fc
48193 .xword 0xd66b3baabac20eda
48194 .xword 0xc98677fa884aedb2
48195 .xword 0x5962c6e35a6bdf98
48196 .xword 0x85de9c8472e6d7dd
48197 .xword 0xedee721b207c463a
48198 .xword 0x0bcd164cd8ccf283
48199 .xword 0x6c55e7dc93273492
48200 .xword 0x13adbcbf57168b0f
48201 .xword 0x90089dc4fe9e473b
48202 .xword 0x2c5bb67a460ce288
48203 .xword 0xffebafd65c4610e9
48204 .xword 0xa306a070e9e21834
48205_t1_hash_fas_result:
48206 .xword 0xDEADBEEFDEADBEEF
48207 .xword 0xDEADBEEFDEADBEEF
48208 .xword 0xDEADBEEFDEADBEEF
48209 .xword 0xDEADBEEFDEADBEEF
48210 .xword 0xDEADBEEFDEADBEEF
48211 .xword 0xDEADBEEFDEADBEEF
48212 .xword 0xDEADBEEFDEADBEEF
48213 .xword 0xDEADBEEFDEADBEEF
48214 .xword 0xDEADBEEFDEADBEEF
48215 .xword 0xDEADBEEFDEADBEEF
48216 .xword 0xDEADBEEFDEADBEEF
48217 .xword 0xDEADBEEFDEADBEEF
48218 .xword 0xDEADBEEFDEADBEEF
48219 .xword 0xDEADBEEFDEADBEEF
48220 .xword 0xDEADBEEFDEADBEEF
48221 .xword 0xDEADBEEFDEADBEEF
48222 .xword 0xDEADBEEFDEADBEEF
48223 .xword 0xDEADBEEFDEADBEEF
48224 .xword 0xDEADBEEFDEADBEEF
48225 .xword 0xDEADBEEFDEADBEEF
48226 .xword 0xDEADBEEFDEADBEEF
48227 .xword 0xDEADBEEFDEADBEEF
48228 .xword 0xDEADBEEFDEADBEEF
48229_t1_hmac_key_array:
48230 .xword 0xcf8f95e9b60f1c62
48231 .xword 0x704fe84cbc4681eb
48232 .xword 0xa93169d80a6b3df5
48233 .xword 0x27a896c1e0fc6188
48234 .xword 0x36ad06df4351f2ed
48235 .xword 0x50620d779cfaf500
48236 .xword 0x88e9d64e56b6a33c
48237 .xword 0xbe5615d54cc54104
48238 .xword 0x94055c7663bda7c7
48239 .xword 0x302fb33541568533
48240 .xword 0xce5b5716de29acff
48241 .xword 0xdf5e5e1c6bd59212
48242 .xword 0xe19ea5b2dce9716a
48243 .xword 0x3bc7ac5c951e62eb
48244 .xword 0x49e7f1331466cc54
48245 .xword 0x1578ce1c80dea945
48246 .xword 0x29397c9d5495d8dc
48247 .xword 0x93ba9288b7405541
48248 .xword 0xcd7d2d19011a842f
48249 .xword 0x4d6d8d41922fa95b
48250 .xword 0xf42d99a75d8e486a
48251 .xword 0x64f383b5bb3068ac
48252 .xword 0x3a760faad5b70ae1
48253 .xword 0x5a27c77307858d0c
48254 .xword 0xe6a9fe1690f05d8d
48255 .xword 0xf5e70886621e12c7
48256 .xword 0x466224be4a2e131b
48257 .xword 0xf401b0ce96ace1d2
48258 .xword 0xc3df45f0671873fa
48259 .xword 0x2e149f5d91719fe6
48260 .xword 0xcae55461a6766400
48261 .xword 0x7d1305e39d859487
48262 .xword 0x2f200319aa65c874
48263 .xword 0xea09587ec4f948ae
48264 .xword 0x94b4b95dcf140822
48265 .xword 0x0f68a3a19863e609
48266 .xword 0x2773d3555686f14d
48267 .xword 0xc9c9d3fa00ef3f7d
48268 .xword 0xffb98951fadd134b
48269 .xword 0x29c0fc1a5f64f53d
48270 .xword 0x7b5c642977de0d06
48271 .xword 0xd3134179e5fd1559
48272 .xword 0xa60cabe42a593762
48273 .xword 0x9afd7ef073fb2e20
48274 .xword 0xb51e64e8583cddde
48275 .xword 0x421c57dc9461f6f9
48276 .xword 0x29df5b35f12b46fc
48277 .xword 0xe65c2c0b94eead67
48278 .xword 0x8e6c2cae0a980fd7
48279 .xword 0x93c8ffa46b9cd01b
48280 .xword 0x9cb9a825fdd49584
48281_t1_hmac_iv_array:
48282 .xword 0xd5290387edc1034f
48283 .xword 0x050988217e4d1087
48284 .xword 0x09a98fea194ededd
48285 .xword 0xdbd7b8ee6ab1da6a
48286 .xword 0x1bfab02a19f93f96
48287 .xword 0x440618c948457f50
48288 .xword 0x7f21d39cc191245c
48289 .xword 0x1be05aa47b5b7ab1
48290 .xword 0x0f6c367974d5fb81
48291 .xword 0x5ced40a411a26c9f
48292 .xword 0x07b8e2ecfb55da03
48293 .xword 0xf9c580935188123f
48294 .xword 0x695645bd2081385c
48295 .xword 0x5b69cebbbc8866b5
48296 .xword 0x0ea39d42faea1f6f
48297 .xword 0xba3ec7f20687d0b6
48298 .xword 0x0665d4100a5fee7f
48299 .xword 0xc95eecbfdc3909b0
48300 .xword 0xee2c17e8ffaa582f
48301 .xword 0x3e9cf51e7d3b1c20
48302 .xword 0xa00cd64c75e519df
48303 .xword 0x930f3af28e366c7b
48304 .xword 0xea8606072cc81dc8
48305 .xword 0xd431527f540da062
48306 .xword 0x1b9def9d8c4ebd44
48307 .xword 0x9e677c15e665b61c
48308 .xword 0xc9fe7eb8232d694b
48309 .xword 0x4029c91dd7afcc57
48310 .xword 0x7d8016ce778033fa
48311 .xword 0x5a320f98d87d2be1
48312 .xword 0x0c560c34deac79fe
48313 .xword 0xb0a506ba9b95cd05
48314 .xword 0x90a5b0bb26c96a47
48315 .xword 0x8b40dc64b2e2de6d
48316 .xword 0x61b670b06e6ed483
48317 .xword 0x600b122c4f78b4b1
48318 .xword 0xb4aa40a159e5eb7a
48319 .xword 0x2144224c5ac7ec4a
48320 .xword 0x17cc9591bb7897d8
48321 .xword 0xcaae9dcddb997d6f
48322 .xword 0x3fad79a161ff22a1
48323 .xword 0x7394ffb0a8b157ab
48324 .xword 0x1a273b902b64d376
48325 .xword 0x0f0bed35ed2c59b5
48326 .xword 0x1da334e400823b82
48327_t1_hmac_alignment_array:
48328 .xword 2
48329 .xword 7
48330 .xword 11
48331 .xword 0
48332 .xword 11
48333 .xword 2
48334 .xword 9
48335 .xword 4
48336 .xword 3
48337 .xword 8
48338 .xword 7
48339 .xword 15
48340 .xword 14
48341 .xword 7
48342 .xword 7
48343 .xword 9
48344 .xword 2
48345 .xword 10
48346 .xword 15
48347 .xword 3
48348 .xword 2
48349 .xword 14
48350 .xword 5
48351 .xword 5
48352 .xword 2
48353 .xword 8
48354 .xword 14
48355 .xword 7
48356 .xword 11
48357 .xword 3
48358 .xword 8
48359 .xword 4
48360 .xword 5
48361 .xword 4
48362 .xword 9
48363 .xword 11
48364 .xword 10
48365 .xword 14
48366 .xword 8
48367 .xword 9
48368 .xword 0
48369 .xword 4
48370 .xword 11
48371 .xword 7
48372 .xword 6
48373 .xword 1
48374 .xword 9
48375 .xword 9
48376 .xword 13
48377 .xword 13
48378 .xword 0
48379 .xword 1
48380 .xword 12
48381 .xword 11
48382 .xword 15
48383 .xword 7
48384 .xword 5
48385 .xword 0
48386 .xword 9
48387 .xword 7
48388 .xword 12
48389 .xword 4
48390 .xword 10
48391 .xword 2
48392 .xword 14
48393 .xword 3
48394 .xword 14
48395 .xword 9
48396 .xword 0
48397 .xword 4
48398 .xword 5
48399 .xword 14
48400 .xword 4
48401 .xword 1
48402 .xword 0
48403 .xword 7
48404 .xword 13
48405 .xword 12
48406 .xword 5
48407 .xword 12
48408 .xword 10
48409 .xword 14
48410 .xword 6
48411 .xword 9
48412 .xword 9
48413 .xword 15
48414 .xword 14
48415 .xword 6
48416 .xword 0
48417 .xword 14
48418 .xword 5
48419 .xword 10
48420 .xword 4
48421 .xword 15
48422 .xword 3
48423 .xword 11
48424 .xword 0
48425 .xword 0
48426 .xword 1
48427 .xword 3
48428 .xword 10
48429 .xword 4
48430 .xword 2
48431 .xword 0
48432 .xword 8
48433_t1_hmac_src:
48434 .xword 0xb1fd304a00dda272
48435 .xword 0xb4f21141c25db846
48436 .xword 0x2e160cddbe88b80d
48437 .xword 0xe9cdb6bf221d9be6
48438 .xword 0xe98a8fb1c7f95131
48439 .xword 0xe543c3e8aad90735
48440 .xword 0x2aff88e4cae6fe7e
48441 .xword 0xc0fb740dc011aa77
48442 .xword 0x2b0e6de7c1aa8da3
48443 .xword 0x1c918a436103f114
48444 .xword 0x98410e9085ec4ff8
48445 .xword 0x36b730f3f1d0187a
48446 .xword 0x7b7d399f8cb78b43
48447 .xword 0xecca30fb9979bd97
48448 .xword 0xc6c4746efe0cc804
48449 .xword 0x408a179cad433e9a
48450 .xword 0xf73c2a70f30bc599
48451 .xword 0x706c61ed688b0331
48452 .xword 0xda6d443e7cd320cd
48453 .xword 0x083d7076a846bc2b
48454 .xword 0xe906059d52abff1c
48455 .xword 0x5c0cfc5d29b0b961
48456 .xword 0xf2cd31014e1d7ee5
48457 .xword 0xb318d373e8fbe33a
48458 .xword 0x09becb0887d421f4
48459 .xword 0x3fb30233dcbab6dc
48460 .xword 0x9b5e51adb090034e
48461 .xword 0x4666d801ca5008da
48462 .xword 0x22682a2c57d0d0e1
48463 .xword 0xe1d4cb6d5cc46b17
48464 .xword 0x156af8ddcbbcc917
48465 .xword 0xadd4948443246688
48466 .xword 0xacd9e91b24221734
48467 .xword 0x95126f016cc198ef
48468 .xword 0xc81148e8cc6e125b
48469 .xword 0x3fedd4ad086a0755
48470 .xword 0x0d186dd38f8b8e32
48471 .xword 0x853ad81792a148f9
48472 .xword 0x26f1b20a4e17d734
48473 .xword 0xcd48c3f4e55ecda1
48474 .xword 0x3877f5c8fdc70f3e
48475 .xword 0x1f5f8995ff8300bc
48476 .xword 0xcbb57d9cfc825605
48477 .xword 0xb1a38150b234110a
48478 .xword 0x23132f8b49f05304
48479 .xword 0x27b67382c4b1fa16
48480 .xword 0x85b380e2ee0ce068
48481 .xword 0x053dbbaea2049a67
48482 .xword 0x6658690a17eb0e35
48483 .xword 0xf49089c56d996b32
48484 .xword 0x84285377d967be28
48485 .xword 0x0924b2e0fedbbafb
48486 .xword 0xba9c3f80dd452424
48487 .xword 0x99210cfa937c579e
48488 .xword 0x2f2c7775a4af10b0
48489 .xword 0x743ba4f454d1cdeb
48490 .xword 0x687c25e2df18d86c
48491 .xword 0xecaef31ec79f92d3
48492 .xword 0x87b8a284441640f4
48493 .xword 0x4ef5be4566952cc8
48494 .xword 0x1025592bc3292db9
48495 .xword 0xc7a7bae0df4c5f19
48496 .xword 0x266a64dea59fa4a2
48497 .xword 0xe83eacbb44cb6a94
48498 .xword 0xf07156c90e358f90
48499 .xword 0x59a3b91d584a32db
48500 .xword 0xdd95256566e28a7d
48501 .xword 0x1e0f2cfc36633c9a
48502 .xword 0x83295048c6064dbc
48503 .xword 0x1b4b7a3a05d51ae4
48504 .xword 0x08535d4e1d036d00
48505 .xword 0x71921e5c2e7db060
48506 .xword 0xbff2a04783657eb5
48507 .xword 0x581296af23e4b553
48508 .xword 0x567ce3f3eff7642b
48509 .xword 0xc321723f128bb496
48510 .xword 0x7f4f170c9486a194
48511 .xword 0xeef273dd593af8e7
48512 .xword 0x1be22fe363d757cb
48513 .xword 0xeb6c9a844f159efb
48514 .xword 0x43f01128fa095ff5
48515 .xword 0x065df148dad5789c
48516 .xword 0x3ff972ef0a8e4e00
48517 .xword 0x36cec8c88c72592f
48518 .xword 0x60cd21a0b3003af4
48519 .xword 0x81608bd18d9038c3
48520 .xword 0xe2399077e10c6388
48521 .xword 0xf7fba90a3dd61693
48522 .xword 0xb71e581aa0bf5932
48523 .xword 0x8586d8c9371d6c1c
48524 .xword 0xeeb553b5a067bcb4
48525 .xword 0x7044c974f75a0f55
48526 .xword 0x4d82f2026e2dd698
48527 .xword 0x114072b630ad0879
48528 .xword 0xba45296024a20ec5
48529 .xword 0x1fe43efe922a2284
48530 .xword 0xe32a61949db2480e
48531 .xword 0x76f5e1742ca3870d
48532 .xword 0x0d955537bc734631
48533 .xword 0x399b29a4cc1c1223
48534 .xword 0xa18a221ce23aa741
48535 .xword 0xeff4ca9754092290
48536 .xword 0x3182e363db482eb9
48537 .xword 0x246c82d43a5fdbbb
48538 .xword 0xfc35712d9155de50
48539 .xword 0xcfc0a28143e7cfbf
48540 .xword 0x53cd8b1f7b6d80ae
48541 .xword 0x23a81d25a2347461
48542 .xword 0x612e734df090bec9
48543 .xword 0x95759bfdcb946531
48544 .xword 0x6bab362b2c2c90a8
48545 .xword 0xa114bc701afa4b7a
48546 .xword 0x011e69df5f2742c0
48547 .xword 0x41fce2118566da34
48548 .xword 0xfaa3343a67be518a
48549 .xword 0x8198ea27fdc8fad4
48550 .xword 0xc1744860ec1f0ed7
48551 .xword 0x7357e2f8679d6c3e
48552 .xword 0xd63fc339c5dfd874
48553 .xword 0xf8b8351a313903fc
48554 .xword 0xf3f0d16bac2f615a
48555 .xword 0x3a262152096da515
48556 .xword 0x26bbb6bc4ffea3f3
48557 .xword 0x937606e0a4f000be
48558 .xword 0x00335aedd2ec6a96
48559 .xword 0x03cb0a5e7b91bc43
48560 .xword 0xe7a6e9e6b2171a05
48561 .xword 0x843fed7a5ea2fb9e
48562 .xword 0x8f65543a40562637
48563 .xword 0x5855a7ded9ef6b43
48564 .xword 0x4354b1c00a9fa14d
48565 .xword 0x9357f8a0614c713e
48566 .xword 0x4b49ccc5551880f8
48567 .xword 0xbd209fd548fd9204
48568 .xword 0xe3daef1bef069992
48569 .xword 0x1ff1b96294f408cf
48570 .xword 0xe44efa3ac6238481
48571 .xword 0x6cf58f05e0118c12
48572 .xword 0x4b7858f0f4c3c0a8
48573 .xword 0x9f85bf60e55e420a
48574 .xword 0x9d702e7f09b663be
48575 .xword 0x19ee96f0126ec579
48576 .xword 0x45ad124d487f4667
48577 .xword 0x7a5277943a063976
48578 .xword 0xac3c4c0f0bef9ed2
48579 .xword 0x77b6b4a3f1614d3f
48580 .xword 0x406f18f978c99efb
48581 .xword 0x3d216c80c1176bf8
48582 .xword 0xbee6da0663c8e58c
48583 .xword 0x4c10f4d576f42bdd
48584 .xword 0x34e76512cc8b67c9
48585 .xword 0x6122dfc52a2023e0
48586 .xword 0xf6c116a73e8db10a
48587 .xword 0xce035bab3d73ac4b
48588 .xword 0x67fe5348be394773
48589 .xword 0x8d453fb17cd9f5ee
48590 .xword 0x3510aaf74233028b
48591 .xword 0x6103705ffee31a8c
48592 .xword 0xb9d770bc1e3a5cc4
48593 .xword 0x23baff2d852d65cb
48594 .xword 0x2cc624f652db2e64
48595 .xword 0xfaeb9c7e3d6f3290
48596 .xword 0x338574a6b4f2526a
48597 .xword 0xab1b195751d2f244
48598 .xword 0x98b01c39c20ec7d5
48599 .xword 0x0da0830e4eff9bff
48600 .xword 0xc4b0b882d94a0392
48601 .xword 0xc63333c2ebf45ade
48602 .xword 0xa3ba985b5734aa3b
48603 .xword 0xf119125e8bd60281
48604 .xword 0x044323ea8bef8710
48605 .xword 0x984ef9abd740ba28
48606 .xword 0x64010333fea9830d
48607 .xword 0xfbfc52b8f3bc3d28
48608 .xword 0x7d9783da930f648e
48609 .xword 0x7070957773f8c4ba
48610 .xword 0x085947a12ee437be
48611 .xword 0x52e961c8eae538e0
48612 .xword 0x3dcc5d8f15d97bf7
48613 .xword 0x73544935b08fb7ec
48614 .xword 0x74bacc98451beb61
48615 .xword 0xfb6b8869baca9c0e
48616 .xword 0x8e80dac91de2a216
48617 .xword 0x7c82f622fa41da5d
48618 .xword 0xcf16a8db39e463cc
48619 .xword 0xfc01bc5386d5a92e
48620 .xword 0x8eff80880a7f4694
48621 .xword 0xb907dd0352c2aa3f
48622 .xword 0x0c1c35888dc9bb7c
48623 .xword 0xb259f68c3eb62517
48624 .xword 0x04b521b5a3687f7f
48625 .xword 0xb54979ff8ec68b5b
48626 .xword 0x85469f3769621e42
48627 .xword 0x16dfbc8a5b218da9
48628 .xword 0xf0a0e0982296210b
48629 .xword 0xf832ad63e0c5277f
48630 .xword 0x9a8ecdadffb14c51
48631 .xword 0x13931aae615743bd
48632 .xword 0x5f259280459c4a3d
48633 .xword 0xb2f31f01a6aa1479
48634 .xword 0x3b86fad4c46c6ccc
48635 .xword 0x3db6f7ba55300d37
48636 .xword 0x6dc7e5f07986ed25
48637 .xword 0x59b77fdc4c7f4465
48638 .xword 0xb47ef69202292083
48639 .xword 0x8211680ec473763a
48640 .xword 0x0dc8f0e1e6dd3102
48641 .xword 0x0a4915baf95b8e3c
48642 .xword 0xc446a677a6081b67
48643 .xword 0xe5dd69647ac52514
48644 .xword 0x430bb086fe494d45
48645 .xword 0x945d6c5c79928b6f
48646 .xword 0x0c39c2e906122095
48647 .xword 0xda1f54908cfb6540
48648 .xword 0x8963a863d2aeca27
48649 .xword 0xca20b82c4a3740bb
48650 .xword 0xe37682e3edac2d58
48651 .xword 0xafc79ef0664068b2
48652 .xword 0xd4fb56c71761e031
48653 .xword 0xa530d875ac6e6dff
48654 .xword 0xf0c0b8e7d6318634
48655 .xword 0xd1d7d8b652f5c115
48656 .xword 0xea9e806deb056c91
48657 .xword 0xf84e9fcb670fbee1
48658 .xword 0x28a37f3b603d5053
48659 .xword 0xe56fe772bb863ac1
48660 .xword 0x9044b34667ffe962
48661 .xword 0xd2788f22082f04d1
48662 .xword 0xbc1f09f509bbe6d4
48663 .xword 0x780d509b954a4e03
48664 .xword 0x17f2567979d94dc6
48665 .xword 0x29174d1ff4896d50
48666 .xword 0x94c11777c5265ed9
48667 .xword 0x90fde83b947ab049
48668 .xword 0x5eafd69df09cbfc8
48669 .xword 0xf24e74b404a5bb0e
48670 .xword 0xdb160bddc045f29d
48671 .xword 0x5f561d8dcfd9dd42
48672 .xword 0x742263a275b16814
48673 .xword 0xd9d70e6ca900a5e7
48674 .xword 0xac070b00e3f94e1c
48675 .xword 0x2d4dc27560a2ddfd
48676 .xword 0xb2628cb1f9532505
48677 .xword 0x54100640d6b540f7
48678 .xword 0xe5672447ee4a2f2f
48679 .xword 0x9580877cc1fd0b15
48680 .xword 0x2d7840ad0a1180e7
48681 .xword 0x342ac50924d92ce8
48682 .xword 0x969b30e6a09771fc
48683 .xword 0x52650ab9eb19fb47
48684 .xword 0x96cd382cdac835e6
48685 .xword 0x2e1e5989a19f8708
48686 .xword 0x999fb39531c778b0
48687 .xword 0x46546f9874aa51e8
48688 .xword 0x37129339ad622e6e
48689 .xword 0x0a432ce5b3f6f1c3
48690 .xword 0xe40d1703b8b82e86
48691 .xword 0x1e1b181023c3a8c0
48692 .xword 0x57789d81e84edcc6
48693 .xword 0xfa95698e2c6e1b43
48694 .xword 0xec5ba2591d3673ff
48695 .xword 0x2a6bcbe19c400ad4
48696 .xword 0x19594f19065112e5
48697 .xword 0xa0fd6f1fd3fda38c
48698 .xword 0x8a07a5dab4271a1f
48699 .xword 0xb6dc9b97d5b48d01
48700 .xword 0x6ed738a8305be5bc
48701 .xword 0xe30aa6978b79a845
48702 .xword 0x65a0ef0b240fe9c4
48703 .xword 0x5d725d91adc9a5c4
48704 .xword 0x3045675424721db9
48705 .xword 0x43dc0ea3dc1e0944
48706 .xword 0x3b9c9e14184e7d0d
48707 .xword 0xf04db09683bad8f3
48708 .xword 0x26f39f0df1163392
48709_t1_hmac_dest:
48710 .xword 0xDEADBEEFDEADBEEF
48711 .xword 0xDEADBEEFDEADBEEF
48712 .xword 0xDEADBEEFDEADBEEF
48713 .xword 0xDEADBEEFDEADBEEF
48714 .xword 0xDEADBEEFDEADBEEF
48715 .xword 0xDEADBEEFDEADBEEF
48716 .xword 0xDEADBEEFDEADBEEF
48717 .xword 0xDEADBEEFDEADBEEF
48718 .xword 0xDEADBEEFDEADBEEF
48719 .xword 0xDEADBEEFDEADBEEF
48720 .xword 0xDEADBEEFDEADBEEF
48721 .xword 0xDEADBEEFDEADBEEF
48722 .xword 0xDEADBEEFDEADBEEF
48723 .xword 0xDEADBEEFDEADBEEF
48724 .xword 0xDEADBEEFDEADBEEF
48725 .xword 0xDEADBEEFDEADBEEF
48726 .xword 0xDEADBEEFDEADBEEF
48727 .xword 0xDEADBEEFDEADBEEF
48728 .xword 0xDEADBEEFDEADBEEF
48729 .xword 0xDEADBEEFDEADBEEF
48730 .xword 0xDEADBEEFDEADBEEF
48731 .xword 0xDEADBEEFDEADBEEF
48732 .xword 0xDEADBEEFDEADBEEF
48733 .xword 0xDEADBEEFDEADBEEF
48734 .xword 0xDEADBEEFDEADBEEF
48735 .xword 0xDEADBEEFDEADBEEF
48736 .xword 0xDEADBEEFDEADBEEF
48737 .xword 0xDEADBEEFDEADBEEF
48738 .xword 0xDEADBEEFDEADBEEF
48739 .xword 0xDEADBEEFDEADBEEF
48740 .xword 0xDEADBEEFDEADBEEF
48741 .xword 0xDEADBEEFDEADBEEF
48742 .xword 0xDEADBEEFDEADBEEF
48743 .xword 0xDEADBEEFDEADBEEF
48744 .xword 0xDEADBEEFDEADBEEF
48745 .xword 0xDEADBEEFDEADBEEF
48746 .xword 0xDEADBEEFDEADBEEF
48747 .xword 0xDEADBEEFDEADBEEF
48748 .xword 0xDEADBEEFDEADBEEF
48749 .xword 0xDEADBEEFDEADBEEF
48750 .xword 0xDEADBEEFDEADBEEF
48751 .xword 0xDEADBEEFDEADBEEF
48752 .xword 0xDEADBEEFDEADBEEF
48753 .xword 0xDEADBEEFDEADBEEF
48754 .xword 0xDEADBEEFDEADBEEF
48755 .xword 0xDEADBEEFDEADBEEF
48756 .xword 0xDEADBEEFDEADBEEF
48757 .xword 0xDEADBEEFDEADBEEF
48758 .xword 0xDEADBEEFDEADBEEF
48759 .xword 0xDEADBEEFDEADBEEF
48760 .xword 0xDEADBEEFDEADBEEF
48761 .xword 0xDEADBEEFDEADBEEF
48762 .xword 0xDEADBEEFDEADBEEF
48763 .xword 0xDEADBEEFDEADBEEF
48764 .xword 0xDEADBEEFDEADBEEF
48765 .xword 0xDEADBEEFDEADBEEF
48766 .xword 0xDEADBEEFDEADBEEF
48767 .xword 0xDEADBEEFDEADBEEF
48768 .xword 0xDEADBEEFDEADBEEF
48769 .xword 0xDEADBEEFDEADBEEF
48770 .xword 0xDEADBEEFDEADBEEF
48771 .xword 0xDEADBEEFDEADBEEF
48772 .xword 0xDEADBEEFDEADBEEF
48773 .xword 0xDEADBEEFDEADBEEF
48774 .xword 0xDEADBEEFDEADBEEF
48775 .xword 0xDEADBEEFDEADBEEF
48776 .xword 0xDEADBEEFDEADBEEF
48777 .xword 0xDEADBEEFDEADBEEF
48778 .xword 0xDEADBEEFDEADBEEF
48779 .xword 0xDEADBEEFDEADBEEF
48780 .xword 0xDEADBEEFDEADBEEF
48781 .xword 0xDEADBEEFDEADBEEF
48782 .xword 0xDEADBEEFDEADBEEF
48783 .xword 0xDEADBEEFDEADBEEF
48784 .xword 0xDEADBEEFDEADBEEF
48785 .xword 0xDEADBEEFDEADBEEF
48786 .xword 0xDEADBEEFDEADBEEF
48787 .xword 0xDEADBEEFDEADBEEF
48788 .xword 0xDEADBEEFDEADBEEF
48789 .xword 0xDEADBEEFDEADBEEF
48790 .xword 0xDEADBEEFDEADBEEF
48791 .xword 0xDEADBEEFDEADBEEF
48792 .xword 0xDEADBEEFDEADBEEF
48793 .xword 0xDEADBEEFDEADBEEF
48794 .xword 0xDEADBEEFDEADBEEF
48795 .xword 0xDEADBEEFDEADBEEF
48796 .xword 0xDEADBEEFDEADBEEF
48797 .xword 0xDEADBEEFDEADBEEF
48798 .xword 0xDEADBEEFDEADBEEF
48799 .xword 0xDEADBEEFDEADBEEF
48800 .xword 0xDEADBEEFDEADBEEF
48801 .xword 0xDEADBEEFDEADBEEF
48802 .xword 0xDEADBEEFDEADBEEF
48803 .xword 0xDEADBEEFDEADBEEF
48804 .xword 0xDEADBEEFDEADBEEF
48805 .xword 0xDEADBEEFDEADBEEF
48806 .xword 0xDEADBEEFDEADBEEF
48807 .xword 0xDEADBEEFDEADBEEF
48808 .xword 0xDEADBEEFDEADBEEF
48809 .xword 0xDEADBEEFDEADBEEF
48810 .xword 0xDEADBEEFDEADBEEF
48811 .xword 0xDEADBEEFDEADBEEF
48812 .xword 0xDEADBEEFDEADBEEF
48813 .xword 0xDEADBEEFDEADBEEF
48814 .xword 0xDEADBEEFDEADBEEF
48815 .xword 0xDEADBEEFDEADBEEF
48816 .xword 0xDEADBEEFDEADBEEF
48817 .xword 0xDEADBEEFDEADBEEF
48818 .xword 0xDEADBEEFDEADBEEF
48819 .xword 0xDEADBEEFDEADBEEF
48820 .xword 0xDEADBEEFDEADBEEF
48821 .xword 0xDEADBEEFDEADBEEF
48822 .xword 0xDEADBEEFDEADBEEF
48823 .xword 0xDEADBEEFDEADBEEF
48824 .xword 0xDEADBEEFDEADBEEF
48825 .xword 0xDEADBEEFDEADBEEF
48826 .xword 0xDEADBEEFDEADBEEF
48827 .xword 0xDEADBEEFDEADBEEF
48828 .xword 0xDEADBEEFDEADBEEF
48829 .xword 0xDEADBEEFDEADBEEF
48830 .xword 0xDEADBEEFDEADBEEF
48831 .xword 0xDEADBEEFDEADBEEF
48832 .xword 0xDEADBEEFDEADBEEF
48833 .xword 0xDEADBEEFDEADBEEF
48834 .xword 0xDEADBEEFDEADBEEF
48835 .xword 0xDEADBEEFDEADBEEF
48836 .xword 0xDEADBEEFDEADBEEF
48837 .xword 0xDEADBEEFDEADBEEF
48838 .xword 0xDEADBEEFDEADBEEF
48839 .xword 0xDEADBEEFDEADBEEF
48840 .xword 0xDEADBEEFDEADBEEF
48841 .xword 0xDEADBEEFDEADBEEF
48842 .xword 0xDEADBEEFDEADBEEF
48843 .xword 0xDEADBEEFDEADBEEF
48844 .xword 0xDEADBEEFDEADBEEF
48845 .xword 0xDEADBEEFDEADBEEF
48846 .xword 0xDEADBEEFDEADBEEF
48847 .xword 0xDEADBEEFDEADBEEF
48848 .xword 0xDEADBEEFDEADBEEF
48849 .xword 0xDEADBEEFDEADBEEF
48850 .xword 0xDEADBEEFDEADBEEF
48851 .xword 0xDEADBEEFDEADBEEF
48852 .xword 0xDEADBEEFDEADBEEF
48853 .xword 0xDEADBEEFDEADBEEF
48854 .xword 0xDEADBEEFDEADBEEF
48855 .xword 0xDEADBEEFDEADBEEF
48856 .xword 0xDEADBEEFDEADBEEF
48857 .xword 0xDEADBEEFDEADBEEF
48858 .xword 0xDEADBEEFDEADBEEF
48859 .xword 0xDEADBEEFDEADBEEF
48860 .xword 0xDEADBEEFDEADBEEF
48861 .xword 0xDEADBEEFDEADBEEF
48862 .xword 0xDEADBEEFDEADBEEF
48863 .xword 0xDEADBEEFDEADBEEF
48864 .xword 0xDEADBEEFDEADBEEF
48865 .xword 0xDEADBEEFDEADBEEF
48866 .xword 0xDEADBEEFDEADBEEF
48867 .xword 0xDEADBEEFDEADBEEF
48868 .xword 0xDEADBEEFDEADBEEF
48869 .xword 0xDEADBEEFDEADBEEF
48870 .xword 0xDEADBEEFDEADBEEF
48871 .xword 0xDEADBEEFDEADBEEF
48872 .xword 0xDEADBEEFDEADBEEF
48873 .xword 0xDEADBEEFDEADBEEF
48874 .xword 0xDEADBEEFDEADBEEF
48875 .xword 0xDEADBEEFDEADBEEF
48876 .xword 0xDEADBEEFDEADBEEF
48877 .xword 0xDEADBEEFDEADBEEF
48878 .xword 0xDEADBEEFDEADBEEF
48879 .xword 0xDEADBEEFDEADBEEF
48880 .xword 0xDEADBEEFDEADBEEF
48881 .xword 0xDEADBEEFDEADBEEF
48882 .xword 0xDEADBEEFDEADBEEF
48883 .xword 0xDEADBEEFDEADBEEF
48884 .xword 0xDEADBEEFDEADBEEF
48885 .xword 0xDEADBEEFDEADBEEF
48886 .xword 0xDEADBEEFDEADBEEF
48887 .xword 0xDEADBEEFDEADBEEF
48888 .xword 0xDEADBEEFDEADBEEF
48889 .xword 0xDEADBEEFDEADBEEF
48890 .xword 0xDEADBEEFDEADBEEF
48891 .xword 0xDEADBEEFDEADBEEF
48892 .xword 0xDEADBEEFDEADBEEF
48893 .xword 0xDEADBEEFDEADBEEF
48894 .xword 0xDEADBEEFDEADBEEF
48895 .xword 0xDEADBEEFDEADBEEF
48896 .xword 0xDEADBEEFDEADBEEF
48897 .xword 0xDEADBEEFDEADBEEF
48898 .xword 0xDEADBEEFDEADBEEF
48899 .xword 0xDEADBEEFDEADBEEF
48900 .xword 0xDEADBEEFDEADBEEF
48901 .xword 0xDEADBEEFDEADBEEF
48902 .xword 0xDEADBEEFDEADBEEF
48903 .xword 0xDEADBEEFDEADBEEF
48904 .xword 0xDEADBEEFDEADBEEF
48905 .xword 0xDEADBEEFDEADBEEF
48906 .xword 0xDEADBEEFDEADBEEF
48907 .xword 0xDEADBEEFDEADBEEF
48908 .xword 0xDEADBEEFDEADBEEF
48909 .xword 0xDEADBEEFDEADBEEF
48910 .xword 0xDEADBEEFDEADBEEF
48911 .xword 0xDEADBEEFDEADBEEF
48912 .xword 0xDEADBEEFDEADBEEF
48913 .xword 0xDEADBEEFDEADBEEF
48914 .xword 0xDEADBEEFDEADBEEF
48915 .xword 0xDEADBEEFDEADBEEF
48916 .xword 0xDEADBEEFDEADBEEF
48917 .xword 0xDEADBEEFDEADBEEF
48918 .xword 0xDEADBEEFDEADBEEF
48919 .xword 0xDEADBEEFDEADBEEF
48920 .xword 0xDEADBEEFDEADBEEF
48921 .xword 0xDEADBEEFDEADBEEF
48922 .xword 0xDEADBEEFDEADBEEF
48923 .xword 0xDEADBEEFDEADBEEF
48924 .xword 0xDEADBEEFDEADBEEF
48925 .xword 0xDEADBEEFDEADBEEF
48926 .xword 0xDEADBEEFDEADBEEF
48927 .xword 0xDEADBEEFDEADBEEF
48928 .xword 0xDEADBEEFDEADBEEF
48929 .xword 0xDEADBEEFDEADBEEF
48930 .xword 0xDEADBEEFDEADBEEF
48931 .xword 0xDEADBEEFDEADBEEF
48932 .xword 0xDEADBEEFDEADBEEF
48933 .xword 0xDEADBEEFDEADBEEF
48934 .xword 0xDEADBEEFDEADBEEF
48935 .xword 0xDEADBEEFDEADBEEF
48936 .xword 0xDEADBEEFDEADBEEF
48937 .xword 0xDEADBEEFDEADBEEF
48938 .xword 0xDEADBEEFDEADBEEF
48939 .xword 0xDEADBEEFDEADBEEF
48940 .xword 0xDEADBEEFDEADBEEF
48941 .xword 0xDEADBEEFDEADBEEF
48942 .xword 0xDEADBEEFDEADBEEF
48943 .xword 0xDEADBEEFDEADBEEF
48944 .xword 0xDEADBEEFDEADBEEF
48945 .xword 0xDEADBEEFDEADBEEF
48946 .xword 0xDEADBEEFDEADBEEF
48947 .xword 0xDEADBEEFDEADBEEF
48948 .xword 0xDEADBEEFDEADBEEF
48949 .xword 0xDEADBEEFDEADBEEF
48950 .xword 0xDEADBEEFDEADBEEF
48951 .xword 0xDEADBEEFDEADBEEF
48952 .xword 0xDEADBEEFDEADBEEF
48953 .xword 0xDEADBEEFDEADBEEF
48954 .xword 0xDEADBEEFDEADBEEF
48955 .xword 0xDEADBEEFDEADBEEF
48956 .xword 0xDEADBEEFDEADBEEF
48957 .xword 0xDEADBEEFDEADBEEF
48958 .xword 0xDEADBEEFDEADBEEF
48959 .xword 0xDEADBEEFDEADBEEF
48960 .xword 0xDEADBEEFDEADBEEF
48961 .xword 0xDEADBEEFDEADBEEF
48962 .xword 0xDEADBEEFDEADBEEF
48963 .xword 0xDEADBEEFDEADBEEF
48964 .xword 0xDEADBEEFDEADBEEF
48965 .xword 0xDEADBEEFDEADBEEF
48966 .xword 0xDEADBEEFDEADBEEF
48967 .xword 0xDEADBEEFDEADBEEF
48968 .xword 0xDEADBEEFDEADBEEF
48969 .xword 0xDEADBEEFDEADBEEF
48970 .xword 0xDEADBEEFDEADBEEF
48971 .xword 0xDEADBEEFDEADBEEF
48972 .xword 0xDEADBEEFDEADBEEF
48973 .xword 0xDEADBEEFDEADBEEF
48974 .xword 0xDEADBEEFDEADBEEF
48975 .xword 0xDEADBEEFDEADBEEF
48976 .xword 0xDEADBEEFDEADBEEF
48977 .xword 0xDEADBEEFDEADBEEF
48978 .xword 0xDEADBEEFDEADBEEF
48979 .xword 0xDEADBEEFDEADBEEF
48980 .xword 0xDEADBEEFDEADBEEF
48981 .xword 0xDEADBEEFDEADBEEF
48982 .xword 0xDEADBEEFDEADBEEF
48983 .xword 0xDEADBEEFDEADBEEF
48984 .xword 0xDEADBEEFDEADBEEF
48985_t1_hmac_auth_key:
48986 .xword 0x39c43eea28a428dd
48987 .xword 0xb8e060b1ea4c772e
48988 .xword 0x77fccc0c6fde025a
48989 .xword 0x1c02842d770b7aec
48990 .xword 0x7e0ec8c6519f803c
48991 .xword 0xca80a49fceb7b95e
48992 .xword 0x464cb5a97c594511
48993 .xword 0x57561242e5eb5ae0
48994 .xword 0x7cb37a5cbf36cb32
48995 .xword 0x3dc6728eca262a96
48996 .xword 0xa05db9ef8664c843
48997 .xword 0xae7db357af08dd5d
48998 .xword 0x05e8ca27c79b416c
48999 .xword 0xb7c414d2a5f0e77d
49000 .xword 0x1c0c1b60f8b47cd5
49001 .xword 0xa9842f35913a9936
49002 .xword 0x7d5789f382313276
49003 .xword 0x36211da12da4079f
49004 .xword 0x6f74fba597580592
49005 .xword 0xf40a70870fb4b3cd
49006 .xword 0x20e1eee6a913d616
49007 .xword 0x4274aba2710f4abc
49008 .xword 0xed0f0ac691550a91
49009_t1_hmac_auth_iv:
49010 .xword 0xc1207047d6727562
49011 .xword 0xeed5505f9199a309
49012 .xword 0x2345c2c40d66fb1c
49013 .xword 0x2a94882cb60d6831
49014 .xword 0x8a0f88a87c161f19
49015 .xword 0x02fb04e35c3a189f
49016 .xword 0xce2f6d498a147861
49017 .xword 0x04a885299c30ca39
49018 .xword 0xb3f477d22267835d
49019 .xword 0x7f8e16033132132c
49020 .xword 0x7667b96784972131
49021 .xword 0xba9591f2e0daf244
49022 .xword 0xf9f55c2ef57ec878
49023 .xword 0x85a4ed91791293f0
49024 .xword 0xbc53e4930012bfa1
49025 .xword 0x80d170a3c276f408
49026 .xword 0x6aa748e2168ef9cd
49027 .xword 0x3539959fcc22d570
49028 .xword 0x40b83efaf403f2df
49029 .xword 0x12da4ac0f220f47d
49030 .xword 0x6790d2ed45f6accb
49031 .xword 0x5af4e14cbde5b4f2
49032 .xword 0xc9c63941f4a471fa
49033_t1_hmac_fas_result:
49034 .xword 0xDEADBEEFDEADBEEF
49035 .xword 0xDEADBEEFDEADBEEF
49036 .xword 0xDEADBEEFDEADBEEF
49037 .xword 0xDEADBEEFDEADBEEF
49038 .xword 0xDEADBEEFDEADBEEF
49039 .xword 0xDEADBEEFDEADBEEF
49040 .xword 0xDEADBEEFDEADBEEF
49041 .xword 0xDEADBEEFDEADBEEF
49042 .xword 0xDEADBEEFDEADBEEF
49043 .xword 0xDEADBEEFDEADBEEF
49044 .xword 0xDEADBEEFDEADBEEF
49045 .xword 0xDEADBEEFDEADBEEF
49046 .xword 0xDEADBEEFDEADBEEF
49047 .xword 0xDEADBEEFDEADBEEF
49048 .xword 0xDEADBEEFDEADBEEF
49049 .xword 0xDEADBEEFDEADBEEF
49050 .xword 0xDEADBEEFDEADBEEF
49051 .xword 0xDEADBEEFDEADBEEF
49052 .xword 0xDEADBEEFDEADBEEF
49053 .xword 0xDEADBEEFDEADBEEF
49054 .xword 0xDEADBEEFDEADBEEF
49055 .xword 0xDEADBEEFDEADBEEF
49056 .xword 0xDEADBEEFDEADBEEF
49057_t1_rc4_key_array:
49058 .xword 0x5872fcc922a05330
49059 .xword 0xa145b74048532323
49060 .xword 0x6e7c3512dd801e64
49061 .xword 0x5bb37494a71642d9
49062 .xword 0xfee310954c5b44af
49063 .xword 0xa852684816c563ce
49064 .xword 0x0e7547ab95e36d18
49065 .xword 0xbb5ecb7e40f7ace0
49066 .xword 0xfce22494a19867c1
49067 .xword 0xaca3a2518c15ed18
49068 .xword 0x366371aefad02965
49069 .xword 0xa85af9a1daed4e48
49070 .xword 0x044a79b1b71f607b
49071 .xword 0xd46abdc617bf1b46
49072 .xword 0xe057869f2c3489c9
49073 .xword 0xde4285faa3d31c96
49074 .xword 0x9129eb123484cd76
49075 .xword 0x8d594c1b9f683b93
49076 .xword 0xfccf30ff0df61a47
49077 .xword 0x5569d05aa53fa56e
49078 .xword 0xe7be6d5ef56ee37b
49079 .xword 0xbebb76a48e79a324
49080 .xword 0xdfe2025356a1a6a6
49081 .xword 0x52319bc6df451786
49082 .xword 0x95ac745639fd7f46
49083 .xword 0xd80a6d227d02ec3a
49084 .xword 0x73a9bf0e57c4b2e1
49085 .xword 0xbf6930edc610165b
49086 .xword 0xb345ccbd507537ee
49087 .xword 0x672a7568dfd07d36
49088 .xword 0x424a745d41f0dfa6
49089 .xword 0xc2964e05e2417950
49090 .xword 0xf72fe5153643f829
49091 .xword 0xfaf05cff9861a8c3
49092 .xword 0xac3c8a838cb34612
49093 .xword 0x1f24ae05a3bde5c9
49094 .xword 0xecbcb751ef748767
49095 .xword 0x8856f33a8daab55a
49096 .xword 0xf2bb41ac8e5d8202
49097 .xword 0x74fd077da9ae6236
49098 .xword 0xa22075190769f28f
49099 .xword 0x0cb489c53b812687
49100 .xword 0x13666076bfa72d65
49101 .xword 0xb8af9da040c679ce
49102 .xword 0x45df595c6f611da6
49103 .xword 0x1c6a120e444adc9f
49104 .xword 0xfd107ab76b805d1e
49105 .xword 0xf9a04e3ea33c6fce
49106 .xword 0xa805c46012e3a4b2
49107 .xword 0xf01ae60d66e8e4a5
49108 .xword 0x33d15af7d0f9b04f
49109_t1_rc4_iv_array:
49110 .xword 0x27fd712b8b340804
49111 .xword 0xec88c1c61c11d67b
49112 .xword 0xde671d8725beccc3
49113 .xword 0x6f2384766d78a796
49114 .xword 0x94abff1431982717
49115 .xword 0xd8fcabfe430d4bf2
49116 .xword 0x27b6568d73ff9173
49117 .xword 0xe51bd862f603cc75
49118 .xword 0x90947c1bcef262ed
49119 .xword 0xde8f8bb521a39686
49120 .xword 0x0bfecd149888b09f
49121 .xword 0x01fd623dad9d02ba
49122 .xword 0xf321d6568271beff
49123 .xword 0x4fbc6cf1be885874
49124 .xword 0x66a8538defa689e3
49125 .xword 0xa601ed5a74f6909f
49126 .xword 0x797c752946777ef5
49127 .xword 0x1686b56a67f44ea9
49128 .xword 0x11012650d6e60147
49129 .xword 0x9e8cf7b476e5f91d
49130 .xword 0x90c69074f36f56fe
49131 .xword 0x760415f929eb27dc
49132 .xword 0xc6010ef36b11e682
49133 .xword 0xde4ff29f16f5d80b
49134 .xword 0x222389dc256c18f1
49135 .xword 0x640d7b46b7b99c39
49136 .xword 0x554993beff85a295
49137 .xword 0x23ae98533fc8dc25
49138 .xword 0x3afcb6677015c734
49139 .xword 0xccf9d177cbe178b6
49140 .xword 0xfc7d2437205ef0e1
49141 .xword 0xdec2a1f7e9ee30fb
49142 .xword 0x141a4d45be738f04
49143 .xword 0x92066b9b2702ff71
49144 .xword 0x6b1a78bfb44a63aa
49145 .xword 0xe0f4b08c0c696c9e
49146 .xword 0x86a42271e2a7ee09
49147 .xword 0xd3d149b5252f49af
49148 .xword 0x18c5303a383642c9
49149 .xword 0xd84896a29c258bb8
49150 .xword 0x934075bf0e0f632e
49151 .xword 0x0af3fdb1e95e3d3e
49152 .xword 0xee29aed9660bd2a5
49153 .xword 0x18240acf9ac1ae7e
49154 .xword 0xa41aa5156581799b
49155_t1_rc4_alignment_array:
49156 .xword 7
49157 .xword 5
49158 .xword 11
49159 .xword 3
49160 .xword 1
49161 .xword 9
49162 .xword 4
49163 .xword 5
49164 .xword 4
49165 .xword 3
49166 .xword 15
49167 .xword 7
49168 .xword 2
49169 .xword 9
49170 .xword 7
49171 .xword 12
49172 .xword 11
49173 .xword 6
49174 .xword 5
49175 .xword 14
49176 .xword 4
49177 .xword 11
49178 .xword 13
49179 .xword 5
49180 .xword 10
49181 .xword 4
49182 .xword 7
49183 .xword 0
49184 .xword 1
49185 .xword 10
49186 .xword 9
49187 .xword 3
49188 .xword 12
49189 .xword 10
49190 .xword 1
49191 .xword 7
49192 .xword 15
49193 .xword 12
49194 .xword 12
49195 .xword 1
49196 .xword 8
49197 .xword 14
49198 .xword 5
49199 .xword 9
49200 .xword 13
49201 .xword 14
49202 .xword 7
49203 .xword 2
49204 .xword 4
49205 .xword 2
49206 .xword 9
49207 .xword 6
49208 .xword 11
49209 .xword 5
49210 .xword 4
49211 .xword 1
49212 .xword 0
49213 .xword 13
49214 .xword 1
49215 .xword 3
49216 .xword 11
49217 .xword 15
49218 .xword 10
49219 .xword 12
49220 .xword 8
49221 .xword 9
49222 .xword 13
49223 .xword 9
49224 .xword 7
49225 .xword 13
49226 .xword 15
49227 .xword 6
49228 .xword 15
49229 .xword 11
49230 .xword 1
49231 .xword 3
49232 .xword 7
49233 .xword 13
49234 .xword 15
49235 .xword 2
49236 .xword 10
49237 .xword 5
49238 .xword 12
49239 .xword 1
49240 .xword 4
49241 .xword 8
49242 .xword 11
49243 .xword 8
49244 .xword 0
49245 .xword 15
49246 .xword 1
49247 .xword 2
49248 .xword 7
49249 .xword 2
49250 .xword 2
49251 .xword 3
49252 .xword 1
49253 .xword 10
49254 .xword 6
49255 .xword 4
49256 .xword 10
49257 .xword 4
49258 .xword 6
49259 .xword 5
49260 .xword 2
49261_t1_rc4_src:
49262 .xword 0xe42733bb2c2e3f89
49263 .xword 0x14b99b2ad10be860
49264 .xword 0x4610b0b0ff6612e4
49265 .xword 0xc11a26dc832b02dd
49266 .xword 0x89985ed26381ea3a
49267 .xword 0xf23702e3388791bb
49268 .xword 0x337e1b8183cd5bb9
49269 .xword 0x1ca18082d7529674
49270 .xword 0x33b5ede741f4b091
49271 .xword 0x8b3743fa27ebd82e
49272 .xword 0xb345048941a6bda4
49273 .xword 0x4afabc033c83cec9
49274 .xword 0xf18cae16a97e8299
49275 .xword 0xd0266a0cb11aae1f
49276 .xword 0x8dcba4ef6fedae20
49277 .xword 0x44c13cf18994523c
49278 .xword 0x0c8faa96ddab71b0
49279 .xword 0xf867a114bb16ecaa
49280 .xword 0xd2253d37a8283085
49281 .xword 0x06776b52fabc6c09
49282 .xword 0xd2bafb0f2650936c
49283 .xword 0xe2d7191df604c34a
49284 .xword 0xe5f5ed15abb2f6fb
49285 .xword 0xbe3a3dd494c75fac
49286 .xword 0x25c333e25bc29a3f
49287 .xword 0x60cd6def437edc9b
49288 .xword 0x0c592dbb54143933
49289 .xword 0x873b04af843d1c33
49290 .xword 0xd98beef5c514965d
49291 .xword 0xf87891e16dec9682
49292 .xword 0x88b1ebf65af3f63c
49293 .xword 0x37999b51ffdeffcd
49294 .xword 0x60b6a5133d14e671
49295 .xword 0xf563382a5329dd89
49296 .xword 0x3e6ab949aff656f9
49297 .xword 0x40899737024e5237
49298 .xword 0xcf0d5f9eb9f2402e
49299 .xword 0xecae65c8f973a227
49300 .xword 0xa91a342c417fcd0e
49301 .xword 0x8187c710959b3438
49302 .xword 0x81456965fd3db66b
49303 .xword 0x66cdf815b4466799
49304 .xword 0x00bfd822a261234d
49305 .xword 0xb600393b45b35c53
49306 .xword 0x03ed2a3c38b2afab
49307 .xword 0x5bf81638fd1aa3e6
49308 .xword 0x20bdc7eba7fb3864
49309 .xword 0xa18ac1ec464342e3
49310 .xword 0x8f8f37e4e93a64a9
49311 .xword 0xbc94687221f39724
49312 .xword 0xe66b1aebc61da73c
49313 .xword 0x8634c3d014e825cd
49314 .xword 0x184ca28d2aba6d1a
49315 .xword 0xfba1865db71c8c66
49316 .xword 0x0e318474e2d2b908
49317 .xword 0x57926a2a5826079d
49318 .xword 0xaadb84773623c906
49319 .xword 0xb838a1adecaba674
49320 .xword 0xfae50ed46259e458
49321 .xword 0x1f13e00d3c70daed
49322 .xword 0xe0e94bcafd231ccb
49323 .xword 0x3f9c8234266a41af
49324 .xword 0x208d85fc12b77316
49325 .xword 0xe808f3a1a4a601d9
49326 .xword 0xb85b2dcc656cf6ce
49327 .xword 0xd457d88bfef00401
49328 .xword 0x9d818917b4fbcb1e
49329 .xword 0x92f195e2a56dd061
49330 .xword 0x0a56c41446dfd9aa
49331 .xword 0x07c9543c2b49798f
49332 .xword 0x9a841f5db7c4bfa1
49333 .xword 0x500831aa34e32080
49334 .xword 0x400754538498bbc7
49335 .xword 0xf7440e16d91b4dac
49336 .xword 0xaadc539a8b5415eb
49337 .xword 0x699c8b5fd181a271
49338 .xword 0x428dc8bc5d3e78b8
49339 .xword 0x207d9df10461dedc
49340 .xword 0xa19af00099eb60a7
49341 .xword 0xfe7f9d43b477e7a1
49342 .xword 0x310a5b5fe38eb5f3
49343 .xword 0xd589982d24ac2389
49344 .xword 0x48eae78fbd55573e
49345 .xword 0x12311b1c2231ce1e
49346 .xword 0xe00053c223b3f089
49347 .xword 0xecc351be87338982
49348 .xword 0x50837ba3d3ce2ecf
49349 .xword 0x93506fdc2c71b95f
49350 .xword 0x50761c62ddba8ba3
49351 .xword 0x8d326acb8d84eeb6
49352 .xword 0x090fe5c5163d31ec
49353 .xword 0xf808eb70b87bc82e
49354 .xword 0xa21539a74369ef14
49355 .xword 0xc0ae72e6c7253196
49356 .xword 0x0511f0e61ac1b92c
49357 .xword 0x0f89115976e426e5
49358 .xword 0x707d68b70c0f5b08
49359 .xword 0x8e09ba592472f486
49360 .xword 0x2fd23b3e6649ff69
49361 .xword 0x94fec7a5af0062f2
49362 .xword 0x273d20ebf98c20c0
49363 .xword 0x77840579606990b9
49364 .xword 0x9d692e516e64a473
49365 .xword 0x6a64e564e886eaee
49366 .xword 0x1571e46b514564ad
49367 .xword 0xde2da2021a37a43d
49368 .xword 0x16e625915e96d01d
49369 .xword 0x9bf720e3c518be17
49370 .xword 0xb01c15d3b97fa2a9
49371 .xword 0x0d0ba9d4f7d98668
49372 .xword 0x6d88b5cb0a72213a
49373 .xword 0xf9ca93c9e8ad8fef
49374 .xword 0xa2c83cba68564b85
49375 .xword 0x3f00342f1a19d21b
49376 .xword 0x07069b88764af4de
49377 .xword 0x452f40d6f102e252
49378 .xword 0xf06da4513345dd49
49379 .xword 0x91d7e217adc217ec
49380 .xword 0xb8fa8818cf177752
49381 .xword 0x304e3423d0ac0b78
49382 .xword 0x2d2ec3f30db7d5cc
49383 .xword 0xad70fc0ae55102fa
49384 .xword 0x374d3bcfd396cabe
49385 .xword 0x2479d15b3a920573
49386 .xword 0x7533d522318f3730
49387 .xword 0xcbf5a8699ff659ba
49388 .xword 0xe19160d9dbf86d92
49389 .xword 0xa6a8f7253db3a29c
49390 .xword 0x009db7feb6806f9d
49391 .xword 0xfceedeac7b21cc50
49392 .xword 0xea1b65a5db6a5c2f
49393 .xword 0x5017bebca9403855
49394 .xword 0x4df9be0857abec6b
49395 .xword 0x792b42cc7ebe2a66
49396 .xword 0x82aaaeeb6a2fb7b0
49397 .xword 0xa5e459321a9c948b
49398 .xword 0xf2de43dc0d1ff02f
49399 .xword 0xee60855a98eea026
49400 .xword 0x4bf623580eee0c10
49401 .xword 0xf9d802619c52148a
49402 .xword 0x87b1ecc1a3fed452
49403 .xword 0xfd4e9fcc00769751
49404 .xword 0x89c09dd5f1d74f69
49405 .xword 0x435b12f4b4e446f7
49406 .xword 0xc27e17365ed88dc7
49407 .xword 0x0f31db729c2c03d2
49408 .xword 0xda4a833d6303674f
49409 .xword 0xd098c2cc792a0851
49410 .xword 0xcb3be13443ab9b66
49411 .xword 0xde82665042a9934a
49412 .xword 0xd330391882ea1bc6
49413 .xword 0xd22bf489345778b0
49414 .xword 0x29f805dc838b8b60
49415 .xword 0x29f85891396d7ead
49416 .xword 0xb6992d8877c1d0cc
49417 .xword 0x6b5939080e5785f1
49418 .xword 0xada227a0937a7872
49419 .xword 0x090f9c46bcded80c
49420 .xword 0x032aec139f78ca22
49421 .xword 0x6ae32c2360515839
49422 .xword 0x371601ec1cd27a75
49423 .xword 0x5a2ec9dde5eaebb4
49424 .xword 0x61dadc5fbaa98075
49425 .xword 0xeff98a36ff84485d
49426 .xword 0xd7fe9f2bd5b58d99
49427 .xword 0x682d9be736c135ad
49428 .xword 0x828503a09816496c
49429 .xword 0xd0f8dd5fe72ea7bd
49430 .xword 0xc8d774ed8cc03a56
49431 .xword 0xcf1a486d3f1b3711
49432 .xword 0xdc2704c6dd83c381
49433 .xword 0x8d4038a2f4fb98eb
49434 .xword 0x7bb3ea5a3e13ad41
49435 .xword 0xd10226524117ec3c
49436 .xword 0x21a29d52dad53830
49437 .xword 0x299a020f32085300
49438 .xword 0x9c835464908b91bf
49439 .xword 0x9920831b4891d28a
49440 .xword 0xf676222f9373765d
49441 .xword 0xb85076c6644f3942
49442 .xword 0xc1632a5ab550ba82
49443 .xword 0xf5499b7c7fb1d70c
49444 .xword 0xc045e310bc9d1c1a
49445 .xword 0xcce19ff702a4112f
49446 .xword 0xb6635577636fe6b9
49447 .xword 0x96c51e60d084b809
49448 .xword 0x5ce80d1665fae054
49449 .xword 0x085a56e37b19e252
49450 .xword 0x84005365d669927e
49451 .xword 0x98a0e1653afc6269
49452 .xword 0xe3a733d47700cdba
49453 .xword 0x92890234ba4ea3b6
49454 .xword 0xffc9bd2bb574dc5d
49455 .xword 0x54b017bda29e7e8f
49456 .xword 0x75e2e79cab8eac8e
49457 .xword 0x84abba1d842c1234
49458 .xword 0x34faf9becb315442
49459 .xword 0x47c2498d621ee50e
49460 .xword 0x2f5e621e2a6efe91
49461 .xword 0x4965208551dac39a
49462 .xword 0xf07d0428408796e0
49463 .xword 0x9988bfea0d282bd8
49464 .xword 0x9bde2dd370d57ce3
49465 .xword 0x0a4a2f28685d3904
49466 .xword 0x82bdeb414626ce3c
49467 .xword 0x9947035aa0fdd3a4
49468 .xword 0xf83123ef30f0f723
49469 .xword 0xc64500ca309d73e8
49470 .xword 0x5ac878454200e1ed
49471 .xword 0xbb5628c4bc199afe
49472 .xword 0xd29c370b9ccfa793
49473 .xword 0x2d43f8fef99e5d8e
49474 .xword 0x4304fb0d8324aa92
49475 .xword 0xb57b000ee4ea30a2
49476 .xword 0xee52d91a0504dd61
49477 .xword 0x99632c16248d7761
49478 .xword 0x051d949c2eebe263
49479 .xword 0xad0e21e5b4a7e86c
49480 .xword 0xde189675b8ab4c2d
49481 .xword 0x013dd335a800a8ac
49482 .xword 0xb62b075165cddc11
49483 .xword 0xf382b81cf1737e73
49484 .xword 0xc82e16e0e9c391d6
49485 .xword 0xd773fae2d478ccdb
49486 .xword 0x9a0defab8e803650
49487 .xword 0x7f54118bad368ebe
49488 .xword 0xb80accb00a461885
49489 .xword 0xf4f79d027ab32be8
49490 .xword 0xa02227bf622d7a09
49491 .xword 0xbbaae4f071a48fe8
49492 .xword 0x37f78b0a6d234968
49493 .xword 0x1d8b3908f71e58cf
49494 .xword 0xb250279fa44997ce
49495 .xword 0x93daa4ef1d55b2f5
49496 .xword 0x07aa22c4f987a464
49497 .xword 0xf5df7b8da1fd65a1
49498 .xword 0xd4745fd0a0a5e1a6
49499 .xword 0x3c1ac7741fa65502
49500 .xword 0xcf584d49e957e4bf
49501 .xword 0x3c00c16810498ba6
49502 .xword 0x89977642c21cd5b8
49503 .xword 0xe4379571f5d0b4b4
49504 .xword 0xa57639b6bcd5801b
49505 .xword 0x31a8924e4e02eba0
49506 .xword 0x93e71e3673c83b9c
49507 .xword 0xe7cb26911c86baeb
49508 .xword 0x6d05a001cd7a2ff0
49509 .xword 0xd5f34d86242df4b5
49510 .xword 0x1a39fc909f160c29
49511 .xword 0x300920767718d0da
49512 .xword 0xe4cae71d73160005
49513 .xword 0xa01ebcdae70e846b
49514 .xword 0xb41b89980e15c96c
49515 .xword 0x6586bf9f3266c6c7
49516 .xword 0xa772971099926979
49517 .xword 0x2da2fe402728e27a
49518 .xword 0xb0a958065f9e2394
49519 .xword 0x53e647b5963ecc02
49520 .xword 0xcfdfc757763ce126
49521 .xword 0x302ac57e941ffe91
49522 .xword 0xe6084c730a5aa441
49523 .xword 0x760289385ce6f257
49524 .xword 0xf50ee9b79f95de09
49525 .xword 0x9828621d2806a101
49526 .xword 0x7626ace3c1a3bf4c
49527 .xword 0x18d92486156f34b8
49528 .xword 0x2cf8c1eaa0a1aecb
49529 .xword 0xe4f557e9cf993c1c
49530 .xword 0x330d2cc2af89830d
49531 .xword 0x8cb3a9173f00a4e9
49532 .xword 0x48216378b9494153
49533 .xword 0x46c90af50506e3c6
49534 .xword 0xc5906560d4900f87
49535 .xword 0x7741b0c7d21a182e
49536 .xword 0xc634ebde4888fa59
49537_t1_rc4_dest:
49538 .xword 0xDEADBEEFDEADBEEF
49539 .xword 0xDEADBEEFDEADBEEF
49540 .xword 0xDEADBEEFDEADBEEF
49541 .xword 0xDEADBEEFDEADBEEF
49542 .xword 0xDEADBEEFDEADBEEF
49543 .xword 0xDEADBEEFDEADBEEF
49544 .xword 0xDEADBEEFDEADBEEF
49545 .xword 0xDEADBEEFDEADBEEF
49546 .xword 0xDEADBEEFDEADBEEF
49547 .xword 0xDEADBEEFDEADBEEF
49548 .xword 0xDEADBEEFDEADBEEF
49549 .xword 0xDEADBEEFDEADBEEF
49550 .xword 0xDEADBEEFDEADBEEF
49551 .xword 0xDEADBEEFDEADBEEF
49552 .xword 0xDEADBEEFDEADBEEF
49553 .xword 0xDEADBEEFDEADBEEF
49554 .xword 0xDEADBEEFDEADBEEF
49555 .xword 0xDEADBEEFDEADBEEF
49556 .xword 0xDEADBEEFDEADBEEF
49557 .xword 0xDEADBEEFDEADBEEF
49558 .xword 0xDEADBEEFDEADBEEF
49559 .xword 0xDEADBEEFDEADBEEF
49560 .xword 0xDEADBEEFDEADBEEF
49561 .xword 0xDEADBEEFDEADBEEF
49562 .xword 0xDEADBEEFDEADBEEF
49563 .xword 0xDEADBEEFDEADBEEF
49564 .xword 0xDEADBEEFDEADBEEF
49565 .xword 0xDEADBEEFDEADBEEF
49566 .xword 0xDEADBEEFDEADBEEF
49567 .xword 0xDEADBEEFDEADBEEF
49568 .xword 0xDEADBEEFDEADBEEF
49569 .xword 0xDEADBEEFDEADBEEF
49570 .xword 0xDEADBEEFDEADBEEF
49571 .xword 0xDEADBEEFDEADBEEF
49572 .xword 0xDEADBEEFDEADBEEF
49573 .xword 0xDEADBEEFDEADBEEF
49574 .xword 0xDEADBEEFDEADBEEF
49575 .xword 0xDEADBEEFDEADBEEF
49576 .xword 0xDEADBEEFDEADBEEF
49577 .xword 0xDEADBEEFDEADBEEF
49578 .xword 0xDEADBEEFDEADBEEF
49579 .xword 0xDEADBEEFDEADBEEF
49580 .xword 0xDEADBEEFDEADBEEF
49581 .xword 0xDEADBEEFDEADBEEF
49582 .xword 0xDEADBEEFDEADBEEF
49583 .xword 0xDEADBEEFDEADBEEF
49584 .xword 0xDEADBEEFDEADBEEF
49585 .xword 0xDEADBEEFDEADBEEF
49586 .xword 0xDEADBEEFDEADBEEF
49587 .xword 0xDEADBEEFDEADBEEF
49588 .xword 0xDEADBEEFDEADBEEF
49589 .xword 0xDEADBEEFDEADBEEF
49590 .xword 0xDEADBEEFDEADBEEF
49591 .xword 0xDEADBEEFDEADBEEF
49592 .xword 0xDEADBEEFDEADBEEF
49593 .xword 0xDEADBEEFDEADBEEF
49594 .xword 0xDEADBEEFDEADBEEF
49595 .xword 0xDEADBEEFDEADBEEF
49596 .xword 0xDEADBEEFDEADBEEF
49597 .xword 0xDEADBEEFDEADBEEF
49598 .xword 0xDEADBEEFDEADBEEF
49599 .xword 0xDEADBEEFDEADBEEF
49600 .xword 0xDEADBEEFDEADBEEF
49601 .xword 0xDEADBEEFDEADBEEF
49602 .xword 0xDEADBEEFDEADBEEF
49603 .xword 0xDEADBEEFDEADBEEF
49604 .xword 0xDEADBEEFDEADBEEF
49605 .xword 0xDEADBEEFDEADBEEF
49606 .xword 0xDEADBEEFDEADBEEF
49607 .xword 0xDEADBEEFDEADBEEF
49608 .xword 0xDEADBEEFDEADBEEF
49609 .xword 0xDEADBEEFDEADBEEF
49610 .xword 0xDEADBEEFDEADBEEF
49611 .xword 0xDEADBEEFDEADBEEF
49612 .xword 0xDEADBEEFDEADBEEF
49613 .xword 0xDEADBEEFDEADBEEF
49614 .xword 0xDEADBEEFDEADBEEF
49615 .xword 0xDEADBEEFDEADBEEF
49616 .xword 0xDEADBEEFDEADBEEF
49617 .xword 0xDEADBEEFDEADBEEF
49618 .xword 0xDEADBEEFDEADBEEF
49619 .xword 0xDEADBEEFDEADBEEF
49620 .xword 0xDEADBEEFDEADBEEF
49621 .xword 0xDEADBEEFDEADBEEF
49622 .xword 0xDEADBEEFDEADBEEF
49623 .xword 0xDEADBEEFDEADBEEF
49624 .xword 0xDEADBEEFDEADBEEF
49625 .xword 0xDEADBEEFDEADBEEF
49626 .xword 0xDEADBEEFDEADBEEF
49627 .xword 0xDEADBEEFDEADBEEF
49628 .xword 0xDEADBEEFDEADBEEF
49629 .xword 0xDEADBEEFDEADBEEF
49630 .xword 0xDEADBEEFDEADBEEF
49631 .xword 0xDEADBEEFDEADBEEF
49632 .xword 0xDEADBEEFDEADBEEF
49633 .xword 0xDEADBEEFDEADBEEF
49634 .xword 0xDEADBEEFDEADBEEF
49635 .xword 0xDEADBEEFDEADBEEF
49636 .xword 0xDEADBEEFDEADBEEF
49637 .xword 0xDEADBEEFDEADBEEF
49638 .xword 0xDEADBEEFDEADBEEF
49639 .xword 0xDEADBEEFDEADBEEF
49640 .xword 0xDEADBEEFDEADBEEF
49641 .xword 0xDEADBEEFDEADBEEF
49642 .xword 0xDEADBEEFDEADBEEF
49643 .xword 0xDEADBEEFDEADBEEF
49644 .xword 0xDEADBEEFDEADBEEF
49645 .xword 0xDEADBEEFDEADBEEF
49646 .xword 0xDEADBEEFDEADBEEF
49647 .xword 0xDEADBEEFDEADBEEF
49648 .xword 0xDEADBEEFDEADBEEF
49649 .xword 0xDEADBEEFDEADBEEF
49650 .xword 0xDEADBEEFDEADBEEF
49651 .xword 0xDEADBEEFDEADBEEF
49652 .xword 0xDEADBEEFDEADBEEF
49653 .xword 0xDEADBEEFDEADBEEF
49654 .xword 0xDEADBEEFDEADBEEF
49655 .xword 0xDEADBEEFDEADBEEF
49656 .xword 0xDEADBEEFDEADBEEF
49657 .xword 0xDEADBEEFDEADBEEF
49658 .xword 0xDEADBEEFDEADBEEF
49659 .xword 0xDEADBEEFDEADBEEF
49660 .xword 0xDEADBEEFDEADBEEF
49661 .xword 0xDEADBEEFDEADBEEF
49662 .xword 0xDEADBEEFDEADBEEF
49663 .xword 0xDEADBEEFDEADBEEF
49664 .xword 0xDEADBEEFDEADBEEF
49665 .xword 0xDEADBEEFDEADBEEF
49666 .xword 0xDEADBEEFDEADBEEF
49667 .xword 0xDEADBEEFDEADBEEF
49668 .xword 0xDEADBEEFDEADBEEF
49669 .xword 0xDEADBEEFDEADBEEF
49670 .xword 0xDEADBEEFDEADBEEF
49671 .xword 0xDEADBEEFDEADBEEF
49672 .xword 0xDEADBEEFDEADBEEF
49673 .xword 0xDEADBEEFDEADBEEF
49674 .xword 0xDEADBEEFDEADBEEF
49675 .xword 0xDEADBEEFDEADBEEF
49676 .xword 0xDEADBEEFDEADBEEF
49677 .xword 0xDEADBEEFDEADBEEF
49678 .xword 0xDEADBEEFDEADBEEF
49679 .xword 0xDEADBEEFDEADBEEF
49680 .xword 0xDEADBEEFDEADBEEF
49681 .xword 0xDEADBEEFDEADBEEF
49682 .xword 0xDEADBEEFDEADBEEF
49683 .xword 0xDEADBEEFDEADBEEF
49684 .xword 0xDEADBEEFDEADBEEF
49685 .xword 0xDEADBEEFDEADBEEF
49686 .xword 0xDEADBEEFDEADBEEF
49687 .xword 0xDEADBEEFDEADBEEF
49688 .xword 0xDEADBEEFDEADBEEF
49689 .xword 0xDEADBEEFDEADBEEF
49690 .xword 0xDEADBEEFDEADBEEF
49691 .xword 0xDEADBEEFDEADBEEF
49692 .xword 0xDEADBEEFDEADBEEF
49693 .xword 0xDEADBEEFDEADBEEF
49694 .xword 0xDEADBEEFDEADBEEF
49695 .xword 0xDEADBEEFDEADBEEF
49696 .xword 0xDEADBEEFDEADBEEF
49697 .xword 0xDEADBEEFDEADBEEF
49698 .xword 0xDEADBEEFDEADBEEF
49699 .xword 0xDEADBEEFDEADBEEF
49700 .xword 0xDEADBEEFDEADBEEF
49701 .xword 0xDEADBEEFDEADBEEF
49702 .xword 0xDEADBEEFDEADBEEF
49703 .xword 0xDEADBEEFDEADBEEF
49704 .xword 0xDEADBEEFDEADBEEF
49705 .xword 0xDEADBEEFDEADBEEF
49706 .xword 0xDEADBEEFDEADBEEF
49707 .xword 0xDEADBEEFDEADBEEF
49708 .xword 0xDEADBEEFDEADBEEF
49709 .xword 0xDEADBEEFDEADBEEF
49710 .xword 0xDEADBEEFDEADBEEF
49711 .xword 0xDEADBEEFDEADBEEF
49712 .xword 0xDEADBEEFDEADBEEF
49713 .xword 0xDEADBEEFDEADBEEF
49714 .xword 0xDEADBEEFDEADBEEF
49715 .xword 0xDEADBEEFDEADBEEF
49716 .xword 0xDEADBEEFDEADBEEF
49717 .xword 0xDEADBEEFDEADBEEF
49718 .xword 0xDEADBEEFDEADBEEF
49719 .xword 0xDEADBEEFDEADBEEF
49720 .xword 0xDEADBEEFDEADBEEF
49721 .xword 0xDEADBEEFDEADBEEF
49722 .xword 0xDEADBEEFDEADBEEF
49723 .xword 0xDEADBEEFDEADBEEF
49724 .xword 0xDEADBEEFDEADBEEF
49725 .xword 0xDEADBEEFDEADBEEF
49726 .xword 0xDEADBEEFDEADBEEF
49727 .xword 0xDEADBEEFDEADBEEF
49728 .xword 0xDEADBEEFDEADBEEF
49729 .xword 0xDEADBEEFDEADBEEF
49730 .xword 0xDEADBEEFDEADBEEF
49731 .xword 0xDEADBEEFDEADBEEF
49732 .xword 0xDEADBEEFDEADBEEF
49733 .xword 0xDEADBEEFDEADBEEF
49734 .xword 0xDEADBEEFDEADBEEF
49735 .xword 0xDEADBEEFDEADBEEF
49736 .xword 0xDEADBEEFDEADBEEF
49737 .xword 0xDEADBEEFDEADBEEF
49738 .xword 0xDEADBEEFDEADBEEF
49739 .xword 0xDEADBEEFDEADBEEF
49740 .xword 0xDEADBEEFDEADBEEF
49741 .xword 0xDEADBEEFDEADBEEF
49742 .xword 0xDEADBEEFDEADBEEF
49743 .xword 0xDEADBEEFDEADBEEF
49744 .xword 0xDEADBEEFDEADBEEF
49745 .xword 0xDEADBEEFDEADBEEF
49746 .xword 0xDEADBEEFDEADBEEF
49747 .xword 0xDEADBEEFDEADBEEF
49748 .xword 0xDEADBEEFDEADBEEF
49749 .xword 0xDEADBEEFDEADBEEF
49750 .xword 0xDEADBEEFDEADBEEF
49751 .xword 0xDEADBEEFDEADBEEF
49752 .xword 0xDEADBEEFDEADBEEF
49753 .xword 0xDEADBEEFDEADBEEF
49754 .xword 0xDEADBEEFDEADBEEF
49755 .xword 0xDEADBEEFDEADBEEF
49756 .xword 0xDEADBEEFDEADBEEF
49757 .xword 0xDEADBEEFDEADBEEF
49758 .xword 0xDEADBEEFDEADBEEF
49759 .xword 0xDEADBEEFDEADBEEF
49760 .xword 0xDEADBEEFDEADBEEF
49761 .xword 0xDEADBEEFDEADBEEF
49762 .xword 0xDEADBEEFDEADBEEF
49763 .xword 0xDEADBEEFDEADBEEF
49764 .xword 0xDEADBEEFDEADBEEF
49765 .xword 0xDEADBEEFDEADBEEF
49766 .xword 0xDEADBEEFDEADBEEF
49767 .xword 0xDEADBEEFDEADBEEF
49768 .xword 0xDEADBEEFDEADBEEF
49769 .xword 0xDEADBEEFDEADBEEF
49770 .xword 0xDEADBEEFDEADBEEF
49771 .xword 0xDEADBEEFDEADBEEF
49772 .xword 0xDEADBEEFDEADBEEF
49773 .xword 0xDEADBEEFDEADBEEF
49774 .xword 0xDEADBEEFDEADBEEF
49775 .xword 0xDEADBEEFDEADBEEF
49776 .xword 0xDEADBEEFDEADBEEF
49777 .xword 0xDEADBEEFDEADBEEF
49778 .xword 0xDEADBEEFDEADBEEF
49779 .xword 0xDEADBEEFDEADBEEF
49780 .xword 0xDEADBEEFDEADBEEF
49781 .xword 0xDEADBEEFDEADBEEF
49782 .xword 0xDEADBEEFDEADBEEF
49783 .xword 0xDEADBEEFDEADBEEF
49784 .xword 0xDEADBEEFDEADBEEF
49785 .xword 0xDEADBEEFDEADBEEF
49786 .xword 0xDEADBEEFDEADBEEF
49787 .xword 0xDEADBEEFDEADBEEF
49788 .xword 0xDEADBEEFDEADBEEF
49789 .xword 0xDEADBEEFDEADBEEF
49790 .xword 0xDEADBEEFDEADBEEF
49791 .xword 0xDEADBEEFDEADBEEF
49792 .xword 0xDEADBEEFDEADBEEF
49793 .xword 0xDEADBEEFDEADBEEF
49794 .xword 0xDEADBEEFDEADBEEF
49795 .xword 0xDEADBEEFDEADBEEF
49796 .xword 0xDEADBEEFDEADBEEF
49797 .xword 0xDEADBEEFDEADBEEF
49798 .xword 0xDEADBEEFDEADBEEF
49799 .xword 0xDEADBEEFDEADBEEF
49800 .xword 0xDEADBEEFDEADBEEF
49801 .xword 0xDEADBEEFDEADBEEF
49802 .xword 0xDEADBEEFDEADBEEF
49803 .xword 0xDEADBEEFDEADBEEF
49804 .xword 0xDEADBEEFDEADBEEF
49805 .xword 0xDEADBEEFDEADBEEF
49806 .xword 0xDEADBEEFDEADBEEF
49807 .xword 0xDEADBEEFDEADBEEF
49808 .xword 0xDEADBEEFDEADBEEF
49809 .xword 0xDEADBEEFDEADBEEF
49810 .xword 0xDEADBEEFDEADBEEF
49811 .xword 0xDEADBEEFDEADBEEF
49812 .xword 0xDEADBEEFDEADBEEF
49813_t1_rc4_auth_key:
49814 .xword 0x6e127c759c3a9da1
49815 .xword 0x58d084f2f952a821
49816 .xword 0x3f89eb9e8e307467
49817 .xword 0x088cea94953c0530
49818 .xword 0xe00534873173e779
49819 .xword 0xa5ff76b359c63332
49820 .xword 0x6e92254ae15b1497
49821 .xword 0x75806529aae4b071
49822 .xword 0x62f65d73ac7693ee
49823 .xword 0x34f743221c286319
49824 .xword 0x3fb5f1bebc8ecb2b
49825 .xword 0xb718ce019ca3dde0
49826 .xword 0x08b57cd3f7dbd903
49827 .xword 0xe8da67acf8b150e1
49828 .xword 0xeece0ffaf51550da
49829 .xword 0xa47ba4820e55e322
49830 .xword 0x89464e93f0e506f3
49831 .xword 0xea99160dfdb2d7b5
49832 .xword 0xc942e7fbadbba0fc
49833 .xword 0x44cb732b3d73c53a
49834 .xword 0xa848415f742a43c3
49835 .xword 0x250c564ed0eda7c4
49836 .xword 0x2779ad9325be925a
49837_t1_rc4_auth_iv:
49838 .xword 0x1b12dada010b17a7
49839 .xword 0x3c66dc8d4189b4e6
49840 .xword 0xa1e866a43aadf569
49841 .xword 0x3a66cbcccf417e13
49842 .xword 0x15a670fad2f8f9e3
49843 .xword 0x34c670dbf545d957
49844 .xword 0xf1e0d23f15d215de
49845 .xword 0xa1cc74f68089b8e3
49846 .xword 0xf7cc5527f9b70b1d
49847 .xword 0xef57e38d40d27183
49848 .xword 0xb07a401a72f71a7a
49849 .xword 0x2823733940a6b0dc
49850 .xword 0x55787d678fb0676a
49851 .xword 0x9b6712a07efb99d7
49852 .xword 0x1e2a4b7b4bffee56
49853 .xword 0xb5be5795d04f6b12
49854 .xword 0xd807327e3a197db9
49855 .xword 0x9ffb9ba2839180d1
49856 .xword 0xeaebf137a85a9ecd
49857 .xword 0x1af4e27ba23d533e
49858 .xword 0x131dd3ba30e996dc
49859 .xword 0xb5daabfd99755ebf
49860 .xword 0xb6474637f6123cd4
49861_t1_rc4_fas_result:
49862 .xword 0xDEADBEEFDEADBEEF
49863 .xword 0xDEADBEEFDEADBEEF
49864 .xword 0xDEADBEEFDEADBEEF
49865 .xword 0xDEADBEEFDEADBEEF
49866 .xword 0xDEADBEEFDEADBEEF
49867 .xword 0xDEADBEEFDEADBEEF
49868 .xword 0xDEADBEEFDEADBEEF
49869 .xword 0xDEADBEEFDEADBEEF
49870 .xword 0xDEADBEEFDEADBEEF
49871 .xword 0xDEADBEEFDEADBEEF
49872 .xword 0xDEADBEEFDEADBEEF
49873 .xword 0xDEADBEEFDEADBEEF
49874 .xword 0xDEADBEEFDEADBEEF
49875 .xword 0xDEADBEEFDEADBEEF
49876 .xword 0xDEADBEEFDEADBEEF
49877 .xword 0xDEADBEEFDEADBEEF
49878 .xword 0xDEADBEEFDEADBEEF
49879 .xword 0xDEADBEEFDEADBEEF
49880 .xword 0xDEADBEEFDEADBEEF
49881 .xword 0xDEADBEEFDEADBEEF
49882 .xword 0xDEADBEEFDEADBEEF
49883 .xword 0xDEADBEEFDEADBEEF
49884 .xword 0xDEADBEEFDEADBEEF
49885_t1_sslkey_key_array:
49886 .xword 0x96977761909f6283
49887 .xword 0x10ec3d338cd1a82b
49888 .xword 0xf3cd7f8d823807e1
49889 .xword 0x76b0ba8c7fcb5709
49890 .xword 0x53d937ed081df487
49891 .xword 0x1360bf93b6a03267
49892 .xword 0x290edc82ce7fed19
49893 .xword 0x4d5e3aaef75ed14a
49894 .xword 0x162aeeca573c0295
49895 .xword 0x21b70c9d62b3866b
49896 .xword 0x7b197014f4d82c68
49897 .xword 0xa587f47b35fc45a0
49898 .xword 0x25993b410e812703
49899 .xword 0x75d3956b84a04d0e
49900 .xword 0xbf585eb893918f93
49901 .xword 0xfe8040605b2946eb
49902 .xword 0x1ee5fad27c4899f0
49903 .xword 0x2197d9b31a04369b
49904 .xword 0xeabfb9ed1ffc4fb8
49905 .xword 0x3d7be9b3a6371cd9
49906 .xword 0x9e905ce3b75fbe9f
49907 .xword 0x3e40332e0dc91dad
49908 .xword 0xc4f67ed1f35624ae
49909 .xword 0x1bb60fb6a7d19c84
49910 .xword 0xa3c2e59725eb0144
49911 .xword 0x5fd437e18584ed6b
49912 .xword 0x66da877e2b57d2bb
49913 .xword 0xdaf47b088cbda838
49914 .xword 0x921fc7c05c2c3922
49915 .xword 0xe669f826fcbde521
49916 .xword 0xcdbc18eecfd04657
49917 .xword 0xf59f0f236a65fb4a
49918 .xword 0x500a45c5feb03e0c
49919 .xword 0x70d11705c946732a
49920 .xword 0xf4ad2c8a8a8a847d
49921 .xword 0xca80b32500a9bedb
49922 .xword 0xc28870da7cb60f32
49923 .xword 0x5b16ccb338b095d0
49924 .xword 0xf573a374add1b29f
49925 .xword 0x623fc9dd5945875c
49926 .xword 0x35556ca62da23d36
49927 .xword 0x0374518b4990dc00
49928 .xword 0x51c6ffff31538503
49929 .xword 0x5d30ab9ed673f437
49930 .xword 0x87965b27155a355b
49931 .xword 0x4d792d5e5842eca6
49932 .xword 0x43c4a36df8c1ec92
49933 .xword 0x2ace79c38311b509
49934 .xword 0xc68fe2d6e2f8d817
49935 .xword 0x0effde3f5e19e4f0
49936 .xword 0x5f5aca88454937d2
49937_t1_sslkey_iv_array:
49938 .xword 0x6820688d8896634d
49939 .xword 0x9856d127c823cf08
49940 .xword 0x7a8a46b34eee23e0
49941 .xword 0xc06e136d02301cdf
49942 .xword 0x818e8e92828dcafa
49943 .xword 0xfdb42e4cc3632ffa
49944 .xword 0xfca91047a0349e4a
49945 .xword 0x952a93af24f472e7
49946 .xword 0xda52e61c0fda4940
49947 .xword 0xf3a46654e2b5d9e8
49948 .xword 0x14feb64d31ca7bfc
49949 .xword 0x7cbc31d93055256b
49950 .xword 0xc537a804aa63785b
49951 .xword 0x5d38ab2540ec8e13
49952 .xword 0x6a2e2244156e8263
49953 .xword 0xd9481453e7917dbe
49954 .xword 0x3d0acd802438f4bf
49955 .xword 0x649d9a560b33a775
49956 .xword 0x72d54e1df4f79276
49957 .xword 0x0f251ff6f18b2230
49958 .xword 0x5f1744af68ddf054
49959 .xword 0xb3cacc1e4bf456ae
49960 .xword 0xacee85c8d4ab5a08
49961 .xword 0x6bfeb957e0353dfb
49962 .xword 0x7d37b4efea655e19
49963 .xword 0xa319b8c0e058740f
49964 .xword 0x2c2df4a93481089b
49965 .xword 0x5133c9b83e1f7102
49966 .xword 0xb0de8905d973149c
49967 .xword 0xcfc2e664c25983bf
49968 .xword 0x5549a5a2b4be4cbb
49969 .xword 0xdea34838627abf00
49970 .xword 0xa538825d79bae1bc
49971 .xword 0x713ee0d8c2fcf81d
49972 .xword 0x05e330739b7571b3
49973 .xword 0x63b41b45ec146175
49974 .xword 0x0c3f98f0b105d7eb
49975 .xword 0x15631132a65dd452
49976 .xword 0x2bafe326895e2880
49977 .xword 0x9aeb7884b865e842
49978 .xword 0xa4328efea42cdcc2
49979 .xword 0x13603b37cfb64933
49980 .xword 0x5484e83d08d71d16
49981 .xword 0x9c56543c0ca716ee
49982 .xword 0x4881f3cba4e8a3a6
49983_t1_sslkey_alignment_array:
49984 .xword 0
49985 .xword 0
49986 .xword 0
49987 .xword 0
49988 .xword 0
49989 .xword 0
49990 .xword 0
49991 .xword 0
49992 .xword 0
49993 .xword 0
49994 .xword 0
49995 .xword 0
49996 .xword 0
49997 .xword 0
49998 .xword 0
49999 .xword 0
50000 .xword 0
50001 .xword 0
50002 .xword 0
50003 .xword 0
50004 .xword 0
50005 .xword 0
50006 .xword 0
50007 .xword 0
50008 .xword 0
50009 .xword 0
50010 .xword 0
50011 .xword 0
50012 .xword 0
50013 .xword 0
50014 .xword 0
50015 .xword 0
50016 .xword 0
50017 .xword 0
50018 .xword 0
50019 .xword 0
50020 .xword 0
50021 .xword 0
50022 .xword 0
50023 .xword 0
50024 .xword 0
50025 .xword 0
50026 .xword 0
50027 .xword 0
50028 .xword 0
50029 .xword 0
50030 .xword 0
50031 .xword 0
50032 .xword 0
50033 .xword 0
50034 .xword 0
50035 .xword 0
50036 .xword 0
50037 .xword 0
50038 .xword 0
50039 .xword 0
50040 .xword 0
50041 .xword 0
50042 .xword 0
50043 .xword 0
50044 .xword 0
50045 .xword 0
50046 .xword 0
50047 .xword 0
50048 .xword 0
50049 .xword 0
50050 .xword 0
50051 .xword 0
50052 .xword 0
50053 .xword 0
50054 .xword 0
50055 .xword 0
50056 .xword 0
50057 .xword 0
50058 .xword 0
50059 .xword 0
50060 .xword 0
50061 .xword 0
50062 .xword 0
50063 .xword 0
50064 .xword 0
50065 .xword 0
50066 .xword 0
50067 .xword 0
50068 .xword 0
50069 .xword 0
50070 .xword 0
50071 .xword 0
50072 .xword 0
50073 .xword 0
50074 .xword 0
50075 .xword 0
50076 .xword 0
50077 .xword 0
50078 .xword 0
50079 .xword 0
50080 .xword 0
50081 .xword 0
50082 .xword 0
50083 .xword 0
50084 .xword 0
50085 .xword 0
50086 .xword 0
50087 .xword 0
50088 .xword 0
50089_t1_sslkey_src:
50090 .xword 0xa2d8c84b7a1c3e1e
50091 .xword 0x863ed700441af6d9
50092 .xword 0x2f297a0530ab244f
50093 .xword 0x6d35dfd033715298
50094 .xword 0x49bfafd4beb8c2ed
50095 .xword 0xe9ab4539820e5483
50096 .xword 0x17dca771016ff854
50097 .xword 0xeb56116ee7631446
50098 .xword 0x054169d2834bb397
50099 .xword 0x04b69ea99f3468b3
50100 .xword 0xf256f429801e8e98
50101 .xword 0x4b649c72b1180ad2
50102 .xword 0x81c8a9058519e49b
50103 .xword 0x6ceb335b31284b9c
50104 .xword 0x11ad8f167a2af3ef
50105 .xword 0xc3422d90e7792e7e
50106 .xword 0x1fe119de02462cfe
50107 .xword 0xed14a8b35f44dcc5
50108 .xword 0xf6096fcbdfc110c3
50109 .xword 0x5dacc2ee7b48fca2
50110 .xword 0x26703642c5273c70
50111 .xword 0xef99549264fea057
50112 .xword 0xb44cd6c055385e94
50113 .xword 0x78dfe0bb6dbb6419
50114 .xword 0xb2e0ae653f0c9d9d
50115 .xword 0x26321f7841befa78
50116 .xword 0x2bc7de7514298740
50117 .xword 0xcc87d6a28a338ab1
50118 .xword 0xa1dbf96a47ead7dc
50119 .xword 0xcdefc2b1ced8bf76
50120 .xword 0x94ed7c28cb609b7e
50121 .xword 0xad6aa024c68ecc56
50122 .xword 0x254e15fabaa4528e
50123 .xword 0x6be7b4b5a67e5643
50124 .xword 0x861c9c8db3c5fa18
50125 .xword 0xf4bf4808c66c6cfb
50126 .xword 0xbefa1ef99cc30edd
50127 .xword 0xbae56a60c40c6df1
50128 .xword 0x5badfe6eecfc4535
50129 .xword 0xf7ea1bbaa825cee5
50130 .xword 0x97993264b14d73a4
50131 .xword 0x92f36d5235d7066a
50132 .xword 0xfc4820b8c4f497a8
50133 .xword 0x9cec5d85a8819320
50134 .xword 0xd620db0f07069a09
50135 .xword 0xeb20ff3e152e9f18
50136 .xword 0x5b0b4b40ec08e836
50137 .xword 0x34b4032c381e7dec
50138 .xword 0x5128513c3cd981b5
50139 .xword 0x3e64038f76c155f6
50140 .xword 0x9d4bb14ba3b4dc0e
50141 .xword 0xd3aa4d6bcc84e10e
50142 .xword 0x79e1a455e0788230
50143 .xword 0xbe6ac54e0e8c9bdb
50144 .xword 0xa20bedbdc01b2579
50145 .xword 0x97881ab93745a639
50146 .xword 0xf7986487c82b5370
50147 .xword 0xfe99e1ac444b3ff7
50148 .xword 0x67a59c11cb988b3a
50149 .xword 0x646b232442e28813
50150 .xword 0x73e3920503728124
50151 .xword 0xf98f5d7efadc40da
50152 .xword 0x2d28dc575493bf2b
50153 .xword 0xf407020bbbddb27d
50154 .xword 0x3343fa26bd25174d
50155 .xword 0xa95f35c47b1c90ef
50156 .xword 0x0255db3b0e3a60b9
50157 .xword 0x1711881464bcbf7b
50158 .xword 0xc83dbcff5a8853c2
50159 .xword 0xbb0adca3089d348e
50160 .xword 0x71e093b8d1704dc1
50161 .xword 0xb917b9ed16a1eef6
50162 .xword 0xf8b9c2d0fab47fbd
50163 .xword 0x2c28bc04637bbcd2
50164 .xword 0x9c52726ab2abaa29
50165 .xword 0xcc277a153056d329
50166 .xword 0x3aeb5c27ef5f3edd
50167 .xword 0x88c1088ba8d20e6f
50168 .xword 0xe34c2ac599257658
50169 .xword 0xb185014ad8fb8463
50170 .xword 0x11dacf73e7ac291f
50171 .xword 0x26ee5569d943ad7c
50172 .xword 0xc4f0b19f9acd31f6
50173 .xword 0xa1bbb09582ebd7f3
50174 .xword 0x24403b1c1bf7c665
50175 .xword 0x8e7e9052e957975f
50176 .xword 0x082646fb5576298b
50177 .xword 0xcfb4540f920b68a9
50178 .xword 0xf779d8d941f22d01
50179 .xword 0x8f0cb6a72f852d1c
50180 .xword 0xbe60e4f63409c8cf
50181 .xword 0x1a659c58f0adb889
50182 .xword 0x589ccc69cb36db7e
50183 .xword 0x208805910b2e9b6d
50184 .xword 0x168504589c9daa5f
50185 .xword 0xf451ffb1baa8b0eb
50186 .xword 0x26fe0def20c0011d
50187 .xword 0x7ba16138332a1ce2
50188 .xword 0xb73bce461dc87e44
50189 .xword 0xa7be1172e7ef461f
50190 .xword 0x0ad2ddd0cdd301bb
50191 .xword 0x789022b98634fb87
50192 .xword 0xf2ec45859e413bc4
50193 .xword 0x3056523c7997a952
50194 .xword 0xf1d8e0d968f5011a
50195 .xword 0x540698d77d74cf29
50196 .xword 0x3c0a8eb79b529824
50197 .xword 0x6bf07ced1cb84df0
50198 .xword 0xf91366b2360670b7
50199 .xword 0x8bc67c974fe098c0
50200 .xword 0x118a83336ac7ffef
50201 .xword 0x93e92d32d5fce428
50202 .xword 0xefba4d183731105a
50203 .xword 0xce841a551df0a6de
50204 .xword 0xf62efcbfbae39922
50205 .xword 0x89367e1c185c77d6
50206 .xword 0xc6d4604bf5253d56
50207 .xword 0x6e7b9bef79e0bc82
50208 .xword 0x0d9fbe78e93fc761
50209 .xword 0x8ab1e8a2fce3ae62
50210 .xword 0x06dcc5520ff36f50
50211 .xword 0xc52ba0f38fde2d23
50212 .xword 0xd7be6ebdad909510
50213 .xword 0xbb976ca10a652ae0
50214 .xword 0x61f90c6c70a4416a
50215 .xword 0x955d87cbafbe1bb7
50216 .xword 0x8f037f58fa3a967b
50217 .xword 0xc987b557d8203645
50218 .xword 0x120cc55c642d2010
50219 .xword 0x8bc2a60561ec88b9
50220 .xword 0x3b31db19d50037bf
50221 .xword 0x01db6434b560aa7f
50222 .xword 0x15b328fb765dd4cc
50223 .xword 0x4cc0a77aba5a3b19
50224 .xword 0x177a59b6c766d0f5
50225 .xword 0xddb9fc349b31d345
50226 .xword 0x474019b79afc95ab
50227 .xword 0x97fbe85f7e7737cc
50228 .xword 0x8ccb7c8acc8dff12
50229 .xword 0x5df42bbcba1d23f3
50230 .xword 0x5e2910da37ee9339
50231 .xword 0x2eaebc4d4278dc13
50232 .xword 0xaf35efa2552309d0
50233 .xword 0x584dc127d7c641fd
50234 .xword 0x297ff2a626fcc394
50235 .xword 0x84f24be8fb2453e0
50236 .xword 0xc5f80e4cc25b6f37
50237 .xword 0x3d6cf27496cec38d
50238 .xword 0x7ea5a63f81a0c948
50239 .xword 0xc134a4bfb95b37bb
50240 .xword 0x880130b61bb4eb43
50241 .xword 0xeacd4c49a10f1288
50242 .xword 0x784315b527ecab36
50243 .xword 0x69b6954d4228aab2
50244 .xword 0x04ec3508e01aabe0
50245 .xword 0x3a85c1a041441f02
50246 .xword 0xf09015968bc3aa18
50247 .xword 0x543a0bb0dd7e81bb
50248 .xword 0xb2edfa2f1eca7b6a
50249 .xword 0x786272f7530cd370
50250 .xword 0x09046f84920f0b2d
50251 .xword 0xb62e24ba01a870ed
50252 .xword 0xfdeab0ec386f32e1
50253 .xword 0x28d03eab886c45ba
50254 .xword 0xa0ce7e87f73a52e2
50255 .xword 0x5a2e1c9bbe5b0477
50256 .xword 0x3c47f0357c1345bb
50257 .xword 0x24cd4cfdeda7b528
50258 .xword 0x1b4d28715c151c69
50259 .xword 0xe2d21eb81f125edc
50260 .xword 0x011e111b170aa0c7
50261 .xword 0xd43576de9778dc84
50262 .xword 0x9a2c7b0de8852652
50263 .xword 0x92266ee66cec63d2
50264 .xword 0xf0ad8c22c166474d
50265 .xword 0x56b906a2eaf6dcb9
50266 .xword 0x3a877b7a57ac895e
50267 .xword 0x40b810f199b2a971
50268 .xword 0xb6dd874e14b8662d
50269 .xword 0x7c0a4fe4bb1258fe
50270 .xword 0x94e8a081f5fcf855
50271 .xword 0xc8629040c325c3c9
50272 .xword 0x56c6e5a15ad55799
50273 .xword 0x922b76ec5b79d3ea
50274 .xword 0x1cb06cf33e82f32e
50275 .xword 0xa2f089dc5c60b2a2
50276 .xword 0x993fe9232e8bad91
50277 .xword 0xb9e66d6e7747d3c8
50278 .xword 0x7d84d5f2fd1fef38
50279 .xword 0xf6dc5208f90152cd
50280 .xword 0x13c0d821ec40a422
50281 .xword 0xf055ecb4e730b983
50282 .xword 0x2f39ba05ca79df2d
50283 .xword 0xb08d0e5a32f6a2b3
50284 .xword 0xf5d7f614338ccb7d
50285 .xword 0xa3f0b2cf3fb12eb0
50286 .xword 0x0458f4220c9c88ca
50287 .xword 0xd0a21d8272345bf1
50288 .xword 0x7b1b44c3862b3942
50289 .xword 0x7fada2c75563a205
50290 .xword 0xd391c6056c23c09e
50291 .xword 0xa7fe090cabcaae61
50292 .xword 0x6c6c640389d7a80f
50293 .xword 0x2a2e2062477d6390
50294 .xword 0x82191172e10fda62
50295 .xword 0xafc2892a70884322
50296 .xword 0x68b2c3f02afc7930
50297 .xword 0x9994144576038745
50298 .xword 0xd37fe988412ad54f
50299 .xword 0x3d823cc02c6cf308
50300 .xword 0xdaa87af4e6c96d36
50301 .xword 0x0d9248cf9b61b438
50302 .xword 0xf6ca88c74e119c73
50303 .xword 0xa2e03ae97d475e96
50304 .xword 0x3f4ecb6ce44eb506
50305 .xword 0x19cc2d01541fe158
50306 .xword 0xcefa159ecf7ad358
50307 .xword 0x4a2a7171c591dd14
50308 .xword 0x767c86c152868a03
50309 .xword 0x29e09d77a6308aee
50310 .xword 0x096d7fdee5d2430f
50311 .xword 0x314ae2597527370f
50312 .xword 0xcae562eae46e9254
50313 .xword 0x874a243cf23995ae
50314 .xword 0x69cf09ed0406030e
50315 .xword 0xb0c61556505dbf0f
50316 .xword 0x0c0011f7f8f5ec08
50317 .xword 0xe400bbba4ab3fe1a
50318 .xword 0x60e9502be7efea39
50319 .xword 0x87fd9f730fbad0cf
50320 .xword 0xe2e0fa3338c52f55
50321 .xword 0x865eecbfc4708c76
50322 .xword 0xde20e3cf23a75df0
50323 .xword 0xa146ce7ef4e1fa6c
50324 .xword 0x5fbeba92527217f4
50325 .xword 0xdea20945e777f460
50326 .xword 0x0f9493919e7408d7
50327 .xword 0xd749e67c5643b91c
50328 .xword 0xc81be6e6248d3445
50329 .xword 0x31239d1a5c3b40f2
50330 .xword 0x762365778563abc2
50331 .xword 0x2454bd53b3a8dae9
50332 .xword 0x837e5c1d54bd1534
50333 .xword 0xe48bc1d3e8c8fd60
50334 .xword 0x70d7daef80be4ce1
50335 .xword 0xb57a66bf0f7790b7
50336 .xword 0xec26a1897e436f1f
50337 .xword 0x3ffce89aae7e3c36
50338 .xword 0x885ccc5fd563338e
50339 .xword 0xff4f723c3e365f5b
50340 .xword 0x128ef0f4d4e8bd64
50341 .xword 0x2f03a40d9bc949cd
50342 .xword 0x2fbdaabe42319846
50343 .xword 0x59d3e0808d61e62c
50344 .xword 0xd689723b960e32e8
50345 .xword 0x45ed0674e8696982
50346 .xword 0x28d2089f7f12ba73
50347 .xword 0xf51e7be46a59a4d3
50348 .xword 0x0a5f210ad5db9972
50349 .xword 0x57cd4559823491f8
50350 .xword 0x94dd8d2e74eb7bcc
50351 .xword 0x28972aea7329d25a
50352 .xword 0x1dc483746a81be32
50353 .xword 0x3568bbfebab452f7
50354 .xword 0x2ade36f503020365
50355 .xword 0x5a87f41e59879404
50356 .xword 0xff9b893adaad6d77
50357 .xword 0xc68bf578f88b1b3b
50358 .xword 0xa25cf9d8d3ee3f9f
50359 .xword 0x720c61b2cb1e0684
50360 .xword 0x15363614c9972d6a
50361 .xword 0x99923cb4e93b1863
50362 .xword 0x629adb0023afede6
50363 .xword 0xf007e120d746b55f
50364 .xword 0x816cb181916617e5
50365_t1_sslkey_dest:
50366 .xword 0xDEADBEEFDEADBEEF
50367 .xword 0xDEADBEEFDEADBEEF
50368 .xword 0xDEADBEEFDEADBEEF
50369 .xword 0xDEADBEEFDEADBEEF
50370 .xword 0xDEADBEEFDEADBEEF
50371 .xword 0xDEADBEEFDEADBEEF
50372 .xword 0xDEADBEEFDEADBEEF
50373 .xword 0xDEADBEEFDEADBEEF
50374 .xword 0xDEADBEEFDEADBEEF
50375 .xword 0xDEADBEEFDEADBEEF
50376 .xword 0xDEADBEEFDEADBEEF
50377 .xword 0xDEADBEEFDEADBEEF
50378 .xword 0xDEADBEEFDEADBEEF
50379 .xword 0xDEADBEEFDEADBEEF
50380 .xword 0xDEADBEEFDEADBEEF
50381 .xword 0xDEADBEEFDEADBEEF
50382 .xword 0xDEADBEEFDEADBEEF
50383 .xword 0xDEADBEEFDEADBEEF
50384 .xword 0xDEADBEEFDEADBEEF
50385 .xword 0xDEADBEEFDEADBEEF
50386 .xword 0xDEADBEEFDEADBEEF
50387 .xword 0xDEADBEEFDEADBEEF
50388 .xword 0xDEADBEEFDEADBEEF
50389 .xword 0xDEADBEEFDEADBEEF
50390 .xword 0xDEADBEEFDEADBEEF
50391 .xword 0xDEADBEEFDEADBEEF
50392 .xword 0xDEADBEEFDEADBEEF
50393 .xword 0xDEADBEEFDEADBEEF
50394 .xword 0xDEADBEEFDEADBEEF
50395 .xword 0xDEADBEEFDEADBEEF
50396 .xword 0xDEADBEEFDEADBEEF
50397 .xword 0xDEADBEEFDEADBEEF
50398 .xword 0xDEADBEEFDEADBEEF
50399 .xword 0xDEADBEEFDEADBEEF
50400 .xword 0xDEADBEEFDEADBEEF
50401 .xword 0xDEADBEEFDEADBEEF
50402 .xword 0xDEADBEEFDEADBEEF
50403 .xword 0xDEADBEEFDEADBEEF
50404 .xword 0xDEADBEEFDEADBEEF
50405 .xword 0xDEADBEEFDEADBEEF
50406 .xword 0xDEADBEEFDEADBEEF
50407 .xword 0xDEADBEEFDEADBEEF
50408 .xword 0xDEADBEEFDEADBEEF
50409 .xword 0xDEADBEEFDEADBEEF
50410 .xword 0xDEADBEEFDEADBEEF
50411 .xword 0xDEADBEEFDEADBEEF
50412 .xword 0xDEADBEEFDEADBEEF
50413 .xword 0xDEADBEEFDEADBEEF
50414 .xword 0xDEADBEEFDEADBEEF
50415 .xword 0xDEADBEEFDEADBEEF
50416 .xword 0xDEADBEEFDEADBEEF
50417 .xword 0xDEADBEEFDEADBEEF
50418 .xword 0xDEADBEEFDEADBEEF
50419 .xword 0xDEADBEEFDEADBEEF
50420 .xword 0xDEADBEEFDEADBEEF
50421 .xword 0xDEADBEEFDEADBEEF
50422 .xword 0xDEADBEEFDEADBEEF
50423 .xword 0xDEADBEEFDEADBEEF
50424 .xword 0xDEADBEEFDEADBEEF
50425 .xword 0xDEADBEEFDEADBEEF
50426 .xword 0xDEADBEEFDEADBEEF
50427 .xword 0xDEADBEEFDEADBEEF
50428 .xword 0xDEADBEEFDEADBEEF
50429 .xword 0xDEADBEEFDEADBEEF
50430 .xword 0xDEADBEEFDEADBEEF
50431 .xword 0xDEADBEEFDEADBEEF
50432 .xword 0xDEADBEEFDEADBEEF
50433 .xword 0xDEADBEEFDEADBEEF
50434 .xword 0xDEADBEEFDEADBEEF
50435 .xword 0xDEADBEEFDEADBEEF
50436 .xword 0xDEADBEEFDEADBEEF
50437 .xword 0xDEADBEEFDEADBEEF
50438 .xword 0xDEADBEEFDEADBEEF
50439 .xword 0xDEADBEEFDEADBEEF
50440 .xword 0xDEADBEEFDEADBEEF
50441 .xword 0xDEADBEEFDEADBEEF
50442 .xword 0xDEADBEEFDEADBEEF
50443 .xword 0xDEADBEEFDEADBEEF
50444 .xword 0xDEADBEEFDEADBEEF
50445 .xword 0xDEADBEEFDEADBEEF
50446 .xword 0xDEADBEEFDEADBEEF
50447 .xword 0xDEADBEEFDEADBEEF
50448 .xword 0xDEADBEEFDEADBEEF
50449 .xword 0xDEADBEEFDEADBEEF
50450 .xword 0xDEADBEEFDEADBEEF
50451 .xword 0xDEADBEEFDEADBEEF
50452 .xword 0xDEADBEEFDEADBEEF
50453 .xword 0xDEADBEEFDEADBEEF
50454 .xword 0xDEADBEEFDEADBEEF
50455 .xword 0xDEADBEEFDEADBEEF
50456 .xword 0xDEADBEEFDEADBEEF
50457 .xword 0xDEADBEEFDEADBEEF
50458 .xword 0xDEADBEEFDEADBEEF
50459 .xword 0xDEADBEEFDEADBEEF
50460 .xword 0xDEADBEEFDEADBEEF
50461 .xword 0xDEADBEEFDEADBEEF
50462 .xword 0xDEADBEEFDEADBEEF
50463 .xword 0xDEADBEEFDEADBEEF
50464 .xword 0xDEADBEEFDEADBEEF
50465 .xword 0xDEADBEEFDEADBEEF
50466 .xword 0xDEADBEEFDEADBEEF
50467 .xword 0xDEADBEEFDEADBEEF
50468 .xword 0xDEADBEEFDEADBEEF
50469 .xword 0xDEADBEEFDEADBEEF
50470 .xword 0xDEADBEEFDEADBEEF
50471 .xword 0xDEADBEEFDEADBEEF
50472 .xword 0xDEADBEEFDEADBEEF
50473 .xword 0xDEADBEEFDEADBEEF
50474 .xword 0xDEADBEEFDEADBEEF
50475 .xword 0xDEADBEEFDEADBEEF
50476 .xword 0xDEADBEEFDEADBEEF
50477 .xword 0xDEADBEEFDEADBEEF
50478 .xword 0xDEADBEEFDEADBEEF
50479 .xword 0xDEADBEEFDEADBEEF
50480 .xword 0xDEADBEEFDEADBEEF
50481 .xword 0xDEADBEEFDEADBEEF
50482 .xword 0xDEADBEEFDEADBEEF
50483 .xword 0xDEADBEEFDEADBEEF
50484 .xword 0xDEADBEEFDEADBEEF
50485 .xword 0xDEADBEEFDEADBEEF
50486 .xword 0xDEADBEEFDEADBEEF
50487 .xword 0xDEADBEEFDEADBEEF
50488 .xword 0xDEADBEEFDEADBEEF
50489 .xword 0xDEADBEEFDEADBEEF
50490 .xword 0xDEADBEEFDEADBEEF
50491 .xword 0xDEADBEEFDEADBEEF
50492 .xword 0xDEADBEEFDEADBEEF
50493 .xword 0xDEADBEEFDEADBEEF
50494 .xword 0xDEADBEEFDEADBEEF
50495 .xword 0xDEADBEEFDEADBEEF
50496 .xword 0xDEADBEEFDEADBEEF
50497 .xword 0xDEADBEEFDEADBEEF
50498 .xword 0xDEADBEEFDEADBEEF
50499 .xword 0xDEADBEEFDEADBEEF
50500 .xword 0xDEADBEEFDEADBEEF
50501 .xword 0xDEADBEEFDEADBEEF
50502 .xword 0xDEADBEEFDEADBEEF
50503 .xword 0xDEADBEEFDEADBEEF
50504 .xword 0xDEADBEEFDEADBEEF
50505 .xword 0xDEADBEEFDEADBEEF
50506 .xword 0xDEADBEEFDEADBEEF
50507 .xword 0xDEADBEEFDEADBEEF
50508 .xword 0xDEADBEEFDEADBEEF
50509 .xword 0xDEADBEEFDEADBEEF
50510 .xword 0xDEADBEEFDEADBEEF
50511 .xword 0xDEADBEEFDEADBEEF
50512 .xword 0xDEADBEEFDEADBEEF
50513 .xword 0xDEADBEEFDEADBEEF
50514 .xword 0xDEADBEEFDEADBEEF
50515 .xword 0xDEADBEEFDEADBEEF
50516 .xword 0xDEADBEEFDEADBEEF
50517 .xword 0xDEADBEEFDEADBEEF
50518 .xword 0xDEADBEEFDEADBEEF
50519 .xword 0xDEADBEEFDEADBEEF
50520 .xword 0xDEADBEEFDEADBEEF
50521 .xword 0xDEADBEEFDEADBEEF
50522 .xword 0xDEADBEEFDEADBEEF
50523 .xword 0xDEADBEEFDEADBEEF
50524 .xword 0xDEADBEEFDEADBEEF
50525 .xword 0xDEADBEEFDEADBEEF
50526 .xword 0xDEADBEEFDEADBEEF
50527 .xword 0xDEADBEEFDEADBEEF
50528 .xword 0xDEADBEEFDEADBEEF
50529 .xword 0xDEADBEEFDEADBEEF
50530 .xword 0xDEADBEEFDEADBEEF
50531 .xword 0xDEADBEEFDEADBEEF
50532 .xword 0xDEADBEEFDEADBEEF
50533 .xword 0xDEADBEEFDEADBEEF
50534 .xword 0xDEADBEEFDEADBEEF
50535 .xword 0xDEADBEEFDEADBEEF
50536 .xword 0xDEADBEEFDEADBEEF
50537 .xword 0xDEADBEEFDEADBEEF
50538 .xword 0xDEADBEEFDEADBEEF
50539 .xword 0xDEADBEEFDEADBEEF
50540 .xword 0xDEADBEEFDEADBEEF
50541 .xword 0xDEADBEEFDEADBEEF
50542 .xword 0xDEADBEEFDEADBEEF
50543 .xword 0xDEADBEEFDEADBEEF
50544 .xword 0xDEADBEEFDEADBEEF
50545 .xword 0xDEADBEEFDEADBEEF
50546 .xword 0xDEADBEEFDEADBEEF
50547 .xword 0xDEADBEEFDEADBEEF
50548 .xword 0xDEADBEEFDEADBEEF
50549 .xword 0xDEADBEEFDEADBEEF
50550 .xword 0xDEADBEEFDEADBEEF
50551 .xword 0xDEADBEEFDEADBEEF
50552 .xword 0xDEADBEEFDEADBEEF
50553 .xword 0xDEADBEEFDEADBEEF
50554 .xword 0xDEADBEEFDEADBEEF
50555 .xword 0xDEADBEEFDEADBEEF
50556 .xword 0xDEADBEEFDEADBEEF
50557 .xword 0xDEADBEEFDEADBEEF
50558 .xword 0xDEADBEEFDEADBEEF
50559 .xword 0xDEADBEEFDEADBEEF
50560 .xword 0xDEADBEEFDEADBEEF
50561 .xword 0xDEADBEEFDEADBEEF
50562 .xword 0xDEADBEEFDEADBEEF
50563 .xword 0xDEADBEEFDEADBEEF
50564 .xword 0xDEADBEEFDEADBEEF
50565 .xword 0xDEADBEEFDEADBEEF
50566 .xword 0xDEADBEEFDEADBEEF
50567 .xword 0xDEADBEEFDEADBEEF
50568 .xword 0xDEADBEEFDEADBEEF
50569 .xword 0xDEADBEEFDEADBEEF
50570 .xword 0xDEADBEEFDEADBEEF
50571 .xword 0xDEADBEEFDEADBEEF
50572 .xword 0xDEADBEEFDEADBEEF
50573 .xword 0xDEADBEEFDEADBEEF
50574 .xword 0xDEADBEEFDEADBEEF
50575 .xword 0xDEADBEEFDEADBEEF
50576 .xword 0xDEADBEEFDEADBEEF
50577 .xword 0xDEADBEEFDEADBEEF
50578 .xword 0xDEADBEEFDEADBEEF
50579 .xword 0xDEADBEEFDEADBEEF
50580 .xword 0xDEADBEEFDEADBEEF
50581 .xword 0xDEADBEEFDEADBEEF
50582 .xword 0xDEADBEEFDEADBEEF
50583 .xword 0xDEADBEEFDEADBEEF
50584 .xword 0xDEADBEEFDEADBEEF
50585 .xword 0xDEADBEEFDEADBEEF
50586 .xword 0xDEADBEEFDEADBEEF
50587 .xword 0xDEADBEEFDEADBEEF
50588 .xword 0xDEADBEEFDEADBEEF
50589 .xword 0xDEADBEEFDEADBEEF
50590 .xword 0xDEADBEEFDEADBEEF
50591 .xword 0xDEADBEEFDEADBEEF
50592 .xword 0xDEADBEEFDEADBEEF
50593 .xword 0xDEADBEEFDEADBEEF
50594 .xword 0xDEADBEEFDEADBEEF
50595 .xword 0xDEADBEEFDEADBEEF
50596 .xword 0xDEADBEEFDEADBEEF
50597 .xword 0xDEADBEEFDEADBEEF
50598 .xword 0xDEADBEEFDEADBEEF
50599 .xword 0xDEADBEEFDEADBEEF
50600 .xword 0xDEADBEEFDEADBEEF
50601 .xword 0xDEADBEEFDEADBEEF
50602 .xword 0xDEADBEEFDEADBEEF
50603 .xword 0xDEADBEEFDEADBEEF
50604 .xword 0xDEADBEEFDEADBEEF
50605 .xword 0xDEADBEEFDEADBEEF
50606 .xword 0xDEADBEEFDEADBEEF
50607 .xword 0xDEADBEEFDEADBEEF
50608 .xword 0xDEADBEEFDEADBEEF
50609 .xword 0xDEADBEEFDEADBEEF
50610 .xword 0xDEADBEEFDEADBEEF
50611 .xword 0xDEADBEEFDEADBEEF
50612 .xword 0xDEADBEEFDEADBEEF
50613 .xword 0xDEADBEEFDEADBEEF
50614 .xword 0xDEADBEEFDEADBEEF
50615 .xword 0xDEADBEEFDEADBEEF
50616 .xword 0xDEADBEEFDEADBEEF
50617 .xword 0xDEADBEEFDEADBEEF
50618 .xword 0xDEADBEEFDEADBEEF
50619 .xword 0xDEADBEEFDEADBEEF
50620 .xword 0xDEADBEEFDEADBEEF
50621 .xword 0xDEADBEEFDEADBEEF
50622 .xword 0xDEADBEEFDEADBEEF
50623 .xword 0xDEADBEEFDEADBEEF
50624 .xword 0xDEADBEEFDEADBEEF
50625 .xword 0xDEADBEEFDEADBEEF
50626 .xword 0xDEADBEEFDEADBEEF
50627 .xword 0xDEADBEEFDEADBEEF
50628 .xword 0xDEADBEEFDEADBEEF
50629 .xword 0xDEADBEEFDEADBEEF
50630 .xword 0xDEADBEEFDEADBEEF
50631 .xword 0xDEADBEEFDEADBEEF
50632 .xword 0xDEADBEEFDEADBEEF
50633 .xword 0xDEADBEEFDEADBEEF
50634 .xword 0xDEADBEEFDEADBEEF
50635 .xword 0xDEADBEEFDEADBEEF
50636 .xword 0xDEADBEEFDEADBEEF
50637 .xword 0xDEADBEEFDEADBEEF
50638 .xword 0xDEADBEEFDEADBEEF
50639 .xword 0xDEADBEEFDEADBEEF
50640 .xword 0xDEADBEEFDEADBEEF
50641_t1_sslkey_auth_key:
50642 .xword 0x6610e8f32bfea4f2
50643 .xword 0x397faf799bcce686
50644 .xword 0x71ac0beca1e02288
50645 .xword 0x1d58f4a2e78172c1
50646 .xword 0x80c527183738c2aa
50647 .xword 0x2f418d792224934e
50648 .xword 0xe40b604dffaa6f05
50649 .xword 0xa5619956cc384846
50650 .xword 0xcd978b3e63e8dd90
50651 .xword 0x7ad2ccfd0f720f47
50652 .xword 0x6fd1c50a4aa5927e
50653 .xword 0x41c01df57f030f51
50654 .xword 0xa4a157a6c43cb55a
50655 .xword 0x9a6d495fb8985904
50656 .xword 0x614ddd028b287ca6
50657 .xword 0x543163fb3803e22f
50658 .xword 0xb4c2528bf7f4c14a
50659 .xword 0x940d01c91ce8fe33
50660 .xword 0x88d058415c28d272
50661 .xword 0x46557195a1ec69ac
50662 .xword 0x3bf7234ade44675b
50663 .xword 0x892bee86eaca6bcc
50664 .xword 0xf2c098c84bd51b22
50665_t1_sslkey_auth_iv:
50666 .xword 0xd1732cb51fb825db
50667 .xword 0xe1a8aed243850f4b
50668 .xword 0xf7dcd2a8092909fc
50669 .xword 0xe711b78f80273621
50670 .xword 0xa302b500c18cb285
50671 .xword 0x5ed58463e46be5be
50672 .xword 0xff0b549f60f1d5cd
50673 .xword 0x55a7c65cde4623fd
50674 .xword 0xdf7667a2b89eba27
50675 .xword 0x7fbbb13f514834c5
50676 .xword 0xfc3837f9eb0fa7b7
50677 .xword 0xde16481dbddb37dc
50678 .xword 0x805db855135663c2
50679 .xword 0xcede9d181fb5b796
50680 .xword 0xe0ca26627af25a1f
50681 .xword 0x195b0aa9f5b49eec
50682 .xword 0x502d9f5c66f25ee0
50683 .xword 0xda0071934023bf8d
50684 .xword 0x1a202a407ccd7938
50685 .xword 0x6476e6828898722d
50686 .xword 0xbdb45d1038a48bea
50687 .xword 0x2953d06d9baa1354
50688 .xword 0x2a518d1134dcf130
50689_t1_sslkey_fas_result:
50690 .xword 0xDEADBEEFDEADBEEF
50691 .xword 0xDEADBEEFDEADBEEF
50692 .xword 0xDEADBEEFDEADBEEF
50693 .xword 0xDEADBEEFDEADBEEF
50694 .xword 0xDEADBEEFDEADBEEF
50695 .xword 0xDEADBEEFDEADBEEF
50696 .xword 0xDEADBEEFDEADBEEF
50697 .xword 0xDEADBEEFDEADBEEF
50698 .xword 0xDEADBEEFDEADBEEF
50699 .xword 0xDEADBEEFDEADBEEF
50700 .xword 0xDEADBEEFDEADBEEF
50701 .xword 0xDEADBEEFDEADBEEF
50702 .xword 0xDEADBEEFDEADBEEF
50703 .xword 0xDEADBEEFDEADBEEF
50704 .xword 0xDEADBEEFDEADBEEF
50705 .xword 0xDEADBEEFDEADBEEF
50706 .xword 0xDEADBEEFDEADBEEF
50707 .xword 0xDEADBEEFDEADBEEF
50708 .xword 0xDEADBEEFDEADBEEF
50709 .xword 0xDEADBEEFDEADBEEF
50710 .xword 0xDEADBEEFDEADBEEF
50711 .xword 0xDEADBEEFDEADBEEF
50712 .xword 0xDEADBEEFDEADBEEF
50713_t1_aes_toc:
50714 .xword _t1_aes_cwd_array
50715 .xword _t1_aes_src
50716 .xword _t1_aes_auth_key
50717 .xword _t1_aes_auth_iv
50718 .xword _t1_aes_fas_result
50719 .xword _t1_aes_key_array
50720 .xword _t1_aes_iv_array
50721 .xword _t1_aes_dest
50722 .xword _t1_aes_alignment_array
50723_t1_des_toc:
50724 .xword _t1_des_cwd_array
50725 .xword _t1_des_src
50726 .xword _t1_des_auth_key
50727 .xword _t1_des_auth_iv
50728 .xword _t1_des_fas_result
50729 .xword _t1_des_key_array
50730 .xword _t1_des_iv_array
50731 .xword _t1_des_dest
50732 .xword _t1_des_alignment_array
50733_t1_copy_toc:
50734 .xword _t1_copy_cwd_array
50735 .xword _t1_copy_src
50736 .xword _t1_copy_auth_key
50737 .xword _t1_copy_auth_iv
50738 .xword _t1_copy_fas_result
50739 .xword _t1_copy_key_array
50740 .xword _t1_copy_iv_array
50741 .xword _t1_copy_dest
50742 .xword _t1_copy_alignment_array
50743_t1_crc_toc:
50744 .xword _t1_crc_cwd_array
50745 .xword _t1_crc_src
50746 .xword _t1_crc_auth_key
50747 .xword _t1_crc_auth_iv
50748 .xword _t1_crc_fas_result
50749 .xword _t1_crc_key_array
50750 .xword _t1_crc_iv_array
50751 .xword _t1_crc_dest
50752 .xword _t1_crc_alignment_array
50753_t1_hash_toc:
50754 .xword _t1_hash_cwd_array
50755 .xword _t1_hash_src
50756 .xword _t1_hash_auth_key
50757 .xword _t1_hash_auth_iv
50758 .xword _t1_hash_fas_result
50759 .xword _t1_hash_key_array
50760 .xword _t1_hash_iv_array
50761 .xword _t1_hash_dest
50762 .xword _t1_hash_alignment_array
50763_t1_hmac_toc:
50764 .xword _t1_hmac_cwd_array
50765 .xword _t1_hmac_src
50766 .xword _t1_hmac_auth_key
50767 .xword _t1_hmac_auth_iv
50768 .xword _t1_hmac_fas_result
50769 .xword _t1_hmac_key_array
50770 .xword _t1_hmac_iv_array
50771 .xword _t1_hmac_dest
50772 .xword _t1_hmac_alignment_array
50773_t1_rc4_toc:
50774 .xword _t1_rc4_cwd_array
50775 .xword _t1_rc4_src
50776 .xword _t1_rc4_auth_key
50777 .xword _t1_rc4_auth_iv
50778 .xword _t1_rc4_fas_result
50779 .xword _t1_rc4_key_array
50780 .xword _t1_rc4_iv_array
50781 .xword _t1_rc4_dest
50782 .xword _t1_rc4_alignment_array
50783_t1_sslkey_toc:
50784 .xword _t1_sslkey_cwd_array
50785 .xword _t1_sslkey_src
50786 .xword _t1_sslkey_auth_key
50787 .xword _t1_sslkey_auth_iv
50788 .xword _t1_sslkey_fas_result
50789 .xword _t1_sslkey_key_array
50790 .xword _t1_sslkey_iv_array
50791 .xword _t1_sslkey_dest
50792 .xword _t1_sslkey_alignment_array
50793_t1_table_of_context4:
50794 .xword _t1_aes_toc
50795 .xword _t1_des_toc
50796 .xword _t1_copy_toc
50797 .xword _t1_crc_toc
50798 .xword _t1_hash_toc
50799 .xword _t1_hmac_toc
50800 .xword _t1_rc4_toc
50801 .xword _t1_sslkey_toc
50802
50803!# CWQ data area, set aside 512 CW's worth
50804!# 512*8*8 = 32KB
50805.align 32*1024
50806_t1_cwq_base4:
50807 .xword 0xAAAAAAAAAAAAAAA
50808 .xword 0xAAAAAAAAAAAAAAA
50809 .xword 0xAAAAAAAAAAAAAAA
50810 .xword 0xAAAAAAAAAAAAAAA
50811 .xword 0xAAAAAAAAAAAAAAA
50812 .xword 0xAAAAAAAAAAAAAAA
50813 .xword 0xAAAAAAAAAAAAAAA
50814 .xword 0xAAAAAAAAAAAAAAA
50815.align 32*1024
50816_t1_cwq_last4:
50817
50818SECTION ._t1_T_CWQ_DATA5 DATA_VA=290455552
50819attr_data {
50820 Name = ._t1_T_CWQ_DATA5
50821 hypervisor
50822}
50823 .data
50824_t1_user_data_start5:
50825_t1_scratch_area5:
50826
50827.align 16
50828_t1_spu_op_array5:
50829 .xword 3
50830 .xword 7
50831 .xword 1
50832 .xword 4
50833 .xword 4
50834 .xword 2
50835 .xword 4
50836 .xword 6
50837 .xword 6
50838 .xword 2
50839 .xword 0
50840 .xword 2
50841 .xword 7
50842 .xword 0
50843 .xword 0
50844_t1_aes_cwd_array5:
50845 .xword 0x40e000401800000f
50846 .xword 0x40e000401800002f
50847 .xword 0xc0e100601800003f
50848 .xword 0x40e100a01400002f
50849 .xword 0xc06000a01900000f
50850 .xword 0x40e100e01500001f
50851 .xword 0xc06000a01800000f
50852 .xword 0x40e100401100001f
50853 .xword 0xc06100801700001f
50854 .xword 0x406100601700001f
50855 .xword 0xc06100601100000f
50856 .xword 0x406100201500001f
50857 .xword 0xc0e100801b00001f
50858 .xword 0xc06000001700000f
50859 .xword 0xc0e100801700002f
50860_t1_des_cwd_array5:
50861 .xword 0x406000800800001f
50862 .xword 0x406100e00d000017
50863 .xword 0xc0e100e008000007
50864 .xword 0x40e000000800001f
50865 .xword 0xc06000e00e00000f
50866 .xword 0xc06000200a000007
50867 .xword 0xc06000800c000017
50868 .xword 0x40e000600d000007
50869 .xword 0x40e0008008000017
50870 .xword 0x40e000400a000007
50871 .xword 0x406100e00a00001f
50872 .xword 0xc0e000a00e000007
50873 .xword 0xc0e100800e00001f
50874 .xword 0xc0e100a00a00000f
50875 .xword 0xc06100e00a00000f
50876_t1_copy_cwd_array5:
50877 .xword 0x206000c000000009
50878 .xword 0x2060006000000009
50879 .xword 0xa060008000000006
50880 .xword 0xa061004000000006
50881 .xword 0xa061006000000008
50882 .xword 0x2061002000000009
50883 .xword 0x206100800000000d
50884 .xword 0xa061008000000007
50885 .xword 0xa061002000000001
50886 .xword 0xa060000000000003
50887 .xword 0x206000a000000001
50888 .xword 0xa06100400000000d
50889 .xword 0x206000e000000006
50890 .xword 0x206000a000000006
50891 .xword 0xa06000c000000002
50892_t1_crc_cwd_array5:
50893 .xword 0xc161036400000004
50894 .xword 0x416101a800000002
50895 .xword 0x4162036400000009
50896 .xword 0xc16101a80000000e
50897 .xword 0x4160038400000005
50898 .xword 0x4160018800000002
50899 .xword 0x4163036400000007
50900 .xword 0xc161010800000006
50901 .xword 0xc161034400000007
50902 .xword 0x416001a800000009
50903 .xword 0xc163038400000000
50904 .xword 0xc163012800000005
50905 .xword 0x4161034400000008
50906 .xword 0x4161016800000008
50907_t1_hash_cwd_array5:
50908 .xword 0xc1601ce300000009
50909 .xword 0xc160022200000019
50910 .xword 0xc1630e6100000018
50911 .xword 0x41630c2100000021
50912 .xword 0xc1630b810000002f
50913 .xword 0xc163008200000000
50914 .xword 0xc16016630000002b
50915 .xword 0x41610cc10000003b
50916 .xword 0x41600e8200000014
50917 .xword 0xc1610ba100000017
50918 .xword 0xc16309e100000006
50919 .xword 0xc1610b410000001b
50920 .xword 0x416303410000002e
50921 .xword 0xc16218630000003b
50922 .xword 0xc1630e2100000007
50923_t1_hmac_cwd_array5:
50924 .xword 0x41620c49000f0038
50925 .xword 0xc1621107001f0034
50926 .xword 0x4160102b001f0030
50927 .xword 0x4163102600130029
50928 .xword 0x416105c5000f002d
50929 .xword 0x41631267001f0003
50930 .xword 0x416208a5000f002d
50931 .xword 0x416309a9000f0038
50932 .xword 0xc1620465000f0006
50933 .xword 0x41610a6600130015
50934 .xword 0x41600fc5000f0010
50935 .xword 0x41620fe9000f003b
50936 .xword 0x4163032a00130022
50937 .xword 0xc1630ea60013002d
50938 .xword 0x41610b2a00130021
50939_t1_rc4_cwd_array5:
50940 .xword 0x40e000c00400000c
50941 .xword 0x40e0008000000006
50942 .xword 0x40e0000004000005
50943 .xword 0xc0e0004004000007
50944 .xword 0x40e0002000000002
50945 .xword 0x40e000e00000000d
50946 .xword 0xc0e000e004000007
50947 .xword 0x40e000400400000f
50948 .xword 0x40e000c004000002
50949 .xword 0x40e1006000000001
50950 .xword 0xc0e000800000000b
50951 .xword 0x40e1002004000000
50952 .xword 0xc0e100200000000f
50953 .xword 0xc0e0006000000002
50954 .xword 0x40e000a004000003
50955_t1_sslkey_cwd_array5:
50956 .xword 0x9060350000000000, 0
50957 .xword 0x1060320000000000, 0
50958 .xword 0x1060140000000000, 0
50959 .xword 0x1060398000000000, 0
50960 .xword 0x10602f8000000000, 0
50961 .xword 0x1060006000000000, 0
50962 .xword 0x1060326000000000, 0
50963 .xword 0x106025c000000000, 0
50964 .xword 0x106034a000000000, 0
50965 .xword 0x1060056000000000, 0
50966 .xword 0x10602c2000000000, 0
50967 .xword 0x90602c2000000000, 0
50968 .xword 0x1060050000000000, 0
50969 .xword 0x1060130000000000, 0
50970 .xword 0x106038e000000000, 0
50971_t1_aes_key_array:
50972 .xword 0x2bdfa1cc0f508efa
50973 .xword 0xf53ba8772f70d376
50974 .xword 0x77b228b3d5c2459b
50975 .xword 0x0476e536d7d57327
50976 .xword 0x8d4d60ebf2533014
50977 .xword 0xe0642e004a059c7f
50978 .xword 0x73e6306da1dd1ae9
50979 .xword 0xc4fd1b8d65f8bc34
50980 .xword 0xdd13d3a3e501f812
50981 .xword 0x1d7fd1b5212b510e
50982 .xword 0x5c8f85ce7e778f33
50983 .xword 0xc717e02332ce7c13
50984 .xword 0x257c55e0bca717e5
50985 .xword 0x50e679a368900c66
50986 .xword 0xe36190b7030d5a6d
50987 .xword 0x21c1fff0197fcd66
50988 .xword 0x6f7db45e02bdefa4
50989 .xword 0xee7ad3e37f7cd696
50990 .xword 0x0edf9a9b9fca8496
50991 .xword 0x70f0597ff7b1d064
50992 .xword 0x26dfdcbd5a35b2ce
50993 .xword 0x450875a63f4ae572
50994 .xword 0xd964c0065b74f26b
50995 .xword 0x5c2645540f9c977f
50996 .xword 0xbbe34621b2ebc2b4
50997 .xword 0x25c06ee27712bc95
50998 .xword 0x4c39ba3cb2d0198f
50999 .xword 0x9ed6f957b2dbfabe
51000 .xword 0x3855cfe3940b7c92
51001 .xword 0xc751263947758e01
51002 .xword 0x251046c35f38ec3d
51003 .xword 0xe189e8735b0c1ab6
51004 .xword 0xcd8dc0c558ccf511
51005 .xword 0xb5278a9bf76687ab
51006 .xword 0xd4cde8ecaa18ceb7
51007 .xword 0xe0d620290eadabe3
51008 .xword 0x0276d01c2b1b689f
51009 .xword 0x7993252311d87037
51010 .xword 0x1f10edaa2af22372
51011 .xword 0x23d80dfaed281d73
51012 .xword 0xf984e2961e7718bd
51013 .xword 0xeca3c437072c06c0
51014 .xword 0xc061ef6dfc32590a
51015 .xword 0x558631e56c371ddd
51016 .xword 0x17159f9b299823a4
51017 .xword 0x8fe5acbd2acae39d
51018 .xword 0x7482c6833268df4b
51019 .xword 0x7458447de04af5d1
51020 .xword 0x3d4e6637ba323d1f
51021 .xword 0x669bd5558ebbcf53
51022 .xword 0x4661ffb578cb4ad8
51023_t1_aes_iv_array:
51024 .xword 0x9e66c1d9aa17566b
51025 .xword 0xd6814c1cedb95a21
51026 .xword 0xd0d3aaf4b6d71832
51027 .xword 0x15a80ec0c699e194
51028 .xword 0x9bd8ef6cbecd97ae
51029 .xword 0xf41c90befd9d9c33
51030 .xword 0xd5d9acc9f9077a5a
51031 .xword 0x4551662148c68241
51032 .xword 0xe384f7647f4ee3b3
51033 .xword 0x59daececd61e87b2
51034 .xword 0xc4f6d0d384aa6915
51035 .xword 0xafeb22a6952cd637
51036 .xword 0x4f65ed2bc8f64c85
51037 .xword 0x827d4e48a61dd3ad
51038 .xword 0xc95f23bf109f4edb
51039 .xword 0x0f1e728f61d558f6
51040 .xword 0xce7b90bc310867ca
51041 .xword 0x84a3e428ac870b3e
51042 .xword 0xc10448a9de97e400
51043 .xword 0x50596d5fcfe9a824
51044 .xword 0xe2961a5b84f9e146
51045 .xword 0xf9c5aae3e2b93bb8
51046 .xword 0xb2cdfcb5e592db7a
51047 .xword 0x47fda2b74a3dd95b
51048 .xword 0x34ba28e97554d0d7
51049 .xword 0x2bfa9bdf136db1b4
51050 .xword 0xad9deb87093122ba
51051 .xword 0x4261537ea7a6cfd3
51052 .xword 0x77ea260df5d4c9f0
51053 .xword 0x0c520ae00fef6c55
51054 .xword 0xe31474e5589c4bd3
51055 .xword 0xe7a2f7be6e7f92b2
51056 .xword 0x8f65c74a0ecd9966
51057 .xword 0xbc9db4a82914af05
51058 .xword 0x6852b3f160d13758
51059 .xword 0xef13371f748a4033
51060 .xword 0xdab22b96f75a9a60
51061 .xword 0xba52c638c9d205a1
51062 .xword 0xb86c136894ad53e1
51063 .xword 0xe91dd27e8ac921a7
51064 .xword 0x138d7c0ff25e6cc3
51065 .xword 0xd5f314ec04838509
51066 .xword 0x16154fc88d697d71
51067 .xword 0x88600ff4c9392f1a
51068 .xword 0x38dd38a08a370d27
51069_t1_aes_alignment_array:
51070 .xword 11
51071 .xword 9
51072 .xword 12
51073 .xword 9
51074 .xword 2
51075 .xword 11
51076 .xword 12
51077 .xword 3
51078 .xword 6
51079 .xword 1
51080 .xword 2
51081 .xword 3
51082 .xword 8
51083 .xword 6
51084 .xword 0
51085 .xword 6
51086 .xword 10
51087 .xword 11
51088 .xword 2
51089 .xword 3
51090 .xword 14
51091 .xword 12
51092 .xword 2
51093 .xword 11
51094 .xword 7
51095 .xword 3
51096 .xword 5
51097 .xword 0
51098 .xword 11
51099 .xword 10
51100 .xword 7
51101 .xword 9
51102 .xword 11
51103 .xword 1
51104 .xword 2
51105 .xword 10
51106 .xword 6
51107 .xword 3
51108 .xword 6
51109 .xword 14
51110 .xword 5
51111 .xword 9
51112 .xword 8
51113 .xword 4
51114 .xword 10
51115 .xword 7
51116 .xword 5
51117 .xword 0
51118 .xword 14
51119 .xword 15
51120 .xword 12
51121 .xword 6
51122 .xword 14
51123 .xword 15
51124 .xword 13
51125 .xword 8
51126 .xword 7
51127 .xword 10
51128 .xword 8
51129 .xword 3
51130 .xword 2
51131 .xword 8
51132 .xword 14
51133 .xword 11
51134 .xword 9
51135 .xword 11
51136 .xword 4
51137 .xword 8
51138 .xword 13
51139 .xword 3
51140 .xword 9
51141 .xword 10
51142 .xword 2
51143 .xword 11
51144 .xword 6
51145 .xword 4
51146 .xword 13
51147 .xword 8
51148 .xword 8
51149 .xword 8
51150 .xword 5
51151 .xword 1
51152 .xword 13
51153 .xword 8
51154 .xword 6
51155 .xword 10
51156 .xword 8
51157 .xword 13
51158 .xword 15
51159 .xword 1
51160 .xword 11
51161 .xword 6
51162 .xword 0
51163 .xword 15
51164 .xword 7
51165 .xword 7
51166 .xword 12
51167 .xword 1
51168 .xword 11
51169 .xword 13
51170 .xword 12
51171 .xword 0
51172 .xword 6
51173 .xword 8
51174 .xword 5
51175_t1_aes_src:
51176 .xword 0x43095049a9672a52
51177 .xword 0x18c92068486c0137
51178 .xword 0x056de46ef28fd443
51179 .xword 0x857c24b23f640769
51180 .xword 0x7520034e96c15686
51181 .xword 0x58c7f1d8c1a5d13d
51182 .xword 0x597edada9d43a450
51183 .xword 0xb5fe62f2c101840b
51184 .xword 0xe7bfacbea4267471
51185 .xword 0x5dac6a48622974c9
51186 .xword 0x51728e6ebd781d14
51187 .xword 0xebc81eca17d6cd7a
51188 .xword 0xd9f6cb38df9b9005
51189 .xword 0xd7d148c8653b296b
51190 .xword 0x4531c992dcf5c021
51191 .xword 0xa9bf2b6bde702deb
51192 .xword 0xd8ae8ea00b5fccb8
51193 .xword 0xa8c8d287f80fb4ec
51194 .xword 0xe8fab17d6bfefb16
51195 .xword 0x77ce29b77df8d0da
51196 .xword 0x88e431c46a9abe42
51197 .xword 0x5de68808d0c9cbd4
51198 .xword 0xe2900ec1b821ba65
51199 .xword 0xb732c0898dc6b473
51200 .xword 0x80d4ba3396c0110c
51201 .xword 0x4a2403f489293036
51202 .xword 0xc01717201270121c
51203 .xword 0x9625cca1eb28ee36
51204 .xword 0x3f1da90fdb299884
51205 .xword 0x7772a90c561eac4f
51206 .xword 0xa58a52e0e9fe9f33
51207 .xword 0x49ce38cc3585de21
51208 .xword 0xd59dd9b5c92209b2
51209 .xword 0x814d44caa5d1b2e7
51210 .xword 0xb6c7c87a879d7750
51211 .xword 0x3faa54413f02f880
51212 .xword 0x6f08d2c7585db27a
51213 .xword 0x17171c1b12445297
51214 .xword 0x4a97f141ccb096ea
51215 .xword 0xc674f88eda2498b7
51216 .xword 0xd7c224c05f4abacf
51217 .xword 0xa978c8942432126f
51218 .xword 0x37ab565a5dc142f1
51219 .xword 0x05b862dd7f7678e4
51220 .xword 0xde089b6db4705af3
51221 .xword 0x1b5d7f2e32718a25
51222 .xword 0x2b24bf192759b82c
51223 .xword 0xc375c42aa2d53f87
51224 .xword 0xe2f254a469f55377
51225 .xword 0x0bb5972b6e493adf
51226 .xword 0xe6970ff0e2647a2e
51227 .xword 0x3bcbc4994c959815
51228 .xword 0x871b11403930c383
51229 .xword 0x8b847beb8f58eb23
51230 .xword 0x9df55159491dcd93
51231 .xword 0xac03820de370b0b7
51232 .xword 0x0ee22234b8528d25
51233 .xword 0xad07bc9ff9c3854e
51234 .xword 0xb61d53dba7f3f79d
51235 .xword 0x9186bb7c40ecbea4
51236 .xword 0x4888d1be5ef368cb
51237 .xword 0x6da715cc85086d3f
51238 .xword 0xc7e195e7f4f3612c
51239 .xword 0x83e8beae3534805b
51240 .xword 0xe1ec6cdc051a1617
51241 .xword 0xe7dad82ea9b6b9bc
51242 .xword 0x10f81b8c25129494
51243 .xword 0x0b0336477a19b0f0
51244 .xword 0x379173d13e10ac98
51245 .xword 0x382bb3c0bd261538
51246 .xword 0x5d51f36b61ea5b12
51247 .xword 0xa59e9f7803b88589
51248 .xword 0xd0d8dc70e916daf2
51249 .xword 0xc11456355b188c74
51250 .xword 0x3e8821a2be37cc12
51251 .xword 0xf0871d0eb635ea42
51252 .xword 0xf46f1ad6a147c6b4
51253 .xword 0x08f36b88ce4558ba
51254 .xword 0x8bea5b86f51c2e1d
51255 .xword 0x3eeaf2f687771f11
51256 .xword 0xa247cc4ea73e1350
51257 .xword 0xc3a1a2b56b04ee35
51258 .xword 0x611e61b817b7d718
51259 .xword 0x5b921e60742ba577
51260 .xword 0x9b25acddc8928af0
51261 .xword 0x6addc2dd17394ac6
51262 .xword 0x2ae9101b85032c42
51263 .xword 0xe8341355db96cb76
51264 .xword 0x995491e62855500d
51265 .xword 0x28d3977709f2394b
51266 .xword 0x22fde402127145dc
51267 .xword 0x9895b885a2732796
51268 .xword 0x5ad9844c1c77043d
51269 .xword 0xc6332c26a3770d92
51270 .xword 0x41f53039c1e1ed21
51271 .xword 0x78230c57924c342a
51272 .xword 0x3d403d70fbb83ab5
51273 .xword 0x4634d9c33aef0704
51274 .xword 0x40910c50a1243076
51275 .xword 0x3d31c795d5488cae
51276 .xword 0x3d8d80da6c2db7ec
51277 .xword 0xdeafd35042f2f5b7
51278 .xword 0xf5d2da5558584fc8
51279 .xword 0x8d1c81e628090556
51280 .xword 0xb96bbe59b03cf919
51281 .xword 0xbbb55fed3298299d
51282 .xword 0x99ccec9855a02fa3
51283 .xword 0x05d826463d068d0c
51284 .xword 0x2ebb0b2e8031fd26
51285 .xword 0x1a58715d12b5c111
51286 .xword 0xeec82cbc6e07d049
51287 .xword 0x8ab55efc5cecacfa
51288 .xword 0x22b6bdad3665232f
51289 .xword 0xecda3d1511371693
51290 .xword 0x0454aeb341b803a2
51291 .xword 0xd5392b5e7b055b50
51292 .xword 0x1c5d401fc3a4099c
51293 .xword 0x9f8bfb11211d11a0
51294 .xword 0xc698d22ba921f561
51295 .xword 0xd9b663d75e019c0a
51296 .xword 0x67480c1c1e845b4d
51297 .xword 0x11cfe6abd87a4ecf
51298 .xword 0x244870f6fac8317a
51299 .xword 0xfb6ac109229d62fe
51300 .xword 0x620267ab16755078
51301 .xword 0x03b46ca90e64f7a6
51302 .xword 0x5d316b8cafb2c5aa
51303 .xword 0x6b180303d3abd896
51304 .xword 0x2dd8414e03e02220
51305 .xword 0xf052e0cf45d96223
51306 .xword 0xc708e05c4873f995
51307 .xword 0x144869e051803145
51308 .xword 0x06948e9345c385f2
51309 .xword 0x6620261cc3a7a067
51310 .xword 0x3723802d32cfc7d4
51311 .xword 0x01b42f8398944935
51312 .xword 0xe7ee20bc4f2fc7df
51313 .xword 0x9925cb271d71f5d2
51314 .xword 0xa0617dd7e1532738
51315 .xword 0xcf979a4dc1085dcf
51316 .xword 0x8058f1529785bf65
51317 .xword 0x9f5d94da4f5aad01
51318 .xword 0x62fa1d10d60a4569
51319 .xword 0xe514e2fb5a179ebe
51320 .xword 0x0cbef5f78c63e8d9
51321 .xword 0x5b274c3e4834bba0
51322 .xword 0xaf38c834ba774d1f
51323 .xword 0xf08cd462c36a35dc
51324 .xword 0x5ac9df70f7a438f7
51325 .xword 0x4e094d3b11df858d
51326 .xword 0xd703a2d0106d574c
51327 .xword 0xbb2c79eef700d25e
51328 .xword 0xd13009a13821861d
51329 .xword 0x3582c53c004496ce
51330 .xword 0x9b6e4d337c4df0ae
51331 .xword 0x4c505245da40dc06
51332 .xword 0x7c528bc01ac49704
51333 .xword 0xeb87c50bbf253fc8
51334 .xword 0x13d685faf7c6f723
51335 .xword 0x0830c2eec3db70cd
51336 .xword 0xc5f35182d2d98de8
51337 .xword 0xa693640c18c62c3e
51338 .xword 0xc768f26e5944c4a8
51339 .xword 0xd65d82cc7ce82468
51340 .xword 0x392d6a08b8b1f0ee
51341 .xword 0x3321ac1cb03dcce8
51342 .xword 0x66d8065a1bee9fd4
51343 .xword 0x930acf02aa7ff50f
51344 .xword 0x105aef55b3e6b8da
51345 .xword 0x18111c5681aaef1e
51346 .xword 0xbb88a127cdac8dd6
51347 .xword 0x2340168a787489b5
51348 .xword 0x4551ea5aae399693
51349 .xword 0xfe8c96d99f0ae559
51350 .xword 0xb86c800490686e0d
51351 .xword 0x6b4899f865685a06
51352 .xword 0x299d81cda775ce40
51353 .xword 0x3bb381e76cba2b4a
51354 .xword 0xdfda8e7ed2438099
51355 .xword 0x96d718a786ce0971
51356 .xword 0x4ff90a2e8ca29342
51357 .xword 0x2a1ef6b1641185e8
51358 .xword 0x6bc7e1226ea83df5
51359 .xword 0x23d8d5f5e7b16b90
51360 .xword 0x5e61bbde1bb40a4a
51361 .xword 0x82c2a6fe1444f457
51362 .xword 0xe1cee028a4c85b00
51363 .xword 0x2d2bb15259167d7a
51364 .xword 0x01bc10b7c2e17b66
51365 .xword 0x1b125a10b219ab8a
51366 .xword 0x1113272357277a7e
51367 .xword 0x1c28884129fe171b
51368 .xword 0x1b0e0554a690b1b9
51369 .xword 0x131949e8635f19a7
51370 .xword 0xaf061c2a9896d25f
51371 .xword 0x3dd7c44ff963b3a8
51372 .xword 0x5dcb97606bdea03a
51373 .xword 0xa85ef2adf6a53604
51374 .xword 0x5d8ccd671c6942ca
51375 .xword 0xe095341be7137a4d
51376 .xword 0x48307abeb42a4cfe
51377 .xword 0x43692e75aebd65ca
51378 .xword 0x63247bbecd94a32a
51379 .xword 0xd115d94eb27d0135
51380 .xword 0x366d149684f8ac59
51381 .xword 0x2a7cdf1b96b4ea5e
51382 .xword 0x7454395d02b528df
51383 .xword 0xaa2030c056276da3
51384 .xword 0x6a748baf5c54b3bb
51385 .xword 0xaf072e90c2a97e4c
51386 .xword 0x0f0204d773d99f63
51387 .xword 0xbd87bd60f2655fe9
51388 .xword 0x908dd1655738c63b
51389 .xword 0x8a967581133dd5f9
51390 .xword 0xa1c563d4a5b25487
51391 .xword 0x9c677538ea702434
51392 .xword 0x44972c5419127292
51393 .xword 0x6d0423776ee76eeb
51394 .xword 0x6769b5dd02443135
51395 .xword 0xc427dcb58141c168
51396 .xword 0x536e542b47387222
51397 .xword 0xcaa7ff1fe3154d52
51398 .xword 0x46af19ee8d65fd73
51399 .xword 0x4d92f3d0fb2cf281
51400 .xword 0x45771ffdfe5e2de1
51401 .xword 0x8a84aaa5440582ef
51402 .xword 0xab95844fc38aafe7
51403 .xword 0x4268249f97f7aacf
51404 .xword 0xa36a1ef41abb32fd
51405 .xword 0xe5f4fc980aea723b
51406 .xword 0x93fbed7c5f110c0a
51407 .xword 0x11d430ab386e3475
51408 .xword 0xda91904f353095fc
51409 .xword 0x36b4899268376f80
51410 .xword 0xbccecea1a913b940
51411 .xword 0x81d5e27926a16f5c
51412 .xword 0x83b18a5c98a1397b
51413 .xword 0x065b077d9ac79035
51414 .xword 0x21850c7703056c15
51415 .xword 0x191284b64be11d5b
51416 .xword 0x6ed6a40a7b6596b9
51417 .xword 0x879b48089dc43a60
51418 .xword 0x9d5518e1179116b4
51419 .xword 0x4746e5e140155c2c
51420 .xword 0xcbf14da93a304420
51421 .xword 0xf54e0ded48321da6
51422 .xword 0x253a41d9a8430c99
51423 .xword 0x669e01d9b441e5ee
51424 .xword 0x07b45a770b300c1f
51425 .xword 0x64c9aed8377fcc81
51426 .xword 0xb22f5955258c1bb1
51427 .xword 0xf257201564dbe364
51428 .xword 0x72ab4c49c928415d
51429 .xword 0xce1119b54e1463a4
51430 .xword 0x60bb085abae016c9
51431 .xword 0x1a7f3c0d83d9a6dd
51432 .xword 0xd90a622da36e7f20
51433 .xword 0x64246403a5e35a03
51434 .xword 0xf478e293264aa478
51435 .xword 0x5b4a187f6b31568a
51436 .xword 0x95e0cbb110543b59
51437 .xword 0x08f78a41b5e3abcb
51438 .xword 0xae49c34a00c64a08
51439 .xword 0x084bc091ed32893a
51440 .xword 0x667c6f99644a0860
51441 .xword 0xf0172b1678c5c259
51442 .xword 0x73e3717660138b24
51443 .xword 0x44cf5ed8dd1f52eb
51444 .xword 0x10b18b03a5e99d55
51445 .xword 0x3fdc15f9ced8d6f3
51446 .xword 0xe77167aed3a08446
51447 .xword 0x3f095470ba3b247c
51448 .xword 0xfd502f38abd8c36b
51449 .xword 0x1ff211f934d2f021
51450 .xword 0x737e63e7a59547a0
51451_t1_aes_dest:
51452 .xword 0xDEADBEEFDEADBEEF
51453 .xword 0xDEADBEEFDEADBEEF
51454 .xword 0xDEADBEEFDEADBEEF
51455 .xword 0xDEADBEEFDEADBEEF
51456 .xword 0xDEADBEEFDEADBEEF
51457 .xword 0xDEADBEEFDEADBEEF
51458 .xword 0xDEADBEEFDEADBEEF
51459 .xword 0xDEADBEEFDEADBEEF
51460 .xword 0xDEADBEEFDEADBEEF
51461 .xword 0xDEADBEEFDEADBEEF
51462 .xword 0xDEADBEEFDEADBEEF
51463 .xword 0xDEADBEEFDEADBEEF
51464 .xword 0xDEADBEEFDEADBEEF
51465 .xword 0xDEADBEEFDEADBEEF
51466 .xword 0xDEADBEEFDEADBEEF
51467 .xword 0xDEADBEEFDEADBEEF
51468 .xword 0xDEADBEEFDEADBEEF
51469 .xword 0xDEADBEEFDEADBEEF
51470 .xword 0xDEADBEEFDEADBEEF
51471 .xword 0xDEADBEEFDEADBEEF
51472 .xword 0xDEADBEEFDEADBEEF
51473 .xword 0xDEADBEEFDEADBEEF
51474 .xword 0xDEADBEEFDEADBEEF
51475 .xword 0xDEADBEEFDEADBEEF
51476 .xword 0xDEADBEEFDEADBEEF
51477 .xword 0xDEADBEEFDEADBEEF
51478 .xword 0xDEADBEEFDEADBEEF
51479 .xword 0xDEADBEEFDEADBEEF
51480 .xword 0xDEADBEEFDEADBEEF
51481 .xword 0xDEADBEEFDEADBEEF
51482 .xword 0xDEADBEEFDEADBEEF
51483 .xword 0xDEADBEEFDEADBEEF
51484 .xword 0xDEADBEEFDEADBEEF
51485 .xword 0xDEADBEEFDEADBEEF
51486 .xword 0xDEADBEEFDEADBEEF
51487 .xword 0xDEADBEEFDEADBEEF
51488 .xword 0xDEADBEEFDEADBEEF
51489 .xword 0xDEADBEEFDEADBEEF
51490 .xword 0xDEADBEEFDEADBEEF
51491 .xword 0xDEADBEEFDEADBEEF
51492 .xword 0xDEADBEEFDEADBEEF
51493 .xword 0xDEADBEEFDEADBEEF
51494 .xword 0xDEADBEEFDEADBEEF
51495 .xword 0xDEADBEEFDEADBEEF
51496 .xword 0xDEADBEEFDEADBEEF
51497 .xword 0xDEADBEEFDEADBEEF
51498 .xword 0xDEADBEEFDEADBEEF
51499 .xword 0xDEADBEEFDEADBEEF
51500 .xword 0xDEADBEEFDEADBEEF
51501 .xword 0xDEADBEEFDEADBEEF
51502 .xword 0xDEADBEEFDEADBEEF
51503 .xword 0xDEADBEEFDEADBEEF
51504 .xword 0xDEADBEEFDEADBEEF
51505 .xword 0xDEADBEEFDEADBEEF
51506 .xword 0xDEADBEEFDEADBEEF
51507 .xword 0xDEADBEEFDEADBEEF
51508 .xword 0xDEADBEEFDEADBEEF
51509 .xword 0xDEADBEEFDEADBEEF
51510 .xword 0xDEADBEEFDEADBEEF
51511 .xword 0xDEADBEEFDEADBEEF
51512 .xword 0xDEADBEEFDEADBEEF
51513 .xword 0xDEADBEEFDEADBEEF
51514 .xword 0xDEADBEEFDEADBEEF
51515 .xword 0xDEADBEEFDEADBEEF
51516 .xword 0xDEADBEEFDEADBEEF
51517 .xword 0xDEADBEEFDEADBEEF
51518 .xword 0xDEADBEEFDEADBEEF
51519 .xword 0xDEADBEEFDEADBEEF
51520 .xword 0xDEADBEEFDEADBEEF
51521 .xword 0xDEADBEEFDEADBEEF
51522 .xword 0xDEADBEEFDEADBEEF
51523 .xword 0xDEADBEEFDEADBEEF
51524 .xword 0xDEADBEEFDEADBEEF
51525 .xword 0xDEADBEEFDEADBEEF
51526 .xword 0xDEADBEEFDEADBEEF
51527 .xword 0xDEADBEEFDEADBEEF
51528 .xword 0xDEADBEEFDEADBEEF
51529 .xword 0xDEADBEEFDEADBEEF
51530 .xword 0xDEADBEEFDEADBEEF
51531 .xword 0xDEADBEEFDEADBEEF
51532 .xword 0xDEADBEEFDEADBEEF
51533 .xword 0xDEADBEEFDEADBEEF
51534 .xword 0xDEADBEEFDEADBEEF
51535 .xword 0xDEADBEEFDEADBEEF
51536 .xword 0xDEADBEEFDEADBEEF
51537 .xword 0xDEADBEEFDEADBEEF
51538 .xword 0xDEADBEEFDEADBEEF
51539 .xword 0xDEADBEEFDEADBEEF
51540 .xword 0xDEADBEEFDEADBEEF
51541 .xword 0xDEADBEEFDEADBEEF
51542 .xword 0xDEADBEEFDEADBEEF
51543 .xword 0xDEADBEEFDEADBEEF
51544 .xword 0xDEADBEEFDEADBEEF
51545 .xword 0xDEADBEEFDEADBEEF
51546 .xword 0xDEADBEEFDEADBEEF
51547 .xword 0xDEADBEEFDEADBEEF
51548 .xword 0xDEADBEEFDEADBEEF
51549 .xword 0xDEADBEEFDEADBEEF
51550 .xword 0xDEADBEEFDEADBEEF
51551 .xword 0xDEADBEEFDEADBEEF
51552 .xword 0xDEADBEEFDEADBEEF
51553 .xword 0xDEADBEEFDEADBEEF
51554 .xword 0xDEADBEEFDEADBEEF
51555 .xword 0xDEADBEEFDEADBEEF
51556 .xword 0xDEADBEEFDEADBEEF
51557 .xword 0xDEADBEEFDEADBEEF
51558 .xword 0xDEADBEEFDEADBEEF
51559 .xword 0xDEADBEEFDEADBEEF
51560 .xword 0xDEADBEEFDEADBEEF
51561 .xword 0xDEADBEEFDEADBEEF
51562 .xword 0xDEADBEEFDEADBEEF
51563 .xword 0xDEADBEEFDEADBEEF
51564 .xword 0xDEADBEEFDEADBEEF
51565 .xword 0xDEADBEEFDEADBEEF
51566 .xword 0xDEADBEEFDEADBEEF
51567 .xword 0xDEADBEEFDEADBEEF
51568 .xword 0xDEADBEEFDEADBEEF
51569 .xword 0xDEADBEEFDEADBEEF
51570 .xword 0xDEADBEEFDEADBEEF
51571 .xword 0xDEADBEEFDEADBEEF
51572 .xword 0xDEADBEEFDEADBEEF
51573 .xword 0xDEADBEEFDEADBEEF
51574 .xword 0xDEADBEEFDEADBEEF
51575 .xword 0xDEADBEEFDEADBEEF
51576 .xword 0xDEADBEEFDEADBEEF
51577 .xword 0xDEADBEEFDEADBEEF
51578 .xword 0xDEADBEEFDEADBEEF
51579 .xword 0xDEADBEEFDEADBEEF
51580 .xword 0xDEADBEEFDEADBEEF
51581 .xword 0xDEADBEEFDEADBEEF
51582 .xword 0xDEADBEEFDEADBEEF
51583 .xword 0xDEADBEEFDEADBEEF
51584 .xword 0xDEADBEEFDEADBEEF
51585 .xword 0xDEADBEEFDEADBEEF
51586 .xword 0xDEADBEEFDEADBEEF
51587 .xword 0xDEADBEEFDEADBEEF
51588 .xword 0xDEADBEEFDEADBEEF
51589 .xword 0xDEADBEEFDEADBEEF
51590 .xword 0xDEADBEEFDEADBEEF
51591 .xword 0xDEADBEEFDEADBEEF
51592 .xword 0xDEADBEEFDEADBEEF
51593 .xword 0xDEADBEEFDEADBEEF
51594 .xword 0xDEADBEEFDEADBEEF
51595 .xword 0xDEADBEEFDEADBEEF
51596 .xword 0xDEADBEEFDEADBEEF
51597 .xword 0xDEADBEEFDEADBEEF
51598 .xword 0xDEADBEEFDEADBEEF
51599 .xword 0xDEADBEEFDEADBEEF
51600 .xword 0xDEADBEEFDEADBEEF
51601 .xword 0xDEADBEEFDEADBEEF
51602 .xword 0xDEADBEEFDEADBEEF
51603 .xword 0xDEADBEEFDEADBEEF
51604 .xword 0xDEADBEEFDEADBEEF
51605 .xword 0xDEADBEEFDEADBEEF
51606 .xword 0xDEADBEEFDEADBEEF
51607 .xword 0xDEADBEEFDEADBEEF
51608 .xword 0xDEADBEEFDEADBEEF
51609 .xword 0xDEADBEEFDEADBEEF
51610 .xword 0xDEADBEEFDEADBEEF
51611 .xword 0xDEADBEEFDEADBEEF
51612 .xword 0xDEADBEEFDEADBEEF
51613 .xword 0xDEADBEEFDEADBEEF
51614 .xword 0xDEADBEEFDEADBEEF
51615 .xword 0xDEADBEEFDEADBEEF
51616 .xword 0xDEADBEEFDEADBEEF
51617 .xword 0xDEADBEEFDEADBEEF
51618 .xword 0xDEADBEEFDEADBEEF
51619 .xword 0xDEADBEEFDEADBEEF
51620 .xword 0xDEADBEEFDEADBEEF
51621 .xword 0xDEADBEEFDEADBEEF
51622 .xword 0xDEADBEEFDEADBEEF
51623 .xword 0xDEADBEEFDEADBEEF
51624 .xword 0xDEADBEEFDEADBEEF
51625 .xword 0xDEADBEEFDEADBEEF
51626 .xword 0xDEADBEEFDEADBEEF
51627 .xword 0xDEADBEEFDEADBEEF
51628 .xword 0xDEADBEEFDEADBEEF
51629 .xword 0xDEADBEEFDEADBEEF
51630 .xword 0xDEADBEEFDEADBEEF
51631 .xword 0xDEADBEEFDEADBEEF
51632 .xword 0xDEADBEEFDEADBEEF
51633 .xword 0xDEADBEEFDEADBEEF
51634 .xword 0xDEADBEEFDEADBEEF
51635 .xword 0xDEADBEEFDEADBEEF
51636 .xword 0xDEADBEEFDEADBEEF
51637 .xword 0xDEADBEEFDEADBEEF
51638 .xword 0xDEADBEEFDEADBEEF
51639 .xword 0xDEADBEEFDEADBEEF
51640 .xword 0xDEADBEEFDEADBEEF
51641 .xword 0xDEADBEEFDEADBEEF
51642 .xword 0xDEADBEEFDEADBEEF
51643 .xword 0xDEADBEEFDEADBEEF
51644 .xword 0xDEADBEEFDEADBEEF
51645 .xword 0xDEADBEEFDEADBEEF
51646 .xword 0xDEADBEEFDEADBEEF
51647 .xword 0xDEADBEEFDEADBEEF
51648 .xword 0xDEADBEEFDEADBEEF
51649 .xword 0xDEADBEEFDEADBEEF
51650 .xword 0xDEADBEEFDEADBEEF
51651 .xword 0xDEADBEEFDEADBEEF
51652 .xword 0xDEADBEEFDEADBEEF
51653 .xword 0xDEADBEEFDEADBEEF
51654 .xword 0xDEADBEEFDEADBEEF
51655 .xword 0xDEADBEEFDEADBEEF
51656 .xword 0xDEADBEEFDEADBEEF
51657 .xword 0xDEADBEEFDEADBEEF
51658 .xword 0xDEADBEEFDEADBEEF
51659 .xword 0xDEADBEEFDEADBEEF
51660 .xword 0xDEADBEEFDEADBEEF
51661 .xword 0xDEADBEEFDEADBEEF
51662 .xword 0xDEADBEEFDEADBEEF
51663 .xword 0xDEADBEEFDEADBEEF
51664 .xword 0xDEADBEEFDEADBEEF
51665 .xword 0xDEADBEEFDEADBEEF
51666 .xword 0xDEADBEEFDEADBEEF
51667 .xword 0xDEADBEEFDEADBEEF
51668 .xword 0xDEADBEEFDEADBEEF
51669 .xword 0xDEADBEEFDEADBEEF
51670 .xword 0xDEADBEEFDEADBEEF
51671 .xword 0xDEADBEEFDEADBEEF
51672 .xword 0xDEADBEEFDEADBEEF
51673 .xword 0xDEADBEEFDEADBEEF
51674 .xword 0xDEADBEEFDEADBEEF
51675 .xword 0xDEADBEEFDEADBEEF
51676 .xword 0xDEADBEEFDEADBEEF
51677 .xword 0xDEADBEEFDEADBEEF
51678 .xword 0xDEADBEEFDEADBEEF
51679 .xword 0xDEADBEEFDEADBEEF
51680 .xword 0xDEADBEEFDEADBEEF
51681 .xword 0xDEADBEEFDEADBEEF
51682 .xword 0xDEADBEEFDEADBEEF
51683 .xword 0xDEADBEEFDEADBEEF
51684 .xword 0xDEADBEEFDEADBEEF
51685 .xword 0xDEADBEEFDEADBEEF
51686 .xword 0xDEADBEEFDEADBEEF
51687 .xword 0xDEADBEEFDEADBEEF
51688 .xword 0xDEADBEEFDEADBEEF
51689 .xword 0xDEADBEEFDEADBEEF
51690 .xword 0xDEADBEEFDEADBEEF
51691 .xword 0xDEADBEEFDEADBEEF
51692 .xword 0xDEADBEEFDEADBEEF
51693 .xword 0xDEADBEEFDEADBEEF
51694 .xword 0xDEADBEEFDEADBEEF
51695 .xword 0xDEADBEEFDEADBEEF
51696 .xword 0xDEADBEEFDEADBEEF
51697 .xword 0xDEADBEEFDEADBEEF
51698 .xword 0xDEADBEEFDEADBEEF
51699 .xword 0xDEADBEEFDEADBEEF
51700 .xword 0xDEADBEEFDEADBEEF
51701 .xword 0xDEADBEEFDEADBEEF
51702 .xword 0xDEADBEEFDEADBEEF
51703 .xword 0xDEADBEEFDEADBEEF
51704 .xword 0xDEADBEEFDEADBEEF
51705 .xword 0xDEADBEEFDEADBEEF
51706 .xword 0xDEADBEEFDEADBEEF
51707 .xword 0xDEADBEEFDEADBEEF
51708 .xword 0xDEADBEEFDEADBEEF
51709 .xword 0xDEADBEEFDEADBEEF
51710 .xword 0xDEADBEEFDEADBEEF
51711 .xword 0xDEADBEEFDEADBEEF
51712 .xword 0xDEADBEEFDEADBEEF
51713 .xword 0xDEADBEEFDEADBEEF
51714 .xword 0xDEADBEEFDEADBEEF
51715 .xword 0xDEADBEEFDEADBEEF
51716 .xword 0xDEADBEEFDEADBEEF
51717 .xword 0xDEADBEEFDEADBEEF
51718 .xword 0xDEADBEEFDEADBEEF
51719 .xword 0xDEADBEEFDEADBEEF
51720 .xword 0xDEADBEEFDEADBEEF
51721 .xword 0xDEADBEEFDEADBEEF
51722 .xword 0xDEADBEEFDEADBEEF
51723 .xword 0xDEADBEEFDEADBEEF
51724 .xword 0xDEADBEEFDEADBEEF
51725 .xword 0xDEADBEEFDEADBEEF
51726 .xword 0xDEADBEEFDEADBEEF
51727_t1_aes_auth_key:
51728 .xword 0xe7ef6580d20aec9b
51729 .xword 0x800f5579eb0f3124
51730 .xword 0x36b062b1308c0698
51731 .xword 0xee66072b0a144851
51732 .xword 0xa54feac3e8cbde17
51733 .xword 0xbdaedf97d7ad5086
51734 .xword 0x6a66b4b30f9026d0
51735 .xword 0xb773b1a116156f29
51736 .xword 0xa56ce356ad83ffdc
51737 .xword 0xde647d05d18debf4
51738 .xword 0xb4566bef284dfb7c
51739 .xword 0x671bc77ea4890716
51740 .xword 0x4cf20b63dc389663
51741 .xword 0x25d717464febed7a
51742 .xword 0x1b2d45ce8b62e4f8
51743 .xword 0x21fc81ae879a5b8f
51744 .xword 0xd4dacae003b943e2
51745 .xword 0x6cc4068d962faf9f
51746 .xword 0xa9fe6de0e9c35e2d
51747 .xword 0x9f90050824156269
51748 .xword 0xbac320c8281f870b
51749 .xword 0x560cdf63ef3d607e
51750 .xword 0x26a4d92c3f10eebc
51751_t1_aes_auth_iv:
51752 .xword 0x96d2de778bc22e27
51753 .xword 0x5edf1cf818382765
51754 .xword 0xfd7a31f10d54a365
51755 .xword 0x656769d056f66176
51756 .xword 0xb5cbfdd2821f50e9
51757 .xword 0xe06a667d7db3e6b8
51758 .xword 0xd0a4e3ded98645d2
51759 .xword 0xb07a9580a79d01d2
51760 .xword 0xccdc0926c3c4cf17
51761 .xword 0x4c4addcb8b393b49
51762 .xword 0xedf2b936ce1ac033
51763 .xword 0xa0a64fe1050f0ee1
51764 .xword 0xc5c72e535ddc253d
51765 .xword 0xe535585a9f63ff33
51766 .xword 0xb3ca1caa714936ce
51767 .xword 0x16481802218695ea
51768 .xword 0x812094707e1074b3
51769 .xword 0xa344825d1f1b5a0c
51770 .xword 0x7198e75a3df1c9a5
51771 .xword 0xca04750719b7fdd8
51772 .xword 0x091084f057ed4a6c
51773 .xword 0x4a61531193ef8abf
51774 .xword 0x53ea31c4ab3d1e79
51775_t1_aes_fas_result:
51776 .xword 0xDEADBEEFDEADBEEF
51777 .xword 0xDEADBEEFDEADBEEF
51778 .xword 0xDEADBEEFDEADBEEF
51779 .xword 0xDEADBEEFDEADBEEF
51780 .xword 0xDEADBEEFDEADBEEF
51781 .xword 0xDEADBEEFDEADBEEF
51782 .xword 0xDEADBEEFDEADBEEF
51783 .xword 0xDEADBEEFDEADBEEF
51784 .xword 0xDEADBEEFDEADBEEF
51785 .xword 0xDEADBEEFDEADBEEF
51786 .xword 0xDEADBEEFDEADBEEF
51787 .xword 0xDEADBEEFDEADBEEF
51788 .xword 0xDEADBEEFDEADBEEF
51789 .xword 0xDEADBEEFDEADBEEF
51790 .xword 0xDEADBEEFDEADBEEF
51791 .xword 0xDEADBEEFDEADBEEF
51792 .xword 0xDEADBEEFDEADBEEF
51793 .xword 0xDEADBEEFDEADBEEF
51794 .xword 0xDEADBEEFDEADBEEF
51795 .xword 0xDEADBEEFDEADBEEF
51796 .xword 0xDEADBEEFDEADBEEF
51797 .xword 0xDEADBEEFDEADBEEF
51798 .xword 0xDEADBEEFDEADBEEF
51799_t1_des_key_array:
51800 .xword 0xa6cb4fbe47e7d0cd
51801 .xword 0xcb31b9eaed32d120
51802 .xword 0xeaf714cb38367aed
51803 .xword 0x08f56d1452c6077b
51804 .xword 0x230dc9e716c4d749
51805 .xword 0x8136b54bd3f4d8ce
51806 .xword 0xff8160f78507792e
51807 .xword 0x4f4177b152e63188
51808 .xword 0xf197646ce06e6242
51809 .xword 0x17299f16f6696ca4
51810 .xword 0x439917a1933365cb
51811 .xword 0xabf25bfc7d66b936
51812 .xword 0x28b42e8978f38e17
51813 .xword 0xdaf728bd4b4daf9f
51814 .xword 0xeec449807ec005cb
51815 .xword 0x92983e86beb8348f
51816 .xword 0x750257196cf12b7a
51817 .xword 0x9c65d8a464fbe0cc
51818 .xword 0x157c19b291139f1e
51819 .xword 0x0073fbafb6d51682
51820 .xword 0x7158fcb009205129
51821 .xword 0x6b00c0da0ca986d5
51822 .xword 0xd1d4f718e4eac6c1
51823 .xword 0xd1cb6f5747503bb8
51824 .xword 0x1b293b48a88864b2
51825 .xword 0x5b8270f8bfabe587
51826 .xword 0x4a1bbabbbac209fa
51827 .xword 0xf601385f7529c92c
51828 .xword 0x54b8a2900791cbd3
51829 .xword 0x89686c2a51b98502
51830 .xword 0xbaf71e7910075e9f
51831 .xword 0x14acbb6c9201eb6f
51832 .xword 0x150ac7265ff16bb1
51833 .xword 0x2acf912600fdfdeb
51834 .xword 0x4120622750be9c79
51835 .xword 0x0e3a21eccbaa5503
51836 .xword 0xeda3a221156e0ff6
51837 .xword 0xb5669b9ec4bb9c50
51838 .xword 0x3c4cc9e097fd28d5
51839 .xword 0x4aa22c757f9dbede
51840 .xword 0x9ab55c2411233cca
51841 .xword 0xe1b8acc4c7d6a644
51842 .xword 0x10c820dd56d73967
51843 .xword 0x9f130997a5c77185
51844 .xword 0xe97781745ae0d4f1
51845 .xword 0x1c20f9c11bf82ae7
51846 .xword 0x6590d6b5f797e174
51847 .xword 0x8d2c20708781b1b8
51848 .xword 0x42ec9568db4ef08d
51849 .xword 0xe834f11455f6a233
51850 .xword 0x6525dd6c67c347aa
51851_t1_des_iv_array:
51852 .xword 0x3c2f8b5b574a39ab
51853 .xword 0xa257205e0eeb492e
51854 .xword 0xcb59ce1fdaee7a68
51855 .xword 0x01167faf63f75c2f
51856 .xword 0x855fe3b61ca24548
51857 .xword 0x130b9ffa08fc7f26
51858 .xword 0x4751e6ed6ffaaa2d
51859 .xword 0xe191e2649bce45bc
51860 .xword 0x20adc056471c5069
51861 .xword 0xedc476c946e541fb
51862 .xword 0xf420176cdb4f64d5
51863 .xword 0xea0782e1a20ffc41
51864 .xword 0x992ab6387b500f3b
51865 .xword 0x35db03e6e337a75b
51866 .xword 0xef45f3df12ac903a
51867 .xword 0x2461205b2532a0d4
51868 .xword 0xf2f05695ddb99405
51869 .xword 0x4f9d791acce3306c
51870 .xword 0x368e9532f395e816
51871 .xword 0x33d6e5019831a0db
51872 .xword 0x58df0a343fae13b9
51873 .xword 0x4a7315434631626f
51874 .xword 0xf34a83957d783d6a
51875 .xword 0x82abd6b15afe2779
51876 .xword 0x4ab5233d8d763872
51877 .xword 0xf9c27dc2712d8c76
51878 .xword 0x14c9c00c7c77a595
51879 .xword 0xd24a17c0f64e4802
51880 .xword 0x41b96aea29390012
51881 .xword 0xe678e297dcf75279
51882 .xword 0xc943b8de050c7495
51883 .xword 0x2ab73d734458f555
51884 .xword 0xa28a41b538a71e94
51885 .xword 0x528857247858f992
51886 .xword 0x407997c8485b80ca
51887 .xword 0x810a80c1a7f8b247
51888 .xword 0xbb41100cdcf36ec4
51889 .xword 0xb3ae22b2f8dfaef9
51890 .xword 0x596107ba5cb425d5
51891 .xword 0xb01b1d61cbe97758
51892 .xword 0x7f20eed3dd725a04
51893 .xword 0xf162e26e74aa5e2e
51894 .xword 0x5b70b2de57cdadcc
51895 .xword 0x194ddcd5752ff820
51896 .xword 0x92ae644bf4f26f14
51897_t1_des_alignment_array:
51898 .xword 5
51899 .xword 9
51900 .xword 11
51901 .xword 10
51902 .xword 14
51903 .xword 0
51904 .xword 11
51905 .xword 0
51906 .xword 4
51907 .xword 14
51908 .xword 1
51909 .xword 14
51910 .xword 14
51911 .xword 6
51912 .xword 2
51913 .xword 7
51914 .xword 0
51915 .xword 11
51916 .xword 0
51917 .xword 0
51918 .xword 15
51919 .xword 6
51920 .xword 13
51921 .xword 3
51922 .xword 4
51923 .xword 6
51924 .xword 0
51925 .xword 2
51926 .xword 10
51927 .xword 3
51928 .xword 2
51929 .xword 10
51930 .xword 1
51931 .xword 10
51932 .xword 7
51933 .xword 0
51934 .xword 5
51935 .xword 12
51936 .xword 9
51937 .xword 0
51938 .xword 11
51939 .xword 4
51940 .xword 2
51941 .xword 14
51942 .xword 9
51943 .xword 7
51944 .xword 11
51945 .xword 9
51946 .xword 15
51947 .xword 13
51948 .xword 10
51949 .xword 9
51950 .xword 11
51951 .xword 8
51952 .xword 3
51953 .xword 9
51954 .xword 7
51955 .xword 2
51956 .xword 5
51957 .xword 2
51958 .xword 4
51959 .xword 13
51960 .xword 4
51961 .xword 7
51962 .xword 13
51963 .xword 4
51964 .xword 0
51965 .xword 14
51966 .xword 5
51967 .xword 14
51968 .xword 15
51969 .xword 5
51970 .xword 2
51971 .xword 15
51972 .xword 9
51973 .xword 9
51974 .xword 13
51975 .xword 1
51976 .xword 9
51977 .xword 2
51978 .xword 9
51979 .xword 2
51980 .xword 1
51981 .xword 14
51982 .xword 12
51983 .xword 2
51984 .xword 0
51985 .xword 14
51986 .xword 15
51987 .xword 0
51988 .xword 15
51989 .xword 13
51990 .xword 0
51991 .xword 2
51992 .xword 5
51993 .xword 3
51994 .xword 12
51995 .xword 3
51996 .xword 9
51997 .xword 14
51998 .xword 11
51999 .xword 7
52000 .xword 15
52001 .xword 2
52002 .xword 13
52003_t1_des_src:
52004 .xword 0xe517f4d71ff8d11d
52005 .xword 0x1a1714c91c973598
52006 .xword 0x88ecd5a5a1a809c4
52007 .xword 0xa7364634334212c6
52008 .xword 0xa775ae93362a18ef
52009 .xword 0x4b3822eaa86584e4
52010 .xword 0x2c6ab1bce214ac90
52011 .xword 0x139c398c99d20188
52012 .xword 0x1b1e9e2acf70dc03
52013 .xword 0xe3aa6265dd4e48a8
52014 .xword 0x26556835c37d7431
52015 .xword 0x35a64f24aa23579f
52016 .xword 0x8e6d2284158bf22e
52017 .xword 0x3dd6c95c74dda917
52018 .xword 0xfafd1170e0bbbbfc
52019 .xword 0x8e65c7a4851099f4
52020 .xword 0x408742d557370aa4
52021 .xword 0xa6934097d5e6f4a0
52022 .xword 0x060affa3bb72917f
52023 .xword 0x2a8a7fdf54e37965
52024 .xword 0xf908a41d21f49262
52025 .xword 0x8475471484bdec7a
52026 .xword 0xacd6102dc5adeeb3
52027 .xword 0x8aff59b17c508c77
52028 .xword 0x20bcf1a4db2ffbcb
52029 .xword 0x1dba61c8dd29086b
52030 .xword 0xcb1ae38ef232c60e
52031 .xword 0x42bb4d147c12e1c1
52032 .xword 0x555434667b9afd99
52033 .xword 0x3509244551c351a4
52034 .xword 0xa43dc8b8a963201e
52035 .xword 0x9c5bf4e3cbee4b1f
52036 .xword 0xaf087b4034ff12a0
52037 .xword 0x0d604f423242a8bf
52038 .xword 0x53993674c5cdf701
52039 .xword 0x772d833b68ebfa0b
52040 .xword 0xfc3a4ce9d8d8f2ba
52041 .xword 0xdf6f2b45222d2652
52042 .xword 0x53ec3092d6a66f82
52043 .xword 0x5ef4e0c1e81a1382
52044 .xword 0x00f42d5735926f0b
52045 .xword 0xa9bf7dfb44589653
52046 .xword 0x4fc79cbf1c5f6f60
52047 .xword 0x277cb2636902e196
52048 .xword 0x78392c37315c99cf
52049 .xword 0xdaa1a582a70b5d28
52050 .xword 0x05814d65987d194a
52051 .xword 0x24d1844e5ad7bc42
52052 .xword 0x2708c0a5c4abf18e
52053 .xword 0x3196c5f6897cbfee
52054 .xword 0x046e213c76bbbed8
52055 .xword 0x4481d300aabfb489
52056 .xword 0x41b9d7ed9e014d39
52057 .xword 0x8a6287a165803afa
52058 .xword 0xc72ee1b177ab9d64
52059 .xword 0xb56b557c1b3b0312
52060 .xword 0xba8d209cc2f76f9e
52061 .xword 0xd5d72ef0fe909b96
52062 .xword 0x4c3b7a08589dd8ce
52063 .xword 0xfd8c3467f6820058
52064 .xword 0x66078033b81f3d79
52065 .xword 0xd1c544ce27108297
52066 .xword 0x72b279f11b421c84
52067 .xword 0x7f566a64c803a679
52068 .xword 0x763c378bcdbaa710
52069 .xword 0x4efe737ba1a5fa01
52070 .xword 0x6e980c7bc25a4286
52071 .xword 0x75a9937cfb14d9de
52072 .xword 0x33e9541fd09c38fd
52073 .xword 0xc31f1787104669db
52074 .xword 0x25fc839a6e9c0eaa
52075 .xword 0x4b377f7cc81aec92
52076 .xword 0x8343c79b9799eb1b
52077 .xword 0x368af104a7781e79
52078 .xword 0xc5ab9d00509236fa
52079 .xword 0x0316ef602507b3a9
52080 .xword 0x93d5e6d02cec84e2
52081 .xword 0x604e527d05dcc743
52082 .xword 0x3f1d9716b61d68e7
52083 .xword 0x9466ec36fcd87d6d
52084 .xword 0x35b9616ef82dc902
52085 .xword 0x7d03e4c13b779d7a
52086 .xword 0x391715c0567ea175
52087 .xword 0x869293af6a909bec
52088 .xword 0x5f9786e26ec47bde
52089 .xword 0x9c6094c637cd8160
52090 .xword 0x67836d58c555308b
52091 .xword 0xa9e443647a9caa64
52092 .xword 0xf9c9a3c91cda2c3e
52093 .xword 0xd95c62a2b56a3fb2
52094 .xword 0x303e007b081b620e
52095 .xword 0xdeb96baa682d5786
52096 .xword 0xef79416741bfa3a6
52097 .xword 0x8b48bfe14a9ec063
52098 .xword 0x8256ca063ddcaffd
52099 .xword 0x461b21bf8705fe31
52100 .xword 0xfe5e809a9d3be919
52101 .xword 0x42ba59422e62a252
52102 .xword 0xbcbc6fc878ddb79e
52103 .xword 0x7ef3afe26f11689c
52104 .xword 0xea84fb97a118d3f0
52105 .xword 0x484b2e7b506f9022
52106 .xword 0x754c3ba7b91727b8
52107 .xword 0x6e2c7322b382fe0b
52108 .xword 0x5f7f0713df909981
52109 .xword 0x076c8cd4da74f120
52110 .xword 0x2e9e905753b90182
52111 .xword 0x820ad1a948cb9df5
52112 .xword 0x2d3b7fafcdb7f34d
52113 .xword 0x252ef74b9cfdd7c7
52114 .xword 0x492b8a9b56aecbb5
52115 .xword 0xf2cc586038601797
52116 .xword 0x58b5b915302e891e
52117 .xword 0x2d3929814bfc1139
52118 .xword 0xa88b2e7fe20ded08
52119 .xword 0xd6a6d23899f13223
52120 .xword 0x5eff1c456c1e3d66
52121 .xword 0xd7330e48828660ca
52122 .xword 0x66a7a30fb4fa2d09
52123 .xword 0x3a0882f4d24a9838
52124 .xword 0xc38fe14868c99a0a
52125 .xword 0x435b3558b3d0e1cf
52126 .xword 0x3308d49296398f4c
52127 .xword 0x5f1d82713f84cf53
52128 .xword 0x4e4f0f1b7640ebe4
52129 .xword 0x56b12bfbed0c6910
52130 .xword 0x103e7817a78de117
52131 .xword 0x158020ebc1afa1ef
52132 .xword 0xddada2e78dc445af
52133 .xword 0x09cd74ea070fd2d4
52134 .xword 0x862293afbee92bb9
52135 .xword 0xb124bf7c06d812f0
52136 .xword 0x163e639cabf70e57
52137 .xword 0xaf77006a4d2e7c8b
52138 .xword 0xf7332a4529b4c8c8
52139 .xword 0x5da46af66f8aebf1
52140 .xword 0x41679f39270ebafb
52141 .xword 0x35adebd080177990
52142 .xword 0x4dab74f46ebdc8e4
52143 .xword 0xae53b0459cb03c6a
52144 .xword 0x67ec09fba2f166d8
52145 .xword 0xe0e94019ed37aa50
52146 .xword 0xd8080a1a10854ec7
52147 .xword 0x171bd2111783b041
52148 .xword 0x65af698d45dfca82
52149 .xword 0xb62d41a299ffae8f
52150 .xword 0x5802f5b40cfceab9
52151 .xword 0xda4f3eacc5b8bf7f
52152 .xword 0xfc1f3e1abdc5b55a
52153 .xword 0x6018ce90e97684f4
52154 .xword 0x4eb4f8204bb2ef08
52155 .xword 0xa981f9eb03130669
52156 .xword 0xa11316830d599166
52157 .xword 0x03e0f6dbbf450a52
52158 .xword 0xb923432500980d2d
52159 .xword 0xc6dcd82ddc88b2a9
52160 .xword 0xda2184e638d336bf
52161 .xword 0x0a02a7ccdb5a40aa
52162 .xword 0x89020c88472d9d6e
52163 .xword 0xcad4f11c7a7a2bda
52164 .xword 0x6432fc7dfb884ba7
52165 .xword 0x31e8922b4e058b0d
52166 .xword 0xeee5c6097b884644
52167 .xword 0x8d669606777c04ec
52168 .xword 0x72923219b76bf031
52169 .xword 0xc1d4db7a12bf2b79
52170 .xword 0xcae2a2859ca4b976
52171 .xword 0x3db188b0aaaab090
52172 .xword 0x0d98d2036e7e87cd
52173 .xword 0x025ab2d08aea9b24
52174 .xword 0xf5d2b968856b8951
52175 .xword 0x33e58c3577c3ee4e
52176 .xword 0xd84d0c195b19295f
52177 .xword 0xc1593f6445486602
52178 .xword 0xa5ea90d43e849fa2
52179 .xword 0xe5b60974d0d970ec
52180 .xword 0x827e4b31f9374d22
52181 .xword 0xa72a478a39a3d8a0
52182 .xword 0x8361a4940dc6ca63
52183 .xword 0x3bab97ff473efd80
52184 .xword 0x5aab8a94eee44457
52185 .xword 0x83b6dd6e646dc969
52186 .xword 0x48e8aaf3e4ced2a9
52187 .xword 0x31d3d204e01c2ff0
52188 .xword 0x2e04e68862d065c3
52189 .xword 0xc81dc77d488ce7c9
52190 .xword 0x7e22f43e542dbe7b
52191 .xword 0x7c402092aafb4015
52192 .xword 0xd381abc2fc6c5b60
52193 .xword 0xe535f0ff292cd1c1
52194 .xword 0x3de532eafcbfd03b
52195 .xword 0x41457cf9c5488a57
52196 .xword 0x48439fad83531cfd
52197 .xword 0xf40c95931c87e0eb
52198 .xword 0x45daa6fb6e43bb52
52199 .xword 0x35b41eb3dab5f947
52200 .xword 0x0160aaaf752ef6aa
52201 .xword 0xc489abda655b3a7e
52202 .xword 0x164f2689afa6cdf4
52203 .xword 0x7713e8e7ecdddafc
52204 .xword 0x1653c0d8298b0cc6
52205 .xword 0x80ec5827dd699478
52206 .xword 0x8b0afba0e2ed578a
52207 .xword 0x7192a10a772523cc
52208 .xword 0x84a96ce385129f06
52209 .xword 0xf1838bc412c383c3
52210 .xword 0x72efd681e688b125
52211 .xword 0x651a5f0386d3689a
52212 .xword 0x456ee86a13808503
52213 .xword 0x1dc8fcaccb8d9104
52214 .xword 0x3a8e60188cd52283
52215 .xword 0x67ece3632856c641
52216 .xword 0xa8153f8682f5a9b1
52217 .xword 0xd12aa7e1c643d05e
52218 .xword 0x641d704f845f0962
52219 .xword 0x75e468c1d6eacba6
52220 .xword 0x731e708ce0c08511
52221 .xword 0xb266deb91b03c249
52222 .xword 0xa952fb458b336fd3
52223 .xword 0x7b5d703dc4cda709
52224 .xword 0xfea34efb2f57a833
52225 .xword 0xc22ebf221e183ba0
52226 .xword 0x3ae2871e67eca388
52227 .xword 0x9c26d9403830469c
52228 .xword 0x7bcc732c9f13fa7d
52229 .xword 0xc7866ead00f39acd
52230 .xword 0xfbc1d4051093c1e5
52231 .xword 0x117254d69f7437d4
52232 .xword 0x764b3224eee86cd4
52233 .xword 0x853c9726229a10f0
52234 .xword 0x8d40b5b7218b5397
52235 .xword 0x9ed0934e275b873d
52236 .xword 0x19614d1a56a5bce2
52237 .xword 0x1ad48719557bce0e
52238 .xword 0x35fedc1b904382f7
52239 .xword 0x3d77817215761eec
52240 .xword 0xcb379ebec596871a
52241 .xword 0xd84979743e2572b6
52242 .xword 0x72f2d0b99281d62e
52243 .xword 0x5ebf9e27480551e4
52244 .xword 0x4ef30458d71ef9d5
52245 .xword 0x506f401acb1b297a
52246 .xword 0xcba98645e82b01a3
52247 .xword 0x147b437c486a8d78
52248 .xword 0x5113cb50091f75fe
52249 .xword 0x704df3e8cf50b2d5
52250 .xword 0xfbfa932008f0ce0b
52251 .xword 0x7c7d996373697ddd
52252 .xword 0xa88ec8044872894e
52253 .xword 0x5d5552e73a84fae8
52254 .xword 0xc8f3fe8d37a86267
52255 .xword 0x819f6cd687e22acf
52256 .xword 0x47854c429b2f50a7
52257 .xword 0x575194a02a0c0c23
52258 .xword 0xc978c4ef97533f25
52259 .xword 0xea921e50fec4a4bf
52260 .xword 0x76a77e5c0b4f07ff
52261 .xword 0xc4d5e3dfe8d967d0
52262 .xword 0x397e69fef55a4ce3
52263 .xword 0x493f16629a30f59c
52264 .xword 0x1f7916fca0dd2236
52265 .xword 0xbfa77a071ae860bb
52266 .xword 0x06b69e532e1cb643
52267 .xword 0x644c511f0171adef
52268 .xword 0xc74247b3d5785077
52269 .xword 0x1e0082931b1e23fb
52270 .xword 0xa77cecb6c3525a18
52271 .xword 0x48b7cbd46d337222
52272 .xword 0xf791d5f2f976f25a
52273 .xword 0xa479ffbd11edbdd4
52274 .xword 0xfa658671bcc453d1
52275 .xword 0x4b9ffe388d465cc5
52276 .xword 0xde168bdb38f3bced
52277 .xword 0x29b9d872d0a7bef8
52278 .xword 0x7e8811fe0e6643e7
52279_t1_des_dest:
52280 .xword 0xDEADBEEFDEADBEEF
52281 .xword 0xDEADBEEFDEADBEEF
52282 .xword 0xDEADBEEFDEADBEEF
52283 .xword 0xDEADBEEFDEADBEEF
52284 .xword 0xDEADBEEFDEADBEEF
52285 .xword 0xDEADBEEFDEADBEEF
52286 .xword 0xDEADBEEFDEADBEEF
52287 .xword 0xDEADBEEFDEADBEEF
52288 .xword 0xDEADBEEFDEADBEEF
52289 .xword 0xDEADBEEFDEADBEEF
52290 .xword 0xDEADBEEFDEADBEEF
52291 .xword 0xDEADBEEFDEADBEEF
52292 .xword 0xDEADBEEFDEADBEEF
52293 .xword 0xDEADBEEFDEADBEEF
52294 .xword 0xDEADBEEFDEADBEEF
52295 .xword 0xDEADBEEFDEADBEEF
52296 .xword 0xDEADBEEFDEADBEEF
52297 .xword 0xDEADBEEFDEADBEEF
52298 .xword 0xDEADBEEFDEADBEEF
52299 .xword 0xDEADBEEFDEADBEEF
52300 .xword 0xDEADBEEFDEADBEEF
52301 .xword 0xDEADBEEFDEADBEEF
52302 .xword 0xDEADBEEFDEADBEEF
52303 .xword 0xDEADBEEFDEADBEEF
52304 .xword 0xDEADBEEFDEADBEEF
52305 .xword 0xDEADBEEFDEADBEEF
52306 .xword 0xDEADBEEFDEADBEEF
52307 .xword 0xDEADBEEFDEADBEEF
52308 .xword 0xDEADBEEFDEADBEEF
52309 .xword 0xDEADBEEFDEADBEEF
52310 .xword 0xDEADBEEFDEADBEEF
52311 .xword 0xDEADBEEFDEADBEEF
52312 .xword 0xDEADBEEFDEADBEEF
52313 .xword 0xDEADBEEFDEADBEEF
52314 .xword 0xDEADBEEFDEADBEEF
52315 .xword 0xDEADBEEFDEADBEEF
52316 .xword 0xDEADBEEFDEADBEEF
52317 .xword 0xDEADBEEFDEADBEEF
52318 .xword 0xDEADBEEFDEADBEEF
52319 .xword 0xDEADBEEFDEADBEEF
52320 .xword 0xDEADBEEFDEADBEEF
52321 .xword 0xDEADBEEFDEADBEEF
52322 .xword 0xDEADBEEFDEADBEEF
52323 .xword 0xDEADBEEFDEADBEEF
52324 .xword 0xDEADBEEFDEADBEEF
52325 .xword 0xDEADBEEFDEADBEEF
52326 .xword 0xDEADBEEFDEADBEEF
52327 .xword 0xDEADBEEFDEADBEEF
52328 .xword 0xDEADBEEFDEADBEEF
52329 .xword 0xDEADBEEFDEADBEEF
52330 .xword 0xDEADBEEFDEADBEEF
52331 .xword 0xDEADBEEFDEADBEEF
52332 .xword 0xDEADBEEFDEADBEEF
52333 .xword 0xDEADBEEFDEADBEEF
52334 .xword 0xDEADBEEFDEADBEEF
52335 .xword 0xDEADBEEFDEADBEEF
52336 .xword 0xDEADBEEFDEADBEEF
52337 .xword 0xDEADBEEFDEADBEEF
52338 .xword 0xDEADBEEFDEADBEEF
52339 .xword 0xDEADBEEFDEADBEEF
52340 .xword 0xDEADBEEFDEADBEEF
52341 .xword 0xDEADBEEFDEADBEEF
52342 .xword 0xDEADBEEFDEADBEEF
52343 .xword 0xDEADBEEFDEADBEEF
52344 .xword 0xDEADBEEFDEADBEEF
52345 .xword 0xDEADBEEFDEADBEEF
52346 .xword 0xDEADBEEFDEADBEEF
52347 .xword 0xDEADBEEFDEADBEEF
52348 .xword 0xDEADBEEFDEADBEEF
52349 .xword 0xDEADBEEFDEADBEEF
52350 .xword 0xDEADBEEFDEADBEEF
52351 .xword 0xDEADBEEFDEADBEEF
52352 .xword 0xDEADBEEFDEADBEEF
52353 .xword 0xDEADBEEFDEADBEEF
52354 .xword 0xDEADBEEFDEADBEEF
52355 .xword 0xDEADBEEFDEADBEEF
52356 .xword 0xDEADBEEFDEADBEEF
52357 .xword 0xDEADBEEFDEADBEEF
52358 .xword 0xDEADBEEFDEADBEEF
52359 .xword 0xDEADBEEFDEADBEEF
52360 .xword 0xDEADBEEFDEADBEEF
52361 .xword 0xDEADBEEFDEADBEEF
52362 .xword 0xDEADBEEFDEADBEEF
52363 .xword 0xDEADBEEFDEADBEEF
52364 .xword 0xDEADBEEFDEADBEEF
52365 .xword 0xDEADBEEFDEADBEEF
52366 .xword 0xDEADBEEFDEADBEEF
52367 .xword 0xDEADBEEFDEADBEEF
52368 .xword 0xDEADBEEFDEADBEEF
52369 .xword 0xDEADBEEFDEADBEEF
52370 .xword 0xDEADBEEFDEADBEEF
52371 .xword 0xDEADBEEFDEADBEEF
52372 .xword 0xDEADBEEFDEADBEEF
52373 .xword 0xDEADBEEFDEADBEEF
52374 .xword 0xDEADBEEFDEADBEEF
52375 .xword 0xDEADBEEFDEADBEEF
52376 .xword 0xDEADBEEFDEADBEEF
52377 .xword 0xDEADBEEFDEADBEEF
52378 .xword 0xDEADBEEFDEADBEEF
52379 .xword 0xDEADBEEFDEADBEEF
52380 .xword 0xDEADBEEFDEADBEEF
52381 .xword 0xDEADBEEFDEADBEEF
52382 .xword 0xDEADBEEFDEADBEEF
52383 .xword 0xDEADBEEFDEADBEEF
52384 .xword 0xDEADBEEFDEADBEEF
52385 .xword 0xDEADBEEFDEADBEEF
52386 .xword 0xDEADBEEFDEADBEEF
52387 .xword 0xDEADBEEFDEADBEEF
52388 .xword 0xDEADBEEFDEADBEEF
52389 .xword 0xDEADBEEFDEADBEEF
52390 .xword 0xDEADBEEFDEADBEEF
52391 .xword 0xDEADBEEFDEADBEEF
52392 .xword 0xDEADBEEFDEADBEEF
52393 .xword 0xDEADBEEFDEADBEEF
52394 .xword 0xDEADBEEFDEADBEEF
52395 .xword 0xDEADBEEFDEADBEEF
52396 .xword 0xDEADBEEFDEADBEEF
52397 .xword 0xDEADBEEFDEADBEEF
52398 .xword 0xDEADBEEFDEADBEEF
52399 .xword 0xDEADBEEFDEADBEEF
52400 .xword 0xDEADBEEFDEADBEEF
52401 .xword 0xDEADBEEFDEADBEEF
52402 .xword 0xDEADBEEFDEADBEEF
52403 .xword 0xDEADBEEFDEADBEEF
52404 .xword 0xDEADBEEFDEADBEEF
52405 .xword 0xDEADBEEFDEADBEEF
52406 .xword 0xDEADBEEFDEADBEEF
52407 .xword 0xDEADBEEFDEADBEEF
52408 .xword 0xDEADBEEFDEADBEEF
52409 .xword 0xDEADBEEFDEADBEEF
52410 .xword 0xDEADBEEFDEADBEEF
52411 .xword 0xDEADBEEFDEADBEEF
52412 .xword 0xDEADBEEFDEADBEEF
52413 .xword 0xDEADBEEFDEADBEEF
52414 .xword 0xDEADBEEFDEADBEEF
52415 .xword 0xDEADBEEFDEADBEEF
52416 .xword 0xDEADBEEFDEADBEEF
52417 .xword 0xDEADBEEFDEADBEEF
52418 .xword 0xDEADBEEFDEADBEEF
52419 .xword 0xDEADBEEFDEADBEEF
52420 .xword 0xDEADBEEFDEADBEEF
52421 .xword 0xDEADBEEFDEADBEEF
52422 .xword 0xDEADBEEFDEADBEEF
52423 .xword 0xDEADBEEFDEADBEEF
52424 .xword 0xDEADBEEFDEADBEEF
52425 .xword 0xDEADBEEFDEADBEEF
52426 .xword 0xDEADBEEFDEADBEEF
52427 .xword 0xDEADBEEFDEADBEEF
52428 .xword 0xDEADBEEFDEADBEEF
52429 .xword 0xDEADBEEFDEADBEEF
52430 .xword 0xDEADBEEFDEADBEEF
52431 .xword 0xDEADBEEFDEADBEEF
52432 .xword 0xDEADBEEFDEADBEEF
52433 .xword 0xDEADBEEFDEADBEEF
52434 .xword 0xDEADBEEFDEADBEEF
52435 .xword 0xDEADBEEFDEADBEEF
52436 .xword 0xDEADBEEFDEADBEEF
52437 .xword 0xDEADBEEFDEADBEEF
52438 .xword 0xDEADBEEFDEADBEEF
52439 .xword 0xDEADBEEFDEADBEEF
52440 .xword 0xDEADBEEFDEADBEEF
52441 .xword 0xDEADBEEFDEADBEEF
52442 .xword 0xDEADBEEFDEADBEEF
52443 .xword 0xDEADBEEFDEADBEEF
52444 .xword 0xDEADBEEFDEADBEEF
52445 .xword 0xDEADBEEFDEADBEEF
52446 .xword 0xDEADBEEFDEADBEEF
52447 .xword 0xDEADBEEFDEADBEEF
52448 .xword 0xDEADBEEFDEADBEEF
52449 .xword 0xDEADBEEFDEADBEEF
52450 .xword 0xDEADBEEFDEADBEEF
52451 .xword 0xDEADBEEFDEADBEEF
52452 .xword 0xDEADBEEFDEADBEEF
52453 .xword 0xDEADBEEFDEADBEEF
52454 .xword 0xDEADBEEFDEADBEEF
52455 .xword 0xDEADBEEFDEADBEEF
52456 .xword 0xDEADBEEFDEADBEEF
52457 .xword 0xDEADBEEFDEADBEEF
52458 .xword 0xDEADBEEFDEADBEEF
52459 .xword 0xDEADBEEFDEADBEEF
52460 .xword 0xDEADBEEFDEADBEEF
52461 .xword 0xDEADBEEFDEADBEEF
52462 .xword 0xDEADBEEFDEADBEEF
52463 .xword 0xDEADBEEFDEADBEEF
52464 .xword 0xDEADBEEFDEADBEEF
52465 .xword 0xDEADBEEFDEADBEEF
52466 .xword 0xDEADBEEFDEADBEEF
52467 .xword 0xDEADBEEFDEADBEEF
52468 .xword 0xDEADBEEFDEADBEEF
52469 .xword 0xDEADBEEFDEADBEEF
52470 .xword 0xDEADBEEFDEADBEEF
52471 .xword 0xDEADBEEFDEADBEEF
52472 .xword 0xDEADBEEFDEADBEEF
52473 .xword 0xDEADBEEFDEADBEEF
52474 .xword 0xDEADBEEFDEADBEEF
52475 .xword 0xDEADBEEFDEADBEEF
52476 .xword 0xDEADBEEFDEADBEEF
52477 .xword 0xDEADBEEFDEADBEEF
52478 .xword 0xDEADBEEFDEADBEEF
52479 .xword 0xDEADBEEFDEADBEEF
52480 .xword 0xDEADBEEFDEADBEEF
52481 .xword 0xDEADBEEFDEADBEEF
52482 .xword 0xDEADBEEFDEADBEEF
52483 .xword 0xDEADBEEFDEADBEEF
52484 .xword 0xDEADBEEFDEADBEEF
52485 .xword 0xDEADBEEFDEADBEEF
52486 .xword 0xDEADBEEFDEADBEEF
52487 .xword 0xDEADBEEFDEADBEEF
52488 .xword 0xDEADBEEFDEADBEEF
52489 .xword 0xDEADBEEFDEADBEEF
52490 .xword 0xDEADBEEFDEADBEEF
52491 .xword 0xDEADBEEFDEADBEEF
52492 .xword 0xDEADBEEFDEADBEEF
52493 .xword 0xDEADBEEFDEADBEEF
52494 .xword 0xDEADBEEFDEADBEEF
52495 .xword 0xDEADBEEFDEADBEEF
52496 .xword 0xDEADBEEFDEADBEEF
52497 .xword 0xDEADBEEFDEADBEEF
52498 .xword 0xDEADBEEFDEADBEEF
52499 .xword 0xDEADBEEFDEADBEEF
52500 .xword 0xDEADBEEFDEADBEEF
52501 .xword 0xDEADBEEFDEADBEEF
52502 .xword 0xDEADBEEFDEADBEEF
52503 .xword 0xDEADBEEFDEADBEEF
52504 .xword 0xDEADBEEFDEADBEEF
52505 .xword 0xDEADBEEFDEADBEEF
52506 .xword 0xDEADBEEFDEADBEEF
52507 .xword 0xDEADBEEFDEADBEEF
52508 .xword 0xDEADBEEFDEADBEEF
52509 .xword 0xDEADBEEFDEADBEEF
52510 .xword 0xDEADBEEFDEADBEEF
52511 .xword 0xDEADBEEFDEADBEEF
52512 .xword 0xDEADBEEFDEADBEEF
52513 .xword 0xDEADBEEFDEADBEEF
52514 .xword 0xDEADBEEFDEADBEEF
52515 .xword 0xDEADBEEFDEADBEEF
52516 .xword 0xDEADBEEFDEADBEEF
52517 .xword 0xDEADBEEFDEADBEEF
52518 .xword 0xDEADBEEFDEADBEEF
52519 .xword 0xDEADBEEFDEADBEEF
52520 .xword 0xDEADBEEFDEADBEEF
52521 .xword 0xDEADBEEFDEADBEEF
52522 .xword 0xDEADBEEFDEADBEEF
52523 .xword 0xDEADBEEFDEADBEEF
52524 .xword 0xDEADBEEFDEADBEEF
52525 .xword 0xDEADBEEFDEADBEEF
52526 .xword 0xDEADBEEFDEADBEEF
52527 .xword 0xDEADBEEFDEADBEEF
52528 .xword 0xDEADBEEFDEADBEEF
52529 .xword 0xDEADBEEFDEADBEEF
52530 .xword 0xDEADBEEFDEADBEEF
52531 .xword 0xDEADBEEFDEADBEEF
52532 .xword 0xDEADBEEFDEADBEEF
52533 .xword 0xDEADBEEFDEADBEEF
52534 .xword 0xDEADBEEFDEADBEEF
52535 .xword 0xDEADBEEFDEADBEEF
52536 .xword 0xDEADBEEFDEADBEEF
52537 .xword 0xDEADBEEFDEADBEEF
52538 .xword 0xDEADBEEFDEADBEEF
52539 .xword 0xDEADBEEFDEADBEEF
52540 .xword 0xDEADBEEFDEADBEEF
52541 .xword 0xDEADBEEFDEADBEEF
52542 .xword 0xDEADBEEFDEADBEEF
52543 .xword 0xDEADBEEFDEADBEEF
52544 .xword 0xDEADBEEFDEADBEEF
52545 .xword 0xDEADBEEFDEADBEEF
52546 .xword 0xDEADBEEFDEADBEEF
52547 .xword 0xDEADBEEFDEADBEEF
52548 .xword 0xDEADBEEFDEADBEEF
52549 .xword 0xDEADBEEFDEADBEEF
52550 .xword 0xDEADBEEFDEADBEEF
52551 .xword 0xDEADBEEFDEADBEEF
52552 .xword 0xDEADBEEFDEADBEEF
52553 .xword 0xDEADBEEFDEADBEEF
52554 .xword 0xDEADBEEFDEADBEEF
52555_t1_des_auth_key:
52556 .xword 0x11a427a6a008f431
52557 .xword 0xd8b30265dd6243c6
52558 .xword 0x5a372683e1f96a68
52559 .xword 0xcb25e37732f9cd3b
52560 .xword 0x94a75166a9594db8
52561 .xword 0xfe5eaea2fc4c97c6
52562 .xword 0x518114499ed5acdb
52563 .xword 0x27a370c996c27d23
52564 .xword 0x41cabc28b0114ff5
52565 .xword 0xecf0a9739f8fce33
52566 .xword 0x70c1048482574f31
52567 .xword 0xeacbc1b4e2a7d9e2
52568 .xword 0x5b76cf642c3f0461
52569 .xword 0xbc54e894992070ba
52570 .xword 0xc4a685bdd55be2c0
52571 .xword 0x69b850ee94fe6b0b
52572 .xword 0x0e8aed1ae281a4d1
52573 .xword 0xd5e381ae6a62b599
52574 .xword 0x04ab072a5aa51a4f
52575 .xword 0x07e4b989045a8dd4
52576 .xword 0xacc3f7ab24da7f74
52577 .xword 0xdfb8c18c992ecce6
52578 .xword 0xcf153e9770d07800
52579_t1_des_auth_iv:
52580 .xword 0xb879246966679a43
52581 .xword 0xd58a4f3dab5bf7a7
52582 .xword 0x4f4447cc3c9a0806
52583 .xword 0x56a2027236e4cfb2
52584 .xword 0x4425a40dce0a2612
52585 .xword 0xf2f0bf4dc65cb39c
52586 .xword 0x39928be12dec0561
52587 .xword 0xefeed2818d137402
52588 .xword 0x74e7da58d6ddb89f
52589 .xword 0xa1cf4b341d2aac60
52590 .xword 0xeb6683c9ce480cf7
52591 .xword 0x2bf83ff2b4b20c2b
52592 .xword 0x4ff8b9eed56d0a94
52593 .xword 0x01676a5d20656f3f
52594 .xword 0x6d65c3543ad6a4ef
52595 .xword 0x5d95918d1b2d7fae
52596 .xword 0x5054352dd1e58d17
52597 .xword 0x87a3f42e8961761d
52598 .xword 0x627d3c9d8a032c5f
52599 .xword 0xd561ea98ebdb1b47
52600 .xword 0x6e1c9fe84cd393b7
52601 .xword 0xb639ffeb8c583aeb
52602 .xword 0x4e2a96b0736b9ca7
52603_t1_des_fas_result:
52604 .xword 0xDEADBEEFDEADBEEF
52605 .xword 0xDEADBEEFDEADBEEF
52606 .xword 0xDEADBEEFDEADBEEF
52607 .xword 0xDEADBEEFDEADBEEF
52608 .xword 0xDEADBEEFDEADBEEF
52609 .xword 0xDEADBEEFDEADBEEF
52610 .xword 0xDEADBEEFDEADBEEF
52611 .xword 0xDEADBEEFDEADBEEF
52612 .xword 0xDEADBEEFDEADBEEF
52613 .xword 0xDEADBEEFDEADBEEF
52614 .xword 0xDEADBEEFDEADBEEF
52615 .xword 0xDEADBEEFDEADBEEF
52616 .xword 0xDEADBEEFDEADBEEF
52617 .xword 0xDEADBEEFDEADBEEF
52618 .xword 0xDEADBEEFDEADBEEF
52619 .xword 0xDEADBEEFDEADBEEF
52620 .xword 0xDEADBEEFDEADBEEF
52621 .xword 0xDEADBEEFDEADBEEF
52622 .xword 0xDEADBEEFDEADBEEF
52623 .xword 0xDEADBEEFDEADBEEF
52624 .xword 0xDEADBEEFDEADBEEF
52625 .xword 0xDEADBEEFDEADBEEF
52626 .xword 0xDEADBEEFDEADBEEF
52627_t1_copy_key_array:
52628 .xword 0xc5d7c01737e1ebd8
52629 .xword 0x59a6705b569ea95a
52630 .xword 0x62ccc65bac095232
52631 .xword 0xc17a553566324691
52632 .xword 0xdb900f11ec69e933
52633 .xword 0x3f084d94d4b057ca
52634 .xword 0x9882868bbc4e1840
52635 .xword 0x02f06f85325057da
52636 .xword 0xe915e3f19d14477f
52637 .xword 0x0f15fcd5868c86cf
52638 .xword 0xdad5342b09a6475d
52639 .xword 0x48c5a46e63d85cc5
52640 .xword 0x7b8c8ba5cbd82e90
52641 .xword 0xec9393eb7d13eaf9
52642 .xword 0x75e1b2e3d06d3a06
52643 .xword 0xb45221fa18e3e519
52644 .xword 0x541d2a0460d958db
52645 .xword 0x633807cb02f1e1c4
52646 .xword 0xc4cb11eb054fb40d
52647 .xword 0x0e7bdc6261d2eeec
52648 .xword 0x1b2b6416b92df87f
52649 .xword 0x109906f8a07e3d9a
52650 .xword 0xbe8dcf4d658025e2
52651 .xword 0xc255e90e2f2a29d0
52652 .xword 0xcbceb79fb97a89ae
52653 .xword 0xc9650295c4208363
52654 .xword 0xd2c2c24e6cf7c29f
52655 .xword 0xa29024477b6b9f62
52656 .xword 0x7c16ed532be94faa
52657 .xword 0x8847fe9cb976dea3
52658 .xword 0x17414b734f11c28f
52659 .xword 0x8712e5e03783e3ce
52660 .xword 0xdd074866f0880ee9
52661 .xword 0x305b0d99abb5751a
52662 .xword 0x0ebb0708891a324b
52663 .xword 0x494b8a1b6ff8a120
52664 .xword 0xa7e4f583e7f546d2
52665 .xword 0x92987bc725be6b68
52666 .xword 0xe231d16bb93003fd
52667 .xword 0x3798f467c2c81980
52668 .xword 0x0e026b6c1d059418
52669 .xword 0x04b18622916c5bba
52670 .xword 0xf13b31258204eba3
52671 .xword 0x4fa3d99fdd629cbc
52672 .xword 0x07f4251c071e91c8
52673 .xword 0x99f47212cfac4709
52674 .xword 0x11a206f24404f066
52675 .xword 0x593827e48f53dbf1
52676 .xword 0x2eb4ea0d3e163d61
52677 .xword 0x3efd86ae3dd41cd6
52678 .xword 0x51add739306c2b1b
52679_t1_copy_iv_array:
52680 .xword 0x38415288e194a233
52681 .xword 0x097084033caac2f4
52682 .xword 0x2142f1653954b3c8
52683 .xword 0x407759b80042c84d
52684 .xword 0x954b78db1c4eb89b
52685 .xword 0x27d927fb56f24cad
52686 .xword 0xe8d20e28f822d78e
52687 .xword 0x427006c8d1cac451
52688 .xword 0xc4d931e9d72e72c6
52689 .xword 0xf33cd1686df12170
52690 .xword 0xb4781dbe8efd682a
52691 .xword 0xa82a17cdefc92fe1
52692 .xword 0x788d34784a1a28e8
52693 .xword 0x1d7428772b7407dd
52694 .xword 0x71780398f5b3517f
52695 .xword 0x141925c738353b2b
52696 .xword 0x065838f25d9ba9d8
52697 .xword 0xdaf86e2b11ec29b5
52698 .xword 0xa0d3555eaa3e7411
52699 .xword 0x8002227e2e825f99
52700 .xword 0xe6bba143518a60b2
52701 .xword 0x9742d35df2d85be0
52702 .xword 0xba74f2ef3daa0f4b
52703 .xword 0x81d7841dbb015b72
52704 .xword 0xcf19bd46f0b190f7
52705 .xword 0x463dfaeac48daa5b
52706 .xword 0x6ae1c18601d630ec
52707 .xword 0xc901a2fb98a63e25
52708 .xword 0x019e3c6776b3b6a8
52709 .xword 0x3c758b18d523210c
52710 .xword 0xa349aad5cd67a098
52711 .xword 0xbe5687b3421b8da3
52712 .xword 0x12caa705486c0975
52713 .xword 0xe8ae56cccaefa1a1
52714 .xword 0x356529327584cd34
52715 .xword 0xf70f0d9bb0da0266
52716 .xword 0x1d3b3c66bb087f82
52717 .xword 0x679349da1dbc226a
52718 .xword 0x9cfcdc13047fb509
52719 .xword 0x65eca9240982ae70
52720 .xword 0xe717400ca9801a0f
52721 .xword 0x9144dbc5e66d4eb6
52722 .xword 0x986957a8b9385b4e
52723 .xword 0xe0f56074a1281540
52724 .xword 0xd25ada3f44b104e5
52725_t1_copy_alignment_array:
52726 .xword 3
52727 .xword 4
52728 .xword 4
52729 .xword 1
52730 .xword 15
52731 .xword 7
52732 .xword 7
52733 .xword 2
52734 .xword 7
52735 .xword 13
52736 .xword 3
52737 .xword 5
52738 .xword 10
52739 .xword 11
52740 .xword 12
52741 .xword 7
52742 .xword 1
52743 .xword 4
52744 .xword 3
52745 .xword 10
52746 .xword 2
52747 .xword 13
52748 .xword 10
52749 .xword 4
52750 .xword 7
52751 .xword 11
52752 .xword 3
52753 .xword 9
52754 .xword 14
52755 .xword 6
52756 .xword 0
52757 .xword 1
52758 .xword 7
52759 .xword 9
52760 .xword 7
52761 .xword 1
52762 .xword 12
52763 .xword 13
52764 .xword 11
52765 .xword 0
52766 .xword 14
52767 .xword 6
52768 .xword 0
52769 .xword 7
52770 .xword 15
52771 .xword 15
52772 .xword 5
52773 .xword 1
52774 .xword 0
52775 .xword 12
52776 .xword 4
52777 .xword 10
52778 .xword 3
52779 .xword 4
52780 .xword 6
52781 .xword 0
52782 .xword 8
52783 .xword 15
52784 .xword 15
52785 .xword 8
52786 .xword 7
52787 .xword 2
52788 .xword 11
52789 .xword 5
52790 .xword 15
52791 .xword 0
52792 .xword 6
52793 .xword 3
52794 .xword 7
52795 .xword 4
52796 .xword 0
52797 .xword 4
52798 .xword 13
52799 .xword 12
52800 .xword 4
52801 .xword 9
52802 .xword 9
52803 .xword 0
52804 .xword 6
52805 .xword 13
52806 .xword 9
52807 .xword 3
52808 .xword 9
52809 .xword 13
52810 .xword 6
52811 .xword 15
52812 .xword 9
52813 .xword 12
52814 .xword 7
52815 .xword 11
52816 .xword 8
52817 .xword 10
52818 .xword 10
52819 .xword 14
52820 .xword 4
52821 .xword 9
52822 .xword 5
52823 .xword 15
52824 .xword 15
52825 .xword 12
52826 .xword 11
52827 .xword 8
52828 .xword 0
52829 .xword 9
52830 .xword 15
52831_t1_copy_src:
52832 .xword 0xa9a2746ff0b647a3
52833 .xword 0xc16a498b4c59ae28
52834 .xword 0x03a277fc25de7bf4
52835 .xword 0xc23711ed9709aa1d
52836 .xword 0x4af14c988f7207a7
52837 .xword 0x9c0c8222450961b9
52838 .xword 0x8185c83b4a0946ce
52839 .xword 0xa37081ddcdbfca7c
52840 .xword 0x11149c1d7342d95f
52841 .xword 0x95d5d66c406efc63
52842 .xword 0xf1bcc144d7df3fad
52843 .xword 0x7434242c5a53e9a9
52844 .xword 0xd22a94eb0f68af92
52845 .xword 0xe47416e97d4d7642
52846 .xword 0x16b1fbe1f2a9d9e4
52847 .xword 0x93ae5d5a729cdc2c
52848 .xword 0xe9693e83ba1c5b29
52849 .xword 0xb5a4597fd083d218
52850 .xword 0xdabf196c0dd91a53
52851 .xword 0x12ffeb14effeab23
52852 .xword 0x7705ebcec82e50e1
52853 .xword 0xe387fc0727d53a2b
52854 .xword 0x4a4bf32482ba332a
52855 .xword 0x267329ee91a8a56d
52856 .xword 0x347c3491c16ad90d
52857 .xword 0x729e9df4df7b6052
52858 .xword 0xbdb06f9411c6a172
52859 .xword 0x06881304e64e9386
52860 .xword 0x2a0e098cf6216944
52861 .xword 0xc6ada6d081d18cfa
52862 .xword 0x00f5389edda09bde
52863 .xword 0x75b871e72ed1febb
52864 .xword 0x485c5c98c39cf938
52865 .xword 0x518248a7e3b732bb
52866 .xword 0x9185e4bc430129ba
52867 .xword 0x6c4f7d741c4fb30f
52868 .xword 0x53a165ad75ae5d41
52869 .xword 0x9664ce83b35f432c
52870 .xword 0xe7a76460b14178ed
52871 .xword 0xd914e6f740e83f6f
52872 .xword 0x6ff08edd2bbe7ba8
52873 .xword 0x75a96d7fe58cc54d
52874 .xword 0xded62c1352d51dd4
52875 .xword 0x2eb647bb7cf50742
52876 .xword 0x289cd23da7ad5dea
52877 .xword 0xfce1bdd6e2470131
52878 .xword 0xffab221cd442b4a8
52879 .xword 0x034766413807692e
52880 .xword 0x5e32aa7e246481f1
52881 .xword 0x322ae54d49bf8a28
52882 .xword 0xfac735812ba2d281
52883 .xword 0x4ec95a74566560ba
52884 .xword 0xe41650fed37ed348
52885 .xword 0x23372cba5eb2cdda
52886 .xword 0x49a7bc2d900af808
52887 .xword 0xd43e2fd2b12ba98d
52888 .xword 0x41a583bc3361ba63
52889 .xword 0xcd7af412dfc1455c
52890 .xword 0x5e9511ac6f2872ae
52891 .xword 0x922c72b699ddbeb8
52892 .xword 0x1cc104ab6a89f47c
52893 .xword 0xacd5329fcb57b08b
52894 .xword 0x09030aaec4c989aa
52895 .xword 0xcd594c6404623922
52896 .xword 0xb291a8c64672b4ea
52897 .xword 0x718647b95b681c41
52898 .xword 0x80b80196035083a0
52899 .xword 0x24f14d9512c7e561
52900 .xword 0x532dd90778fb5250
52901 .xword 0xe0b92aa8aa214765
52902 .xword 0xd0b581b84eb62238
52903 .xword 0x0da935e75d7b96de
52904 .xword 0xda2db970d197d75e
52905 .xword 0x890b64edab9aad23
52906 .xword 0x0d44497a76a200b4
52907 .xword 0xa0793164d505dae2
52908 .xword 0x7db5be431cf135eb
52909 .xword 0xe30eaba476b8b161
52910 .xword 0xa181cc940aafef3b
52911 .xword 0x468cbbad4bcedc7f
52912 .xword 0xccec5d8ef8e39d7e
52913 .xword 0x741daca8c5e86f73
52914 .xword 0x58af9fddac95b852
52915 .xword 0xe44caf696e2c11d3
52916 .xword 0x0431b8a41b372184
52917 .xword 0x52b8c44bad5c9a61
52918 .xword 0x30b00cc0b3f0e4fd
52919 .xword 0xef1dab6014de6095
52920 .xword 0x78c0bca122ae48c2
52921 .xword 0xc3ea4e5866f76235
52922 .xword 0x23bd5a3f5740caa5
52923 .xword 0xb1229bc4c8e49453
52924 .xword 0x18c5ea4ffbfc9959
52925 .xword 0xc349ba958a1348bb
52926 .xword 0x570a7512ad1fb326
52927 .xword 0xa52be3f0cc37fccd
52928 .xword 0xe54304f624627ff9
52929 .xword 0x2e76de598dfe7c83
52930 .xword 0x8db3ae23acec286a
52931 .xword 0x7812a1c2598089b8
52932 .xword 0xdb3bca142630624e
52933 .xword 0xe55a4122b85f6c13
52934 .xword 0xc83923b1d6973957
52935 .xword 0xda60cd952c43e30a
52936 .xword 0xec00c31053c56993
52937 .xword 0x46ae9ec4230cba4a
52938 .xword 0xd7d6dcbc874eb317
52939 .xword 0x48a6aa0ae31c507b
52940 .xword 0x7c39fd0f7425c254
52941 .xword 0xca9b31557cb49141
52942 .xword 0x063b1f8601a7feb4
52943 .xword 0xa3cc60e02a70ead6
52944 .xword 0xfe9ac22a896a25c6
52945 .xword 0x977149390e48864e
52946 .xword 0x46c08087960a4809
52947 .xword 0x5bc1b6744b5dfc42
52948 .xword 0xf594143ba55e0af8
52949 .xword 0x79a58ae27da3fdcd
52950 .xword 0xac5945c73cfae314
52951 .xword 0x3512ed63038d9fad
52952 .xword 0x101089be13249f44
52953 .xword 0xdfaeb2c5809324ce
52954 .xword 0x4e63459868d452b7
52955 .xword 0x13fbbfeb6db28d9c
52956 .xword 0x50f19ccfbdf7a230
52957 .xword 0x39926318fbfa3f8e
52958 .xword 0xaab7669cf7eb455b
52959 .xword 0x4bcce119bbb52f92
52960 .xword 0xd14c5e26612ec95d
52961 .xword 0xac28e213f30e65e2
52962 .xword 0xe1a7ffed99e79b66
52963 .xword 0x013201ac1602735f
52964 .xword 0xea4b66a449eadf51
52965 .xword 0x2fa1e4c5f67b15da
52966 .xword 0x3af2729bb6055c0e
52967 .xword 0x3bd8c6e89ac7a77f
52968 .xword 0xcd084a13c8d4b90b
52969 .xword 0x63ac06b34aacbd36
52970 .xword 0xf78865f068bbce32
52971 .xword 0x21d29e87a080a6ae
52972 .xword 0x1f2b734294ee98a1
52973 .xword 0x7ad852ad789a9a80
52974 .xword 0x264f182e4965438a
52975 .xword 0x279c3b24d9821651
52976 .xword 0xcae98c0b9fa536bf
52977 .xword 0x7f3bc00d37e23cc7
52978 .xword 0x1c463c4b22dfb7d0
52979 .xword 0x27215b5db5ccefce
52980 .xword 0x056939403c185c58
52981 .xword 0x8c3c17a8c15260b0
52982 .xword 0x5678f59bb55d273f
52983 .xword 0x5c76fbaeb17bd966
52984 .xword 0x0870272fada5f79d
52985 .xword 0x874fc9e9a9ce1023
52986 .xword 0x38772ac8d33bd560
52987 .xword 0xfa89286159716837
52988 .xword 0xd486d7ac3c188203
52989 .xword 0x21f0cd5747a74f94
52990 .xword 0xdf31e852fa985ffd
52991 .xword 0x6892b7aea01518c0
52992 .xword 0xc44a426cb7da2e18
52993 .xword 0x4050f96696981ce6
52994 .xword 0xee807b8290c4afd5
52995 .xword 0x193560c71cd80de0
52996 .xword 0x873a1907dd83c593
52997 .xword 0xbda7f26aeb663d61
52998 .xword 0x3005d9b69c81aa2e
52999 .xword 0x391c2f6c3baf17cd
53000 .xword 0x90fa7726eea86df8
53001 .xword 0x572cad2251775f59
53002 .xword 0x099be08716c9b405
53003 .xword 0x8a7e66130ed2d333
53004 .xword 0xbd1a8303ca790422
53005 .xword 0xda46352ad8df95eb
53006 .xword 0x1064d5c4856c3322
53007 .xword 0x9ef9969b9ef08e3b
53008 .xword 0x752e06152e256f10
53009 .xword 0x21ab103517dc84b9
53010 .xword 0xca8fdca7da4e3a5b
53011 .xword 0x315cc3700e9a4fd2
53012 .xword 0x5810381b6d083f1c
53013 .xword 0xf7182955a5c9a7b5
53014 .xword 0x7c0f7d8b52ad2d35
53015 .xword 0x13132b2649c8cd24
53016 .xword 0xa275ebdb168ff403
53017 .xword 0x6e6d7be5307ae107
53018 .xword 0xc70e7f83e11375d8
53019 .xword 0xe5297bff323f70d4
53020 .xword 0x436a9f6d02c8679f
53021 .xword 0x98ef35aa582ab31e
53022 .xword 0xf241efdffb977b75
53023 .xword 0xf950b73d4298568e
53024 .xword 0x24307a617d174c47
53025 .xword 0x1ff954dfa83d0ed4
53026 .xword 0x172ae3144a99708e
53027 .xword 0x6a3007f552cdde79
53028 .xword 0xae147fa4d6348341
53029 .xword 0x3b19814577803be2
53030 .xword 0xf63dc70209cc49e5
53031 .xword 0x83d58a751b9a5228
53032 .xword 0x3a1dfdbae6e0d6ef
53033 .xword 0x2d553c9f0d99e193
53034 .xword 0x5092891d03b2aa48
53035 .xword 0xb4e9f63b55be396d
53036 .xword 0xc73874df21d92c68
53037 .xword 0x8e3f809153b416a2
53038 .xword 0xccacf905603edce7
53039 .xword 0x740fabf78d988adb
53040 .xword 0xc6bd93e52a6e2040
53041 .xword 0xe8308157f236c817
53042 .xword 0xc78daca4f3391288
53043 .xword 0xd793f34c7307bd17
53044 .xword 0x1ab1a36fd607aa0e
53045 .xword 0x695c1177f84ec7f1
53046 .xword 0xd0a65b134d37f2de
53047 .xword 0x7cb109eb6a82536e
53048 .xword 0x220943561066b231
53049 .xword 0x5b1bf85c1a841738
53050 .xword 0x3f44cfafbe356fb4
53051 .xword 0x64937e823d074d19
53052 .xword 0x8e3374fe37352af1
53053 .xword 0xdbd5024b17e8260a
53054 .xword 0xa8f2367cdca29b6a
53055 .xword 0x4adab08e9c8ba33c
53056 .xword 0x35e0d838cf90fbd4
53057 .xword 0xf0a793d10dc0b8ed
53058 .xword 0xbe3d157cf1aaf613
53059 .xword 0x48199a507eb2b673
53060 .xword 0xf7c53db93ed4f01b
53061 .xword 0x9e51f1ab46f5c533
53062 .xword 0xd8486a3730071cfc
53063 .xword 0x094b8833091f9b5a
53064 .xword 0x87dd78d0ead82475
53065 .xword 0x5db383d76df6b21c
53066 .xword 0xcb8361fa0261e158
53067 .xword 0xf51aa8805f488dc0
53068 .xword 0xf97c739edf3b10ac
53069 .xword 0x64b402e31f371d52
53070 .xword 0xe34bc8ed5912da79
53071 .xword 0xa4d1b8a5077f20d1
53072 .xword 0x2f851d7417cc7652
53073 .xword 0xaae568e323dba2e4
53074 .xword 0xa5d8b10c614f2908
53075 .xword 0x15ba160f16ef5ae1
53076 .xword 0x04a77fee9cf2a3fc
53077 .xword 0xbb2e8ef2baa79011
53078 .xword 0xa7cbea12d89b548a
53079 .xword 0xe12e5f6f8e276ed5
53080 .xword 0xd0f89ea8c6defaba
53081 .xword 0x4bf513ffcf0ec04d
53082 .xword 0xa88b11e2b7a2f229
53083 .xword 0x814aed6317f91200
53084 .xword 0x9e640c09021b587a
53085 .xword 0xb0da8ce196d1b3e2
53086 .xword 0x11f32468b4122ea7
53087 .xword 0x12c747db66d31bd8
53088 .xword 0xcab7bfcec973e9fa
53089 .xword 0xc9e5415d25bf1973
53090 .xword 0x5bde7b1d5cf58e51
53091 .xword 0x0bba0fe96dd784ec
53092 .xword 0xfc722dfed52d3841
53093 .xword 0x7a4b567938389f16
53094 .xword 0x437be68e19b18681
53095 .xword 0xd463da607a1de065
53096 .xword 0xaeab4e53545cf0a9
53097 .xword 0x6ae32ffaf7830196
53098 .xword 0x078ffc8851a64cd5
53099 .xword 0xc33dab40e1c0706f
53100 .xword 0x8c14b2e89fbe0a3a
53101 .xword 0xa9e40f4497b52f8d
53102 .xword 0xdc747830eff25663
53103 .xword 0xca3ae8d967ac3815
53104 .xword 0x3f776363be5cfc1e
53105 .xword 0x9e5a0019ac09c0cf
53106 .xword 0x8ad6a0d0fb8bdf76
53107_t1_copy_dest:
53108 .xword 0xDEADBEEFDEADBEEF
53109 .xword 0xDEADBEEFDEADBEEF
53110 .xword 0xDEADBEEFDEADBEEF
53111 .xword 0xDEADBEEFDEADBEEF
53112 .xword 0xDEADBEEFDEADBEEF
53113 .xword 0xDEADBEEFDEADBEEF
53114 .xword 0xDEADBEEFDEADBEEF
53115 .xword 0xDEADBEEFDEADBEEF
53116 .xword 0xDEADBEEFDEADBEEF
53117 .xword 0xDEADBEEFDEADBEEF
53118 .xword 0xDEADBEEFDEADBEEF
53119 .xword 0xDEADBEEFDEADBEEF
53120 .xword 0xDEADBEEFDEADBEEF
53121 .xword 0xDEADBEEFDEADBEEF
53122 .xword 0xDEADBEEFDEADBEEF
53123 .xword 0xDEADBEEFDEADBEEF
53124 .xword 0xDEADBEEFDEADBEEF
53125 .xword 0xDEADBEEFDEADBEEF
53126 .xword 0xDEADBEEFDEADBEEF
53127 .xword 0xDEADBEEFDEADBEEF
53128 .xword 0xDEADBEEFDEADBEEF
53129 .xword 0xDEADBEEFDEADBEEF
53130 .xword 0xDEADBEEFDEADBEEF
53131 .xword 0xDEADBEEFDEADBEEF
53132 .xword 0xDEADBEEFDEADBEEF
53133 .xword 0xDEADBEEFDEADBEEF
53134 .xword 0xDEADBEEFDEADBEEF
53135 .xword 0xDEADBEEFDEADBEEF
53136 .xword 0xDEADBEEFDEADBEEF
53137 .xword 0xDEADBEEFDEADBEEF
53138 .xword 0xDEADBEEFDEADBEEF
53139 .xword 0xDEADBEEFDEADBEEF
53140 .xword 0xDEADBEEFDEADBEEF
53141 .xword 0xDEADBEEFDEADBEEF
53142 .xword 0xDEADBEEFDEADBEEF
53143 .xword 0xDEADBEEFDEADBEEF
53144 .xword 0xDEADBEEFDEADBEEF
53145 .xword 0xDEADBEEFDEADBEEF
53146 .xword 0xDEADBEEFDEADBEEF
53147 .xword 0xDEADBEEFDEADBEEF
53148 .xword 0xDEADBEEFDEADBEEF
53149 .xword 0xDEADBEEFDEADBEEF
53150 .xword 0xDEADBEEFDEADBEEF
53151 .xword 0xDEADBEEFDEADBEEF
53152 .xword 0xDEADBEEFDEADBEEF
53153 .xword 0xDEADBEEFDEADBEEF
53154 .xword 0xDEADBEEFDEADBEEF
53155 .xword 0xDEADBEEFDEADBEEF
53156 .xword 0xDEADBEEFDEADBEEF
53157 .xword 0xDEADBEEFDEADBEEF
53158 .xword 0xDEADBEEFDEADBEEF
53159 .xword 0xDEADBEEFDEADBEEF
53160 .xword 0xDEADBEEFDEADBEEF
53161 .xword 0xDEADBEEFDEADBEEF
53162 .xword 0xDEADBEEFDEADBEEF
53163 .xword 0xDEADBEEFDEADBEEF
53164 .xword 0xDEADBEEFDEADBEEF
53165 .xword 0xDEADBEEFDEADBEEF
53166 .xword 0xDEADBEEFDEADBEEF
53167 .xword 0xDEADBEEFDEADBEEF
53168 .xword 0xDEADBEEFDEADBEEF
53169 .xword 0xDEADBEEFDEADBEEF
53170 .xword 0xDEADBEEFDEADBEEF
53171 .xword 0xDEADBEEFDEADBEEF
53172 .xword 0xDEADBEEFDEADBEEF
53173 .xword 0xDEADBEEFDEADBEEF
53174 .xword 0xDEADBEEFDEADBEEF
53175 .xword 0xDEADBEEFDEADBEEF
53176 .xword 0xDEADBEEFDEADBEEF
53177 .xword 0xDEADBEEFDEADBEEF
53178 .xword 0xDEADBEEFDEADBEEF
53179 .xword 0xDEADBEEFDEADBEEF
53180 .xword 0xDEADBEEFDEADBEEF
53181 .xword 0xDEADBEEFDEADBEEF
53182 .xword 0xDEADBEEFDEADBEEF
53183 .xword 0xDEADBEEFDEADBEEF
53184 .xword 0xDEADBEEFDEADBEEF
53185 .xword 0xDEADBEEFDEADBEEF
53186 .xword 0xDEADBEEFDEADBEEF
53187 .xword 0xDEADBEEFDEADBEEF
53188 .xword 0xDEADBEEFDEADBEEF
53189 .xword 0xDEADBEEFDEADBEEF
53190 .xword 0xDEADBEEFDEADBEEF
53191 .xword 0xDEADBEEFDEADBEEF
53192 .xword 0xDEADBEEFDEADBEEF
53193 .xword 0xDEADBEEFDEADBEEF
53194 .xword 0xDEADBEEFDEADBEEF
53195 .xword 0xDEADBEEFDEADBEEF
53196 .xword 0xDEADBEEFDEADBEEF
53197 .xword 0xDEADBEEFDEADBEEF
53198 .xword 0xDEADBEEFDEADBEEF
53199 .xword 0xDEADBEEFDEADBEEF
53200 .xword 0xDEADBEEFDEADBEEF
53201 .xword 0xDEADBEEFDEADBEEF
53202 .xword 0xDEADBEEFDEADBEEF
53203 .xword 0xDEADBEEFDEADBEEF
53204 .xword 0xDEADBEEFDEADBEEF
53205 .xword 0xDEADBEEFDEADBEEF
53206 .xword 0xDEADBEEFDEADBEEF
53207 .xword 0xDEADBEEFDEADBEEF
53208 .xword 0xDEADBEEFDEADBEEF
53209 .xword 0xDEADBEEFDEADBEEF
53210 .xword 0xDEADBEEFDEADBEEF
53211 .xword 0xDEADBEEFDEADBEEF
53212 .xword 0xDEADBEEFDEADBEEF
53213 .xword 0xDEADBEEFDEADBEEF
53214 .xword 0xDEADBEEFDEADBEEF
53215 .xword 0xDEADBEEFDEADBEEF
53216 .xword 0xDEADBEEFDEADBEEF
53217 .xword 0xDEADBEEFDEADBEEF
53218 .xword 0xDEADBEEFDEADBEEF
53219 .xword 0xDEADBEEFDEADBEEF
53220 .xword 0xDEADBEEFDEADBEEF
53221 .xword 0xDEADBEEFDEADBEEF
53222 .xword 0xDEADBEEFDEADBEEF
53223 .xword 0xDEADBEEFDEADBEEF
53224 .xword 0xDEADBEEFDEADBEEF
53225 .xword 0xDEADBEEFDEADBEEF
53226 .xword 0xDEADBEEFDEADBEEF
53227 .xword 0xDEADBEEFDEADBEEF
53228 .xword 0xDEADBEEFDEADBEEF
53229 .xword 0xDEADBEEFDEADBEEF
53230 .xword 0xDEADBEEFDEADBEEF
53231 .xword 0xDEADBEEFDEADBEEF
53232 .xword 0xDEADBEEFDEADBEEF
53233 .xword 0xDEADBEEFDEADBEEF
53234 .xword 0xDEADBEEFDEADBEEF
53235 .xword 0xDEADBEEFDEADBEEF
53236 .xword 0xDEADBEEFDEADBEEF
53237 .xword 0xDEADBEEFDEADBEEF
53238 .xword 0xDEADBEEFDEADBEEF
53239 .xword 0xDEADBEEFDEADBEEF
53240 .xword 0xDEADBEEFDEADBEEF
53241 .xword 0xDEADBEEFDEADBEEF
53242 .xword 0xDEADBEEFDEADBEEF
53243 .xword 0xDEADBEEFDEADBEEF
53244 .xword 0xDEADBEEFDEADBEEF
53245 .xword 0xDEADBEEFDEADBEEF
53246 .xword 0xDEADBEEFDEADBEEF
53247 .xword 0xDEADBEEFDEADBEEF
53248 .xword 0xDEADBEEFDEADBEEF
53249 .xword 0xDEADBEEFDEADBEEF
53250 .xword 0xDEADBEEFDEADBEEF
53251 .xword 0xDEADBEEFDEADBEEF
53252 .xword 0xDEADBEEFDEADBEEF
53253 .xword 0xDEADBEEFDEADBEEF
53254 .xword 0xDEADBEEFDEADBEEF
53255 .xword 0xDEADBEEFDEADBEEF
53256 .xword 0xDEADBEEFDEADBEEF
53257 .xword 0xDEADBEEFDEADBEEF
53258 .xword 0xDEADBEEFDEADBEEF
53259 .xword 0xDEADBEEFDEADBEEF
53260 .xword 0xDEADBEEFDEADBEEF
53261 .xword 0xDEADBEEFDEADBEEF
53262 .xword 0xDEADBEEFDEADBEEF
53263 .xword 0xDEADBEEFDEADBEEF
53264 .xword 0xDEADBEEFDEADBEEF
53265 .xword 0xDEADBEEFDEADBEEF
53266 .xword 0xDEADBEEFDEADBEEF
53267 .xword 0xDEADBEEFDEADBEEF
53268 .xword 0xDEADBEEFDEADBEEF
53269 .xword 0xDEADBEEFDEADBEEF
53270 .xword 0xDEADBEEFDEADBEEF
53271 .xword 0xDEADBEEFDEADBEEF
53272 .xword 0xDEADBEEFDEADBEEF
53273 .xword 0xDEADBEEFDEADBEEF
53274 .xword 0xDEADBEEFDEADBEEF
53275 .xword 0xDEADBEEFDEADBEEF
53276 .xword 0xDEADBEEFDEADBEEF
53277 .xword 0xDEADBEEFDEADBEEF
53278 .xword 0xDEADBEEFDEADBEEF
53279 .xword 0xDEADBEEFDEADBEEF
53280 .xword 0xDEADBEEFDEADBEEF
53281 .xword 0xDEADBEEFDEADBEEF
53282 .xword 0xDEADBEEFDEADBEEF
53283 .xword 0xDEADBEEFDEADBEEF
53284 .xword 0xDEADBEEFDEADBEEF
53285 .xword 0xDEADBEEFDEADBEEF
53286 .xword 0xDEADBEEFDEADBEEF
53287 .xword 0xDEADBEEFDEADBEEF
53288 .xword 0xDEADBEEFDEADBEEF
53289 .xword 0xDEADBEEFDEADBEEF
53290 .xword 0xDEADBEEFDEADBEEF
53291 .xword 0xDEADBEEFDEADBEEF
53292 .xword 0xDEADBEEFDEADBEEF
53293 .xword 0xDEADBEEFDEADBEEF
53294 .xword 0xDEADBEEFDEADBEEF
53295 .xword 0xDEADBEEFDEADBEEF
53296 .xword 0xDEADBEEFDEADBEEF
53297 .xword 0xDEADBEEFDEADBEEF
53298 .xword 0xDEADBEEFDEADBEEF
53299 .xword 0xDEADBEEFDEADBEEF
53300 .xword 0xDEADBEEFDEADBEEF
53301 .xword 0xDEADBEEFDEADBEEF
53302 .xword 0xDEADBEEFDEADBEEF
53303 .xword 0xDEADBEEFDEADBEEF
53304 .xword 0xDEADBEEFDEADBEEF
53305 .xword 0xDEADBEEFDEADBEEF
53306 .xword 0xDEADBEEFDEADBEEF
53307 .xword 0xDEADBEEFDEADBEEF
53308 .xword 0xDEADBEEFDEADBEEF
53309 .xword 0xDEADBEEFDEADBEEF
53310 .xword 0xDEADBEEFDEADBEEF
53311 .xword 0xDEADBEEFDEADBEEF
53312 .xword 0xDEADBEEFDEADBEEF
53313 .xword 0xDEADBEEFDEADBEEF
53314 .xword 0xDEADBEEFDEADBEEF
53315 .xword 0xDEADBEEFDEADBEEF
53316 .xword 0xDEADBEEFDEADBEEF
53317 .xword 0xDEADBEEFDEADBEEF
53318 .xword 0xDEADBEEFDEADBEEF
53319 .xword 0xDEADBEEFDEADBEEF
53320 .xword 0xDEADBEEFDEADBEEF
53321 .xword 0xDEADBEEFDEADBEEF
53322 .xword 0xDEADBEEFDEADBEEF
53323 .xword 0xDEADBEEFDEADBEEF
53324 .xword 0xDEADBEEFDEADBEEF
53325 .xword 0xDEADBEEFDEADBEEF
53326 .xword 0xDEADBEEFDEADBEEF
53327 .xword 0xDEADBEEFDEADBEEF
53328 .xword 0xDEADBEEFDEADBEEF
53329 .xword 0xDEADBEEFDEADBEEF
53330 .xword 0xDEADBEEFDEADBEEF
53331 .xword 0xDEADBEEFDEADBEEF
53332 .xword 0xDEADBEEFDEADBEEF
53333 .xword 0xDEADBEEFDEADBEEF
53334 .xword 0xDEADBEEFDEADBEEF
53335 .xword 0xDEADBEEFDEADBEEF
53336 .xword 0xDEADBEEFDEADBEEF
53337 .xword 0xDEADBEEFDEADBEEF
53338 .xword 0xDEADBEEFDEADBEEF
53339 .xword 0xDEADBEEFDEADBEEF
53340 .xword 0xDEADBEEFDEADBEEF
53341 .xword 0xDEADBEEFDEADBEEF
53342 .xword 0xDEADBEEFDEADBEEF
53343 .xword 0xDEADBEEFDEADBEEF
53344 .xword 0xDEADBEEFDEADBEEF
53345 .xword 0xDEADBEEFDEADBEEF
53346 .xword 0xDEADBEEFDEADBEEF
53347 .xword 0xDEADBEEFDEADBEEF
53348 .xword 0xDEADBEEFDEADBEEF
53349 .xword 0xDEADBEEFDEADBEEF
53350 .xword 0xDEADBEEFDEADBEEF
53351 .xword 0xDEADBEEFDEADBEEF
53352 .xword 0xDEADBEEFDEADBEEF
53353 .xword 0xDEADBEEFDEADBEEF
53354 .xword 0xDEADBEEFDEADBEEF
53355 .xword 0xDEADBEEFDEADBEEF
53356 .xword 0xDEADBEEFDEADBEEF
53357 .xword 0xDEADBEEFDEADBEEF
53358 .xword 0xDEADBEEFDEADBEEF
53359 .xword 0xDEADBEEFDEADBEEF
53360 .xword 0xDEADBEEFDEADBEEF
53361 .xword 0xDEADBEEFDEADBEEF
53362 .xword 0xDEADBEEFDEADBEEF
53363 .xword 0xDEADBEEFDEADBEEF
53364 .xword 0xDEADBEEFDEADBEEF
53365 .xword 0xDEADBEEFDEADBEEF
53366 .xword 0xDEADBEEFDEADBEEF
53367 .xword 0xDEADBEEFDEADBEEF
53368 .xword 0xDEADBEEFDEADBEEF
53369 .xword 0xDEADBEEFDEADBEEF
53370 .xword 0xDEADBEEFDEADBEEF
53371 .xword 0xDEADBEEFDEADBEEF
53372 .xword 0xDEADBEEFDEADBEEF
53373 .xword 0xDEADBEEFDEADBEEF
53374 .xword 0xDEADBEEFDEADBEEF
53375 .xword 0xDEADBEEFDEADBEEF
53376 .xword 0xDEADBEEFDEADBEEF
53377 .xword 0xDEADBEEFDEADBEEF
53378 .xword 0xDEADBEEFDEADBEEF
53379 .xword 0xDEADBEEFDEADBEEF
53380 .xword 0xDEADBEEFDEADBEEF
53381 .xword 0xDEADBEEFDEADBEEF
53382 .xword 0xDEADBEEFDEADBEEF
53383_t1_copy_auth_key:
53384 .xword 0x257dd97996a5809d
53385 .xword 0x564e45737f3c1da4
53386 .xword 0x042dd9015a7f1e4d
53387 .xword 0xd94cc17d094a8b64
53388 .xword 0x9d9baba42610b015
53389 .xword 0x4b697ed0a61398c0
53390 .xword 0x78f00ed117936df6
53391 .xword 0x857f7b7041acaa9f
53392 .xword 0xb7d7ee1002bf2b9e
53393 .xword 0x5aec6582c57fb8ce
53394 .xword 0xe5b65f19c3444233
53395 .xword 0x1ee0bb3626142dc5
53396 .xword 0xbbf41c24dd22032a
53397 .xword 0x2408c3e7254b94fa
53398 .xword 0xa0270be6679f0629
53399 .xword 0x0e978304b5b2c7dc
53400 .xword 0x2e0d8628f1d08af6
53401 .xword 0x47fa917b3151216b
53402 .xword 0x0fd0d23fd9f755a9
53403 .xword 0x9c70f62c42dae1d2
53404 .xword 0x0e881babebaabfa5
53405 .xword 0x8475cb1cf5b607ff
53406 .xword 0xa5a6021472aa434e
53407_t1_copy_auth_iv:
53408 .xword 0xe6b2525dd15c9b4d
53409 .xword 0x940e3fe1f19709e9
53410 .xword 0x5f37e5ae71abf264
53411 .xword 0x940606431cd160d0
53412 .xword 0x2997346c7954a314
53413 .xword 0x74232337499fd94f
53414 .xword 0x82c229679342d8f0
53415 .xword 0x073252f578d2b6fb
53416 .xword 0x5b6d73ced83a0312
53417 .xword 0xd9c19481dd1c75c5
53418 .xword 0x5ca24c8aea430504
53419 .xword 0xc8d7ee1f9304a325
53420 .xword 0xb5dc764ebdb9bc5b
53421 .xword 0xc79f5b78971ecf45
53422 .xword 0xd68bf4f1ac59fcf1
53423 .xword 0xd2b17737e6b89ac6
53424 .xword 0x9324909678da6b34
53425 .xword 0x79de26274a6f8e12
53426 .xword 0x50f1fd73faebbc50
53427 .xword 0xec351a6031f69724
53428 .xword 0x1e9409994167aabf
53429 .xword 0x7c38f5b8bbad0025
53430 .xword 0x79f2fc72f757b122
53431_t1_copy_fas_result:
53432 .xword 0xDEADBEEFDEADBEEF
53433 .xword 0xDEADBEEFDEADBEEF
53434 .xword 0xDEADBEEFDEADBEEF
53435 .xword 0xDEADBEEFDEADBEEF
53436 .xword 0xDEADBEEFDEADBEEF
53437 .xword 0xDEADBEEFDEADBEEF
53438 .xword 0xDEADBEEFDEADBEEF
53439 .xword 0xDEADBEEFDEADBEEF
53440 .xword 0xDEADBEEFDEADBEEF
53441 .xword 0xDEADBEEFDEADBEEF
53442 .xword 0xDEADBEEFDEADBEEF
53443 .xword 0xDEADBEEFDEADBEEF
53444 .xword 0xDEADBEEFDEADBEEF
53445 .xword 0xDEADBEEFDEADBEEF
53446 .xword 0xDEADBEEFDEADBEEF
53447 .xword 0xDEADBEEFDEADBEEF
53448 .xword 0xDEADBEEFDEADBEEF
53449 .xword 0xDEADBEEFDEADBEEF
53450 .xword 0xDEADBEEFDEADBEEF
53451 .xword 0xDEADBEEFDEADBEEF
53452 .xword 0xDEADBEEFDEADBEEF
53453 .xword 0xDEADBEEFDEADBEEF
53454 .xword 0xDEADBEEFDEADBEEF
53455_t1_crc_key_array:
53456 .xword 0x109e8d71eb108fdd
53457 .xword 0xc3fbf11e9d464360
53458 .xword 0x379eb2b1ab242228
53459 .xword 0x460f57d0cfcbbe3b
53460 .xword 0xbb4e254fbd1ecb10
53461 .xword 0xe525981daee28dae
53462 .xword 0x45c34de0b97090f6
53463 .xword 0xc215b1b6a93074a6
53464 .xword 0x33f7f517b63317b3
53465 .xword 0x2a85d88ad39377c8
53466 .xword 0xf0099c5f2b97663d
53467 .xword 0x90ac9d22e76c8fc4
53468 .xword 0x9851bff9b3cb61b3
53469 .xword 0x7cb232508ce5eb46
53470 .xword 0xe2cf8f1c083a0f8a
53471 .xword 0xd24714900d6914da
53472 .xword 0x773dc807239aa02f
53473 .xword 0x1622eb63a32f7837
53474 .xword 0x74e9cd7752e64d4c
53475 .xword 0xaadc14158e1a6050
53476 .xword 0x1f35be99c884e0c4
53477 .xword 0x24d5a88ee36facc3
53478 .xword 0x0472f864f98181b7
53479 .xword 0x0abb276448ae20a7
53480 .xword 0xf57bf0f91c20edfe
53481 .xword 0x0c128195f9e6f6b5
53482 .xword 0x27bf4ed6259154f3
53483 .xword 0x256a35d7dc75271d
53484 .xword 0xfa026bd71dfbe0e6
53485 .xword 0x1cd2cfc0ccefd1ab
53486 .xword 0xcc677f3cbb79c6f3
53487 .xword 0x5da3c82848baf1e1
53488 .xword 0xfa5b431b0cfd9515
53489 .xword 0x9049de1e9568fdc4
53490 .xword 0x547415dbb5c5d59f
53491 .xword 0x034acdb99a9723dc
53492 .xword 0x2b9b738687a10b93
53493 .xword 0x42083742c1e1213b
53494 .xword 0xcd217baccf4ab238
53495 .xword 0x9daa7d819ff8665c
53496 .xword 0x452d6a81fa648358
53497 .xword 0x5cd77f6bc6ff4550
53498 .xword 0x8b47440fd3e15196
53499 .xword 0x1c7cbd75582dbc0a
53500 .xword 0x5bcb9b42de876d73
53501 .xword 0x87255a5da92f5685
53502 .xword 0x72f3231c68f73489
53503 .xword 0x8345759978cc3154
53504 .xword 0x892ed8761ebe8feb
53505 .xword 0x5a3c0e50062e7c72
53506 .xword 0xd62e55669e5e65cb
53507_t1_crc_iv_array:
53508 .xword 0x5dabc841d19617d4
53509 .xword 0xe3ad08d3d12d97c0
53510 .xword 0x45e0ec2c40f3887a
53511 .xword 0xb01c09d16d875ede
53512 .xword 0x18a3d78feafb670e
53513 .xword 0x14f9865869397ed1
53514 .xword 0xe0eac529d5f2a5b5
53515 .xword 0x66b86e4c8f1e0c4c
53516 .xword 0x7b4354aefaa92a7d
53517 .xword 0x74201129e71e9778
53518 .xword 0x869821c62d3ed3c4
53519 .xword 0xbf2778480c0fe58a
53520 .xword 0xb365a5411c49982c
53521 .xword 0x5c1c548d0f96afc4
53522 .xword 0xa1b3587426f03a3c
53523 .xword 0x355b09a79165fe9d
53524 .xword 0x9b90f6ac4950ede2
53525 .xword 0xbb175b0e23646363
53526 .xword 0x6efb97f3c658c689
53527 .xword 0x41f4b6dfcf0522aa
53528 .xword 0x60b3df5fbce8860d
53529 .xword 0xfbfa6222d3c04332
53530 .xword 0x97415cf800944d91
53531 .xword 0x3574a97e8f6b20d2
53532 .xword 0x5b3ac0efeabc667a
53533 .xword 0x6fa8b9797647709c
53534 .xword 0xbdbe58dfd9a7c759
53535 .xword 0x9b700529066c899e
53536 .xword 0x0290646c247f137e
53537 .xword 0x3d646da37de9aada
53538 .xword 0x56b60aaf39568bcc
53539 .xword 0xb5c393762550344d
53540 .xword 0xaea4ca6c8fed9381
53541 .xword 0xc1b319d215cdbca1
53542 .xword 0x9d8df14dc734d9b8
53543 .xword 0xd524973fa721a126
53544 .xword 0xc9e453447a410c1e
53545 .xword 0x3712acbf28702ef0
53546 .xword 0x56afa186484ce555
53547 .xword 0x92b0eae098253223
53548 .xword 0xbe577ad3bc72231a
53549 .xword 0xbc8662462a0bd382
53550 .xword 0x2127d788ca3c6481
53551 .xword 0x10ba910c0dd9f68a
53552 .xword 0xdecb75c92fc8a2e4
53553_t1_crc_alignment_array:
53554 .xword 8
53555 .xword 11
53556 .xword 9
53557 .xword 12
53558 .xword 5
53559 .xword 8
53560 .xword 3
53561 .xword 12
53562 .xword 4
53563 .xword 0
53564 .xword 8
53565 .xword 7
53566 .xword 7
53567 .xword 13
53568 .xword 11
53569 .xword 9
53570 .xword 14
53571 .xword 8
53572 .xword 7
53573 .xword 1
53574 .xword 12
53575 .xword 10
53576 .xword 3
53577 .xword 5
53578 .xword 4
53579 .xword 12
53580 .xword 4
53581 .xword 11
53582 .xword 10
53583 .xword 6
53584 .xword 2
53585 .xword 10
53586 .xword 6
53587 .xword 3
53588 .xword 15
53589 .xword 15
53590 .xword 0
53591 .xword 7
53592 .xword 14
53593 .xword 9
53594 .xword 8
53595 .xword 12
53596 .xword 5
53597 .xword 5
53598 .xword 0
53599 .xword 9
53600 .xword 4
53601 .xword 1
53602 .xword 9
53603 .xword 9
53604 .xword 2
53605 .xword 3
53606 .xword 14
53607 .xword 10
53608 .xword 1
53609 .xword 13
53610 .xword 10
53611 .xword 15
53612 .xword 1
53613 .xword 7
53614 .xword 14
53615 .xword 5
53616 .xword 5
53617 .xword 6
53618 .xword 6
53619 .xword 2
53620 .xword 3
53621 .xword 8
53622 .xword 15
53623 .xword 10
53624 .xword 13
53625 .xword 6
53626 .xword 7
53627 .xword 11
53628 .xword 14
53629 .xword 4
53630 .xword 0
53631 .xword 1
53632 .xword 8
53633 .xword 2
53634 .xword 4
53635 .xword 9
53636 .xword 5
53637 .xword 7
53638 .xword 13
53639 .xword 7
53640 .xword 8
53641 .xword 9
53642 .xword 13
53643 .xword 3
53644 .xword 0
53645 .xword 15
53646 .xword 4
53647 .xword 11
53648 .xword 11
53649 .xword 0
53650 .xword 3
53651 .xword 11
53652 .xword 5
53653 .xword 14
53654 .xword 11
53655 .xword 9
53656 .xword 10
53657 .xword 4
53658 .xword 11
53659_t1_crc_src:
53660 .xword 0x87c4789038dc39d0
53661 .xword 0xeffcac3c994f54fc
53662 .xword 0x0a81df767c9b8dd1
53663 .xword 0x49051b2d6712357b
53664 .xword 0xc0faf566f04cbea2
53665 .xword 0x92cf4702eb02c3d8
53666 .xword 0x63ea2a98ba1792dc
53667 .xword 0xae8e3b5594e6d179
53668 .xword 0xd5eb3b3aad83c3b8
53669 .xword 0x6604be427db69460
53670 .xword 0x8e1159154630e0b7
53671 .xword 0xc712a67f0e706fb3
53672 .xword 0xa2b586014d7a6539
53673 .xword 0x3736eb797e4ffe49
53674 .xword 0x150167e98fd21331
53675 .xword 0xdc60adfff7e3d70d
53676 .xword 0xcbab889198a443d4
53677 .xword 0xecf840700d33fc05
53678 .xword 0xd745a15323447d14
53679 .xword 0xf42ff9f2ee5cea05
53680 .xword 0xbedf6c3d0c8e2042
53681 .xword 0xf0d607cac3146b63
53682 .xword 0xb6d3dddaeda65d3d
53683 .xword 0x0a5c5e14814fff22
53684 .xword 0xe598b5e49704f3d3
53685 .xword 0x02aefd72efd3999b
53686 .xword 0x7058ea8049965339
53687 .xword 0xde6cb2b4b20419e3
53688 .xword 0xde447b267cfde66a
53689 .xword 0x1f45cfec00f1439d
53690 .xword 0xe8e392ce54f6aac7
53691 .xword 0x015e17239220fabb
53692 .xword 0x7310e2f63beec953
53693 .xword 0xf7267c85c9586526
53694 .xword 0x7f06e19c9d525c78
53695 .xword 0xccb4eac648b78816
53696 .xword 0x4dd296d0fdccba30
53697 .xword 0xc48b8de24689e34e
53698 .xword 0x7afd28cb67758cce
53699 .xword 0x661015a0a77ebe36
53700 .xword 0xa9f903e038a2dc28
53701 .xword 0xcc1605139ab97385
53702 .xword 0x97f0740b6e6106ce
53703 .xword 0x340f9c9f28d9b671
53704 .xword 0x3b193ea3a6ad4947
53705 .xword 0xecfbbccfa32c8a27
53706 .xword 0x9a771516c6c88af1
53707 .xword 0xbbe18675b06daab5
53708 .xword 0xc451d222be635b9f
53709 .xword 0xe5d094d831554a37
53710 .xword 0xa985d59df34301b5
53711 .xword 0x53da90e4a76206f8
53712 .xword 0x131c23544588fcea
53713 .xword 0x28fc555078eebd2e
53714 .xword 0x1ab74a834bff7177
53715 .xword 0x13114bfa360cadbe
53716 .xword 0x22f731d266c31885
53717 .xword 0x402b91e361e0ea21
53718 .xword 0xd5b9b7e89d9bde2c
53719 .xword 0x675ca13d4b9708ca
53720 .xword 0xb495969cec82359b
53721 .xword 0xaf5f3ddaf7a0a28c
53722 .xword 0x61e4f09f81ebbc1c
53723 .xword 0xe97371b6d542730c
53724 .xword 0xa8001efc2996dbc8
53725 .xword 0xed1e08cecef8cf65
53726 .xword 0x48f9250253f5db13
53727 .xword 0xe3eda3f108aff183
53728 .xword 0x78f514966c2bc3cc
53729 .xword 0xd800a08cca51de94
53730 .xword 0xa2bf1f08644ed7d6
53731 .xword 0x6e94786a2ffda3d9
53732 .xword 0xb8973158de538570
53733 .xword 0x0e65e293a367c9eb
53734 .xword 0x1dcc7592be9c55f8
53735 .xword 0x84db732783285a47
53736 .xword 0x6f599ae9a9c1e746
53737 .xword 0x7b6c87f1b2a7e29e
53738 .xword 0x7bbc9240aea32122
53739 .xword 0x1235e14b402709c4
53740 .xword 0x79230a5d1c69ba70
53741 .xword 0xdeff5c058abd3b5b
53742 .xword 0x491c2ddcbb114ec1
53743 .xword 0xba93787842426c2f
53744 .xword 0x3171f3719f5c0416
53745 .xword 0xe87001ce1baa7996
53746 .xword 0x98683fb7ab4bd822
53747 .xword 0x9815c8469602409d
53748 .xword 0xa0b920863738babe
53749 .xword 0x36b7efde733b41af
53750 .xword 0xbe5813e519210859
53751 .xword 0x04458c261c4ec239
53752 .xword 0x3e2081b58fc19b03
53753 .xword 0xf0e3521c4c445475
53754 .xword 0x04e934c894324efa
53755 .xword 0x7998be4e36181be3
53756 .xword 0x5df1b53f2a1d87b6
53757 .xword 0x2a472105927343a8
53758 .xword 0xb42a501bba4cfc61
53759 .xword 0x72579c86a4f35180
53760 .xword 0x51752f404f777a1c
53761 .xword 0x2084e2b51bb6970f
53762 .xword 0x9271e5efc1952652
53763 .xword 0x3b2405e9047ea61c
53764 .xword 0xbb588aca9fff51ca
53765 .xword 0x3388d88f00664b9c
53766 .xword 0xab0d4071784e25bc
53767 .xword 0x3d20d964c4f2a8c4
53768 .xword 0x3862e99767649596
53769 .xword 0xe7d17858b6c18102
53770 .xword 0xff3ed8650c9fcea7
53771 .xword 0x3d8185e5d6a12de8
53772 .xword 0xe68c97558f4a76f5
53773 .xword 0xc50f6a524af9355e
53774 .xword 0x7dd57207b4fe0111
53775 .xword 0xa90385dc9d64bb07
53776 .xword 0x833eba9e1ffba791
53777 .xword 0xc5816aeb557c9615
53778 .xword 0xa17843f67143037c
53779 .xword 0x18e784008dd2a67c
53780 .xword 0x8489b48e7a851505
53781 .xword 0x80811b25122259e3
53782 .xword 0x53b4204982705747
53783 .xword 0xf236d53085bce740
53784 .xword 0x0cc1cc0dc13f764e
53785 .xword 0x0e36c584685f64d3
53786 .xword 0xeb7db04ff279e3d9
53787 .xword 0x9a47e8d675da35d1
53788 .xword 0xd0ef842b1a170a37
53789 .xword 0x6e38299ee51fc57c
53790 .xword 0x346db33083628c37
53791 .xword 0xe43a762cd8c2c33a
53792 .xword 0x188f10871b6d5499
53793 .xword 0x5c0152d62b86fa46
53794 .xword 0xc67d45b8584913bd
53795 .xword 0x4d6d8b389e01ce0d
53796 .xword 0x4bb383fc69d43559
53797 .xword 0x217f18ed1ac15f9b
53798 .xword 0xac390ea4cd275380
53799 .xword 0xd9dd832f6d2331db
53800 .xword 0x13d55747830453d4
53801 .xword 0x0381826bab7e3794
53802 .xword 0xeebd8d81d3e27ac8
53803 .xword 0x6489e3a198c49f89
53804 .xword 0x8d533c80e883e8a6
53805 .xword 0x981a4612b282cc08
53806 .xword 0xac0ffb89d9b32ecf
53807 .xword 0x1eb08ab646762989
53808 .xword 0xc5c76f877020bab8
53809 .xword 0xbce7856a6872f20a
53810 .xword 0xdaf10739a78790b7
53811 .xword 0x498a7c224762ca8c
53812 .xword 0xac370858ad7ca0bc
53813 .xword 0x1a90ca1c8045dd7d
53814 .xword 0x7dcca161068f6418
53815 .xword 0xabbd83293af8ccf9
53816 .xword 0x2a5bd7c5fb3d4996
53817 .xword 0x5a51bdb03a549ca4
53818 .xword 0x7ba9ad2ad933f78d
53819 .xword 0x126bd7a0a7127d01
53820 .xword 0xb6591fa3fda7f4c7
53821 .xword 0xc98d303fc8872dd7
53822 .xword 0xdcfe8cb5e43d1ef0
53823 .xword 0xdbc1ae1aee1af021
53824 .xword 0x09691ded906f1b14
53825 .xword 0x64326f1b96cfe374
53826 .xword 0xa6e1d8c3ecb20dcf
53827 .xword 0x901463ba28a039d3
53828 .xword 0x0433be7c8cfc33b6
53829 .xword 0x926c1a3681479dbc
53830 .xword 0x2575482c4b6ef632
53831 .xword 0x05f990e5a9d1406c
53832 .xword 0x04969d1f33e98fba
53833 .xword 0x57e3e5b6f7736ac3
53834 .xword 0x1ed37c24ea3f990b
53835 .xword 0x3b6156b267bb2975
53836 .xword 0xc61c50f382da9fa7
53837 .xword 0x6aa587499ee5464c
53838 .xword 0x3aecec6c01a9959d
53839 .xword 0x7178d6b2950e6c48
53840 .xword 0x59421bbca8e28fea
53841 .xword 0x7765e63681873425
53842 .xword 0xfa65b43c4d141275
53843 .xword 0x68c4ea9ea3da4118
53844 .xword 0x2f25c9629624eaea
53845 .xword 0xc7f40988af795293
53846 .xword 0x3779d2466abb6766
53847 .xword 0xa6f8982ffd631548
53848 .xword 0x96cb7585bfedee8c
53849 .xword 0x289db9c33ba6aee5
53850 .xword 0xdde64e0046922771
53851 .xword 0x524d105fa942d838
53852 .xword 0xbcb9749c6ef60e4d
53853 .xword 0xceee71a476d7d4c6
53854 .xword 0xa8c6e3e0ebd19334
53855 .xword 0xc1a67719114c38bb
53856 .xword 0x17dafe2d906445e6
53857 .xword 0x8bcac8498d5a871b
53858 .xword 0x06cadcfe897e7547
53859 .xword 0x402d74c816ac2084
53860 .xword 0xcc1542e5960b0fdd
53861 .xword 0x18477b4972630902
53862 .xword 0x93ebfb43ec1543b7
53863 .xword 0xba1f554cc189d074
53864 .xword 0x8911a8fb24920a70
53865 .xword 0xe6b4dfc8dc4f5e23
53866 .xword 0x45acbda02f67cfb2
53867 .xword 0xea30887d1a02fa9a
53868 .xword 0x9550fb54beca9f91
53869 .xword 0xc347a5a8d28ad395
53870 .xword 0x3ac1dfa4eb319bef
53871 .xword 0x0ff024a7588c4a92
53872 .xword 0xa8619d65d641f939
53873 .xword 0xed618fb69d0e941c
53874 .xword 0x51929ec1db3c83e4
53875 .xword 0xde77a2673f41e92c
53876 .xword 0x1343b7a93d461960
53877 .xword 0xf01e058ab2448b4c
53878 .xword 0xf509b26674e75844
53879 .xword 0xeb2849ec181befd5
53880 .xword 0x48f7c2487d451ab9
53881 .xword 0xfd695b9fedcad902
53882 .xword 0xe66cfd5310ccf1d4
53883 .xword 0xec10eb2adf40395c
53884 .xword 0x1c47ce1fd0050611
53885 .xword 0x584971e0f7996a77
53886 .xword 0xbf83285d5c03fdcf
53887 .xword 0x8308f7dfb3b9a2c6
53888 .xword 0xf0340b57d6ea2e6d
53889 .xword 0x85ac9854d008939e
53890 .xword 0xa7c96a6389cbba93
53891 .xword 0xac66afef0a482695
53892 .xword 0xe5c321a0c7f44561
53893 .xword 0x9e4c5d557f9ffd3a
53894 .xword 0xc36584b6bb1c4cae
53895 .xword 0x9f503120266ca134
53896 .xword 0xdf61a0d566236534
53897 .xword 0x0450223bf4d70421
53898 .xword 0x170fd408aacdea1d
53899 .xword 0xf06cb465557e89cf
53900 .xword 0x3d103b9fb86ef4c7
53901 .xword 0xe4e85d0c3f92c0f1
53902 .xword 0xca8870d70d5e6d50
53903 .xword 0x8b0df0e59ac527c7
53904 .xword 0x4d6231b0fde90ea1
53905 .xword 0x5b9dbe35eef1c301
53906 .xword 0x4da859ca43905af1
53907 .xword 0xae718779c6e9cc17
53908 .xword 0xbd08c502c0220001
53909 .xword 0x73f85cfdf2af357d
53910 .xword 0x604060bff44fbd3b
53911 .xword 0x98332c3d61c70b11
53912 .xword 0x6b32f9e26a8d6ca5
53913 .xword 0x65fdc5b51fae2690
53914 .xword 0x6d20769759d6e5fb
53915 .xword 0xc96991862d5d55ae
53916 .xword 0xfd1a0157d9b798ff
53917 .xword 0xe8ea4086c6d4f7af
53918 .xword 0xbfb91c652e00da8e
53919 .xword 0x0fe13586382e9c89
53920 .xword 0x1ae2df1f7187817b
53921 .xword 0xaebb66292c08ae90
53922 .xword 0x71e4b0750e409ae4
53923 .xword 0x12c786b646c6991b
53924 .xword 0x381922ab946ab6db
53925 .xword 0x0a7aeffea156cb85
53926 .xword 0x023a4f372b363cb7
53927 .xword 0x095a54e95c2e1846
53928 .xword 0xf2c6f795764d0b12
53929 .xword 0x1eb30d2414762099
53930 .xword 0x30cf7240b4d3ca3c
53931 .xword 0x0eefdc34c14ba65f
53932 .xword 0xa4366964d850fb1b
53933 .xword 0xbc70e1fc6a113463
53934 .xword 0xe5582570c317cf52
53935_t1_crc_dest:
53936 .xword 0xDEADBEEFDEADBEEF
53937 .xword 0xDEADBEEFDEADBEEF
53938 .xword 0xDEADBEEFDEADBEEF
53939 .xword 0xDEADBEEFDEADBEEF
53940 .xword 0xDEADBEEFDEADBEEF
53941 .xword 0xDEADBEEFDEADBEEF
53942 .xword 0xDEADBEEFDEADBEEF
53943 .xword 0xDEADBEEFDEADBEEF
53944 .xword 0xDEADBEEFDEADBEEF
53945 .xword 0xDEADBEEFDEADBEEF
53946 .xword 0xDEADBEEFDEADBEEF
53947 .xword 0xDEADBEEFDEADBEEF
53948 .xword 0xDEADBEEFDEADBEEF
53949 .xword 0xDEADBEEFDEADBEEF
53950 .xword 0xDEADBEEFDEADBEEF
53951 .xword 0xDEADBEEFDEADBEEF
53952 .xword 0xDEADBEEFDEADBEEF
53953 .xword 0xDEADBEEFDEADBEEF
53954 .xword 0xDEADBEEFDEADBEEF
53955 .xword 0xDEADBEEFDEADBEEF
53956 .xword 0xDEADBEEFDEADBEEF
53957 .xword 0xDEADBEEFDEADBEEF
53958 .xword 0xDEADBEEFDEADBEEF
53959 .xword 0xDEADBEEFDEADBEEF
53960 .xword 0xDEADBEEFDEADBEEF
53961 .xword 0xDEADBEEFDEADBEEF
53962 .xword 0xDEADBEEFDEADBEEF
53963 .xword 0xDEADBEEFDEADBEEF
53964 .xword 0xDEADBEEFDEADBEEF
53965 .xword 0xDEADBEEFDEADBEEF
53966 .xword 0xDEADBEEFDEADBEEF
53967 .xword 0xDEADBEEFDEADBEEF
53968 .xword 0xDEADBEEFDEADBEEF
53969 .xword 0xDEADBEEFDEADBEEF
53970 .xword 0xDEADBEEFDEADBEEF
53971 .xword 0xDEADBEEFDEADBEEF
53972 .xword 0xDEADBEEFDEADBEEF
53973 .xword 0xDEADBEEFDEADBEEF
53974 .xword 0xDEADBEEFDEADBEEF
53975 .xword 0xDEADBEEFDEADBEEF
53976 .xword 0xDEADBEEFDEADBEEF
53977 .xword 0xDEADBEEFDEADBEEF
53978 .xword 0xDEADBEEFDEADBEEF
53979 .xword 0xDEADBEEFDEADBEEF
53980 .xword 0xDEADBEEFDEADBEEF
53981 .xword 0xDEADBEEFDEADBEEF
53982 .xword 0xDEADBEEFDEADBEEF
53983 .xword 0xDEADBEEFDEADBEEF
53984 .xword 0xDEADBEEFDEADBEEF
53985 .xword 0xDEADBEEFDEADBEEF
53986 .xword 0xDEADBEEFDEADBEEF
53987 .xword 0xDEADBEEFDEADBEEF
53988 .xword 0xDEADBEEFDEADBEEF
53989 .xword 0xDEADBEEFDEADBEEF
53990 .xword 0xDEADBEEFDEADBEEF
53991 .xword 0xDEADBEEFDEADBEEF
53992 .xword 0xDEADBEEFDEADBEEF
53993 .xword 0xDEADBEEFDEADBEEF
53994 .xword 0xDEADBEEFDEADBEEF
53995 .xword 0xDEADBEEFDEADBEEF
53996 .xword 0xDEADBEEFDEADBEEF
53997 .xword 0xDEADBEEFDEADBEEF
53998 .xword 0xDEADBEEFDEADBEEF
53999 .xword 0xDEADBEEFDEADBEEF
54000 .xword 0xDEADBEEFDEADBEEF
54001 .xword 0xDEADBEEFDEADBEEF
54002 .xword 0xDEADBEEFDEADBEEF
54003 .xword 0xDEADBEEFDEADBEEF
54004 .xword 0xDEADBEEFDEADBEEF
54005 .xword 0xDEADBEEFDEADBEEF
54006 .xword 0xDEADBEEFDEADBEEF
54007 .xword 0xDEADBEEFDEADBEEF
54008 .xword 0xDEADBEEFDEADBEEF
54009 .xword 0xDEADBEEFDEADBEEF
54010 .xword 0xDEADBEEFDEADBEEF
54011 .xword 0xDEADBEEFDEADBEEF
54012 .xword 0xDEADBEEFDEADBEEF
54013 .xword 0xDEADBEEFDEADBEEF
54014 .xword 0xDEADBEEFDEADBEEF
54015 .xword 0xDEADBEEFDEADBEEF
54016 .xword 0xDEADBEEFDEADBEEF
54017 .xword 0xDEADBEEFDEADBEEF
54018 .xword 0xDEADBEEFDEADBEEF
54019 .xword 0xDEADBEEFDEADBEEF
54020 .xword 0xDEADBEEFDEADBEEF
54021 .xword 0xDEADBEEFDEADBEEF
54022 .xword 0xDEADBEEFDEADBEEF
54023 .xword 0xDEADBEEFDEADBEEF
54024 .xword 0xDEADBEEFDEADBEEF
54025 .xword 0xDEADBEEFDEADBEEF
54026 .xword 0xDEADBEEFDEADBEEF
54027 .xword 0xDEADBEEFDEADBEEF
54028 .xword 0xDEADBEEFDEADBEEF
54029 .xword 0xDEADBEEFDEADBEEF
54030 .xword 0xDEADBEEFDEADBEEF
54031 .xword 0xDEADBEEFDEADBEEF
54032 .xword 0xDEADBEEFDEADBEEF
54033 .xword 0xDEADBEEFDEADBEEF
54034 .xword 0xDEADBEEFDEADBEEF
54035 .xword 0xDEADBEEFDEADBEEF
54036 .xword 0xDEADBEEFDEADBEEF
54037 .xword 0xDEADBEEFDEADBEEF
54038 .xword 0xDEADBEEFDEADBEEF
54039 .xword 0xDEADBEEFDEADBEEF
54040 .xword 0xDEADBEEFDEADBEEF
54041 .xword 0xDEADBEEFDEADBEEF
54042 .xword 0xDEADBEEFDEADBEEF
54043 .xword 0xDEADBEEFDEADBEEF
54044 .xword 0xDEADBEEFDEADBEEF
54045 .xword 0xDEADBEEFDEADBEEF
54046 .xword 0xDEADBEEFDEADBEEF
54047 .xword 0xDEADBEEFDEADBEEF
54048 .xword 0xDEADBEEFDEADBEEF
54049 .xword 0xDEADBEEFDEADBEEF
54050 .xword 0xDEADBEEFDEADBEEF
54051 .xword 0xDEADBEEFDEADBEEF
54052 .xword 0xDEADBEEFDEADBEEF
54053 .xword 0xDEADBEEFDEADBEEF
54054 .xword 0xDEADBEEFDEADBEEF
54055 .xword 0xDEADBEEFDEADBEEF
54056 .xword 0xDEADBEEFDEADBEEF
54057 .xword 0xDEADBEEFDEADBEEF
54058 .xword 0xDEADBEEFDEADBEEF
54059 .xword 0xDEADBEEFDEADBEEF
54060 .xword 0xDEADBEEFDEADBEEF
54061 .xword 0xDEADBEEFDEADBEEF
54062 .xword 0xDEADBEEFDEADBEEF
54063 .xword 0xDEADBEEFDEADBEEF
54064 .xword 0xDEADBEEFDEADBEEF
54065 .xword 0xDEADBEEFDEADBEEF
54066 .xword 0xDEADBEEFDEADBEEF
54067 .xword 0xDEADBEEFDEADBEEF
54068 .xword 0xDEADBEEFDEADBEEF
54069 .xword 0xDEADBEEFDEADBEEF
54070 .xword 0xDEADBEEFDEADBEEF
54071 .xword 0xDEADBEEFDEADBEEF
54072 .xword 0xDEADBEEFDEADBEEF
54073 .xword 0xDEADBEEFDEADBEEF
54074 .xword 0xDEADBEEFDEADBEEF
54075 .xword 0xDEADBEEFDEADBEEF
54076 .xword 0xDEADBEEFDEADBEEF
54077 .xword 0xDEADBEEFDEADBEEF
54078 .xword 0xDEADBEEFDEADBEEF
54079 .xword 0xDEADBEEFDEADBEEF
54080 .xword 0xDEADBEEFDEADBEEF
54081 .xword 0xDEADBEEFDEADBEEF
54082 .xword 0xDEADBEEFDEADBEEF
54083 .xword 0xDEADBEEFDEADBEEF
54084 .xword 0xDEADBEEFDEADBEEF
54085 .xword 0xDEADBEEFDEADBEEF
54086 .xword 0xDEADBEEFDEADBEEF
54087 .xword 0xDEADBEEFDEADBEEF
54088 .xword 0xDEADBEEFDEADBEEF
54089 .xword 0xDEADBEEFDEADBEEF
54090 .xword 0xDEADBEEFDEADBEEF
54091 .xword 0xDEADBEEFDEADBEEF
54092 .xword 0xDEADBEEFDEADBEEF
54093 .xword 0xDEADBEEFDEADBEEF
54094 .xword 0xDEADBEEFDEADBEEF
54095 .xword 0xDEADBEEFDEADBEEF
54096 .xword 0xDEADBEEFDEADBEEF
54097 .xword 0xDEADBEEFDEADBEEF
54098 .xword 0xDEADBEEFDEADBEEF
54099 .xword 0xDEADBEEFDEADBEEF
54100 .xword 0xDEADBEEFDEADBEEF
54101 .xword 0xDEADBEEFDEADBEEF
54102 .xword 0xDEADBEEFDEADBEEF
54103 .xword 0xDEADBEEFDEADBEEF
54104 .xword 0xDEADBEEFDEADBEEF
54105 .xword 0xDEADBEEFDEADBEEF
54106 .xword 0xDEADBEEFDEADBEEF
54107 .xword 0xDEADBEEFDEADBEEF
54108 .xword 0xDEADBEEFDEADBEEF
54109 .xword 0xDEADBEEFDEADBEEF
54110 .xword 0xDEADBEEFDEADBEEF
54111 .xword 0xDEADBEEFDEADBEEF
54112 .xword 0xDEADBEEFDEADBEEF
54113 .xword 0xDEADBEEFDEADBEEF
54114 .xword 0xDEADBEEFDEADBEEF
54115 .xword 0xDEADBEEFDEADBEEF
54116 .xword 0xDEADBEEFDEADBEEF
54117 .xword 0xDEADBEEFDEADBEEF
54118 .xword 0xDEADBEEFDEADBEEF
54119 .xword 0xDEADBEEFDEADBEEF
54120 .xword 0xDEADBEEFDEADBEEF
54121 .xword 0xDEADBEEFDEADBEEF
54122 .xword 0xDEADBEEFDEADBEEF
54123 .xword 0xDEADBEEFDEADBEEF
54124 .xword 0xDEADBEEFDEADBEEF
54125 .xword 0xDEADBEEFDEADBEEF
54126 .xword 0xDEADBEEFDEADBEEF
54127 .xword 0xDEADBEEFDEADBEEF
54128 .xword 0xDEADBEEFDEADBEEF
54129 .xword 0xDEADBEEFDEADBEEF
54130 .xword 0xDEADBEEFDEADBEEF
54131 .xword 0xDEADBEEFDEADBEEF
54132 .xword 0xDEADBEEFDEADBEEF
54133 .xword 0xDEADBEEFDEADBEEF
54134 .xword 0xDEADBEEFDEADBEEF
54135 .xword 0xDEADBEEFDEADBEEF
54136 .xword 0xDEADBEEFDEADBEEF
54137 .xword 0xDEADBEEFDEADBEEF
54138 .xword 0xDEADBEEFDEADBEEF
54139 .xword 0xDEADBEEFDEADBEEF
54140 .xword 0xDEADBEEFDEADBEEF
54141 .xword 0xDEADBEEFDEADBEEF
54142 .xword 0xDEADBEEFDEADBEEF
54143 .xword 0xDEADBEEFDEADBEEF
54144 .xword 0xDEADBEEFDEADBEEF
54145 .xword 0xDEADBEEFDEADBEEF
54146 .xword 0xDEADBEEFDEADBEEF
54147 .xword 0xDEADBEEFDEADBEEF
54148 .xword 0xDEADBEEFDEADBEEF
54149 .xword 0xDEADBEEFDEADBEEF
54150 .xword 0xDEADBEEFDEADBEEF
54151 .xword 0xDEADBEEFDEADBEEF
54152 .xword 0xDEADBEEFDEADBEEF
54153 .xword 0xDEADBEEFDEADBEEF
54154 .xword 0xDEADBEEFDEADBEEF
54155 .xword 0xDEADBEEFDEADBEEF
54156 .xword 0xDEADBEEFDEADBEEF
54157 .xword 0xDEADBEEFDEADBEEF
54158 .xword 0xDEADBEEFDEADBEEF
54159 .xword 0xDEADBEEFDEADBEEF
54160 .xword 0xDEADBEEFDEADBEEF
54161 .xword 0xDEADBEEFDEADBEEF
54162 .xword 0xDEADBEEFDEADBEEF
54163 .xword 0xDEADBEEFDEADBEEF
54164 .xword 0xDEADBEEFDEADBEEF
54165 .xword 0xDEADBEEFDEADBEEF
54166 .xword 0xDEADBEEFDEADBEEF
54167 .xword 0xDEADBEEFDEADBEEF
54168 .xword 0xDEADBEEFDEADBEEF
54169 .xword 0xDEADBEEFDEADBEEF
54170 .xword 0xDEADBEEFDEADBEEF
54171 .xword 0xDEADBEEFDEADBEEF
54172 .xword 0xDEADBEEFDEADBEEF
54173 .xword 0xDEADBEEFDEADBEEF
54174 .xword 0xDEADBEEFDEADBEEF
54175 .xword 0xDEADBEEFDEADBEEF
54176 .xword 0xDEADBEEFDEADBEEF
54177 .xword 0xDEADBEEFDEADBEEF
54178 .xword 0xDEADBEEFDEADBEEF
54179 .xword 0xDEADBEEFDEADBEEF
54180 .xword 0xDEADBEEFDEADBEEF
54181 .xword 0xDEADBEEFDEADBEEF
54182 .xword 0xDEADBEEFDEADBEEF
54183 .xword 0xDEADBEEFDEADBEEF
54184 .xword 0xDEADBEEFDEADBEEF
54185 .xword 0xDEADBEEFDEADBEEF
54186 .xword 0xDEADBEEFDEADBEEF
54187 .xword 0xDEADBEEFDEADBEEF
54188 .xword 0xDEADBEEFDEADBEEF
54189 .xword 0xDEADBEEFDEADBEEF
54190 .xword 0xDEADBEEFDEADBEEF
54191 .xword 0xDEADBEEFDEADBEEF
54192 .xword 0xDEADBEEFDEADBEEF
54193 .xword 0xDEADBEEFDEADBEEF
54194 .xword 0xDEADBEEFDEADBEEF
54195 .xword 0xDEADBEEFDEADBEEF
54196 .xword 0xDEADBEEFDEADBEEF
54197 .xword 0xDEADBEEFDEADBEEF
54198 .xword 0xDEADBEEFDEADBEEF
54199 .xword 0xDEADBEEFDEADBEEF
54200 .xword 0xDEADBEEFDEADBEEF
54201 .xword 0xDEADBEEFDEADBEEF
54202 .xword 0xDEADBEEFDEADBEEF
54203 .xword 0xDEADBEEFDEADBEEF
54204 .xword 0xDEADBEEFDEADBEEF
54205 .xword 0xDEADBEEFDEADBEEF
54206 .xword 0xDEADBEEFDEADBEEF
54207 .xword 0xDEADBEEFDEADBEEF
54208 .xword 0xDEADBEEFDEADBEEF
54209 .xword 0xDEADBEEFDEADBEEF
54210 .xword 0xDEADBEEFDEADBEEF
54211_t1_crc_auth_key:
54212 .xword 0x06ec600989c285dd
54213 .xword 0xedd5ccc96f9a1b60
54214 .xword 0x5a79f50461093348
54215 .xword 0x04a10fb81f7d458c
54216 .xword 0x8e141e00bff8be79
54217 .xword 0x8f22c0ee788aeae4
54218 .xword 0x1a9f06c77f7c6ed6
54219 .xword 0x276ec55f2465fe96
54220 .xword 0xed3a2e1bb7024d4a
54221 .xword 0x8b5539ed8ae29ddf
54222 .xword 0xdf3e1a233e4e5e85
54223 .xword 0xac922fc20cd7f92e
54224 .xword 0xf9a36a361ebd9fef
54225 .xword 0x2a1740197ff9944f
54226 .xword 0xe06f7abe0ea1591e
54227 .xword 0x602ae92a86ac0e7a
54228 .xword 0x4497c446e1b79357
54229 .xword 0x6b5f980fdc47d004
54230 .xword 0x75990d4cbfc1e3d9
54231 .xword 0x31c68c7456140b9c
54232 .xword 0x96004ed40741e42f
54233 .xword 0x42f512ede6412b96
54234 .xword 0x6bd52e759168266b
54235_t1_crc_auth_iv:
54236 .xword 0x9f4a717d17797eeb
54237 .xword 0x0f08f2123d36999b
54238 .xword 0x14a3f78170c78dbd
54239 .xword 0x4435e9673cdb8b84
54240 .xword 0x37fdaf4b785fc564
54241 .xword 0x9c3b4e1dcbe911aa
54242 .xword 0xe5d2887be568161a
54243 .xword 0x4f339f83381094f5
54244 .xword 0x09a9cf727fdcde26
54245 .xword 0xb8094e1287c3ae35
54246 .xword 0x94fdb5037f8352c4
54247 .xword 0xb1032ba5c7f760b9
54248 .xword 0x261c187c7daec45b
54249 .xword 0x1fab9c04010aa93d
54250 .xword 0x6059f2f087ceee3e
54251 .xword 0xc05f6d81e7afbdf1
54252 .xword 0xbd09bbfba1183961
54253 .xword 0x630e61e6ebad0868
54254 .xword 0xa830527ea83a425a
54255 .xword 0x973bc54ba082f391
54256 .xword 0x6993f2a35dc245b7
54257 .xword 0x8400691f0de49497
54258 .xword 0x988554a961bfb737
54259_t1_crc_fas_result:
54260 .xword 0xDEADBEEFDEADBEEF
54261 .xword 0xDEADBEEFDEADBEEF
54262 .xword 0xDEADBEEFDEADBEEF
54263 .xword 0xDEADBEEFDEADBEEF
54264 .xword 0xDEADBEEFDEADBEEF
54265 .xword 0xDEADBEEFDEADBEEF
54266 .xword 0xDEADBEEFDEADBEEF
54267 .xword 0xDEADBEEFDEADBEEF
54268 .xword 0xDEADBEEFDEADBEEF
54269 .xword 0xDEADBEEFDEADBEEF
54270 .xword 0xDEADBEEFDEADBEEF
54271 .xword 0xDEADBEEFDEADBEEF
54272 .xword 0xDEADBEEFDEADBEEF
54273 .xword 0xDEADBEEFDEADBEEF
54274 .xword 0xDEADBEEFDEADBEEF
54275 .xword 0xDEADBEEFDEADBEEF
54276 .xword 0xDEADBEEFDEADBEEF
54277 .xword 0xDEADBEEFDEADBEEF
54278 .xword 0xDEADBEEFDEADBEEF
54279 .xword 0xDEADBEEFDEADBEEF
54280 .xword 0xDEADBEEFDEADBEEF
54281 .xword 0xDEADBEEFDEADBEEF
54282 .xword 0xDEADBEEFDEADBEEF
54283_t1_hash_key_array:
54284 .xword 0xebbd29a20c2c916e
54285 .xword 0xc02c6589e459effd
54286 .xword 0xd534934fa0502c19
54287 .xword 0x1a26df7704a93bdf
54288 .xword 0x1ce6ca8396444716
54289 .xword 0x786d58a5c294e731
54290 .xword 0xe819feab0110dbe3
54291 .xword 0x61fc82abece1dd50
54292 .xword 0xae755c93827c1ead
54293 .xword 0x97056c2b34e10791
54294 .xword 0x817de138de60218b
54295 .xword 0x143ffd94c0acf66b
54296 .xword 0xdcc7aa00984e260c
54297 .xword 0x00c89a160f4b7f2f
54298 .xword 0x4f289cdb5214b58d
54299 .xword 0xec720cdfb42b8f38
54300 .xword 0x07617697c1ffc7fb
54301 .xword 0x093ad48deafd0ff6
54302 .xword 0xfbc059161268b5ad
54303 .xword 0xaa13d5adac9d37b7
54304 .xword 0x3d449d12a7cda243
54305 .xword 0xd8ec1e1fa434eadd
54306 .xword 0xc1aa5fe8abcd20de
54307 .xword 0x3dac477c697e8e21
54308 .xword 0x43c324687f6ad613
54309 .xword 0x52f853925231c63c
54310 .xword 0x96abf767db723685
54311 .xword 0x5a40521cfd00cbb4
54312 .xword 0x78eb9bf13d4b0d18
54313 .xword 0x6f70cf530be43fc2
54314 .xword 0x50215630e8767eef
54315 .xword 0xe38525ed36c679f2
54316 .xword 0xcd5f9540c31257aa
54317 .xword 0x5cd904bd36efca91
54318 .xword 0x044c899e5d0d61ad
54319 .xword 0x2619575174aee0d4
54320 .xword 0x40ba10aca26b0e52
54321 .xword 0x69deb19a3d639481
54322 .xword 0x790c46634eaa77af
54323 .xword 0xf01e9e5191b7db90
54324 .xword 0x5f5fe177a1a0693d
54325 .xword 0xe16c3c5dc12cd260
54326 .xword 0x09a0cacbb3bb1945
54327 .xword 0xcefca2aba3a5c7b9
54328 .xword 0xc4bf0ec1b92c2c83
54329 .xword 0x06ad9eda21b3275e
54330 .xword 0xbc87bf39449a5a0e
54331 .xword 0x85574a17efe60b1b
54332 .xword 0x2575370ff687460e
54333 .xword 0x566fc5bd6f29c271
54334 .xword 0x2f00176549fccc2c
54335_t1_hash_iv_array:
54336 .xword 0xed32024492a371f7
54337 .xword 0x7f493a0972896ea5
54338 .xword 0x31c074f4af93d3bd
54339 .xword 0x5e0ac469483354b7
54340 .xword 0xc80e7ec2b343185b
54341 .xword 0x99f32578a4e1d6f8
54342 .xword 0x37e769f7cfdd94eb
54343 .xword 0x951fb71b04fc7092
54344 .xword 0xb82b45a39308c428
54345 .xword 0x626c4c2553bf7e66
54346 .xword 0x0fcda7a92b4b3ff9
54347 .xword 0xebfa29b6098bda26
54348 .xword 0x3fcfac56f30fd312
54349 .xword 0x032f697cd9425283
54350 .xword 0x05374d4695b826ef
54351 .xword 0xf84fd3292283ac53
54352 .xword 0xf56bd4fe64409d57
54353 .xword 0x28e515012d61f452
54354 .xword 0xa69e7418a8a47a9a
54355 .xword 0xf43497b043d2695b
54356 .xword 0xd94241751d278ac2
54357 .xword 0x6daad874fc6b38fb
54358 .xword 0xcc3daf2c8c7e6425
54359 .xword 0xa8db2cd09d847fca
54360 .xword 0x112c36684aaefb49
54361 .xword 0xd956f5364c51ddd2
54362 .xword 0x97bdfd2e91f49cfb
54363 .xword 0xcfde838737d31152
54364 .xword 0xdc667b1484757332
54365 .xword 0x3f5e206043a988f5
54366 .xword 0xecf99d0328423ca4
54367 .xword 0x7413359e5f898340
54368 .xword 0xea316492e8f71a44
54369 .xword 0x1768588e9c66ee29
54370 .xword 0xec5d8b7bd0d902a8
54371 .xword 0xc7f889f3179c80e3
54372 .xword 0x0f7e65709af8fc2b
54373 .xword 0x408bfe70b2fe47f4
54374 .xword 0x3327e0ed5ae8b340
54375 .xword 0xaaad7e288735c254
54376 .xword 0xcce7c5a119bc9768
54377 .xword 0x41461f4d5bb5e966
54378 .xword 0x73aef357dcdf5894
54379 .xword 0x7d0801b122f938ae
54380 .xword 0xe908560358cfd12e
54381_t1_hash_alignment_array:
54382 .xword 2
54383 .xword 10
54384 .xword 1
54385 .xword 14
54386 .xword 6
54387 .xword 6
54388 .xword 1
54389 .xword 9
54390 .xword 13
54391 .xword 5
54392 .xword 12
54393 .xword 4
54394 .xword 12
54395 .xword 12
54396 .xword 7
54397 .xword 3
54398 .xword 2
54399 .xword 13
54400 .xword 5
54401 .xword 3
54402 .xword 0
54403 .xword 2
54404 .xword 1
54405 .xword 4
54406 .xword 4
54407 .xword 1
54408 .xword 11
54409 .xword 0
54410 .xword 14
54411 .xword 5
54412 .xword 2
54413 .xword 0
54414 .xword 1
54415 .xword 13
54416 .xword 8
54417 .xword 3
54418 .xword 4
54419 .xword 1
54420 .xword 5
54421 .xword 7
54422 .xword 3
54423 .xword 2
54424 .xword 0
54425 .xword 15
54426 .xword 1
54427 .xword 7
54428 .xword 4
54429 .xword 6
54430 .xword 15
54431 .xword 12
54432 .xword 6
54433 .xword 11
54434 .xword 8
54435 .xword 11
54436 .xword 11
54437 .xword 15
54438 .xword 2
54439 .xword 0
54440 .xword 9
54441 .xword 12
54442 .xword 15
54443 .xword 9
54444 .xword 4
54445 .xword 11
54446 .xword 9
54447 .xword 4
54448 .xword 10
54449 .xword 0
54450 .xword 0
54451 .xword 15
54452 .xword 9
54453 .xword 14
54454 .xword 13
54455 .xword 5
54456 .xword 14
54457 .xword 6
54458 .xword 4
54459 .xword 0
54460 .xword 3
54461 .xword 10
54462 .xword 1
54463 .xword 4
54464 .xword 13
54465 .xword 6
54466 .xword 15
54467 .xword 15
54468 .xword 8
54469 .xword 14
54470 .xword 2
54471 .xword 13
54472 .xword 0
54473 .xword 11
54474 .xword 1
54475 .xword 6
54476 .xword 4
54477 .xword 7
54478 .xword 0
54479 .xword 9
54480 .xword 0
54481 .xword 5
54482 .xword 9
54483 .xword 5
54484 .xword 4
54485 .xword 12
54486 .xword 3
54487_t1_hash_src:
54488 .xword 0x4ef2531ed9cbccbe
54489 .xword 0xc98e3cbdf3cbcb3f
54490 .xword 0xf91a9910261f3979
54491 .xword 0x9993e05f6999b8ea
54492 .xword 0x821e2d609f3aa404
54493 .xword 0xd617ae676acc9b12
54494 .xword 0x7b5b36a067e71123
54495 .xword 0x3a7fd22207275261
54496 .xword 0x1b185394988e18fe
54497 .xword 0x0edede5073bd1a32
54498 .xword 0x839a3b202b30ef68
54499 .xword 0xa91b3d89dfeebd34
54500 .xword 0x164d721dce3a1e97
54501 .xword 0xf2e87174cabe5ae9
54502 .xword 0x88dd5b83c7c6a47d
54503 .xword 0x3082c907d7c90644
54504 .xword 0xbe9dfd68d20bd818
54505 .xword 0xaca4cfc81c7b6736
54506 .xword 0xb0717a9c32e30e8c
54507 .xword 0x43aaf1dd7f5bf8c1
54508 .xword 0x128421aa5768e7cd
54509 .xword 0xab7ee1f1a046d29f
54510 .xword 0x06abbe915f9c043b
54511 .xword 0xb65f47d7d3ed8f66
54512 .xword 0x00e40c5f070d5d93
54513 .xword 0xa423c2d5c5a4170a
54514 .xword 0xe10c683a4170ddef
54515 .xword 0xae9d5543b95b3510
54516 .xword 0x1a2bf4fd6bc1a03c
54517 .xword 0x849ab3ecd588fdea
54518 .xword 0x23125118a100cee5
54519 .xword 0x466b5b4146273fd1
54520 .xword 0x81530e4b37ffae89
54521 .xword 0x12af6fac9cec259f
54522 .xword 0x981a161544ebd2b1
54523 .xword 0x42172f5685dd524b
54524 .xword 0x1faf0846f3017d60
54525 .xword 0xc80b954d7bba0e51
54526 .xword 0x0a2302652196f927
54527 .xword 0xca0fc71f409b9929
54528 .xword 0x96d21193ef378ec4
54529 .xword 0x9bae6465293e5a84
54530 .xword 0xe1b3aea13407945f
54531 .xword 0xbb8c1155072bd41c
54532 .xword 0xe245bba9c8d4d0ee
54533 .xword 0x8f3e5e529eecb6b5
54534 .xword 0x4ec5534f9cceece4
54535 .xword 0x741c47e3793f3ecc
54536 .xword 0x484723eb142156d3
54537 .xword 0xab57ab8ee709ff4f
54538 .xword 0x16c3a9805a9eba66
54539 .xword 0x43e0779915155b63
54540 .xword 0xf2bd23b6c675b7d2
54541 .xword 0x6969f5519daba339
54542 .xword 0x2fee403d0dfbb07a
54543 .xword 0xc5fbd44426c62280
54544 .xword 0x11b9321ba4ad7220
54545 .xword 0x9e3860f3f76d0c03
54546 .xword 0xfd054973c1e94150
54547 .xword 0x77c752830d4e89b5
54548 .xword 0xdb94843f453635ec
54549 .xword 0x6962e87919079f23
54550 .xword 0x614ebaec54c8eafc
54551 .xword 0x5302ebde3a151ddb
54552 .xword 0xca126c48df5a65d4
54553 .xword 0x39996c0857a22dac
54554 .xword 0x358219f09d19ba3b
54555 .xword 0x9fed5d518f3eed27
54556 .xword 0xd63738caccb963a3
54557 .xword 0xbc1bdb57f199f4ec
54558 .xword 0x64dc5b401485ad0f
54559 .xword 0x206374a13ce3415e
54560 .xword 0xa33b6c375afd7746
54561 .xword 0x62d8533645170bcf
54562 .xword 0xe9fd8bfb58fcbdb1
54563 .xword 0xe5d94d88626f28ae
54564 .xword 0x528a551d9dfdb09f
54565 .xword 0xc07362eac39b0db4
54566 .xword 0x75f40b7fec5a14e4
54567 .xword 0x2ca88ae095367f19
54568 .xword 0xb2defa0e80e22ce9
54569 .xword 0xc60055ebea5d8a8a
54570 .xword 0x593fc5160426b1ef
54571 .xword 0x1c6ba5e8f94c7630
54572 .xword 0xd75135747dd36d6b
54573 .xword 0x6ecd0ef76a61015e
54574 .xword 0x336a646a100edfdb
54575 .xword 0xe08a9d24ee7cb830
54576 .xword 0x755c436e82d18083
54577 .xword 0x964c681ad40e07b5
54578 .xword 0x94dc13858b636130
54579 .xword 0x56eb36885b65c055
54580 .xword 0x42fa21bd2fb3533f
54581 .xword 0xc50dcba93c612775
54582 .xword 0x338de433a251675e
54583 .xword 0x4e1ac1cacedcded9
54584 .xword 0x93107c9b4c7c0ca4
54585 .xword 0xab21acd7b6d1bd15
54586 .xword 0x9815f578e14b74fe
54587 .xword 0x6987d8e3a75556d5
54588 .xword 0x55c8cbd4eeec0769
54589 .xword 0x848b33e55c8f6390
54590 .xword 0x50fbc99cf80ae22a
54591 .xword 0xcf761cf7f74da068
54592 .xword 0xeddb1389fb31421a
54593 .xword 0xee7ab7faf51f61a0
54594 .xword 0x613359fdb30a30ab
54595 .xword 0x6ff82b5f004f6ce4
54596 .xword 0xab5c8340c18b8435
54597 .xword 0x8eb44b3effa76942
54598 .xword 0x41f3adab3b48e0f3
54599 .xword 0x57ad316997ddc97f
54600 .xword 0xd61682533c6ce71e
54601 .xword 0xed3f889462a3e1b1
54602 .xword 0xd7a15d065ac84935
54603 .xword 0xc7d87ef250eca86b
54604 .xword 0xfee4fd4763c6687d
54605 .xword 0x7974ce3569ae0c6e
54606 .xword 0xb66e385977039f84
54607 .xword 0xa5162e86d07421e7
54608 .xword 0x55af81511554df73
54609 .xword 0x26dd7c944c5f90af
54610 .xword 0x1f4ec25407fe37bb
54611 .xword 0x557f8d01d6c328b1
54612 .xword 0x662a6aa061e8df09
54613 .xword 0x8f26c41a43ab5260
54614 .xword 0x33c3d56552020d85
54615 .xword 0x451ac1c015594e2c
54616 .xword 0x0c7e8f76e8acb53c
54617 .xword 0x0639c85739e3951f
54618 .xword 0x4a9c69cf4966d03e
54619 .xword 0x43239464720c4b4c
54620 .xword 0x887336db1bd8413d
54621 .xword 0xec8850535b7fee94
54622 .xword 0xe4b50bc0845477a6
54623 .xword 0x4b963cdc88ba6e5b
54624 .xword 0x376db4c676961880
54625 .xword 0xc1fdc950ab491ac9
54626 .xword 0xbabd2334342d8930
54627 .xword 0x99f41d481e912de7
54628 .xword 0xb8d40ad684c2a0ae
54629 .xword 0x9360ef7c423f16b8
54630 .xword 0xe5e5e58451802b80
54631 .xword 0x0ebb03ffebca9498
54632 .xword 0xa6e80ad46d6e46a5
54633 .xword 0x095b75d9fe771831
54634 .xword 0x47a39d5f9adaf542
54635 .xword 0xe1f1030d58faffcc
54636 .xword 0x9b3b147bb5b60b79
54637 .xword 0xe9152e36a8566a8d
54638 .xword 0x82883178bde2d511
54639 .xword 0xd340f53928d431f7
54640 .xword 0x9868bd8bc6819c2a
54641 .xword 0x466f2f6358f963bb
54642 .xword 0xd06cb3634df15574
54643 .xword 0x71b334a23cbcc36d
54644 .xword 0x02918ca2fc2bb74f
54645 .xword 0x8df67aafe411a126
54646 .xword 0x9ab2a010f1ede653
54647 .xword 0x7e4f60682d16a3c1
54648 .xword 0x993cf82c5363e5f8
54649 .xword 0xc0e403672011b0d8
54650 .xword 0x371768d26bb7817b
54651 .xword 0x029b808b9eb796bf
54652 .xword 0x98b2ee11093d93d7
54653 .xword 0x502cb691ddf5fa07
54654 .xword 0x814b4dc7c53cf15e
54655 .xword 0xb2e34fb8bc5553f8
54656 .xword 0x7c8bbbfb6c3f09fa
54657 .xword 0x807e623eb2fa1f81
54658 .xword 0xf0c48cea02388f25
54659 .xword 0xff339be3d340b312
54660 .xword 0x80beb95a0cfbfb27
54661 .xword 0x7020958630bc9fb5
54662 .xword 0xe32989024497e4da
54663 .xword 0x3c142be35da020b8
54664 .xword 0xe705a843151d97e8
54665 .xword 0x10ef0ae2600f255e
54666 .xword 0x74e95a945ad38e2e
54667 .xword 0xf7b5524749a39aa8
54668 .xword 0xb962185fb95fbc73
54669 .xword 0x466ec496c163c5e0
54670 .xword 0xc95f65c9ccc9e520
54671 .xword 0x665d1e2ba2d3e5df
54672 .xword 0x9545f1e676f5a006
54673 .xword 0x082b8148b1102c65
54674 .xword 0xf3b115eed5412ac1
54675 .xword 0xeb6ed51fd43209e2
54676 .xword 0xdc0f5c1f7196759f
54677 .xword 0x1af4427636cd9ad8
54678 .xword 0x953e3600145c09c1
54679 .xword 0x435d12c7e3e99742
54680 .xword 0xff23697955bc6fc7
54681 .xword 0xdfdc5b93b22be72e
54682 .xword 0x4043667b01855cea
54683 .xword 0x8e7cbaf2f13834ef
54684 .xword 0xc4fe7cd2f38a9063
54685 .xword 0x9bda834c98661f95
54686 .xword 0x66686fbe909af97f
54687 .xword 0x83bdc144b758f8cf
54688 .xword 0x576b17198a37ff74
54689 .xword 0xc99589c841489c79
54690 .xword 0x0a7f8ca562379ef3
54691 .xword 0x4790314b526c0594
54692 .xword 0xc0f016af6cf4b5df
54693 .xword 0x6b19aa491770930a
54694 .xword 0x1608f05249cfd689
54695 .xword 0x3892afa638a524af
54696 .xword 0x01b9cdc187ec0eb2
54697 .xword 0xa99cadc3767c3905
54698 .xword 0xfd311949b0b71d0f
54699 .xword 0xddf05e069baba10d
54700 .xword 0xf844df18ab90c8e5
54701 .xword 0xc5b8dcac2715a138
54702 .xword 0xb6b7cc320cf0a9c7
54703 .xword 0xc33d0e114ce6a177
54704 .xword 0xa1b5769443b79e55
54705 .xword 0xa3c4b8e80844817b
54706 .xword 0x508ee55836f749a9
54707 .xword 0x4bf6e83094ab3080
54708 .xword 0xa6e5068b5254678f
54709 .xword 0xb36cfb9d65a556dc
54710 .xword 0xdfa0cfda610ce5c8
54711 .xword 0x1b6b1b734b25f530
54712 .xword 0x5075e0c4e68cfb86
54713 .xword 0xd16c199e23df8639
54714 .xword 0xca8991980d40170f
54715 .xword 0x3f94a26c73994db6
54716 .xword 0xe350c995e886d38f
54717 .xword 0xe98ec668b1faa51f
54718 .xword 0xe69dd608cc8e3e82
54719 .xword 0x7a4d4a5f0cd7320c
54720 .xword 0x1f24e0ed21c73af1
54721 .xword 0xff0c5959171b048e
54722 .xword 0x600be8338f46f7e0
54723 .xword 0x487866b919700d22
54724 .xword 0xda0ffe8c9225d891
54725 .xword 0x4cdfefafdae64c49
54726 .xword 0x3126edcdc4058e6b
54727 .xword 0xc0bdd75899fe86a9
54728 .xword 0x1fb0cf06a7415fbf
54729 .xword 0x52baf186965cc7c8
54730 .xword 0xee068fdc946d86c5
54731 .xword 0xec316636df8feb60
54732 .xword 0x0d080c2e31cc3681
54733 .xword 0x5ac22010f13fe813
54734 .xword 0xe5039ecad900ecd4
54735 .xword 0x95f607f810d88cee
54736 .xword 0x6b478d45025cccf3
54737 .xword 0xe8db91090ccc0b93
54738 .xword 0xcdec8ae2fcd13ee8
54739 .xword 0xca674f6b54fb9a3f
54740 .xword 0x99b40426994dfef9
54741 .xword 0xa18fc970160eb120
54742 .xword 0x6c63b3a929fc4ac9
54743 .xword 0xaadc32f604fb8a77
54744 .xword 0xda3b598705b1c802
54745 .xword 0x35fe1ac11bd5f22c
54746 .xword 0x8993671f3af03c2c
54747 .xword 0x029dbe16c12401ae
54748 .xword 0xc9b67d31c67b142d
54749 .xword 0x4dae30ea0753326c
54750 .xword 0x518a4638c62f64d0
54751 .xword 0x055891290550de41
54752 .xword 0x168aa11d8b7574f8
54753 .xword 0xaf475056f926bc0a
54754 .xword 0xa16f01d1f39dab87
54755 .xword 0x170a03c05937b9d0
54756 .xword 0x2c876b99868f06b1
54757 .xword 0xecddb1ebfefa1597
54758 .xword 0xe53dbeea6a3e4748
54759 .xword 0x807ff491fa949774
54760 .xword 0x226058d1b28f1859
54761 .xword 0xcaa3d34f4976d084
54762 .xword 0xf221522f709e36cb
54763_t1_hash_dest:
54764 .xword 0xDEADBEEFDEADBEEF
54765 .xword 0xDEADBEEFDEADBEEF
54766 .xword 0xDEADBEEFDEADBEEF
54767 .xword 0xDEADBEEFDEADBEEF
54768 .xword 0xDEADBEEFDEADBEEF
54769 .xword 0xDEADBEEFDEADBEEF
54770 .xword 0xDEADBEEFDEADBEEF
54771 .xword 0xDEADBEEFDEADBEEF
54772 .xword 0xDEADBEEFDEADBEEF
54773 .xword 0xDEADBEEFDEADBEEF
54774 .xword 0xDEADBEEFDEADBEEF
54775 .xword 0xDEADBEEFDEADBEEF
54776 .xword 0xDEADBEEFDEADBEEF
54777 .xword 0xDEADBEEFDEADBEEF
54778 .xword 0xDEADBEEFDEADBEEF
54779 .xword 0xDEADBEEFDEADBEEF
54780 .xword 0xDEADBEEFDEADBEEF
54781 .xword 0xDEADBEEFDEADBEEF
54782 .xword 0xDEADBEEFDEADBEEF
54783 .xword 0xDEADBEEFDEADBEEF
54784 .xword 0xDEADBEEFDEADBEEF
54785 .xword 0xDEADBEEFDEADBEEF
54786 .xword 0xDEADBEEFDEADBEEF
54787 .xword 0xDEADBEEFDEADBEEF
54788 .xword 0xDEADBEEFDEADBEEF
54789 .xword 0xDEADBEEFDEADBEEF
54790 .xword 0xDEADBEEFDEADBEEF
54791 .xword 0xDEADBEEFDEADBEEF
54792 .xword 0xDEADBEEFDEADBEEF
54793 .xword 0xDEADBEEFDEADBEEF
54794 .xword 0xDEADBEEFDEADBEEF
54795 .xword 0xDEADBEEFDEADBEEF
54796 .xword 0xDEADBEEFDEADBEEF
54797 .xword 0xDEADBEEFDEADBEEF
54798 .xword 0xDEADBEEFDEADBEEF
54799 .xword 0xDEADBEEFDEADBEEF
54800 .xword 0xDEADBEEFDEADBEEF
54801 .xword 0xDEADBEEFDEADBEEF
54802 .xword 0xDEADBEEFDEADBEEF
54803 .xword 0xDEADBEEFDEADBEEF
54804 .xword 0xDEADBEEFDEADBEEF
54805 .xword 0xDEADBEEFDEADBEEF
54806 .xword 0xDEADBEEFDEADBEEF
54807 .xword 0xDEADBEEFDEADBEEF
54808 .xword 0xDEADBEEFDEADBEEF
54809 .xword 0xDEADBEEFDEADBEEF
54810 .xword 0xDEADBEEFDEADBEEF
54811 .xword 0xDEADBEEFDEADBEEF
54812 .xword 0xDEADBEEFDEADBEEF
54813 .xword 0xDEADBEEFDEADBEEF
54814 .xword 0xDEADBEEFDEADBEEF
54815 .xword 0xDEADBEEFDEADBEEF
54816 .xword 0xDEADBEEFDEADBEEF
54817 .xword 0xDEADBEEFDEADBEEF
54818 .xword 0xDEADBEEFDEADBEEF
54819 .xword 0xDEADBEEFDEADBEEF
54820 .xword 0xDEADBEEFDEADBEEF
54821 .xword 0xDEADBEEFDEADBEEF
54822 .xword 0xDEADBEEFDEADBEEF
54823 .xword 0xDEADBEEFDEADBEEF
54824 .xword 0xDEADBEEFDEADBEEF
54825 .xword 0xDEADBEEFDEADBEEF
54826 .xword 0xDEADBEEFDEADBEEF
54827 .xword 0xDEADBEEFDEADBEEF
54828 .xword 0xDEADBEEFDEADBEEF
54829 .xword 0xDEADBEEFDEADBEEF
54830 .xword 0xDEADBEEFDEADBEEF
54831 .xword 0xDEADBEEFDEADBEEF
54832 .xword 0xDEADBEEFDEADBEEF
54833 .xword 0xDEADBEEFDEADBEEF
54834 .xword 0xDEADBEEFDEADBEEF
54835 .xword 0xDEADBEEFDEADBEEF
54836 .xword 0xDEADBEEFDEADBEEF
54837 .xword 0xDEADBEEFDEADBEEF
54838 .xword 0xDEADBEEFDEADBEEF
54839 .xword 0xDEADBEEFDEADBEEF
54840 .xword 0xDEADBEEFDEADBEEF
54841 .xword 0xDEADBEEFDEADBEEF
54842 .xword 0xDEADBEEFDEADBEEF
54843 .xword 0xDEADBEEFDEADBEEF
54844 .xword 0xDEADBEEFDEADBEEF
54845 .xword 0xDEADBEEFDEADBEEF
54846 .xword 0xDEADBEEFDEADBEEF
54847 .xword 0xDEADBEEFDEADBEEF
54848 .xword 0xDEADBEEFDEADBEEF
54849 .xword 0xDEADBEEFDEADBEEF
54850 .xword 0xDEADBEEFDEADBEEF
54851 .xword 0xDEADBEEFDEADBEEF
54852 .xword 0xDEADBEEFDEADBEEF
54853 .xword 0xDEADBEEFDEADBEEF
54854 .xword 0xDEADBEEFDEADBEEF
54855 .xword 0xDEADBEEFDEADBEEF
54856 .xword 0xDEADBEEFDEADBEEF
54857 .xword 0xDEADBEEFDEADBEEF
54858 .xword 0xDEADBEEFDEADBEEF
54859 .xword 0xDEADBEEFDEADBEEF
54860 .xword 0xDEADBEEFDEADBEEF
54861 .xword 0xDEADBEEFDEADBEEF
54862 .xword 0xDEADBEEFDEADBEEF
54863 .xword 0xDEADBEEFDEADBEEF
54864 .xword 0xDEADBEEFDEADBEEF
54865 .xword 0xDEADBEEFDEADBEEF
54866 .xword 0xDEADBEEFDEADBEEF
54867 .xword 0xDEADBEEFDEADBEEF
54868 .xword 0xDEADBEEFDEADBEEF
54869 .xword 0xDEADBEEFDEADBEEF
54870 .xword 0xDEADBEEFDEADBEEF
54871 .xword 0xDEADBEEFDEADBEEF
54872 .xword 0xDEADBEEFDEADBEEF
54873 .xword 0xDEADBEEFDEADBEEF
54874 .xword 0xDEADBEEFDEADBEEF
54875 .xword 0xDEADBEEFDEADBEEF
54876 .xword 0xDEADBEEFDEADBEEF
54877 .xword 0xDEADBEEFDEADBEEF
54878 .xword 0xDEADBEEFDEADBEEF
54879 .xword 0xDEADBEEFDEADBEEF
54880 .xword 0xDEADBEEFDEADBEEF
54881 .xword 0xDEADBEEFDEADBEEF
54882 .xword 0xDEADBEEFDEADBEEF
54883 .xword 0xDEADBEEFDEADBEEF
54884 .xword 0xDEADBEEFDEADBEEF
54885 .xword 0xDEADBEEFDEADBEEF
54886 .xword 0xDEADBEEFDEADBEEF
54887 .xword 0xDEADBEEFDEADBEEF
54888 .xword 0xDEADBEEFDEADBEEF
54889 .xword 0xDEADBEEFDEADBEEF
54890 .xword 0xDEADBEEFDEADBEEF
54891 .xword 0xDEADBEEFDEADBEEF
54892 .xword 0xDEADBEEFDEADBEEF
54893 .xword 0xDEADBEEFDEADBEEF
54894 .xword 0xDEADBEEFDEADBEEF
54895 .xword 0xDEADBEEFDEADBEEF
54896 .xword 0xDEADBEEFDEADBEEF
54897 .xword 0xDEADBEEFDEADBEEF
54898 .xword 0xDEADBEEFDEADBEEF
54899 .xword 0xDEADBEEFDEADBEEF
54900 .xword 0xDEADBEEFDEADBEEF
54901 .xword 0xDEADBEEFDEADBEEF
54902 .xword 0xDEADBEEFDEADBEEF
54903 .xword 0xDEADBEEFDEADBEEF
54904 .xword 0xDEADBEEFDEADBEEF
54905 .xword 0xDEADBEEFDEADBEEF
54906 .xword 0xDEADBEEFDEADBEEF
54907 .xword 0xDEADBEEFDEADBEEF
54908 .xword 0xDEADBEEFDEADBEEF
54909 .xword 0xDEADBEEFDEADBEEF
54910 .xword 0xDEADBEEFDEADBEEF
54911 .xword 0xDEADBEEFDEADBEEF
54912 .xword 0xDEADBEEFDEADBEEF
54913 .xword 0xDEADBEEFDEADBEEF
54914 .xword 0xDEADBEEFDEADBEEF
54915 .xword 0xDEADBEEFDEADBEEF
54916 .xword 0xDEADBEEFDEADBEEF
54917 .xword 0xDEADBEEFDEADBEEF
54918 .xword 0xDEADBEEFDEADBEEF
54919 .xword 0xDEADBEEFDEADBEEF
54920 .xword 0xDEADBEEFDEADBEEF
54921 .xword 0xDEADBEEFDEADBEEF
54922 .xword 0xDEADBEEFDEADBEEF
54923 .xword 0xDEADBEEFDEADBEEF
54924 .xword 0xDEADBEEFDEADBEEF
54925 .xword 0xDEADBEEFDEADBEEF
54926 .xword 0xDEADBEEFDEADBEEF
54927 .xword 0xDEADBEEFDEADBEEF
54928 .xword 0xDEADBEEFDEADBEEF
54929 .xword 0xDEADBEEFDEADBEEF
54930 .xword 0xDEADBEEFDEADBEEF
54931 .xword 0xDEADBEEFDEADBEEF
54932 .xword 0xDEADBEEFDEADBEEF
54933 .xword 0xDEADBEEFDEADBEEF
54934 .xword 0xDEADBEEFDEADBEEF
54935 .xword 0xDEADBEEFDEADBEEF
54936 .xword 0xDEADBEEFDEADBEEF
54937 .xword 0xDEADBEEFDEADBEEF
54938 .xword 0xDEADBEEFDEADBEEF
54939 .xword 0xDEADBEEFDEADBEEF
54940 .xword 0xDEADBEEFDEADBEEF
54941 .xword 0xDEADBEEFDEADBEEF
54942 .xword 0xDEADBEEFDEADBEEF
54943 .xword 0xDEADBEEFDEADBEEF
54944 .xword 0xDEADBEEFDEADBEEF
54945 .xword 0xDEADBEEFDEADBEEF
54946 .xword 0xDEADBEEFDEADBEEF
54947 .xword 0xDEADBEEFDEADBEEF
54948 .xword 0xDEADBEEFDEADBEEF
54949 .xword 0xDEADBEEFDEADBEEF
54950 .xword 0xDEADBEEFDEADBEEF
54951 .xword 0xDEADBEEFDEADBEEF
54952 .xword 0xDEADBEEFDEADBEEF
54953 .xword 0xDEADBEEFDEADBEEF
54954 .xword 0xDEADBEEFDEADBEEF
54955 .xword 0xDEADBEEFDEADBEEF
54956 .xword 0xDEADBEEFDEADBEEF
54957 .xword 0xDEADBEEFDEADBEEF
54958 .xword 0xDEADBEEFDEADBEEF
54959 .xword 0xDEADBEEFDEADBEEF
54960 .xword 0xDEADBEEFDEADBEEF
54961 .xword 0xDEADBEEFDEADBEEF
54962 .xword 0xDEADBEEFDEADBEEF
54963 .xword 0xDEADBEEFDEADBEEF
54964 .xword 0xDEADBEEFDEADBEEF
54965 .xword 0xDEADBEEFDEADBEEF
54966 .xword 0xDEADBEEFDEADBEEF
54967 .xword 0xDEADBEEFDEADBEEF
54968 .xword 0xDEADBEEFDEADBEEF
54969 .xword 0xDEADBEEFDEADBEEF
54970 .xword 0xDEADBEEFDEADBEEF
54971 .xword 0xDEADBEEFDEADBEEF
54972 .xword 0xDEADBEEFDEADBEEF
54973 .xword 0xDEADBEEFDEADBEEF
54974 .xword 0xDEADBEEFDEADBEEF
54975 .xword 0xDEADBEEFDEADBEEF
54976 .xword 0xDEADBEEFDEADBEEF
54977 .xword 0xDEADBEEFDEADBEEF
54978 .xword 0xDEADBEEFDEADBEEF
54979 .xword 0xDEADBEEFDEADBEEF
54980 .xword 0xDEADBEEFDEADBEEF
54981 .xword 0xDEADBEEFDEADBEEF
54982 .xword 0xDEADBEEFDEADBEEF
54983 .xword 0xDEADBEEFDEADBEEF
54984 .xword 0xDEADBEEFDEADBEEF
54985 .xword 0xDEADBEEFDEADBEEF
54986 .xword 0xDEADBEEFDEADBEEF
54987 .xword 0xDEADBEEFDEADBEEF
54988 .xword 0xDEADBEEFDEADBEEF
54989 .xword 0xDEADBEEFDEADBEEF
54990 .xword 0xDEADBEEFDEADBEEF
54991 .xword 0xDEADBEEFDEADBEEF
54992 .xword 0xDEADBEEFDEADBEEF
54993 .xword 0xDEADBEEFDEADBEEF
54994 .xword 0xDEADBEEFDEADBEEF
54995 .xword 0xDEADBEEFDEADBEEF
54996 .xword 0xDEADBEEFDEADBEEF
54997 .xword 0xDEADBEEFDEADBEEF
54998 .xword 0xDEADBEEFDEADBEEF
54999 .xword 0xDEADBEEFDEADBEEF
55000 .xword 0xDEADBEEFDEADBEEF
55001 .xword 0xDEADBEEFDEADBEEF
55002 .xword 0xDEADBEEFDEADBEEF
55003 .xword 0xDEADBEEFDEADBEEF
55004 .xword 0xDEADBEEFDEADBEEF
55005 .xword 0xDEADBEEFDEADBEEF
55006 .xword 0xDEADBEEFDEADBEEF
55007 .xword 0xDEADBEEFDEADBEEF
55008 .xword 0xDEADBEEFDEADBEEF
55009 .xword 0xDEADBEEFDEADBEEF
55010 .xword 0xDEADBEEFDEADBEEF
55011 .xword 0xDEADBEEFDEADBEEF
55012 .xword 0xDEADBEEFDEADBEEF
55013 .xword 0xDEADBEEFDEADBEEF
55014 .xword 0xDEADBEEFDEADBEEF
55015 .xword 0xDEADBEEFDEADBEEF
55016 .xword 0xDEADBEEFDEADBEEF
55017 .xword 0xDEADBEEFDEADBEEF
55018 .xword 0xDEADBEEFDEADBEEF
55019 .xword 0xDEADBEEFDEADBEEF
55020 .xword 0xDEADBEEFDEADBEEF
55021 .xword 0xDEADBEEFDEADBEEF
55022 .xword 0xDEADBEEFDEADBEEF
55023 .xword 0xDEADBEEFDEADBEEF
55024 .xword 0xDEADBEEFDEADBEEF
55025 .xword 0xDEADBEEFDEADBEEF
55026 .xword 0xDEADBEEFDEADBEEF
55027 .xword 0xDEADBEEFDEADBEEF
55028 .xword 0xDEADBEEFDEADBEEF
55029 .xword 0xDEADBEEFDEADBEEF
55030 .xword 0xDEADBEEFDEADBEEF
55031 .xword 0xDEADBEEFDEADBEEF
55032 .xword 0xDEADBEEFDEADBEEF
55033 .xword 0xDEADBEEFDEADBEEF
55034 .xword 0xDEADBEEFDEADBEEF
55035 .xword 0xDEADBEEFDEADBEEF
55036 .xword 0xDEADBEEFDEADBEEF
55037 .xword 0xDEADBEEFDEADBEEF
55038 .xword 0xDEADBEEFDEADBEEF
55039_t1_hash_auth_key:
55040 .xword 0x3d45853c7337daf3
55041 .xword 0x69526eabedc75323
55042 .xword 0x7bc6561fa53696d6
55043 .xword 0x598998c2976b7e7c
55044 .xword 0x83ce8f4f849e2bb6
55045 .xword 0x4d29845d1dc7f6a9
55046 .xword 0xa201139325b107b8
55047 .xword 0x307d29ebdd76b79f
55048 .xword 0xd007abdd499954ae
55049 .xword 0x6602853955432136
55050 .xword 0x0ee03842ad6b9633
55051 .xword 0x5bfb99ecf240ae60
55052 .xword 0x7338764a3856c277
55053 .xword 0xe44fc93aec483dfe
55054 .xword 0x080657b2815fff63
55055 .xword 0xe5ad272aa7967792
55056 .xword 0xc69d4a513886c1da
55057 .xword 0x74930f5327d98475
55058 .xword 0xac988166422d4a45
55059 .xword 0x957678a57e3d7aee
55060 .xword 0x3943c6a44363811d
55061 .xword 0x0b498c137859c358
55062 .xword 0xe8c09417154ffa24
55063_t1_hash_auth_iv:
55064 .xword 0x1f1523ba49839dbc
55065 .xword 0xf09e119853ba716f
55066 .xword 0x0634ae48ea9d276e
55067 .xword 0x1563f3a9da94d2ef
55068 .xword 0x9e9531d629c65261
55069 .xword 0xb5845306ceb7c39f
55070 .xword 0x2bdea044b5347705
55071 .xword 0xd40da93b3a1443f4
55072 .xword 0xd217633b173723aa
55073 .xword 0x7567d3e0b8b1720f
55074 .xword 0xaa58e7b68cfbb4ca
55075 .xword 0x30a8bbfbc100bcd3
55076 .xword 0x5b6671b5735616bd
55077 .xword 0x439899856f79159e
55078 .xword 0x2ada7d452be44311
55079 .xword 0x900d3c09c168eee3
55080 .xword 0x13128ddac9a57483
55081 .xword 0x0f2608a4c57ae5c4
55082 .xword 0xfbee723602ca1cc4
55083 .xword 0x2d7f4a95cf96141f
55084 .xword 0x7a74375aa728a9c9
55085 .xword 0x75f4c2f3e50407a0
55086 .xword 0x5f4ead2a117c89f3
55087_t1_hash_fas_result:
55088 .xword 0xDEADBEEFDEADBEEF
55089 .xword 0xDEADBEEFDEADBEEF
55090 .xword 0xDEADBEEFDEADBEEF
55091 .xword 0xDEADBEEFDEADBEEF
55092 .xword 0xDEADBEEFDEADBEEF
55093 .xword 0xDEADBEEFDEADBEEF
55094 .xword 0xDEADBEEFDEADBEEF
55095 .xword 0xDEADBEEFDEADBEEF
55096 .xword 0xDEADBEEFDEADBEEF
55097 .xword 0xDEADBEEFDEADBEEF
55098 .xword 0xDEADBEEFDEADBEEF
55099 .xword 0xDEADBEEFDEADBEEF
55100 .xword 0xDEADBEEFDEADBEEF
55101 .xword 0xDEADBEEFDEADBEEF
55102 .xword 0xDEADBEEFDEADBEEF
55103 .xword 0xDEADBEEFDEADBEEF
55104 .xword 0xDEADBEEFDEADBEEF
55105 .xword 0xDEADBEEFDEADBEEF
55106 .xword 0xDEADBEEFDEADBEEF
55107 .xword 0xDEADBEEFDEADBEEF
55108 .xword 0xDEADBEEFDEADBEEF
55109 .xword 0xDEADBEEFDEADBEEF
55110 .xword 0xDEADBEEFDEADBEEF
55111_t1_hmac_key_array:
55112 .xword 0xfcc873a2469e5fee
55113 .xword 0x4517a5d2ba09d308
55114 .xword 0xaa80e850552c73e2
55115 .xword 0xe916ef67b1871847
55116 .xword 0x0315f76e72d1f699
55117 .xword 0x0bf9d300138088ea
55118 .xword 0xd6bdccd36e3df6ac
55119 .xword 0x5794a9dcb5cfb818
55120 .xword 0x96b53cd2e4455b43
55121 .xword 0x8115bf98b975a6f1
55122 .xword 0x2697b965e676954a
55123 .xword 0x9b21f0df841af99f
55124 .xword 0x87778188c10fc930
55125 .xword 0xb062734ababca1b7
55126 .xword 0xe5def1ad23971cbd
55127 .xword 0x925a236ef916b8fc
55128 .xword 0xd8c664b314e6bdb7
55129 .xword 0xa97527f5341d1719
55130 .xword 0x9427803bb92999eb
55131 .xword 0x437ee0966df050db
55132 .xword 0x6fec80143b164a24
55133 .xword 0x985443a54f24a307
55134 .xword 0x82ed945c76839f76
55135 .xword 0x20ca91bbf8075103
55136 .xword 0x340a9be6356f5e8b
55137 .xword 0x4cc37563fb8af8b9
55138 .xword 0x348601115af4a97d
55139 .xword 0xd33b257bc7e316eb
55140 .xword 0xd12b8d011f5a7699
55141 .xword 0xed6476450efdcf13
55142 .xword 0xd238bd05f1524b53
55143 .xword 0xd800d8648e229ceb
55144 .xword 0xb4b468608d8563f0
55145 .xword 0x268346fc80a233ec
55146 .xword 0xe5b2eecd501ffa34
55147 .xword 0x8899c5f41f4751d0
55148 .xword 0xe736335569b15ddd
55149 .xword 0xfdb22f93de0502f3
55150 .xword 0x8516dfa5841d3ae4
55151 .xword 0x0e5981b52b38a8db
55152 .xword 0x43b1834eed9d24df
55153 .xword 0xef37df70e0a665ab
55154 .xword 0x42ed7f313ca5f3ae
55155 .xword 0x99eed5f547c520b7
55156 .xword 0x4caaddc6e26c33ab
55157 .xword 0xd8528d772b542864
55158 .xword 0x7696a71c83a1e53b
55159 .xword 0xdc47df4b5f7f5293
55160 .xword 0xc632822c4e04004c
55161 .xword 0xbf39e2e44fb649ae
55162 .xword 0x65d414b978d16620
55163_t1_hmac_iv_array:
55164 .xword 0xb44c89d83d552e2a
55165 .xword 0x96c360fa06a95875
55166 .xword 0xc0a62ef477618038
55167 .xword 0x1ca3c59f06b94bd1
55168 .xword 0x8127a9ba4204e8ca
55169 .xword 0x3a3c9e9a714d52cf
55170 .xword 0xa20faacfc82240f9
55171 .xword 0x8f47e082391fe436
55172 .xword 0xc378679312ffbdd5
55173 .xword 0xae899ed72c4f01a3
55174 .xword 0x93a1a5b0454ea324
55175 .xword 0x9cc2c1b28c2c4efc
55176 .xword 0x143c526c0dd668f4
55177 .xword 0x529873dbc1bdd97c
55178 .xword 0xa4761ef116c99858
55179 .xword 0x9632dfacc97e155f
55180 .xword 0xda756a2ecf02b5be
55181 .xword 0x9e556ff08876fb08
55182 .xword 0xd72a49e0c0e2c3cf
55183 .xword 0x8466bebfc476587a
55184 .xword 0x52f69ad6d1552a37
55185 .xword 0x6b91c00765ef2cdf
55186 .xword 0x28a641a2232d34a9
55187 .xword 0x1be61fefffab3fd2
55188 .xword 0xc851f7c529178118
55189 .xword 0x2388f5debf45a0f6
55190 .xword 0x06c71a995366aaca
55191 .xword 0xb059242af9240d85
55192 .xword 0xd07b156c93edff94
55193 .xword 0xce47556e029f3798
55194 .xword 0x6aafed6df2e9ce7f
55195 .xword 0xbd141b3fbe5f3d76
55196 .xword 0xa9173c67f20ee429
55197 .xword 0x7d5ad58b9716ff3a
55198 .xword 0x8b9eb0a793a0358b
55199 .xword 0x9af684a6295cceb1
55200 .xword 0x94089a6e9e5b8dc8
55201 .xword 0xcb4e703c929124c4
55202 .xword 0x834a7175a353439c
55203 .xword 0x3ef872a16638f928
55204 .xword 0xb3a910eb15dc7448
55205 .xword 0xd70bf3a7bc72e4db
55206 .xword 0x4a36d66ed9e3ae71
55207 .xword 0x3d000c2288f89993
55208 .xword 0xe38bc1e860ba631e
55209_t1_hmac_alignment_array:
55210 .xword 7
55211 .xword 2
55212 .xword 1
55213 .xword 8
55214 .xword 0
55215 .xword 0
55216 .xword 15
55217 .xword 7
55218 .xword 6
55219 .xword 2
55220 .xword 10
55221 .xword 10
55222 .xword 13
55223 .xword 11
55224 .xword 5
55225 .xword 4
55226 .xword 0
55227 .xword 0
55228 .xword 2
55229 .xword 4
55230 .xword 9
55231 .xword 8
55232 .xword 2
55233 .xword 8
55234 .xword 12
55235 .xword 14
55236 .xword 5
55237 .xword 11
55238 .xword 1
55239 .xword 5
55240 .xword 2
55241 .xword 7
55242 .xword 3
55243 .xword 14
55244 .xword 15
55245 .xword 4
55246 .xword 0
55247 .xword 0
55248 .xword 7
55249 .xword 12
55250 .xword 11
55251 .xword 4
55252 .xword 15
55253 .xword 7
55254 .xword 5
55255 .xword 0
55256 .xword 9
55257 .xword 15
55258 .xword 4
55259 .xword 3
55260 .xword 2
55261 .xword 13
55262 .xword 8
55263 .xword 5
55264 .xword 7
55265 .xword 12
55266 .xword 10
55267 .xword 0
55268 .xword 0
55269 .xword 5
55270 .xword 14
55271 .xword 14
55272 .xword 4
55273 .xword 6
55274 .xword 15
55275 .xword 0
55276 .xword 15
55277 .xword 3
55278 .xword 13
55279 .xword 15
55280 .xword 12
55281 .xword 6
55282 .xword 11
55283 .xword 15
55284 .xword 1
55285 .xword 2
55286 .xword 11
55287 .xword 11
55288 .xword 5
55289 .xword 8
55290 .xword 5
55291 .xword 4
55292 .xword 13
55293 .xword 15
55294 .xword 14
55295 .xword 13
55296 .xword 6
55297 .xword 0
55298 .xword 3
55299 .xword 6
55300 .xword 9
55301 .xword 7
55302 .xword 2
55303 .xword 7
55304 .xword 10
55305 .xword 14
55306 .xword 11
55307 .xword 15
55308 .xword 6
55309 .xword 15
55310 .xword 9
55311 .xword 3
55312 .xword 1
55313 .xword 11
55314 .xword 10
55315_t1_hmac_src:
55316 .xword 0x085470397c031d5f
55317 .xword 0x976f94690e35c1ac
55318 .xword 0x21ad32d0b5e222d5
55319 .xword 0x83fa6faad466d283
55320 .xword 0xdfe2f1a05503d776
55321 .xword 0x9484d688a4c01a1d
55322 .xword 0x941b629fc860a405
55323 .xword 0x6b7405a32438a84d
55324 .xword 0xe8a54034b3a788d2
55325 .xword 0x517aafdc83b901f4
55326 .xword 0x9552b565d0c22bea
55327 .xword 0x8f2664642914b2c8
55328 .xword 0xc936dc4d9517de39
55329 .xword 0x2b17e8b51fcf67e1
55330 .xword 0x51aedad409638584
55331 .xword 0x55853d351a529bf6
55332 .xword 0xa2133db640d924b3
55333 .xword 0x4df2d3045451b4c6
55334 .xword 0x4469265c60377260
55335 .xword 0x26bbd61529a9e5ca
55336 .xword 0xeda43266f3dfad35
55337 .xword 0xf4b116a381e75e2b
55338 .xword 0x05882cc15e3044a0
55339 .xword 0x90a75022d5891512
55340 .xword 0x7966123f65091241
55341 .xword 0xdbe7e9db7d47aa8d
55342 .xword 0x559a4dc942324fd3
55343 .xword 0xcb84f5e1d5194a85
55344 .xword 0xc52a9a54b0a33985
55345 .xword 0xd3a64e738e5d74c3
55346 .xword 0xba5c13820aa8e26d
55347 .xword 0x403a3a77d8a5e34f
55348 .xword 0x2e6e016cbef2ea39
55349 .xword 0x035bcef20c861b87
55350 .xword 0xe59a433428301ed8
55351 .xword 0x4a462676cbfe3250
55352 .xword 0xefbbc63d393d4180
55353 .xword 0xb19555c8dfc34370
55354 .xword 0x7a80f6485aa22da5
55355 .xword 0xd983cbe0ba618d9e
55356 .xword 0x244d24d9a255308e
55357 .xword 0xa6935846fec88566
55358 .xword 0x60504324f0913355
55359 .xword 0x250dcef76a41ef73
55360 .xword 0xe81c2c55fa75ffee
55361 .xword 0x54da381426399786
55362 .xword 0x1456f76f82e48635
55363 .xword 0x261375a45e429344
55364 .xword 0x9114e1b3633dbe31
55365 .xword 0xd085c09001004f94
55366 .xword 0xe38a3cacc4a504aa
55367 .xword 0x73262d7795add00c
55368 .xword 0xf63c82d17f009d86
55369 .xword 0x174e0f81b4a22dd8
55370 .xword 0x9eea042c0238124e
55371 .xword 0xf58bf82ff6415622
55372 .xword 0xb7c12416705efc7c
55373 .xword 0x3df288c402f5bd3f
55374 .xword 0x3ac48ca51e8c1999
55375 .xword 0xbf46d57769594d42
55376 .xword 0x4f1526d05979fdbf
55377 .xword 0x500cb84e6b129362
55378 .xword 0x06178e2beb80aa67
55379 .xword 0x8bbc4247d3bd3f65
55380 .xword 0x039f009d6f2544fd
55381 .xword 0x2e48da809bd6f01a
55382 .xword 0xeffd57cde53e9c75
55383 .xword 0x4e6a72946a1a2e8a
55384 .xword 0x76c1411bdd3032bc
55385 .xword 0x36a86639182d196d
55386 .xword 0x2399ca71cdd1a854
55387 .xword 0x14211747bde463f7
55388 .xword 0x4e5721a1f1012ea3
55389 .xword 0x488b4de067cb2a7a
55390 .xword 0x31de8370ee04ffbb
55391 .xword 0x3499a8b5da750a7f
55392 .xword 0x8ba609d91035ee87
55393 .xword 0xf2f0e9f9f18ef05d
55394 .xword 0xc0aca1c3f4d46da7
55395 .xword 0x72c8c03c078b3059
55396 .xword 0xc00961a7f12df389
55397 .xword 0x6d14217710dbd557
55398 .xword 0x7f551a04cff314ae
55399 .xword 0x2f4c34c124bcb842
55400 .xword 0x93b832a00bbcedb3
55401 .xword 0x4c5042ee3ce50639
55402 .xword 0xb1f40bb818cdd904
55403 .xword 0x1ce8fc1c371e8cbe
55404 .xword 0xaf136dfdf0ea8f8b
55405 .xword 0x8faec3809458b830
55406 .xword 0x8f8472f8744eb939
55407 .xword 0x831d23890ec0b505
55408 .xword 0x2cde70ae006a314b
55409 .xword 0xef66328583d57b09
55410 .xword 0x07e9365196728645
55411 .xword 0x8f3d5fff570f9aae
55412 .xword 0x765ce046631c684e
55413 .xword 0x57d451977b801d1a
55414 .xword 0xd164dbdb1170c4ce
55415 .xword 0x8e8222722f14c87d
55416 .xword 0x9b4f0f98a5176a35
55417 .xword 0xd85c5e232e7526f9
55418 .xword 0x5c8ccad82f72fce6
55419 .xword 0x64ee9c5150d391ea
55420 .xword 0x298ee887a6851e38
55421 .xword 0x2431173fad86d877
55422 .xword 0x624ae24a2bd9f03d
55423 .xword 0x0ac58d948a2d5b91
55424 .xword 0x5c19f5f2da64b251
55425 .xword 0x4d78aff07a70f4d2
55426 .xword 0xec47826e36f733f3
55427 .xword 0xb917f5335e5d651b
55428 .xword 0xb116e8ca8f8b385f
55429 .xword 0x0b2799d1b85da22f
55430 .xword 0x12ecf98f521b6913
55431 .xword 0x3c92ac5ae4a4a4e7
55432 .xword 0x456ba8420741b0ef
55433 .xword 0x79384746d8797bb2
55434 .xword 0xa62868bae1432b86
55435 .xword 0xc0dfef1eb6c12a17
55436 .xword 0x1449d80b560d81fd
55437 .xword 0xe501eed08bf1c018
55438 .xword 0xa0fd46e2feb0815c
55439 .xword 0x31ce3e8ebd7f41e1
55440 .xword 0x9c8ca73279236301
55441 .xword 0x582b0178ed37d16a
55442 .xword 0xb9bc060d8f110d59
55443 .xword 0x60da545bb46b4b12
55444 .xword 0xd5f75a4409848c61
55445 .xword 0x671e3551a8331e88
55446 .xword 0xaa0d5bfa0e1d9565
55447 .xword 0xaf52d899abf1deb9
55448 .xword 0xc2369c03ddd85688
55449 .xword 0xd1ebdeff99b39903
55450 .xword 0xfc21040ab5d2adb9
55451 .xword 0xe770a7b027b0d2d3
55452 .xword 0x27ec662f22bd1dcd
55453 .xword 0x0514f4f2a7fdcd7b
55454 .xword 0x98d2d2487e799151
55455 .xword 0x494ba7aa88a654ab
55456 .xword 0x91740ee9c93fbc04
55457 .xword 0xc76e777dd2b280ae
55458 .xword 0x7a97d8a34025970f
55459 .xword 0xcfb28129739e7b4f
55460 .xword 0xf35c67987276af02
55461 .xword 0x8226e36cc91cb9d6
55462 .xword 0x61e2722adbbde9ff
55463 .xword 0x438bc4561e7c89fe
55464 .xword 0x05e984288fa49e09
55465 .xword 0xfe8dfff94600f8ab
55466 .xword 0x474f86118c3af3ac
55467 .xword 0xe62c89750761ce00
55468 .xword 0x6b0140a4f83fd002
55469 .xword 0xe2c08f9477a6b845
55470 .xword 0xfb0ec0d1a77f2ba2
55471 .xword 0x33bf80fb4d504280
55472 .xword 0x292f84b7b93c06ff
55473 .xword 0xc7d6c382b67923f4
55474 .xword 0x58090c3ba7e04b19
55475 .xword 0x8aa4d7bde1b7c562
55476 .xword 0x7171b7d5a07d39f3
55477 .xword 0x4226bc7ffffc7dea
55478 .xword 0x43da6af9d5520bb3
55479 .xword 0x7af9c4345d7fe32b
55480 .xword 0x6f2868d43cda4d20
55481 .xword 0x171800d008d16c05
55482 .xword 0x017541d34425251f
55483 .xword 0x8f0219effe452e8a
55484 .xword 0xe2c15cbe89c74f0a
55485 .xword 0xa43f46d0d3af7374
55486 .xword 0xd2aeae7fd300d9ff
55487 .xword 0xf970a5a7086cf6f2
55488 .xword 0x1048d04ae54329d2
55489 .xword 0xf0c35e6cc3d09b5b
55490 .xword 0x2fc0cc46087b6f4b
55491 .xword 0xd0521f27d149d41f
55492 .xword 0xdb073fea6e232a93
55493 .xword 0x8c11f0f57d3fa9ee
55494 .xword 0x47d44386f0b3379b
55495 .xword 0xa87673e9371628a1
55496 .xword 0xf8ad758952d64909
55497 .xword 0x32a5de14ba7b3611
55498 .xword 0x632ce772d45120fe
55499 .xword 0x5862a4e88ad6218e
55500 .xword 0xb2cd14074209f193
55501 .xword 0xda3dff38680113f3
55502 .xword 0x5e853d53e2df1f53
55503 .xword 0xdb309bafc9fc2b5e
55504 .xword 0xb051c3254b24757c
55505 .xword 0x971cbe31dda3f44e
55506 .xword 0xd1ff4cad57a68d86
55507 .xword 0xad90c93c92bb3514
55508 .xword 0xb96d789126a11321
55509 .xword 0xaee4100fb851c042
55510 .xword 0x6d08a0d785faa7b0
55511 .xword 0xfda9a2f82bb3a577
55512 .xword 0x492e7d75e361d5b8
55513 .xword 0x2882aa64e6467a6a
55514 .xword 0x8b98305c77b62620
55515 .xword 0xbaf3e7dce9a019f4
55516 .xword 0x1d9955245f3a50f4
55517 .xword 0xc0420af9c618d74d
55518 .xword 0xb5b16d248b39a720
55519 .xword 0xcd3e8ecf85d57858
55520 .xword 0xf208b6cc0b9465b4
55521 .xword 0x82f84e083501da05
55522 .xword 0xa2bbf7828679ff30
55523 .xword 0x67dd7b8efb11fa54
55524 .xword 0x37c34d19e58da63a
55525 .xword 0xb71e8eb170257952
55526 .xword 0x4980dc3b6dc873b1
55527 .xword 0x774fd1a154d447c5
55528 .xword 0x58c1efc98ec2a9bf
55529 .xword 0x0c8c97711a437131
55530 .xword 0x4f74f35c7302eeb9
55531 .xword 0x025d833d0c070564
55532 .xword 0xe2879d7ed6868433
55533 .xword 0x7a227e871b0f57b4
55534 .xword 0xfe2516abe1ae10f8
55535 .xword 0x4680fe47e8182906
55536 .xword 0xf1d5fa1dc6f78988
55537 .xword 0x75b8f73f7d4c4017
55538 .xword 0x309165dabe5a8ab1
55539 .xword 0xeeb7a3fd60e87574
55540 .xword 0x5d7ee4f4f398abe4
55541 .xword 0x0a7c4fdd6ee69661
55542 .xword 0xafa2773c309f9bc2
55543 .xword 0xbebf7fa7190a9234
55544 .xword 0xec086d6e93a57a24
55545 .xword 0x338302e523531df3
55546 .xword 0xf97e5cb48dd5eb32
55547 .xword 0x6198c58a89ade74d
55548 .xword 0xa8eae7cbf4367c6c
55549 .xword 0x2c4faa974840f608
55550 .xword 0xfe3e070159ec1d4a
55551 .xword 0x90dee3500938d47b
55552 .xword 0x0985d01ba6e6401a
55553 .xword 0xed5052a25e7fa47e
55554 .xword 0xd6576ad1eeb4b9d4
55555 .xword 0x08544552973ba70c
55556 .xword 0xada59fb4a42600bd
55557 .xword 0x5e695c78f5d94fc7
55558 .xword 0xb297f29057b9a4ab
55559 .xword 0xa1cce5b61f370155
55560 .xword 0x00bd4e3ac878e2ef
55561 .xword 0x26e6d466dca68bff
55562 .xword 0x50c285c956a40d6e
55563 .xword 0x93a2954b6d760d2f
55564 .xword 0x9fa04aeeb039bf76
55565 .xword 0x413c06c3a646b29e
55566 .xword 0x9d25b1e47c198475
55567 .xword 0x6aae8d9efeb9ebcf
55568 .xword 0xbe7d82fd6723e6b0
55569 .xword 0x949e89cb31c8d639
55570 .xword 0x7dd0668544899dfc
55571 .xword 0x12a256a6b8f8c5ca
55572 .xword 0x0f8a65e8e8f5d47a
55573 .xword 0x95d46f10310ef801
55574 .xword 0x0d6bccb1104b21ee
55575 .xword 0x4df6467c8af6e3d9
55576 .xword 0x35e8bcc85aec9b58
55577 .xword 0x547bf5dcd71b492b
55578 .xword 0x816ceb96f1f8e0ea
55579 .xword 0xb12539785b01e37a
55580 .xword 0xf3eb13ba80754b34
55581 .xword 0x0814aa2b5d13a261
55582 .xword 0xa739677545b62daa
55583 .xword 0x7e9d35de565e914a
55584 .xword 0x3f07b8f862e96a11
55585 .xword 0x7a0bdd87d2466ce3
55586 .xword 0xa673e6d116e9bf54
55587 .xword 0xf11f769950f4fa6c
55588 .xword 0x987bfcad0a886faf
55589 .xword 0x0de3e77d71ff25d4
55590 .xword 0x2bc52f21130f1446
55591_t1_hmac_dest:
55592 .xword 0xDEADBEEFDEADBEEF
55593 .xword 0xDEADBEEFDEADBEEF
55594 .xword 0xDEADBEEFDEADBEEF
55595 .xword 0xDEADBEEFDEADBEEF
55596 .xword 0xDEADBEEFDEADBEEF
55597 .xword 0xDEADBEEFDEADBEEF
55598 .xword 0xDEADBEEFDEADBEEF
55599 .xword 0xDEADBEEFDEADBEEF
55600 .xword 0xDEADBEEFDEADBEEF
55601 .xword 0xDEADBEEFDEADBEEF
55602 .xword 0xDEADBEEFDEADBEEF
55603 .xword 0xDEADBEEFDEADBEEF
55604 .xword 0xDEADBEEFDEADBEEF
55605 .xword 0xDEADBEEFDEADBEEF
55606 .xword 0xDEADBEEFDEADBEEF
55607 .xword 0xDEADBEEFDEADBEEF
55608 .xword 0xDEADBEEFDEADBEEF
55609 .xword 0xDEADBEEFDEADBEEF
55610 .xword 0xDEADBEEFDEADBEEF
55611 .xword 0xDEADBEEFDEADBEEF
55612 .xword 0xDEADBEEFDEADBEEF
55613 .xword 0xDEADBEEFDEADBEEF
55614 .xword 0xDEADBEEFDEADBEEF
55615 .xword 0xDEADBEEFDEADBEEF
55616 .xword 0xDEADBEEFDEADBEEF
55617 .xword 0xDEADBEEFDEADBEEF
55618 .xword 0xDEADBEEFDEADBEEF
55619 .xword 0xDEADBEEFDEADBEEF
55620 .xword 0xDEADBEEFDEADBEEF
55621 .xword 0xDEADBEEFDEADBEEF
55622 .xword 0xDEADBEEFDEADBEEF
55623 .xword 0xDEADBEEFDEADBEEF
55624 .xword 0xDEADBEEFDEADBEEF
55625 .xword 0xDEADBEEFDEADBEEF
55626 .xword 0xDEADBEEFDEADBEEF
55627 .xword 0xDEADBEEFDEADBEEF
55628 .xword 0xDEADBEEFDEADBEEF
55629 .xword 0xDEADBEEFDEADBEEF
55630 .xword 0xDEADBEEFDEADBEEF
55631 .xword 0xDEADBEEFDEADBEEF
55632 .xword 0xDEADBEEFDEADBEEF
55633 .xword 0xDEADBEEFDEADBEEF
55634 .xword 0xDEADBEEFDEADBEEF
55635 .xword 0xDEADBEEFDEADBEEF
55636 .xword 0xDEADBEEFDEADBEEF
55637 .xword 0xDEADBEEFDEADBEEF
55638 .xword 0xDEADBEEFDEADBEEF
55639 .xword 0xDEADBEEFDEADBEEF
55640 .xword 0xDEADBEEFDEADBEEF
55641 .xword 0xDEADBEEFDEADBEEF
55642 .xword 0xDEADBEEFDEADBEEF
55643 .xword 0xDEADBEEFDEADBEEF
55644 .xword 0xDEADBEEFDEADBEEF
55645 .xword 0xDEADBEEFDEADBEEF
55646 .xword 0xDEADBEEFDEADBEEF
55647 .xword 0xDEADBEEFDEADBEEF
55648 .xword 0xDEADBEEFDEADBEEF
55649 .xword 0xDEADBEEFDEADBEEF
55650 .xword 0xDEADBEEFDEADBEEF
55651 .xword 0xDEADBEEFDEADBEEF
55652 .xword 0xDEADBEEFDEADBEEF
55653 .xword 0xDEADBEEFDEADBEEF
55654 .xword 0xDEADBEEFDEADBEEF
55655 .xword 0xDEADBEEFDEADBEEF
55656 .xword 0xDEADBEEFDEADBEEF
55657 .xword 0xDEADBEEFDEADBEEF
55658 .xword 0xDEADBEEFDEADBEEF
55659 .xword 0xDEADBEEFDEADBEEF
55660 .xword 0xDEADBEEFDEADBEEF
55661 .xword 0xDEADBEEFDEADBEEF
55662 .xword 0xDEADBEEFDEADBEEF
55663 .xword 0xDEADBEEFDEADBEEF
55664 .xword 0xDEADBEEFDEADBEEF
55665 .xword 0xDEADBEEFDEADBEEF
55666 .xword 0xDEADBEEFDEADBEEF
55667 .xword 0xDEADBEEFDEADBEEF
55668 .xword 0xDEADBEEFDEADBEEF
55669 .xword 0xDEADBEEFDEADBEEF
55670 .xword 0xDEADBEEFDEADBEEF
55671 .xword 0xDEADBEEFDEADBEEF
55672 .xword 0xDEADBEEFDEADBEEF
55673 .xword 0xDEADBEEFDEADBEEF
55674 .xword 0xDEADBEEFDEADBEEF
55675 .xword 0xDEADBEEFDEADBEEF
55676 .xword 0xDEADBEEFDEADBEEF
55677 .xword 0xDEADBEEFDEADBEEF
55678 .xword 0xDEADBEEFDEADBEEF
55679 .xword 0xDEADBEEFDEADBEEF
55680 .xword 0xDEADBEEFDEADBEEF
55681 .xword 0xDEADBEEFDEADBEEF
55682 .xword 0xDEADBEEFDEADBEEF
55683 .xword 0xDEADBEEFDEADBEEF
55684 .xword 0xDEADBEEFDEADBEEF
55685 .xword 0xDEADBEEFDEADBEEF
55686 .xword 0xDEADBEEFDEADBEEF
55687 .xword 0xDEADBEEFDEADBEEF
55688 .xword 0xDEADBEEFDEADBEEF
55689 .xword 0xDEADBEEFDEADBEEF
55690 .xword 0xDEADBEEFDEADBEEF
55691 .xword 0xDEADBEEFDEADBEEF
55692 .xword 0xDEADBEEFDEADBEEF
55693 .xword 0xDEADBEEFDEADBEEF
55694 .xword 0xDEADBEEFDEADBEEF
55695 .xword 0xDEADBEEFDEADBEEF
55696 .xword 0xDEADBEEFDEADBEEF
55697 .xword 0xDEADBEEFDEADBEEF
55698 .xword 0xDEADBEEFDEADBEEF
55699 .xword 0xDEADBEEFDEADBEEF
55700 .xword 0xDEADBEEFDEADBEEF
55701 .xword 0xDEADBEEFDEADBEEF
55702 .xword 0xDEADBEEFDEADBEEF
55703 .xword 0xDEADBEEFDEADBEEF
55704 .xword 0xDEADBEEFDEADBEEF
55705 .xword 0xDEADBEEFDEADBEEF
55706 .xword 0xDEADBEEFDEADBEEF
55707 .xword 0xDEADBEEFDEADBEEF
55708 .xword 0xDEADBEEFDEADBEEF
55709 .xword 0xDEADBEEFDEADBEEF
55710 .xword 0xDEADBEEFDEADBEEF
55711 .xword 0xDEADBEEFDEADBEEF
55712 .xword 0xDEADBEEFDEADBEEF
55713 .xword 0xDEADBEEFDEADBEEF
55714 .xword 0xDEADBEEFDEADBEEF
55715 .xword 0xDEADBEEFDEADBEEF
55716 .xword 0xDEADBEEFDEADBEEF
55717 .xword 0xDEADBEEFDEADBEEF
55718 .xword 0xDEADBEEFDEADBEEF
55719 .xword 0xDEADBEEFDEADBEEF
55720 .xword 0xDEADBEEFDEADBEEF
55721 .xword 0xDEADBEEFDEADBEEF
55722 .xword 0xDEADBEEFDEADBEEF
55723 .xword 0xDEADBEEFDEADBEEF
55724 .xword 0xDEADBEEFDEADBEEF
55725 .xword 0xDEADBEEFDEADBEEF
55726 .xword 0xDEADBEEFDEADBEEF
55727 .xword 0xDEADBEEFDEADBEEF
55728 .xword 0xDEADBEEFDEADBEEF
55729 .xword 0xDEADBEEFDEADBEEF
55730 .xword 0xDEADBEEFDEADBEEF
55731 .xword 0xDEADBEEFDEADBEEF
55732 .xword 0xDEADBEEFDEADBEEF
55733 .xword 0xDEADBEEFDEADBEEF
55734 .xword 0xDEADBEEFDEADBEEF
55735 .xword 0xDEADBEEFDEADBEEF
55736 .xword 0xDEADBEEFDEADBEEF
55737 .xword 0xDEADBEEFDEADBEEF
55738 .xword 0xDEADBEEFDEADBEEF
55739 .xword 0xDEADBEEFDEADBEEF
55740 .xword 0xDEADBEEFDEADBEEF
55741 .xword 0xDEADBEEFDEADBEEF
55742 .xword 0xDEADBEEFDEADBEEF
55743 .xword 0xDEADBEEFDEADBEEF
55744 .xword 0xDEADBEEFDEADBEEF
55745 .xword 0xDEADBEEFDEADBEEF
55746 .xword 0xDEADBEEFDEADBEEF
55747 .xword 0xDEADBEEFDEADBEEF
55748 .xword 0xDEADBEEFDEADBEEF
55749 .xword 0xDEADBEEFDEADBEEF
55750 .xword 0xDEADBEEFDEADBEEF
55751 .xword 0xDEADBEEFDEADBEEF
55752 .xword 0xDEADBEEFDEADBEEF
55753 .xword 0xDEADBEEFDEADBEEF
55754 .xword 0xDEADBEEFDEADBEEF
55755 .xword 0xDEADBEEFDEADBEEF
55756 .xword 0xDEADBEEFDEADBEEF
55757 .xword 0xDEADBEEFDEADBEEF
55758 .xword 0xDEADBEEFDEADBEEF
55759 .xword 0xDEADBEEFDEADBEEF
55760 .xword 0xDEADBEEFDEADBEEF
55761 .xword 0xDEADBEEFDEADBEEF
55762 .xword 0xDEADBEEFDEADBEEF
55763 .xword 0xDEADBEEFDEADBEEF
55764 .xword 0xDEADBEEFDEADBEEF
55765 .xword 0xDEADBEEFDEADBEEF
55766 .xword 0xDEADBEEFDEADBEEF
55767 .xword 0xDEADBEEFDEADBEEF
55768 .xword 0xDEADBEEFDEADBEEF
55769 .xword 0xDEADBEEFDEADBEEF
55770 .xword 0xDEADBEEFDEADBEEF
55771 .xword 0xDEADBEEFDEADBEEF
55772 .xword 0xDEADBEEFDEADBEEF
55773 .xword 0xDEADBEEFDEADBEEF
55774 .xword 0xDEADBEEFDEADBEEF
55775 .xword 0xDEADBEEFDEADBEEF
55776 .xword 0xDEADBEEFDEADBEEF
55777 .xword 0xDEADBEEFDEADBEEF
55778 .xword 0xDEADBEEFDEADBEEF
55779 .xword 0xDEADBEEFDEADBEEF
55780 .xword 0xDEADBEEFDEADBEEF
55781 .xword 0xDEADBEEFDEADBEEF
55782 .xword 0xDEADBEEFDEADBEEF
55783 .xword 0xDEADBEEFDEADBEEF
55784 .xword 0xDEADBEEFDEADBEEF
55785 .xword 0xDEADBEEFDEADBEEF
55786 .xword 0xDEADBEEFDEADBEEF
55787 .xword 0xDEADBEEFDEADBEEF
55788 .xword 0xDEADBEEFDEADBEEF
55789 .xword 0xDEADBEEFDEADBEEF
55790 .xword 0xDEADBEEFDEADBEEF
55791 .xword 0xDEADBEEFDEADBEEF
55792 .xword 0xDEADBEEFDEADBEEF
55793 .xword 0xDEADBEEFDEADBEEF
55794 .xword 0xDEADBEEFDEADBEEF
55795 .xword 0xDEADBEEFDEADBEEF
55796 .xword 0xDEADBEEFDEADBEEF
55797 .xword 0xDEADBEEFDEADBEEF
55798 .xword 0xDEADBEEFDEADBEEF
55799 .xword 0xDEADBEEFDEADBEEF
55800 .xword 0xDEADBEEFDEADBEEF
55801 .xword 0xDEADBEEFDEADBEEF
55802 .xword 0xDEADBEEFDEADBEEF
55803 .xword 0xDEADBEEFDEADBEEF
55804 .xword 0xDEADBEEFDEADBEEF
55805 .xword 0xDEADBEEFDEADBEEF
55806 .xword 0xDEADBEEFDEADBEEF
55807 .xword 0xDEADBEEFDEADBEEF
55808 .xword 0xDEADBEEFDEADBEEF
55809 .xword 0xDEADBEEFDEADBEEF
55810 .xword 0xDEADBEEFDEADBEEF
55811 .xword 0xDEADBEEFDEADBEEF
55812 .xword 0xDEADBEEFDEADBEEF
55813 .xword 0xDEADBEEFDEADBEEF
55814 .xword 0xDEADBEEFDEADBEEF
55815 .xword 0xDEADBEEFDEADBEEF
55816 .xword 0xDEADBEEFDEADBEEF
55817 .xword 0xDEADBEEFDEADBEEF
55818 .xword 0xDEADBEEFDEADBEEF
55819 .xword 0xDEADBEEFDEADBEEF
55820 .xword 0xDEADBEEFDEADBEEF
55821 .xword 0xDEADBEEFDEADBEEF
55822 .xword 0xDEADBEEFDEADBEEF
55823 .xword 0xDEADBEEFDEADBEEF
55824 .xword 0xDEADBEEFDEADBEEF
55825 .xword 0xDEADBEEFDEADBEEF
55826 .xword 0xDEADBEEFDEADBEEF
55827 .xword 0xDEADBEEFDEADBEEF
55828 .xword 0xDEADBEEFDEADBEEF
55829 .xword 0xDEADBEEFDEADBEEF
55830 .xword 0xDEADBEEFDEADBEEF
55831 .xword 0xDEADBEEFDEADBEEF
55832 .xword 0xDEADBEEFDEADBEEF
55833 .xword 0xDEADBEEFDEADBEEF
55834 .xword 0xDEADBEEFDEADBEEF
55835 .xword 0xDEADBEEFDEADBEEF
55836 .xword 0xDEADBEEFDEADBEEF
55837 .xword 0xDEADBEEFDEADBEEF
55838 .xword 0xDEADBEEFDEADBEEF
55839 .xword 0xDEADBEEFDEADBEEF
55840 .xword 0xDEADBEEFDEADBEEF
55841 .xword 0xDEADBEEFDEADBEEF
55842 .xword 0xDEADBEEFDEADBEEF
55843 .xword 0xDEADBEEFDEADBEEF
55844 .xword 0xDEADBEEFDEADBEEF
55845 .xword 0xDEADBEEFDEADBEEF
55846 .xword 0xDEADBEEFDEADBEEF
55847 .xword 0xDEADBEEFDEADBEEF
55848 .xword 0xDEADBEEFDEADBEEF
55849 .xword 0xDEADBEEFDEADBEEF
55850 .xword 0xDEADBEEFDEADBEEF
55851 .xword 0xDEADBEEFDEADBEEF
55852 .xword 0xDEADBEEFDEADBEEF
55853 .xword 0xDEADBEEFDEADBEEF
55854 .xword 0xDEADBEEFDEADBEEF
55855 .xword 0xDEADBEEFDEADBEEF
55856 .xword 0xDEADBEEFDEADBEEF
55857 .xword 0xDEADBEEFDEADBEEF
55858 .xword 0xDEADBEEFDEADBEEF
55859 .xword 0xDEADBEEFDEADBEEF
55860 .xword 0xDEADBEEFDEADBEEF
55861 .xword 0xDEADBEEFDEADBEEF
55862 .xword 0xDEADBEEFDEADBEEF
55863 .xword 0xDEADBEEFDEADBEEF
55864 .xword 0xDEADBEEFDEADBEEF
55865 .xword 0xDEADBEEFDEADBEEF
55866 .xword 0xDEADBEEFDEADBEEF
55867_t1_hmac_auth_key:
55868 .xword 0xd5240dd3f4a3f801
55869 .xword 0x7709f14943fe0f22
55870 .xword 0x1adae30745a617e1
55871 .xword 0xd8f3364217b94a78
55872 .xword 0x34d38697a50fc120
55873 .xword 0x6f74ed3d5a9ceb43
55874 .xword 0x2b54245426edb293
55875 .xword 0xa1079872ffa935e9
55876 .xword 0x28cebd1be43b781e
55877 .xword 0xa4dd63ab5a2577cc
55878 .xword 0x2182d3ed52540092
55879 .xword 0x06c665bb1fbca492
55880 .xword 0x6afd9c529bc5fc6d
55881 .xword 0x181e1b690b44cbac
55882 .xword 0xfc8ed87273608a92
55883 .xword 0x5ca8f733505a531c
55884 .xword 0x42ffe265998cf5b0
55885 .xword 0x98f8604bff962975
55886 .xword 0x717789aaca7e5fe3
55887 .xword 0x7330634d73190d9e
55888 .xword 0x23b4f2583b84eda6
55889 .xword 0xec9943c29cd9cee6
55890 .xword 0x040b59556a08704d
55891_t1_hmac_auth_iv:
55892 .xword 0x620a3279065a2bb9
55893 .xword 0x531afadbb6866a0d
55894 .xword 0x4539eaa4667dd82c
55895 .xword 0xeab4b2c284fcbb3a
55896 .xword 0xa6bd6eafd8f2b8c7
55897 .xword 0x1f3e2d4fbf821dff
55898 .xword 0x2e1b6d4f1354c163
55899 .xword 0x1978bb4dea2fdff5
55900 .xword 0xd729b944680074a0
55901 .xword 0xc4b42c9e2f78f729
55902 .xword 0x1f21bb1189bcba0c
55903 .xword 0xa97101f6965ba41b
55904 .xword 0x1b36efa80dbc38da
55905 .xword 0x8a8443fb203e7082
55906 .xword 0xc980844f28319cc1
55907 .xword 0x754992b85ff268fd
55908 .xword 0x2f0a641e3fbbb98b
55909 .xword 0xd3ec9b7d6c8c4940
55910 .xword 0xc1046b9183a8ba22
55911 .xword 0xc89a243016235b7c
55912 .xword 0x1f0938206c1ad4e1
55913 .xword 0x6c3885d0fa1efb99
55914 .xword 0xc58df4455620bbf1
55915_t1_hmac_fas_result:
55916 .xword 0xDEADBEEFDEADBEEF
55917 .xword 0xDEADBEEFDEADBEEF
55918 .xword 0xDEADBEEFDEADBEEF
55919 .xword 0xDEADBEEFDEADBEEF
55920 .xword 0xDEADBEEFDEADBEEF
55921 .xword 0xDEADBEEFDEADBEEF
55922 .xword 0xDEADBEEFDEADBEEF
55923 .xword 0xDEADBEEFDEADBEEF
55924 .xword 0xDEADBEEFDEADBEEF
55925 .xword 0xDEADBEEFDEADBEEF
55926 .xword 0xDEADBEEFDEADBEEF
55927 .xword 0xDEADBEEFDEADBEEF
55928 .xword 0xDEADBEEFDEADBEEF
55929 .xword 0xDEADBEEFDEADBEEF
55930 .xword 0xDEADBEEFDEADBEEF
55931 .xword 0xDEADBEEFDEADBEEF
55932 .xword 0xDEADBEEFDEADBEEF
55933 .xword 0xDEADBEEFDEADBEEF
55934 .xword 0xDEADBEEFDEADBEEF
55935 .xword 0xDEADBEEFDEADBEEF
55936 .xword 0xDEADBEEFDEADBEEF
55937 .xword 0xDEADBEEFDEADBEEF
55938 .xword 0xDEADBEEFDEADBEEF
55939_t1_rc4_key_array:
55940 .xword 0x17ae73219259f95d
55941 .xword 0xaa811ca4c26c54d8
55942 .xword 0x207f210aedf4cf8d
55943 .xword 0x8ce4051e3bdcd2a7
55944 .xword 0x40ce91a3b12304b8
55945 .xword 0x60457f09a59a8253
55946 .xword 0xfd2ff4708dc717b9
55947 .xword 0xc44819d5d3a1d5a2
55948 .xword 0x66310bb564ddea11
55949 .xword 0x6ccff4959be20866
55950 .xword 0x873f85adecc95ccf
55951 .xword 0xe6ee19182cbaaf9f
55952 .xword 0x1d762fcc8f8334f7
55953 .xword 0x37736f67bc97100b
55954 .xword 0x25ccae5a4aa898e8
55955 .xword 0x6493068e32a38fa9
55956 .xword 0xa3243a2fc84c53db
55957 .xword 0x5731efe00bbb0849
55958 .xword 0xc8d80cee18ae93a2
55959 .xword 0x59f4302bab34cdfa
55960 .xword 0xb5313e1e2dd11235
55961 .xword 0xec4183b8830b706e
55962 .xword 0x77e999785354d6ec
55963 .xword 0x5cf7b7a78b7cf9b9
55964 .xword 0x1ab18d9cd867eeda
55965 .xword 0xa39644ac7ac57a83
55966 .xword 0xe1d818afc1754536
55967 .xword 0x61ed82935e2ab7d5
55968 .xword 0x964646bbaeabe0a2
55969 .xword 0x5674871b564a6dd7
55970 .xword 0xdf537c6012acd6ac
55971 .xword 0x2897dcbe885f1023
55972 .xword 0xabb1f8a5855865f0
55973 .xword 0xf2ce0de4f366e91d
55974 .xword 0x4c9c517ded94c975
55975 .xword 0x6954c1418d5d34d4
55976 .xword 0xbb87899c0181966a
55977 .xword 0x81e3bfe30c09253e
55978 .xword 0x67121e71c7269890
55979 .xword 0xb9999965f56a2dce
55980 .xword 0xe989c0faa0f561ac
55981 .xword 0xd2962ba66e2a83be
55982 .xword 0x05488624d27c9dde
55983 .xword 0x4dece25e25d88816
55984 .xword 0x741e14f8b2b1fe71
55985 .xword 0x2f0db0b0300454fc
55986 .xword 0x56b45939b039d910
55987 .xword 0x4199f700d6e26420
55988 .xword 0xf48554171e80ca12
55989 .xword 0xd85321ff6bf61ebc
55990 .xword 0x2e11dab0b5d8df21
55991_t1_rc4_iv_array:
55992 .xword 0x3cf6172f1c84b346
55993 .xword 0x5df933a09f0755db
55994 .xword 0x290dbfe791992ffc
55995 .xword 0x3df8a49f21bfb4e4
55996 .xword 0xbdcff1f44e7fb580
55997 .xword 0x89d65e7fe77dd413
55998 .xword 0x491587e17f4eda4a
55999 .xword 0x9733a0ae5b54f056
56000 .xword 0xe30555b64023cc2b
56001 .xword 0xa184d108bc6c56e7
56002 .xword 0x332574cadfa776f8
56003 .xword 0x80fd1dae4feeea45
56004 .xword 0x29e6bb1e82d287b0
56005 .xword 0xb3447e4c5f288cbd
56006 .xword 0xba9d0840dfeca3f3
56007 .xword 0x5c3c2430a5ea8933
56008 .xword 0x8c62234271654d8a
56009 .xword 0xc624c30fbfd69187
56010 .xword 0xf8e37a2806d6809d
56011 .xword 0x593b2970134a20af
56012 .xword 0xe417e24cfd5feb65
56013 .xword 0xe1d26bf091adcad1
56014 .xword 0x3cc42a24c5e2b8b7
56015 .xword 0x1665711e577d70e8
56016 .xword 0x9e8ff74007cc1cef
56017 .xword 0xca7947467cf9da09
56018 .xword 0x604409a5954b794a
56019 .xword 0x2fcace161bd94b8d
56020 .xword 0x043985131e1cf9e2
56021 .xword 0xaa7ce0c4719ff87c
56022 .xword 0x6cb6c2fff19bb081
56023 .xword 0xd84f449f152d8585
56024 .xword 0x81236fb25a23012c
56025 .xword 0x91957f37d1160f4a
56026 .xword 0xa90d9d32092aacdb
56027 .xword 0xd9bbf0148a9485e9
56028 .xword 0xf4f3f69097b45d77
56029 .xword 0xebdf4e4532610df1
56030 .xword 0xfec56ea18d8fa774
56031 .xword 0x17de9f2a9ec6b04c
56032 .xword 0xbefa3e1e2e626e16
56033 .xword 0x12722aff0e751df7
56034 .xword 0x645b002fcff53881
56035 .xword 0xd9b5b0e51c6ba7e9
56036 .xword 0x36cc5168c8997464
56037_t1_rc4_alignment_array:
56038 .xword 12
56039 .xword 10
56040 .xword 13
56041 .xword 7
56042 .xword 7
56043 .xword 12
56044 .xword 6
56045 .xword 4
56046 .xword 9
56047 .xword 13
56048 .xword 2
56049 .xword 13
56050 .xword 8
56051 .xword 8
56052 .xword 11
56053 .xword 7
56054 .xword 12
56055 .xword 9
56056 .xword 15
56057 .xword 7
56058 .xword 9
56059 .xword 6
56060 .xword 5
56061 .xword 10
56062 .xword 0
56063 .xword 14
56064 .xword 6
56065 .xword 11
56066 .xword 15
56067 .xword 9
56068 .xword 2
56069 .xword 4
56070 .xword 15
56071 .xword 1
56072 .xword 13
56073 .xword 14
56074 .xword 2
56075 .xword 9
56076 .xword 13
56077 .xword 6
56078 .xword 9
56079 .xword 1
56080 .xword 3
56081 .xword 14
56082 .xword 7
56083 .xword 4
56084 .xword 1
56085 .xword 9
56086 .xword 6
56087 .xword 15
56088 .xword 5
56089 .xword 6
56090 .xword 3
56091 .xword 7
56092 .xword 4
56093 .xword 12
56094 .xword 12
56095 .xword 14
56096 .xword 3
56097 .xword 4
56098 .xword 12
56099 .xword 3
56100 .xword 13
56101 .xword 3
56102 .xword 4
56103 .xword 6
56104 .xword 12
56105 .xword 12
56106 .xword 10
56107 .xword 5
56108 .xword 4
56109 .xword 7
56110 .xword 14
56111 .xword 2
56112 .xword 4
56113 .xword 9
56114 .xword 10
56115 .xword 5
56116 .xword 2
56117 .xword 1
56118 .xword 15
56119 .xword 3
56120 .xword 3
56121 .xword 7
56122 .xword 3
56123 .xword 5
56124 .xword 9
56125 .xword 9
56126 .xword 13
56127 .xword 15
56128 .xword 4
56129 .xword 5
56130 .xword 15
56131 .xword 8
56132 .xword 11
56133 .xword 11
56134 .xword 4
56135 .xword 11
56136 .xword 12
56137 .xword 2
56138 .xword 13
56139 .xword 14
56140 .xword 10
56141 .xword 14
56142 .xword 4
56143_t1_rc4_src:
56144 .xword 0x59181c2349981efe
56145 .xword 0x5df99159948b5918
56146 .xword 0xf0e831490b9e024e
56147 .xword 0xccbc175c0ce8602c
56148 .xword 0x751b54d95b67ed56
56149 .xword 0xa623a97a4e811eab
56150 .xword 0xc8d5c25ddfdf9604
56151 .xword 0x940ddb84c22acbc7
56152 .xword 0xb96affab5224b46b
56153 .xword 0xf5312e972003841f
56154 .xword 0xe1f75f9a5a15e77a
56155 .xword 0xb00e51f9235ed761
56156 .xword 0xbfeb6d8312c9211d
56157 .xword 0xb6a9f97943613f17
56158 .xword 0xed465587ede2943c
56159 .xword 0x431fd841da885b41
56160 .xword 0xb863e41bfa9d3d6c
56161 .xword 0x06049d44e312d88a
56162 .xword 0x148612ed9aa3c7a8
56163 .xword 0xaf2e0de8f3563427
56164 .xword 0xd66b201b35b04c01
56165 .xword 0x0456a2b9c89d3c42
56166 .xword 0xdfe4b10bda5cbbcf
56167 .xword 0xc6e4fea55711f32b
56168 .xword 0x0e879918e40552a2
56169 .xword 0x566ac138f1c9ecc8
56170 .xword 0x0223bdd1de27aa35
56171 .xword 0x45e607962dd535e5
56172 .xword 0xe164783b2560b7c1
56173 .xword 0x020bef9896864642
56174 .xword 0xd10c13d07378ae52
56175 .xword 0x3dfaf7af8fe65550
56176 .xword 0x9dec054fb804c4b0
56177 .xword 0xbe95d8c1f4e3ad06
56178 .xword 0x67345f91ff5712e2
56179 .xword 0x1ca35c2447540f5f
56180 .xword 0xa12f4a37f951bb42
56181 .xword 0xe229b374c48c720a
56182 .xword 0x3373a261607798c8
56183 .xword 0xdaea72c19b93529a
56184 .xword 0x6a15486c8d7c1035
56185 .xword 0xf7eb1aa13fa3dd97
56186 .xword 0x6230da970e66ebdc
56187 .xword 0x62addae72e3aea14
56188 .xword 0x1589771ae714649d
56189 .xword 0x7a7218b20abab757
56190 .xword 0x0142781d6db1a338
56191 .xword 0x10243e340d5fc865
56192 .xword 0xcec159b0b6e159ec
56193 .xword 0xb773c59ee7016d3a
56194 .xword 0x1cd6f3c83e972f91
56195 .xword 0x7a41931c0155de84
56196 .xword 0x5c11c8c1ad7edac8
56197 .xword 0x890f79b8316db08e
56198 .xword 0xb4575dd9be9eac2e
56199 .xword 0xf7c393c35b04c55a
56200 .xword 0xd2689ff8e76b0ab9
56201 .xword 0xe56e6756c56f38ee
56202 .xword 0x3fe2cd47dc8a2236
56203 .xword 0x894c9763c737bb9a
56204 .xword 0x6b7e9f2ea79d5883
56205 .xword 0xf70db2133986252f
56206 .xword 0x80faff450e799c78
56207 .xword 0xe8af07e7ec834eda
56208 .xword 0x8205d1a037d7094e
56209 .xword 0x9e198bf6847734d4
56210 .xword 0xe45c3fdeec109867
56211 .xword 0xf453f544d898a654
56212 .xword 0x2dcc4c4dc43188df
56213 .xword 0xe35e4f17f4f64d84
56214 .xword 0x8916f33cf10c947a
56215 .xword 0x7182a0a115677d12
56216 .xword 0x5da7949a3d9c5f76
56217 .xword 0x4d74edaca0eeff4d
56218 .xword 0x4539c3d4ebc6aa60
56219 .xword 0x97044bdd11807fad
56220 .xword 0xbc531ce131a39a09
56221 .xword 0xa1fdea6030c964db
56222 .xword 0x2de809320fb2ad51
56223 .xword 0xe9161f2bfb93bed5
56224 .xword 0x0cbf82885610b402
56225 .xword 0xc7a6949acf8359af
56226 .xword 0xe6a5a0801176c08b
56227 .xword 0xc27961b73a04842d
56228 .xword 0x8e842366bc6405cd
56229 .xword 0x078be8a79bc70dc8
56230 .xword 0xa7843f986033be48
56231 .xword 0x9c90c365eba08272
56232 .xword 0x1e107c3321687d00
56233 .xword 0xdae222758168f4b6
56234 .xword 0xe4fd9525c4ff1397
56235 .xword 0x8e21ce833b45d792
56236 .xword 0x3deb7838a991e58c
56237 .xword 0xf1149cef262be905
56238 .xword 0xb00294dab31665b1
56239 .xword 0xcb8930942a09650b
56240 .xword 0x27d8838984a1fb38
56241 .xword 0x5bf03130eea4be39
56242 .xword 0xe6e7e0fe08de6148
56243 .xword 0xfa9f951493c976b7
56244 .xword 0xcfc86c6280431506
56245 .xword 0x03202cd304e63c10
56246 .xword 0x108ef24f15554cee
56247 .xword 0xed2fc7ae47c44c42
56248 .xword 0x3117027ae45073ef
56249 .xword 0x49fe01517184da91
56250 .xword 0x27416377e441d3fb
56251 .xword 0x6946f1721729c371
56252 .xword 0x5f31bc2ea7686db1
56253 .xword 0xe626a264bbfaa77a
56254 .xword 0xb96d188e4fe924ee
56255 .xword 0x28a269aa236de0cb
56256 .xword 0xa400b25e687a476b
56257 .xword 0x03248865af414250
56258 .xword 0x697e04945c0ae451
56259 .xword 0x9fb6737f1711c02b
56260 .xword 0xb57c337f46b4f9ac
56261 .xword 0x5def258c1263c2bc
56262 .xword 0x064b7d84c8315eac
56263 .xword 0x0ba912d96b1dc5d9
56264 .xword 0x33479c70ed96fbc2
56265 .xword 0x5af75f6adddfc6de
56266 .xword 0x44134d273b223e94
56267 .xword 0x2e3e7fb292719348
56268 .xword 0xac3413958de6817e
56269 .xword 0x890f7ce00f773b1f
56270 .xword 0x92fc36eb5b4f2012
56271 .xword 0x99d4b44f7fb84347
56272 .xword 0x18d24119e141c111
56273 .xword 0x263208d4a6365679
56274 .xword 0x822c424f02604f4d
56275 .xword 0xe88ee2742fd4c0d9
56276 .xword 0x98a9e3864e167550
56277 .xword 0xbf4574cb127194a7
56278 .xword 0xe926dc989aacbd97
56279 .xword 0x0d522fcbb160fb51
56280 .xword 0xd26e832ee1f553cc
56281 .xword 0x6c08a4fb60b8335d
56282 .xword 0xacb9a549ed456b0b
56283 .xword 0x8c2492e56640036a
56284 .xword 0xb488ee5295bbb763
56285 .xword 0x829c0515fe808d70
56286 .xword 0x2d51377a66dab122
56287 .xword 0x5ddde7616d532435
56288 .xword 0x7b608e6b3765b654
56289 .xword 0x39fb3d7e3e9945f8
56290 .xword 0x7a506b705d442f12
56291 .xword 0x4b5f45e28e03f8f4
56292 .xword 0xb8504dd55f752f3f
56293 .xword 0x237e047430e8a6fe
56294 .xword 0xa5fcacc928773aa2
56295 .xword 0xd17b90c854a16407
56296 .xword 0xc54aecbdf7451f0b
56297 .xword 0x68d0fd86b60d6620
56298 .xword 0x038904537ac0941c
56299 .xword 0x22a687ac3ffb52d3
56300 .xword 0xcf35d439090a94b9
56301 .xword 0x553f7c8401961365
56302 .xword 0x4aefdc97567e8e7c
56303 .xword 0x5454bb177f624c02
56304 .xword 0x5f5b0a990586afe2
56305 .xword 0x9c9f7059c7f25e18
56306 .xword 0x87b701e8de6a6d71
56307 .xword 0x0ec3b98c9034a7ad
56308 .xword 0xe7d45462626903d3
56309 .xword 0x634034c80c1ce98a
56310 .xword 0xf9522e7e1e23606e
56311 .xword 0xe43423b935c6fe05
56312 .xword 0x8749bd7db008b38e
56313 .xword 0x7c53682e75d033de
56314 .xword 0xede3aab209783fc3
56315 .xword 0x1c67716050149f33
56316 .xword 0x7eb5761cf0226030
56317 .xword 0x1a5ea14b6c06ed45
56318 .xword 0x25d8cb96a2561695
56319 .xword 0x04d3195de929ddad
56320 .xword 0x4bda3c0eaade0c48
56321 .xword 0xefaaf222189eccd3
56322 .xword 0xbc6321e39975c411
56323 .xword 0x5f9a0cdf5ecda8cf
56324 .xword 0xb1ef5b8979e532a4
56325 .xword 0x42f46ab3bb65d9e6
56326 .xword 0x696f05916f591773
56327 .xword 0x0feb95db144e5810
56328 .xword 0x37bd15b63e635501
56329 .xword 0x5badba6c24c0d613
56330 .xword 0x370ff7b7bf13df71
56331 .xword 0xbf10634babf9fae5
56332 .xword 0x2f4cb33f9e9bbf08
56333 .xword 0x92e67f3a218ef3eb
56334 .xword 0xc7ce4ea143ae8192
56335 .xword 0x6f82889db1d6ea07
56336 .xword 0xdf22321c71b1addf
56337 .xword 0x906abec005cc555f
56338 .xword 0x12bd20da64332d3b
56339 .xword 0x48e70a9590553278
56340 .xword 0xef4198d66feae0fc
56341 .xword 0x69864f1ed453027a
56342 .xword 0xaed31ee58e352849
56343 .xword 0xa098aa3d890b7fdc
56344 .xword 0xc9a61ae25eb9bd75
56345 .xword 0x530022e6934087fc
56346 .xword 0xb7de2ddea23434f0
56347 .xword 0x7a3bc25b3a897f70
56348 .xword 0xfe263c438b69f13d
56349 .xword 0xba2d67fae546e979
56350 .xword 0xadfdd5138fc57e93
56351 .xword 0xfcfe61135fb7b863
56352 .xword 0xd69eff76dd671d03
56353 .xword 0xbe75d872c5551b19
56354 .xword 0xcfcba0da4b4bdee3
56355 .xword 0xa5d65f3e6910de10
56356 .xword 0x3395db53287e9b88
56357 .xword 0x67aad4dc1b4e3d23
56358 .xword 0x5bf11fd6dc526298
56359 .xword 0xf7fe2be35d0e5873
56360 .xword 0x916e0bee15acc320
56361 .xword 0x287e5b005c1b0d93
56362 .xword 0x2d8d06c81eeb0f20
56363 .xword 0xb6acc5d8daefa907
56364 .xword 0x69f7afae6714b311
56365 .xword 0xff42b3a97005aaeb
56366 .xword 0x9a1e18663206f069
56367 .xword 0x7ca27f24ff74470b
56368 .xword 0x9c53ced3f08defab
56369 .xword 0xd490fe473f0dbbc3
56370 .xword 0x1d9e87588fa0907c
56371 .xword 0x9b63ba476ce8a31f
56372 .xword 0xe80394652e426d29
56373 .xword 0x9a58d34774ea4051
56374 .xword 0x2ec893b261113494
56375 .xword 0x972292526352ad79
56376 .xword 0xebe5845adccb7844
56377 .xword 0x2c36dcd0245a7828
56378 .xword 0x0efa3b83cf13e613
56379 .xword 0x5b292b11a57a485c
56380 .xword 0x9f38d241564410bc
56381 .xword 0xab492c0728675714
56382 .xword 0xaecf091ec045d976
56383 .xword 0xf5e858875e818cf1
56384 .xword 0x8ce294204ac327d5
56385 .xword 0x9f1fdd9c088337c1
56386 .xword 0xe3c07104954e6a90
56387 .xword 0xeb89331a10260ef3
56388 .xword 0x0052cdda39d8e55c
56389 .xword 0x9d019577c8cafe20
56390 .xword 0xcc510eea6623b899
56391 .xword 0x0e082f0bd8f6f701
56392 .xword 0x3a4bc6d6eecdb158
56393 .xword 0x12a5f35b60ef16d3
56394 .xword 0x9f5189ffbda72bec
56395 .xword 0x696f3cb820135e62
56396 .xword 0x1add8fadf611a5c6
56397 .xword 0xe84ff65b07c8565c
56398 .xword 0xd015ca2a00fe9625
56399 .xword 0xbe9439c02861979a
56400 .xword 0x1c1f51b9adc950ac
56401 .xword 0x3d1ebbcc9aca5c78
56402 .xword 0xb997bfda6f1fd68d
56403 .xword 0xc8fa941580f9c0ac
56404 .xword 0xa99e98bc46059e59
56405 .xword 0x3e791b97534fa435
56406 .xword 0x96d4d4844fee48de
56407 .xword 0x6227e59f1bdea253
56408 .xword 0xdffe4e2041d0aeaa
56409 .xword 0x259e3b75c2d13fbf
56410 .xword 0xcb66ec2a322092ba
56411 .xword 0x58ba20b7500f92b1
56412 .xword 0x156655589573a02b
56413 .xword 0xc653f4d615e20e04
56414 .xword 0x32cb5bbff050b38f
56415 .xword 0x3b670b802cd46d66
56416 .xword 0x4a7ab24ce3d6e02c
56417 .xword 0x4657291664f93f64
56418 .xword 0x60d2efc8b3a796cb
56419_t1_rc4_dest:
56420 .xword 0xDEADBEEFDEADBEEF
56421 .xword 0xDEADBEEFDEADBEEF
56422 .xword 0xDEADBEEFDEADBEEF
56423 .xword 0xDEADBEEFDEADBEEF
56424 .xword 0xDEADBEEFDEADBEEF
56425 .xword 0xDEADBEEFDEADBEEF
56426 .xword 0xDEADBEEFDEADBEEF
56427 .xword 0xDEADBEEFDEADBEEF
56428 .xword 0xDEADBEEFDEADBEEF
56429 .xword 0xDEADBEEFDEADBEEF
56430 .xword 0xDEADBEEFDEADBEEF
56431 .xword 0xDEADBEEFDEADBEEF
56432 .xword 0xDEADBEEFDEADBEEF
56433 .xword 0xDEADBEEFDEADBEEF
56434 .xword 0xDEADBEEFDEADBEEF
56435 .xword 0xDEADBEEFDEADBEEF
56436 .xword 0xDEADBEEFDEADBEEF
56437 .xword 0xDEADBEEFDEADBEEF
56438 .xword 0xDEADBEEFDEADBEEF
56439 .xword 0xDEADBEEFDEADBEEF
56440 .xword 0xDEADBEEFDEADBEEF
56441 .xword 0xDEADBEEFDEADBEEF
56442 .xword 0xDEADBEEFDEADBEEF
56443 .xword 0xDEADBEEFDEADBEEF
56444 .xword 0xDEADBEEFDEADBEEF
56445 .xword 0xDEADBEEFDEADBEEF
56446 .xword 0xDEADBEEFDEADBEEF
56447 .xword 0xDEADBEEFDEADBEEF
56448 .xword 0xDEADBEEFDEADBEEF
56449 .xword 0xDEADBEEFDEADBEEF
56450 .xword 0xDEADBEEFDEADBEEF
56451 .xword 0xDEADBEEFDEADBEEF
56452 .xword 0xDEADBEEFDEADBEEF
56453 .xword 0xDEADBEEFDEADBEEF
56454 .xword 0xDEADBEEFDEADBEEF
56455 .xword 0xDEADBEEFDEADBEEF
56456 .xword 0xDEADBEEFDEADBEEF
56457 .xword 0xDEADBEEFDEADBEEF
56458 .xword 0xDEADBEEFDEADBEEF
56459 .xword 0xDEADBEEFDEADBEEF
56460 .xword 0xDEADBEEFDEADBEEF
56461 .xword 0xDEADBEEFDEADBEEF
56462 .xword 0xDEADBEEFDEADBEEF
56463 .xword 0xDEADBEEFDEADBEEF
56464 .xword 0xDEADBEEFDEADBEEF
56465 .xword 0xDEADBEEFDEADBEEF
56466 .xword 0xDEADBEEFDEADBEEF
56467 .xword 0xDEADBEEFDEADBEEF
56468 .xword 0xDEADBEEFDEADBEEF
56469 .xword 0xDEADBEEFDEADBEEF
56470 .xword 0xDEADBEEFDEADBEEF
56471 .xword 0xDEADBEEFDEADBEEF
56472 .xword 0xDEADBEEFDEADBEEF
56473 .xword 0xDEADBEEFDEADBEEF
56474 .xword 0xDEADBEEFDEADBEEF
56475 .xword 0xDEADBEEFDEADBEEF
56476 .xword 0xDEADBEEFDEADBEEF
56477 .xword 0xDEADBEEFDEADBEEF
56478 .xword 0xDEADBEEFDEADBEEF
56479 .xword 0xDEADBEEFDEADBEEF
56480 .xword 0xDEADBEEFDEADBEEF
56481 .xword 0xDEADBEEFDEADBEEF
56482 .xword 0xDEADBEEFDEADBEEF
56483 .xword 0xDEADBEEFDEADBEEF
56484 .xword 0xDEADBEEFDEADBEEF
56485 .xword 0xDEADBEEFDEADBEEF
56486 .xword 0xDEADBEEFDEADBEEF
56487 .xword 0xDEADBEEFDEADBEEF
56488 .xword 0xDEADBEEFDEADBEEF
56489 .xword 0xDEADBEEFDEADBEEF
56490 .xword 0xDEADBEEFDEADBEEF
56491 .xword 0xDEADBEEFDEADBEEF
56492 .xword 0xDEADBEEFDEADBEEF
56493 .xword 0xDEADBEEFDEADBEEF
56494 .xword 0xDEADBEEFDEADBEEF
56495 .xword 0xDEADBEEFDEADBEEF
56496 .xword 0xDEADBEEFDEADBEEF
56497 .xword 0xDEADBEEFDEADBEEF
56498 .xword 0xDEADBEEFDEADBEEF
56499 .xword 0xDEADBEEFDEADBEEF
56500 .xword 0xDEADBEEFDEADBEEF
56501 .xword 0xDEADBEEFDEADBEEF
56502 .xword 0xDEADBEEFDEADBEEF
56503 .xword 0xDEADBEEFDEADBEEF
56504 .xword 0xDEADBEEFDEADBEEF
56505 .xword 0xDEADBEEFDEADBEEF
56506 .xword 0xDEADBEEFDEADBEEF
56507 .xword 0xDEADBEEFDEADBEEF
56508 .xword 0xDEADBEEFDEADBEEF
56509 .xword 0xDEADBEEFDEADBEEF
56510 .xword 0xDEADBEEFDEADBEEF
56511 .xword 0xDEADBEEFDEADBEEF
56512 .xword 0xDEADBEEFDEADBEEF
56513 .xword 0xDEADBEEFDEADBEEF
56514 .xword 0xDEADBEEFDEADBEEF
56515 .xword 0xDEADBEEFDEADBEEF
56516 .xword 0xDEADBEEFDEADBEEF
56517 .xword 0xDEADBEEFDEADBEEF
56518 .xword 0xDEADBEEFDEADBEEF
56519 .xword 0xDEADBEEFDEADBEEF
56520 .xword 0xDEADBEEFDEADBEEF
56521 .xword 0xDEADBEEFDEADBEEF
56522 .xword 0xDEADBEEFDEADBEEF
56523 .xword 0xDEADBEEFDEADBEEF
56524 .xword 0xDEADBEEFDEADBEEF
56525 .xword 0xDEADBEEFDEADBEEF
56526 .xword 0xDEADBEEFDEADBEEF
56527 .xword 0xDEADBEEFDEADBEEF
56528 .xword 0xDEADBEEFDEADBEEF
56529 .xword 0xDEADBEEFDEADBEEF
56530 .xword 0xDEADBEEFDEADBEEF
56531 .xword 0xDEADBEEFDEADBEEF
56532 .xword 0xDEADBEEFDEADBEEF
56533 .xword 0xDEADBEEFDEADBEEF
56534 .xword 0xDEADBEEFDEADBEEF
56535 .xword 0xDEADBEEFDEADBEEF
56536 .xword 0xDEADBEEFDEADBEEF
56537 .xword 0xDEADBEEFDEADBEEF
56538 .xword 0xDEADBEEFDEADBEEF
56539 .xword 0xDEADBEEFDEADBEEF
56540 .xword 0xDEADBEEFDEADBEEF
56541 .xword 0xDEADBEEFDEADBEEF
56542 .xword 0xDEADBEEFDEADBEEF
56543 .xword 0xDEADBEEFDEADBEEF
56544 .xword 0xDEADBEEFDEADBEEF
56545 .xword 0xDEADBEEFDEADBEEF
56546 .xword 0xDEADBEEFDEADBEEF
56547 .xword 0xDEADBEEFDEADBEEF
56548 .xword 0xDEADBEEFDEADBEEF
56549 .xword 0xDEADBEEFDEADBEEF
56550 .xword 0xDEADBEEFDEADBEEF
56551 .xword 0xDEADBEEFDEADBEEF
56552 .xword 0xDEADBEEFDEADBEEF
56553 .xword 0xDEADBEEFDEADBEEF
56554 .xword 0xDEADBEEFDEADBEEF
56555 .xword 0xDEADBEEFDEADBEEF
56556 .xword 0xDEADBEEFDEADBEEF
56557 .xword 0xDEADBEEFDEADBEEF
56558 .xword 0xDEADBEEFDEADBEEF
56559 .xword 0xDEADBEEFDEADBEEF
56560 .xword 0xDEADBEEFDEADBEEF
56561 .xword 0xDEADBEEFDEADBEEF
56562 .xword 0xDEADBEEFDEADBEEF
56563 .xword 0xDEADBEEFDEADBEEF
56564 .xword 0xDEADBEEFDEADBEEF
56565 .xword 0xDEADBEEFDEADBEEF
56566 .xword 0xDEADBEEFDEADBEEF
56567 .xword 0xDEADBEEFDEADBEEF
56568 .xword 0xDEADBEEFDEADBEEF
56569 .xword 0xDEADBEEFDEADBEEF
56570 .xword 0xDEADBEEFDEADBEEF
56571 .xword 0xDEADBEEFDEADBEEF
56572 .xword 0xDEADBEEFDEADBEEF
56573 .xword 0xDEADBEEFDEADBEEF
56574 .xword 0xDEADBEEFDEADBEEF
56575 .xword 0xDEADBEEFDEADBEEF
56576 .xword 0xDEADBEEFDEADBEEF
56577 .xword 0xDEADBEEFDEADBEEF
56578 .xword 0xDEADBEEFDEADBEEF
56579 .xword 0xDEADBEEFDEADBEEF
56580 .xword 0xDEADBEEFDEADBEEF
56581 .xword 0xDEADBEEFDEADBEEF
56582 .xword 0xDEADBEEFDEADBEEF
56583 .xword 0xDEADBEEFDEADBEEF
56584 .xword 0xDEADBEEFDEADBEEF
56585 .xword 0xDEADBEEFDEADBEEF
56586 .xword 0xDEADBEEFDEADBEEF
56587 .xword 0xDEADBEEFDEADBEEF
56588 .xword 0xDEADBEEFDEADBEEF
56589 .xword 0xDEADBEEFDEADBEEF
56590 .xword 0xDEADBEEFDEADBEEF
56591 .xword 0xDEADBEEFDEADBEEF
56592 .xword 0xDEADBEEFDEADBEEF
56593 .xword 0xDEADBEEFDEADBEEF
56594 .xword 0xDEADBEEFDEADBEEF
56595 .xword 0xDEADBEEFDEADBEEF
56596 .xword 0xDEADBEEFDEADBEEF
56597 .xword 0xDEADBEEFDEADBEEF
56598 .xword 0xDEADBEEFDEADBEEF
56599 .xword 0xDEADBEEFDEADBEEF
56600 .xword 0xDEADBEEFDEADBEEF
56601 .xword 0xDEADBEEFDEADBEEF
56602 .xword 0xDEADBEEFDEADBEEF
56603 .xword 0xDEADBEEFDEADBEEF
56604 .xword 0xDEADBEEFDEADBEEF
56605 .xword 0xDEADBEEFDEADBEEF
56606 .xword 0xDEADBEEFDEADBEEF
56607 .xword 0xDEADBEEFDEADBEEF
56608 .xword 0xDEADBEEFDEADBEEF
56609 .xword 0xDEADBEEFDEADBEEF
56610 .xword 0xDEADBEEFDEADBEEF
56611 .xword 0xDEADBEEFDEADBEEF
56612 .xword 0xDEADBEEFDEADBEEF
56613 .xword 0xDEADBEEFDEADBEEF
56614 .xword 0xDEADBEEFDEADBEEF
56615 .xword 0xDEADBEEFDEADBEEF
56616 .xword 0xDEADBEEFDEADBEEF
56617 .xword 0xDEADBEEFDEADBEEF
56618 .xword 0xDEADBEEFDEADBEEF
56619 .xword 0xDEADBEEFDEADBEEF
56620 .xword 0xDEADBEEFDEADBEEF
56621 .xword 0xDEADBEEFDEADBEEF
56622 .xword 0xDEADBEEFDEADBEEF
56623 .xword 0xDEADBEEFDEADBEEF
56624 .xword 0xDEADBEEFDEADBEEF
56625 .xword 0xDEADBEEFDEADBEEF
56626 .xword 0xDEADBEEFDEADBEEF
56627 .xword 0xDEADBEEFDEADBEEF
56628 .xword 0xDEADBEEFDEADBEEF
56629 .xword 0xDEADBEEFDEADBEEF
56630 .xword 0xDEADBEEFDEADBEEF
56631 .xword 0xDEADBEEFDEADBEEF
56632 .xword 0xDEADBEEFDEADBEEF
56633 .xword 0xDEADBEEFDEADBEEF
56634 .xword 0xDEADBEEFDEADBEEF
56635 .xword 0xDEADBEEFDEADBEEF
56636 .xword 0xDEADBEEFDEADBEEF
56637 .xword 0xDEADBEEFDEADBEEF
56638 .xword 0xDEADBEEFDEADBEEF
56639 .xword 0xDEADBEEFDEADBEEF
56640 .xword 0xDEADBEEFDEADBEEF
56641 .xword 0xDEADBEEFDEADBEEF
56642 .xword 0xDEADBEEFDEADBEEF
56643 .xword 0xDEADBEEFDEADBEEF
56644 .xword 0xDEADBEEFDEADBEEF
56645 .xword 0xDEADBEEFDEADBEEF
56646 .xword 0xDEADBEEFDEADBEEF
56647 .xword 0xDEADBEEFDEADBEEF
56648 .xword 0xDEADBEEFDEADBEEF
56649 .xword 0xDEADBEEFDEADBEEF
56650 .xword 0xDEADBEEFDEADBEEF
56651 .xword 0xDEADBEEFDEADBEEF
56652 .xword 0xDEADBEEFDEADBEEF
56653 .xword 0xDEADBEEFDEADBEEF
56654 .xword 0xDEADBEEFDEADBEEF
56655 .xword 0xDEADBEEFDEADBEEF
56656 .xword 0xDEADBEEFDEADBEEF
56657 .xword 0xDEADBEEFDEADBEEF
56658 .xword 0xDEADBEEFDEADBEEF
56659 .xword 0xDEADBEEFDEADBEEF
56660 .xword 0xDEADBEEFDEADBEEF
56661 .xword 0xDEADBEEFDEADBEEF
56662 .xword 0xDEADBEEFDEADBEEF
56663 .xword 0xDEADBEEFDEADBEEF
56664 .xword 0xDEADBEEFDEADBEEF
56665 .xword 0xDEADBEEFDEADBEEF
56666 .xword 0xDEADBEEFDEADBEEF
56667 .xword 0xDEADBEEFDEADBEEF
56668 .xword 0xDEADBEEFDEADBEEF
56669 .xword 0xDEADBEEFDEADBEEF
56670 .xword 0xDEADBEEFDEADBEEF
56671 .xword 0xDEADBEEFDEADBEEF
56672 .xword 0xDEADBEEFDEADBEEF
56673 .xword 0xDEADBEEFDEADBEEF
56674 .xword 0xDEADBEEFDEADBEEF
56675 .xword 0xDEADBEEFDEADBEEF
56676 .xword 0xDEADBEEFDEADBEEF
56677 .xword 0xDEADBEEFDEADBEEF
56678 .xword 0xDEADBEEFDEADBEEF
56679 .xword 0xDEADBEEFDEADBEEF
56680 .xword 0xDEADBEEFDEADBEEF
56681 .xword 0xDEADBEEFDEADBEEF
56682 .xword 0xDEADBEEFDEADBEEF
56683 .xword 0xDEADBEEFDEADBEEF
56684 .xword 0xDEADBEEFDEADBEEF
56685 .xword 0xDEADBEEFDEADBEEF
56686 .xword 0xDEADBEEFDEADBEEF
56687 .xword 0xDEADBEEFDEADBEEF
56688 .xword 0xDEADBEEFDEADBEEF
56689 .xword 0xDEADBEEFDEADBEEF
56690 .xword 0xDEADBEEFDEADBEEF
56691 .xword 0xDEADBEEFDEADBEEF
56692 .xword 0xDEADBEEFDEADBEEF
56693 .xword 0xDEADBEEFDEADBEEF
56694 .xword 0xDEADBEEFDEADBEEF
56695_t1_rc4_auth_key:
56696 .xword 0xe923336f01e9dbe4
56697 .xword 0xb770da677528fbf7
56698 .xword 0xc910703dfa1fe16d
56699 .xword 0xd184504038bdad10
56700 .xword 0x096f2a2511a217b1
56701 .xword 0x7c3c15e9bd8ffcf7
56702 .xword 0xa6531aceddf35a40
56703 .xword 0x0535c56eec5847f6
56704 .xword 0x0fd31595bd805b85
56705 .xword 0x33990085e39225a8
56706 .xword 0xc90bb43a73ec37ab
56707 .xword 0xae8a68c4fba980f4
56708 .xword 0xeefac6fb978aceb7
56709 .xword 0x85b854f32d4d16ce
56710 .xword 0xa84c950448c81c77
56711 .xword 0x4b2410aeac38fe45
56712 .xword 0x571f36a15b54cafd
56713 .xword 0x733e2fa7e3cb5543
56714 .xword 0xd6f903be59091e28
56715 .xword 0xaa53e02c2a701392
56716 .xword 0x3369ebabf76abf85
56717 .xword 0x2e631a283df9b47a
56718 .xword 0x2fb0ee70af0d8a04
56719_t1_rc4_auth_iv:
56720 .xword 0xdcc1728c6414f393
56721 .xword 0xf6230c761533352e
56722 .xword 0x0a474eed66080b74
56723 .xword 0xe3c97d75d9a003f8
56724 .xword 0xd42b2a6d2fa1ac65
56725 .xword 0xa949b0ba570d0c14
56726 .xword 0xc3b36a14a80f1e2c
56727 .xword 0xce3b9d64775139e2
56728 .xword 0x47bb71411f6ce9fe
56729 .xword 0x26bf24601ffb0b2c
56730 .xword 0x9eb8629a457dc2bc
56731 .xword 0xa524fbeaae55aac2
56732 .xword 0xf4c700fbde5e0867
56733 .xword 0xf0ee3f202677a98c
56734 .xword 0x3c123394240a1d52
56735 .xword 0x6336cff1407894ba
56736 .xword 0xa0372cdf1576a021
56737 .xword 0x5241605cbc9f217f
56738 .xword 0x103f2b9f30775a1a
56739 .xword 0x487ad7740cc95d6d
56740 .xword 0xf228788ac4947807
56741 .xword 0xc9aec899f67d15f5
56742 .xword 0x1afd6b2606d08695
56743_t1_rc4_fas_result:
56744 .xword 0xDEADBEEFDEADBEEF
56745 .xword 0xDEADBEEFDEADBEEF
56746 .xword 0xDEADBEEFDEADBEEF
56747 .xword 0xDEADBEEFDEADBEEF
56748 .xword 0xDEADBEEFDEADBEEF
56749 .xword 0xDEADBEEFDEADBEEF
56750 .xword 0xDEADBEEFDEADBEEF
56751 .xword 0xDEADBEEFDEADBEEF
56752 .xword 0xDEADBEEFDEADBEEF
56753 .xword 0xDEADBEEFDEADBEEF
56754 .xword 0xDEADBEEFDEADBEEF
56755 .xword 0xDEADBEEFDEADBEEF
56756 .xword 0xDEADBEEFDEADBEEF
56757 .xword 0xDEADBEEFDEADBEEF
56758 .xword 0xDEADBEEFDEADBEEF
56759 .xword 0xDEADBEEFDEADBEEF
56760 .xword 0xDEADBEEFDEADBEEF
56761 .xword 0xDEADBEEFDEADBEEF
56762 .xword 0xDEADBEEFDEADBEEF
56763 .xword 0xDEADBEEFDEADBEEF
56764 .xword 0xDEADBEEFDEADBEEF
56765 .xword 0xDEADBEEFDEADBEEF
56766 .xword 0xDEADBEEFDEADBEEF
56767_t1_sslkey_key_array:
56768 .xword 0xdcdffaacbc4f1a1f
56769 .xword 0x405569247a9b6b12
56770 .xword 0x7c2f650079a51595
56771 .xword 0x11b5dac6ad8cb119
56772 .xword 0x95f014cf531a11c6
56773 .xword 0x129d9e72490a3312
56774 .xword 0x187d2f3fa4c1fba1
56775 .xword 0x8303d111ecf6294e
56776 .xword 0x6d20293b76af3fac
56777 .xword 0x98eabd0eabfb35ab
56778 .xword 0xc1440339b3c7a2da
56779 .xword 0xf2c337ade1ec9595
56780 .xword 0x611c1b25f6d6e908
56781 .xword 0x398f9d407db6ea48
56782 .xword 0x0780122f795e5743
56783 .xword 0xab18fcb7bb240879
56784 .xword 0x22f3faa0f4f3f0c5
56785 .xword 0xb1e105f7bcc2dc2e
56786 .xword 0x6b0792f3ba933480
56787 .xword 0x256691add4ca25fa
56788 .xword 0x1895edf3fe3c7f50
56789 .xword 0xe0ce73d6d69b92ca
56790 .xword 0xab9fa7916c3552ed
56791 .xword 0xd9b74a82c8a39788
56792 .xword 0x42e072bd3a5374c1
56793 .xword 0x7439fb71555295f5
56794 .xword 0x6b1d9820a78b33d4
56795 .xword 0x562df05d65654145
56796 .xword 0x713a8235809509a4
56797 .xword 0xb3ca32d3df007599
56798 .xword 0xf845d736069630dc
56799 .xword 0x41996a5d81abe540
56800 .xword 0x479babea31674590
56801 .xword 0xbad27423208fd794
56802 .xword 0x578363caa5e19d40
56803 .xword 0xa8d8881afefcb766
56804 .xword 0xb80bf215aba21fe1
56805 .xword 0x08193e30466d9d1b
56806 .xword 0xd00644fa5d412999
56807 .xword 0x1a4cbe327f72b645
56808 .xword 0x320f1f8bf83bbe02
56809 .xword 0x3e4d0892c085e25a
56810 .xword 0x546becd0fe0805be
56811 .xword 0x740c5723175423b1
56812 .xword 0xab836d25bbad93b5
56813 .xword 0xa9c5ea0b1bcd9805
56814 .xword 0x1d01860516656f9b
56815 .xword 0x035defae106e0e7d
56816 .xword 0xf3ccaf22c32b8879
56817 .xword 0x5bb73a56d9bcb9cb
56818 .xword 0x36f7737b07ba5d32
56819_t1_sslkey_iv_array:
56820 .xword 0x4e40803992c777e4
56821 .xword 0x988726a9ed4cc912
56822 .xword 0xb773d870fac57b2a
56823 .xword 0x92f2e52dd19959c9
56824 .xword 0x4a172eb56537563b
56825 .xword 0xd7adeae71ea9d81f
56826 .xword 0x0132fc4f0254264c
56827 .xword 0x61ffdcfa8ab74d97
56828 .xword 0x3c17cc7f74c2bf11
56829 .xword 0x92eb6aae0bf04972
56830 .xword 0x90c5d51443b21d2b
56831 .xword 0x4b3eb42ea52c16b6
56832 .xword 0xec60330d254ae209
56833 .xword 0xec9de20ace4b368e
56834 .xword 0xe842308f932388ce
56835 .xword 0xbc3e0da00212a47c
56836 .xword 0x1bd7918b72293ed8
56837 .xword 0xb7af3f10051419e0
56838 .xword 0xe3f11292b343a041
56839 .xword 0x6ce58e694b8ebab6
56840 .xword 0x7bf8e1f566852d7b
56841 .xword 0x055c8d10e689067e
56842 .xword 0x5580a958728ea8d2
56843 .xword 0x60e5fc9ea2b638a7
56844 .xword 0xdf4e552587851479
56845 .xword 0x450239888c80e7b4
56846 .xword 0x896fb65aba6f674e
56847 .xword 0x922d8587fcc6e506
56848 .xword 0xeb1834a5daf9ebfc
56849 .xword 0xed72c803b70066cc
56850 .xword 0x4f515016e187d3bb
56851 .xword 0xb4f24ddb2465555c
56852 .xword 0x36f023cc01061cc2
56853 .xword 0x17d8308fab4f7603
56854 .xword 0x90dacf8111c6051a
56855 .xword 0x51ad510f99846fd4
56856 .xword 0xa461901273988f1f
56857 .xword 0x7ac007d233d82eb0
56858 .xword 0xa7b3efb7a87c6fe2
56859 .xword 0x38e15e0c5296199c
56860 .xword 0x7e565c5f972a7471
56861 .xword 0xb87f56bbe2ac5676
56862 .xword 0x977220f3b583779c
56863 .xword 0xe89b9645fe51893d
56864 .xword 0x69b78b0806150777
56865_t1_sslkey_alignment_array:
56866 .xword 0
56867 .xword 0
56868 .xword 0
56869 .xword 0
56870 .xword 0
56871 .xword 0
56872 .xword 0
56873 .xword 0
56874 .xword 0
56875 .xword 0
56876 .xword 0
56877 .xword 0
56878 .xword 0
56879 .xword 0
56880 .xword 0
56881 .xword 0
56882 .xword 0
56883 .xword 0
56884 .xword 0
56885 .xword 0
56886 .xword 0
56887 .xword 0
56888 .xword 0
56889 .xword 0
56890 .xword 0
56891 .xword 0
56892 .xword 0
56893 .xword 0
56894 .xword 0
56895 .xword 0
56896 .xword 0
56897 .xword 0
56898 .xword 0
56899 .xword 0
56900 .xword 0
56901 .xword 0
56902 .xword 0
56903 .xword 0
56904 .xword 0
56905 .xword 0
56906 .xword 0
56907 .xword 0
56908 .xword 0
56909 .xword 0
56910 .xword 0
56911 .xword 0
56912 .xword 0
56913 .xword 0
56914 .xword 0
56915 .xword 0
56916 .xword 0
56917 .xword 0
56918 .xword 0
56919 .xword 0
56920 .xword 0
56921 .xword 0
56922 .xword 0
56923 .xword 0
56924 .xword 0
56925 .xword 0
56926 .xword 0
56927 .xword 0
56928 .xword 0
56929 .xword 0
56930 .xword 0
56931 .xword 0
56932 .xword 0
56933 .xword 0
56934 .xword 0
56935 .xword 0
56936 .xword 0
56937 .xword 0
56938 .xword 0
56939 .xword 0
56940 .xword 0
56941 .xword 0
56942 .xword 0
56943 .xword 0
56944 .xword 0
56945 .xword 0
56946 .xword 0
56947 .xword 0
56948 .xword 0
56949 .xword 0
56950 .xword 0
56951 .xword 0
56952 .xword 0
56953 .xword 0
56954 .xword 0
56955 .xword 0
56956 .xword 0
56957 .xword 0
56958 .xword 0
56959 .xword 0
56960 .xword 0
56961 .xword 0
56962 .xword 0
56963 .xword 0
56964 .xword 0
56965 .xword 0
56966 .xword 0
56967 .xword 0
56968 .xword 0
56969 .xword 0
56970 .xword 0
56971_t1_sslkey_src:
56972 .xword 0x0995c69ce109a860
56973 .xword 0x8a0f9afed97a911f
56974 .xword 0x42882e688cc22ddb
56975 .xword 0x01ce7d91a9db42a5
56976 .xword 0xaddde593cfbc831c
56977 .xword 0xccd2f853196bb32f
56978 .xword 0x010da4a420bd8445
56979 .xword 0x4f4ccd637a15f499
56980 .xword 0x03a8742861c9f9fa
56981 .xword 0x6e142c5838d29b6d
56982 .xword 0xf0e17ad6a5634d5d
56983 .xword 0xe03e9d4f931dc4e3
56984 .xword 0x67a2669d2e21ecf8
56985 .xword 0xfc06a6c2dd0af854
56986 .xword 0x70ab74b0afae0949
56987 .xword 0x5c25c35dfa6a7035
56988 .xword 0xfd0cd04aad4c7167
56989 .xword 0xc33f55cc3b229eac
56990 .xword 0x4a998c74c925b4c7
56991 .xword 0x4f705010aaa5853a
56992 .xword 0x521b17b6a2fcdd2c
56993 .xword 0x233378df06a14c2a
56994 .xword 0x18b7faceea047076
56995 .xword 0xd2eee8e4771dc2b1
56996 .xword 0x3ec212b82e68e58b
56997 .xword 0x509935fb8d8b4b32
56998 .xword 0x549fda6d381962db
56999 .xword 0xcd5d52e7accdea58
57000 .xword 0x8a0c80bfc72ab0b6
57001 .xword 0xa162ffc6db466db4
57002 .xword 0x85c4c4450f24d759
57003 .xword 0x833f9068765105d1
57004 .xword 0xd9ad069a62c7cf9a
57005 .xword 0x8e98111c906ad8c3
57006 .xword 0x0074544fd93af4e4
57007 .xword 0x55a1670ba8085b33
57008 .xword 0x7f32a2f075d8bfd7
57009 .xword 0xe1667f01b8a5f3b6
57010 .xword 0x78e689d4f3877383
57011 .xword 0xcee64c2de095c970
57012 .xword 0x8caa02992d5382b9
57013 .xword 0x88c8acf70062090d
57014 .xword 0x69f49733f0eab3d9
57015 .xword 0x6fbff95cea43a715
57016 .xword 0x3ace49f839f2f661
57017 .xword 0x7f2f2909f52db3ff
57018 .xword 0x4be7cd096d10e81d
57019 .xword 0x6c3d247b2a10f2e1
57020 .xword 0xe204f888857ac177
57021 .xword 0xc1a9df9729ee4630
57022 .xword 0x57b669715218836a
57023 .xword 0x3e9188928c9b3c3f
57024 .xword 0x4cffcd10271985bc
57025 .xword 0x496537b33cd4c68f
57026 .xword 0xe2c053e898dbf43e
57027 .xword 0x5dad9d558ce162e4
57028 .xword 0x632dee532fdfdbad
57029 .xword 0x5e86c8a4d6b1fa0f
57030 .xword 0x798afec593661683
57031 .xword 0x28bd6816dfb3f2e2
57032 .xword 0xc57e22edccaec7e4
57033 .xword 0x7be9f62db45fdf0d
57034 .xword 0xf14367fcc66526c4
57035 .xword 0x697b7ef1586cd790
57036 .xword 0xb6e8238ed810a20f
57037 .xword 0xfa683f292737cab1
57038 .xword 0xd1a820d96b29ccb5
57039 .xword 0x0611ec638fbdfd7d
57040 .xword 0xa51d9c7499ce98ca
57041 .xword 0xa0c004dbe8c3c942
57042 .xword 0xe7eb696f012974e7
57043 .xword 0x14ff0d0742b59f2d
57044 .xword 0x24c29c73a46a789e
57045 .xword 0xee1ab24394747a05
57046 .xword 0xe0a0adb3388b42ef
57047 .xword 0xe3c8b4efdb092eb3
57048 .xword 0x2cf9dab4f6daad25
57049 .xword 0xd45e9d90bde63f1d
57050 .xword 0x76a813abc08a6c84
57051 .xword 0x9c5a844bdd15b191
57052 .xword 0x4a54414db918af53
57053 .xword 0x08807879bf912d20
57054 .xword 0x4825f8770dd826b1
57055 .xword 0xf0462c9500d1a43e
57056 .xword 0xbb908690ea4ff8e8
57057 .xword 0x1ba1deb6b88264d3
57058 .xword 0x47230122a61a80dd
57059 .xword 0x74ca61945ec0a602
57060 .xword 0x4aee74e88c4b1183
57061 .xword 0x2147978c34870169
57062 .xword 0x65f7bb6e54906575
57063 .xword 0x6f84b4fc41a1aaf8
57064 .xword 0xc737c07859cd8773
57065 .xword 0x91f3269e6d177c6a
57066 .xword 0x625b927a9999a59c
57067 .xword 0xcae4fbb4860eba78
57068 .xword 0xbf8690a0c48b4fff
57069 .xword 0x7fa3d9718aed3c10
57070 .xword 0x929809f163249de9
57071 .xword 0xd06c8f15d05eaebd
57072 .xword 0x02375bf793fc0d57
57073 .xword 0xb88df0c2c107c1b0
57074 .xword 0x349695b0c8b49dfb
57075 .xword 0xf44ce250eefd3741
57076 .xword 0xe70fd3ed1610f3b6
57077 .xword 0xdbc7b763e52e2781
57078 .xword 0xa67abb6ba90c465b
57079 .xword 0xe9a3a8eeac5596a2
57080 .xword 0x9073bc91bdbf8fb2
57081 .xword 0x3ae54b3871459fc4
57082 .xword 0x374e43cc431013c7
57083 .xword 0xdd506db5f121d526
57084 .xword 0x29e9a61459bf2cfe
57085 .xword 0x57d2caf87ca5d66c
57086 .xword 0x766aaa06ff45bb23
57087 .xword 0x45a264c92fd644d1
57088 .xword 0xa65ee2fa787af23e
57089 .xword 0xc0637da5c7869e81
57090 .xword 0x0c09b4a00fb1a05c
57091 .xword 0x47e4b985c3a82cdb
57092 .xword 0x37eb906d81b82890
57093 .xword 0x65d0b863eb4e09fe
57094 .xword 0x1d96cea0c1d97f67
57095 .xword 0x812a22115872098b
57096 .xword 0x521b46f8237aeb88
57097 .xword 0x50039ed07e67a2ea
57098 .xword 0x0e20f5eea9fc10d6
57099 .xword 0x32a2f9a0c5aceb33
57100 .xword 0x65fe2fb9062b6aae
57101 .xword 0xf5df1d8b0550448f
57102 .xword 0xfe86bcf2845c696e
57103 .xword 0x6b3c63778dc0cb37
57104 .xword 0x5cbcf2da0a7c6d0a
57105 .xword 0x9c5d6202487c2e5d
57106 .xword 0x8b5ecc7d3b52b59e
57107 .xword 0x3beda46c9a2523ec
57108 .xword 0xee9f924b3c837a12
57109 .xword 0xa2e947f9dee120c0
57110 .xword 0xeef07933746194fd
57111 .xword 0xafc14375c3334174
57112 .xword 0x1aef93792bfdba0a
57113 .xword 0x692c19128c514291
57114 .xword 0xf0695bd02e400e72
57115 .xword 0xbe905b239f172883
57116 .xword 0x38cf442e75ccd318
57117 .xword 0xe2c4bc91cfa7e179
57118 .xword 0x366a7a628795a01b
57119 .xword 0xb4cdf29fb67ed731
57120 .xword 0xe0bcc6268971c41e
57121 .xword 0x0fcf6fe2b5647f56
57122 .xword 0xd009f7ebbc5dc829
57123 .xword 0xce3e3b7284919e31
57124 .xword 0xcd6e62ceb3d9d787
57125 .xword 0x395f1e879e0c3aa8
57126 .xword 0x091e9bb6862be838
57127 .xword 0xff216667bd3e7554
57128 .xword 0xb936f13ef6cf845f
57129 .xword 0xc4bf145990863e0f
57130 .xword 0x8ab8b2e8c7f373db
57131 .xword 0x5425adb0bd97d831
57132 .xword 0x2c8bcc89fc329a14
57133 .xword 0xe4475d300060fe30
57134 .xword 0xab6898ef0423a844
57135 .xword 0xfe2652d196fc52cc
57136 .xword 0xfbc54500c974b470
57137 .xword 0xc10e0f4f22f5e48a
57138 .xword 0xeeb061a3379caaf1
57139 .xword 0x757288bea2af16c1
57140 .xword 0x0d19e59508908255
57141 .xword 0x948e906980672075
57142 .xword 0x9f8b8a4c1e2f86ef
57143 .xword 0x66dffb1c49a4eee7
57144 .xword 0x4f87fc32a8572abe
57145 .xword 0x2c4097d18280819d
57146 .xword 0xfe022d574cb04860
57147 .xword 0x988a68acddd8990c
57148 .xword 0x7fc3249fbe718edf
57149 .xword 0x7be2edc3809f2a83
57150 .xword 0xa6be3d4c5ae33dbd
57151 .xword 0x53ad9dbcbae0c64e
57152 .xword 0x3a2628e06e00d008
57153 .xword 0x7b01c20230cd99e6
57154 .xword 0x4c741e6f3d70facd
57155 .xword 0x35f4cb6ef84bea4f
57156 .xword 0x7cc45502090803c8
57157 .xword 0x73292c6ec08b2c97
57158 .xword 0x508b1fa451dec682
57159 .xword 0x3448cff93bf86502
57160 .xword 0xa36d8049f9fca4f3
57161 .xword 0x99251ea609ddfdc8
57162 .xword 0x7d5e0e37e5f79f78
57163 .xword 0x2fd0faf1221c832f
57164 .xword 0xe97667b244775b48
57165 .xword 0x548b3b2f8a9a3227
57166 .xword 0xed1fd2dc875f6408
57167 .xword 0x6b56dd2c84e7aae9
57168 .xword 0xf4267ad6c5481dad
57169 .xword 0x5d7d5c077f32ec37
57170 .xword 0xd7bbc62c79fce5a4
57171 .xword 0xdcba3d05281b92cf
57172 .xword 0xd240022c52957a72
57173 .xword 0xeef32c38b3eaff97
57174 .xword 0x2129583fa29121cd
57175 .xword 0xefc585b4d375ba8e
57176 .xword 0x4cdd8f74b66523a1
57177 .xword 0x5136c1e87de0b7e2
57178 .xword 0x48eb5c1e62bef8e1
57179 .xword 0x2d2989bdf11d79a9
57180 .xword 0x7890b120cecd06d1
57181 .xword 0x56790a3cf0afd577
57182 .xword 0x73d1b80b3ee9008a
57183 .xword 0x5f36b20152c139e2
57184 .xword 0xca19030d6797b278
57185 .xword 0x26e9b93868490894
57186 .xword 0x17ca87ebd8115b66
57187 .xword 0x5f99431959c59a6b
57188 .xword 0x2419293722e104ec
57189 .xword 0xad847408439de921
57190 .xword 0xf070aabc3044410f
57191 .xword 0xcba0c4bca2eea752
57192 .xword 0x16e0646bf7669107
57193 .xword 0xaa4a0f4056ddc968
57194 .xword 0x732d71adf1f20e15
57195 .xword 0x9fb8f3d723c5ef4a
57196 .xword 0x5fc8d1aa8b1f52c9
57197 .xword 0x205c0b2ab3c49d6d
57198 .xword 0x3201dc30d7740730
57199 .xword 0x551aec13322fadf8
57200 .xword 0x276c19ea13e78a7e
57201 .xword 0xbc3ef4657f7265df
57202 .xword 0x45c13530469a9d73
57203 .xword 0xc0580d7f6ae87fb5
57204 .xword 0x56422a7d755fb0c5
57205 .xword 0xb94a411d66902568
57206 .xword 0x215f3c1747e82874
57207 .xword 0x83994fc72b482e9e
57208 .xword 0x340ab5a4ffdde18f
57209 .xword 0x3fd77066fe4f6fc2
57210 .xword 0xbc221d450ff80b7a
57211 .xword 0x4c580c4ebacdf556
57212 .xword 0x5cf712457d29ca0e
57213 .xword 0x385e880c28123c20
57214 .xword 0x6056239fb65cbf54
57215 .xword 0x3430b2f7d4f6b0c1
57216 .xword 0x84eb2d12ae4deaa3
57217 .xword 0x07fd7fd5b635e2ce
57218 .xword 0x67a9b2f6385ff50b
57219 .xword 0x725cb8ba83d23aad
57220 .xword 0xd07da902f9a59df7
57221 .xword 0x0bb9175073c5f793
57222 .xword 0x5d0f533eb3ce23f2
57223 .xword 0x8b7a0326a4c8805a
57224 .xword 0x50b8396a04fbf43d
57225 .xword 0xe816dafb8894a5ed
57226 .xword 0xa3d0db547d130c4c
57227 .xword 0xfac3933472975a89
57228 .xword 0xa115bb9954aa66be
57229 .xword 0x9567732084108e12
57230 .xword 0x11f21652168aadfb
57231 .xword 0xd89809b74bdd1b11
57232 .xword 0xaa7524e300727bf1
57233 .xword 0x1530c4edaf2dc275
57234 .xword 0x6294f61d6d1b1cf0
57235 .xword 0xc2a58ebba9599df5
57236 .xword 0x1a70b5f46acfe56a
57237 .xword 0xfb40bb37fb9d47fd
57238 .xword 0x46176f64562a2142
57239 .xword 0x783c36a042fe652f
57240 .xword 0xe6f1184efec9f3a5
57241 .xword 0xe0d60070a58489fc
57242 .xword 0x5c78ac2f38b7d92c
57243 .xword 0x6416679984017297
57244 .xword 0xd4a7a65aae5bb47e
57245 .xword 0xd01c7b422d4215b5
57246 .xword 0x6f9ae38b94351635
57247_t1_sslkey_dest:
57248 .xword 0xDEADBEEFDEADBEEF
57249 .xword 0xDEADBEEFDEADBEEF
57250 .xword 0xDEADBEEFDEADBEEF
57251 .xword 0xDEADBEEFDEADBEEF
57252 .xword 0xDEADBEEFDEADBEEF
57253 .xword 0xDEADBEEFDEADBEEF
57254 .xword 0xDEADBEEFDEADBEEF
57255 .xword 0xDEADBEEFDEADBEEF
57256 .xword 0xDEADBEEFDEADBEEF
57257 .xword 0xDEADBEEFDEADBEEF
57258 .xword 0xDEADBEEFDEADBEEF
57259 .xword 0xDEADBEEFDEADBEEF
57260 .xword 0xDEADBEEFDEADBEEF
57261 .xword 0xDEADBEEFDEADBEEF
57262 .xword 0xDEADBEEFDEADBEEF
57263 .xword 0xDEADBEEFDEADBEEF
57264 .xword 0xDEADBEEFDEADBEEF
57265 .xword 0xDEADBEEFDEADBEEF
57266 .xword 0xDEADBEEFDEADBEEF
57267 .xword 0xDEADBEEFDEADBEEF
57268 .xword 0xDEADBEEFDEADBEEF
57269 .xword 0xDEADBEEFDEADBEEF
57270 .xword 0xDEADBEEFDEADBEEF
57271 .xword 0xDEADBEEFDEADBEEF
57272 .xword 0xDEADBEEFDEADBEEF
57273 .xword 0xDEADBEEFDEADBEEF
57274 .xword 0xDEADBEEFDEADBEEF
57275 .xword 0xDEADBEEFDEADBEEF
57276 .xword 0xDEADBEEFDEADBEEF
57277 .xword 0xDEADBEEFDEADBEEF
57278 .xword 0xDEADBEEFDEADBEEF
57279 .xword 0xDEADBEEFDEADBEEF
57280 .xword 0xDEADBEEFDEADBEEF
57281 .xword 0xDEADBEEFDEADBEEF
57282 .xword 0xDEADBEEFDEADBEEF
57283 .xword 0xDEADBEEFDEADBEEF
57284 .xword 0xDEADBEEFDEADBEEF
57285 .xword 0xDEADBEEFDEADBEEF
57286 .xword 0xDEADBEEFDEADBEEF
57287 .xword 0xDEADBEEFDEADBEEF
57288 .xword 0xDEADBEEFDEADBEEF
57289 .xword 0xDEADBEEFDEADBEEF
57290 .xword 0xDEADBEEFDEADBEEF
57291 .xword 0xDEADBEEFDEADBEEF
57292 .xword 0xDEADBEEFDEADBEEF
57293 .xword 0xDEADBEEFDEADBEEF
57294 .xword 0xDEADBEEFDEADBEEF
57295 .xword 0xDEADBEEFDEADBEEF
57296 .xword 0xDEADBEEFDEADBEEF
57297 .xword 0xDEADBEEFDEADBEEF
57298 .xword 0xDEADBEEFDEADBEEF
57299 .xword 0xDEADBEEFDEADBEEF
57300 .xword 0xDEADBEEFDEADBEEF
57301 .xword 0xDEADBEEFDEADBEEF
57302 .xword 0xDEADBEEFDEADBEEF
57303 .xword 0xDEADBEEFDEADBEEF
57304 .xword 0xDEADBEEFDEADBEEF
57305 .xword 0xDEADBEEFDEADBEEF
57306 .xword 0xDEADBEEFDEADBEEF
57307 .xword 0xDEADBEEFDEADBEEF
57308 .xword 0xDEADBEEFDEADBEEF
57309 .xword 0xDEADBEEFDEADBEEF
57310 .xword 0xDEADBEEFDEADBEEF
57311 .xword 0xDEADBEEFDEADBEEF
57312 .xword 0xDEADBEEFDEADBEEF
57313 .xword 0xDEADBEEFDEADBEEF
57314 .xword 0xDEADBEEFDEADBEEF
57315 .xword 0xDEADBEEFDEADBEEF
57316 .xword 0xDEADBEEFDEADBEEF
57317 .xword 0xDEADBEEFDEADBEEF
57318 .xword 0xDEADBEEFDEADBEEF
57319 .xword 0xDEADBEEFDEADBEEF
57320 .xword 0xDEADBEEFDEADBEEF
57321 .xword 0xDEADBEEFDEADBEEF
57322 .xword 0xDEADBEEFDEADBEEF
57323 .xword 0xDEADBEEFDEADBEEF
57324 .xword 0xDEADBEEFDEADBEEF
57325 .xword 0xDEADBEEFDEADBEEF
57326 .xword 0xDEADBEEFDEADBEEF
57327 .xword 0xDEADBEEFDEADBEEF
57328 .xword 0xDEADBEEFDEADBEEF
57329 .xword 0xDEADBEEFDEADBEEF
57330 .xword 0xDEADBEEFDEADBEEF
57331 .xword 0xDEADBEEFDEADBEEF
57332 .xword 0xDEADBEEFDEADBEEF
57333 .xword 0xDEADBEEFDEADBEEF
57334 .xword 0xDEADBEEFDEADBEEF
57335 .xword 0xDEADBEEFDEADBEEF
57336 .xword 0xDEADBEEFDEADBEEF
57337 .xword 0xDEADBEEFDEADBEEF
57338 .xword 0xDEADBEEFDEADBEEF
57339 .xword 0xDEADBEEFDEADBEEF
57340 .xword 0xDEADBEEFDEADBEEF
57341 .xword 0xDEADBEEFDEADBEEF
57342 .xword 0xDEADBEEFDEADBEEF
57343 .xword 0xDEADBEEFDEADBEEF
57344 .xword 0xDEADBEEFDEADBEEF
57345 .xword 0xDEADBEEFDEADBEEF
57346 .xword 0xDEADBEEFDEADBEEF
57347 .xword 0xDEADBEEFDEADBEEF
57348 .xword 0xDEADBEEFDEADBEEF
57349 .xword 0xDEADBEEFDEADBEEF
57350 .xword 0xDEADBEEFDEADBEEF
57351 .xword 0xDEADBEEFDEADBEEF
57352 .xword 0xDEADBEEFDEADBEEF
57353 .xword 0xDEADBEEFDEADBEEF
57354 .xword 0xDEADBEEFDEADBEEF
57355 .xword 0xDEADBEEFDEADBEEF
57356 .xword 0xDEADBEEFDEADBEEF
57357 .xword 0xDEADBEEFDEADBEEF
57358 .xword 0xDEADBEEFDEADBEEF
57359 .xword 0xDEADBEEFDEADBEEF
57360 .xword 0xDEADBEEFDEADBEEF
57361 .xword 0xDEADBEEFDEADBEEF
57362 .xword 0xDEADBEEFDEADBEEF
57363 .xword 0xDEADBEEFDEADBEEF
57364 .xword 0xDEADBEEFDEADBEEF
57365 .xword 0xDEADBEEFDEADBEEF
57366 .xword 0xDEADBEEFDEADBEEF
57367 .xword 0xDEADBEEFDEADBEEF
57368 .xword 0xDEADBEEFDEADBEEF
57369 .xword 0xDEADBEEFDEADBEEF
57370 .xword 0xDEADBEEFDEADBEEF
57371 .xword 0xDEADBEEFDEADBEEF
57372 .xword 0xDEADBEEFDEADBEEF
57373 .xword 0xDEADBEEFDEADBEEF
57374 .xword 0xDEADBEEFDEADBEEF
57375 .xword 0xDEADBEEFDEADBEEF
57376 .xword 0xDEADBEEFDEADBEEF
57377 .xword 0xDEADBEEFDEADBEEF
57378 .xword 0xDEADBEEFDEADBEEF
57379 .xword 0xDEADBEEFDEADBEEF
57380 .xword 0xDEADBEEFDEADBEEF
57381 .xword 0xDEADBEEFDEADBEEF
57382 .xword 0xDEADBEEFDEADBEEF
57383 .xword 0xDEADBEEFDEADBEEF
57384 .xword 0xDEADBEEFDEADBEEF
57385 .xword 0xDEADBEEFDEADBEEF
57386 .xword 0xDEADBEEFDEADBEEF
57387 .xword 0xDEADBEEFDEADBEEF
57388 .xword 0xDEADBEEFDEADBEEF
57389 .xword 0xDEADBEEFDEADBEEF
57390 .xword 0xDEADBEEFDEADBEEF
57391 .xword 0xDEADBEEFDEADBEEF
57392 .xword 0xDEADBEEFDEADBEEF
57393 .xword 0xDEADBEEFDEADBEEF
57394 .xword 0xDEADBEEFDEADBEEF
57395 .xword 0xDEADBEEFDEADBEEF
57396 .xword 0xDEADBEEFDEADBEEF
57397 .xword 0xDEADBEEFDEADBEEF
57398 .xword 0xDEADBEEFDEADBEEF
57399 .xword 0xDEADBEEFDEADBEEF
57400 .xword 0xDEADBEEFDEADBEEF
57401 .xword 0xDEADBEEFDEADBEEF
57402 .xword 0xDEADBEEFDEADBEEF
57403 .xword 0xDEADBEEFDEADBEEF
57404 .xword 0xDEADBEEFDEADBEEF
57405 .xword 0xDEADBEEFDEADBEEF
57406 .xword 0xDEADBEEFDEADBEEF
57407 .xword 0xDEADBEEFDEADBEEF
57408 .xword 0xDEADBEEFDEADBEEF
57409 .xword 0xDEADBEEFDEADBEEF
57410 .xword 0xDEADBEEFDEADBEEF
57411 .xword 0xDEADBEEFDEADBEEF
57412 .xword 0xDEADBEEFDEADBEEF
57413 .xword 0xDEADBEEFDEADBEEF
57414 .xword 0xDEADBEEFDEADBEEF
57415 .xword 0xDEADBEEFDEADBEEF
57416 .xword 0xDEADBEEFDEADBEEF
57417 .xword 0xDEADBEEFDEADBEEF
57418 .xword 0xDEADBEEFDEADBEEF
57419 .xword 0xDEADBEEFDEADBEEF
57420 .xword 0xDEADBEEFDEADBEEF
57421 .xword 0xDEADBEEFDEADBEEF
57422 .xword 0xDEADBEEFDEADBEEF
57423 .xword 0xDEADBEEFDEADBEEF
57424 .xword 0xDEADBEEFDEADBEEF
57425 .xword 0xDEADBEEFDEADBEEF
57426 .xword 0xDEADBEEFDEADBEEF
57427 .xword 0xDEADBEEFDEADBEEF
57428 .xword 0xDEADBEEFDEADBEEF
57429 .xword 0xDEADBEEFDEADBEEF
57430 .xword 0xDEADBEEFDEADBEEF
57431 .xword 0xDEADBEEFDEADBEEF
57432 .xword 0xDEADBEEFDEADBEEF
57433 .xword 0xDEADBEEFDEADBEEF
57434 .xword 0xDEADBEEFDEADBEEF
57435 .xword 0xDEADBEEFDEADBEEF
57436 .xword 0xDEADBEEFDEADBEEF
57437 .xword 0xDEADBEEFDEADBEEF
57438 .xword 0xDEADBEEFDEADBEEF
57439 .xword 0xDEADBEEFDEADBEEF
57440 .xword 0xDEADBEEFDEADBEEF
57441 .xword 0xDEADBEEFDEADBEEF
57442 .xword 0xDEADBEEFDEADBEEF
57443 .xword 0xDEADBEEFDEADBEEF
57444 .xword 0xDEADBEEFDEADBEEF
57445 .xword 0xDEADBEEFDEADBEEF
57446 .xword 0xDEADBEEFDEADBEEF
57447 .xword 0xDEADBEEFDEADBEEF
57448 .xword 0xDEADBEEFDEADBEEF
57449 .xword 0xDEADBEEFDEADBEEF
57450 .xword 0xDEADBEEFDEADBEEF
57451 .xword 0xDEADBEEFDEADBEEF
57452 .xword 0xDEADBEEFDEADBEEF
57453 .xword 0xDEADBEEFDEADBEEF
57454 .xword 0xDEADBEEFDEADBEEF
57455 .xword 0xDEADBEEFDEADBEEF
57456 .xword 0xDEADBEEFDEADBEEF
57457 .xword 0xDEADBEEFDEADBEEF
57458 .xword 0xDEADBEEFDEADBEEF
57459 .xword 0xDEADBEEFDEADBEEF
57460 .xword 0xDEADBEEFDEADBEEF
57461 .xword 0xDEADBEEFDEADBEEF
57462 .xword 0xDEADBEEFDEADBEEF
57463 .xword 0xDEADBEEFDEADBEEF
57464 .xword 0xDEADBEEFDEADBEEF
57465 .xword 0xDEADBEEFDEADBEEF
57466 .xword 0xDEADBEEFDEADBEEF
57467 .xword 0xDEADBEEFDEADBEEF
57468 .xword 0xDEADBEEFDEADBEEF
57469 .xword 0xDEADBEEFDEADBEEF
57470 .xword 0xDEADBEEFDEADBEEF
57471 .xword 0xDEADBEEFDEADBEEF
57472 .xword 0xDEADBEEFDEADBEEF
57473 .xword 0xDEADBEEFDEADBEEF
57474 .xword 0xDEADBEEFDEADBEEF
57475 .xword 0xDEADBEEFDEADBEEF
57476 .xword 0xDEADBEEFDEADBEEF
57477 .xword 0xDEADBEEFDEADBEEF
57478 .xword 0xDEADBEEFDEADBEEF
57479 .xword 0xDEADBEEFDEADBEEF
57480 .xword 0xDEADBEEFDEADBEEF
57481 .xword 0xDEADBEEFDEADBEEF
57482 .xword 0xDEADBEEFDEADBEEF
57483 .xword 0xDEADBEEFDEADBEEF
57484 .xword 0xDEADBEEFDEADBEEF
57485 .xword 0xDEADBEEFDEADBEEF
57486 .xword 0xDEADBEEFDEADBEEF
57487 .xword 0xDEADBEEFDEADBEEF
57488 .xword 0xDEADBEEFDEADBEEF
57489 .xword 0xDEADBEEFDEADBEEF
57490 .xword 0xDEADBEEFDEADBEEF
57491 .xword 0xDEADBEEFDEADBEEF
57492 .xword 0xDEADBEEFDEADBEEF
57493 .xword 0xDEADBEEFDEADBEEF
57494 .xword 0xDEADBEEFDEADBEEF
57495 .xword 0xDEADBEEFDEADBEEF
57496 .xword 0xDEADBEEFDEADBEEF
57497 .xword 0xDEADBEEFDEADBEEF
57498 .xword 0xDEADBEEFDEADBEEF
57499 .xword 0xDEADBEEFDEADBEEF
57500 .xword 0xDEADBEEFDEADBEEF
57501 .xword 0xDEADBEEFDEADBEEF
57502 .xword 0xDEADBEEFDEADBEEF
57503 .xword 0xDEADBEEFDEADBEEF
57504 .xword 0xDEADBEEFDEADBEEF
57505 .xword 0xDEADBEEFDEADBEEF
57506 .xword 0xDEADBEEFDEADBEEF
57507 .xword 0xDEADBEEFDEADBEEF
57508 .xword 0xDEADBEEFDEADBEEF
57509 .xword 0xDEADBEEFDEADBEEF
57510 .xword 0xDEADBEEFDEADBEEF
57511 .xword 0xDEADBEEFDEADBEEF
57512 .xword 0xDEADBEEFDEADBEEF
57513 .xword 0xDEADBEEFDEADBEEF
57514 .xword 0xDEADBEEFDEADBEEF
57515 .xword 0xDEADBEEFDEADBEEF
57516 .xword 0xDEADBEEFDEADBEEF
57517 .xword 0xDEADBEEFDEADBEEF
57518 .xword 0xDEADBEEFDEADBEEF
57519 .xword 0xDEADBEEFDEADBEEF
57520 .xword 0xDEADBEEFDEADBEEF
57521 .xword 0xDEADBEEFDEADBEEF
57522 .xword 0xDEADBEEFDEADBEEF
57523_t1_sslkey_auth_key:
57524 .xword 0x6d8348608239bbaa
57525 .xword 0x17f438366f14c790
57526 .xword 0xdbe895e701dbff0f
57527 .xword 0xad3c913003f6774c
57528 .xword 0x823d091c724c3f67
57529 .xword 0xd4f172c63dc8110b
57530 .xword 0x92c4e7a7bd8dfcc1
57531 .xword 0x0adf8096ef62d411
57532 .xword 0x518fe1f525809b42
57533 .xword 0x4dfbbfdc53d14138
57534 .xword 0x49423f77ab2821f5
57535 .xword 0xd94f3eb0efed9a62
57536 .xword 0xddc13901e80ea4ee
57537 .xword 0x65b94270cf169392
57538 .xword 0x912753e413a2dbf7
57539 .xword 0x460bea8a9b7856c8
57540 .xword 0x3e528442ae07fc6e
57541 .xword 0x1d2ad6e395a30d7b
57542 .xword 0x6cbd0e19f1b7605b
57543 .xword 0x47bf7c9cbac94910
57544 .xword 0x23d2b34473a0e33f
57545 .xword 0xc578d5c1aebee8a9
57546 .xword 0xbf83b0520905356b
57547_t1_sslkey_auth_iv:
57548 .xword 0x3521e600a56733a3
57549 .xword 0x1a0b18719df1d2d0
57550 .xword 0x6c4636d64ec8385a
57551 .xword 0xc5118fc691978f9b
57552 .xword 0x5a7b4c47fbb776e7
57553 .xword 0xf671589be41ec228
57554 .xword 0x0982f380ac99f7b4
57555 .xword 0x396ef3cdefc632b7
57556 .xword 0x5420934dc5786ef5
57557 .xword 0x7b82cb7312f47a0f
57558 .xword 0x6b041ece82288423
57559 .xword 0xe587a115a1f7ae94
57560 .xword 0x55e50e9ccae3d26c
57561 .xword 0x29de408d8d7e0772
57562 .xword 0xeea19249a2d31545
57563 .xword 0xd52a5afe4190eb2b
57564 .xword 0x7cf7f0fc7be92f0f
57565 .xword 0xc6a07956e2db69f9
57566 .xword 0x37731db61988286e
57567 .xword 0x492a75ad918882d1
57568 .xword 0xc913148fb053e1b0
57569 .xword 0xa082d5a2b76bee06
57570 .xword 0xe2a3b5d99e73545e
57571_t1_sslkey_fas_result:
57572 .xword 0xDEADBEEFDEADBEEF
57573 .xword 0xDEADBEEFDEADBEEF
57574 .xword 0xDEADBEEFDEADBEEF
57575 .xword 0xDEADBEEFDEADBEEF
57576 .xword 0xDEADBEEFDEADBEEF
57577 .xword 0xDEADBEEFDEADBEEF
57578 .xword 0xDEADBEEFDEADBEEF
57579 .xword 0xDEADBEEFDEADBEEF
57580 .xword 0xDEADBEEFDEADBEEF
57581 .xword 0xDEADBEEFDEADBEEF
57582 .xword 0xDEADBEEFDEADBEEF
57583 .xword 0xDEADBEEFDEADBEEF
57584 .xword 0xDEADBEEFDEADBEEF
57585 .xword 0xDEADBEEFDEADBEEF
57586 .xword 0xDEADBEEFDEADBEEF
57587 .xword 0xDEADBEEFDEADBEEF
57588 .xword 0xDEADBEEFDEADBEEF
57589 .xword 0xDEADBEEFDEADBEEF
57590 .xword 0xDEADBEEFDEADBEEF
57591 .xword 0xDEADBEEFDEADBEEF
57592 .xword 0xDEADBEEFDEADBEEF
57593 .xword 0xDEADBEEFDEADBEEF
57594 .xword 0xDEADBEEFDEADBEEF
57595_t1_aes_toc:
57596 .xword _t1_aes_cwd_array
57597 .xword _t1_aes_src
57598 .xword _t1_aes_auth_key
57599 .xword _t1_aes_auth_iv
57600 .xword _t1_aes_fas_result
57601 .xword _t1_aes_key_array
57602 .xword _t1_aes_iv_array
57603 .xword _t1_aes_dest
57604 .xword _t1_aes_alignment_array
57605_t1_des_toc:
57606 .xword _t1_des_cwd_array
57607 .xword _t1_des_src
57608 .xword _t1_des_auth_key
57609 .xword _t1_des_auth_iv
57610 .xword _t1_des_fas_result
57611 .xword _t1_des_key_array
57612 .xword _t1_des_iv_array
57613 .xword _t1_des_dest
57614 .xword _t1_des_alignment_array
57615_t1_copy_toc:
57616 .xword _t1_copy_cwd_array
57617 .xword _t1_copy_src
57618 .xword _t1_copy_auth_key
57619 .xword _t1_copy_auth_iv
57620 .xword _t1_copy_fas_result
57621 .xword _t1_copy_key_array
57622 .xword _t1_copy_iv_array
57623 .xword _t1_copy_dest
57624 .xword _t1_copy_alignment_array
57625_t1_crc_toc:
57626 .xword _t1_crc_cwd_array
57627 .xword _t1_crc_src
57628 .xword _t1_crc_auth_key
57629 .xword _t1_crc_auth_iv
57630 .xword _t1_crc_fas_result
57631 .xword _t1_crc_key_array
57632 .xword _t1_crc_iv_array
57633 .xword _t1_crc_dest
57634 .xword _t1_crc_alignment_array
57635_t1_hash_toc:
57636 .xword _t1_hash_cwd_array
57637 .xword _t1_hash_src
57638 .xword _t1_hash_auth_key
57639 .xword _t1_hash_auth_iv
57640 .xword _t1_hash_fas_result
57641 .xword _t1_hash_key_array
57642 .xword _t1_hash_iv_array
57643 .xword _t1_hash_dest
57644 .xword _t1_hash_alignment_array
57645_t1_hmac_toc:
57646 .xword _t1_hmac_cwd_array
57647 .xword _t1_hmac_src
57648 .xword _t1_hmac_auth_key
57649 .xword _t1_hmac_auth_iv
57650 .xword _t1_hmac_fas_result
57651 .xword _t1_hmac_key_array
57652 .xword _t1_hmac_iv_array
57653 .xword _t1_hmac_dest
57654 .xword _t1_hmac_alignment_array
57655_t1_rc4_toc:
57656 .xword _t1_rc4_cwd_array
57657 .xword _t1_rc4_src
57658 .xword _t1_rc4_auth_key
57659 .xword _t1_rc4_auth_iv
57660 .xword _t1_rc4_fas_result
57661 .xword _t1_rc4_key_array
57662 .xword _t1_rc4_iv_array
57663 .xword _t1_rc4_dest
57664 .xword _t1_rc4_alignment_array
57665_t1_sslkey_toc:
57666 .xword _t1_sslkey_cwd_array
57667 .xword _t1_sslkey_src
57668 .xword _t1_sslkey_auth_key
57669 .xword _t1_sslkey_auth_iv
57670 .xword _t1_sslkey_fas_result
57671 .xword _t1_sslkey_key_array
57672 .xword _t1_sslkey_iv_array
57673 .xword _t1_sslkey_dest
57674 .xword _t1_sslkey_alignment_array
57675_t1_table_of_context5:
57676 .xword _t1_aes_toc
57677 .xword _t1_des_toc
57678 .xword _t1_copy_toc
57679 .xword _t1_crc_toc
57680 .xword _t1_hash_toc
57681 .xword _t1_hmac_toc
57682 .xword _t1_rc4_toc
57683 .xword _t1_sslkey_toc
57684
57685!# CWQ data area, set aside 512 CW's worth
57686!# 512*8*8 = 32KB
57687.align 32*1024
57688_t1_cwq_base5:
57689 .xword 0xAAAAAAAAAAAAAAA
57690 .xword 0xAAAAAAAAAAAAAAA
57691 .xword 0xAAAAAAAAAAAAAAA
57692 .xword 0xAAAAAAAAAAAAAAA
57693 .xword 0xAAAAAAAAAAAAAAA
57694 .xword 0xAAAAAAAAAAAAAAA
57695 .xword 0xAAAAAAAAAAAAAAA
57696 .xword 0xAAAAAAAAAAAAAAA
57697.align 32*1024
57698_t1_cwq_last5:
57699
57700SECTION ._t1_T_CWQ_DATA6 DATA_VA=291504128
57701attr_data {
57702 Name = ._t1_T_CWQ_DATA6
57703 hypervisor
57704}
57705 .data
57706_t1_user_data_start6:
57707_t1_scratch_area6:
57708
57709.align 16
57710_t1_spu_op_array6:
57711 .xword 7
57712 .xword 2
57713 .xword 0
57714 .xword 4
57715 .xword 7
57716 .xword 0
57717 .xword 5
57718 .xword 7
57719 .xword 6
57720 .xword 2
57721 .xword 5
57722 .xword 7
57723 .xword 0
57724 .xword 5
57725 .xword 5
57726_t1_aes_cwd_array6:
57727 .xword 0xc0e100201900002f
57728 .xword 0xc06000201800002f
57729 .xword 0x40e000e01700002f
57730 .xword 0x40e000201b00001f
57731 .xword 0xc06000801800003f
57732 .xword 0x406100c01700002f
57733 .xword 0x406100001900003f
57734 .xword 0x40e100401100002f
57735 .xword 0x406100e01b00001f
57736 .xword 0xc0e000801100000f
57737 .xword 0xc06100801300002f
57738 .xword 0x406100c01b00000f
57739 .xword 0xc0e000a01100002f
57740 .xword 0x406100e01700000f
57741 .xword 0x406100a01800000f
57742_t1_des_cwd_array6:
57743 .xword 0x406000a00800001f
57744 .xword 0xc06000e009000007
57745 .xword 0x40e100e00c00000f
57746 .xword 0x406000a00c000017
57747 .xword 0xc06000400900001f
57748 .xword 0xc0e000a00e000017
57749 .xword 0x40e100800d00001f
57750 .xword 0x406100e00c000007
57751 .xword 0x406100000d000017
57752 .xword 0xc06000800800000f
57753 .xword 0xc0e100a00d00001f
57754 .xword 0x406000400d00000f
57755 .xword 0x406100e00c00001f
57756 .xword 0xc0e000400e000007
57757 .xword 0x4061000009000017
57758_t1_copy_cwd_array6:
57759 .xword 0xa06000e00000000a
57760 .xword 0x206000a000000001
57761 .xword 0x2061004000000004
57762 .xword 0x2061004000000002
57763 .xword 0xa061004000000009
57764 .xword 0xa06100a000000005
57765 .xword 0xa061008000000009
57766 .xword 0x206100a000000001
57767 .xword 0x206000000000000d
57768 .xword 0xa061000000000009
57769 .xword 0xa06100e000000006
57770 .xword 0xa06100200000000f
57771 .xword 0xa06100e000000004
57772 .xword 0x2060008000000005
57773 .xword 0x206100a000000003
57774_t1_crc_cwd_array6:
57775 .xword 0x416203e400000004
57776 .xword 0xc16301e800000001
57777 .xword 0x4160030400000000
57778 .xword 0xc162010800000005
57779 .xword 0xc16203e40000000b
57780 .xword 0xc161012800000002
57781 .xword 0xc163038400000008
57782 .xword 0x4163012800000005
57783 .xword 0xc16303c400000007
57784 .xword 0xc16301880000000b
57785 .xword 0xc16303c400000008
57786 .xword 0xc16001a80000000a
57787 .xword 0xc16003240000000d
57788 .xword 0x4162016800000009
57789_t1_hash_cwd_array6:
57790 .xword 0xc16109e10000002b
57791 .xword 0x416006620000003e
57792 .xword 0x4163090300000033
57793 .xword 0xc1630be10000002f
57794 .xword 0xc1600d010000000b
57795 .xword 0x4160038200000037
57796 .xword 0xc16203a100000034
57797 .xword 0xc163004100000003
57798 .xword 0xc161042100000007
57799 .xword 0x41601ea30000003f
57800 .xword 0xc16304e100000021
57801 .xword 0x416302c100000037
57802 .xword 0x4161028100000021
57803 .xword 0x4160044100000040
57804 .xword 0xc1620a030000003d
57805_t1_hmac_cwd_array6:
57806 .xword 0xc1620fa600130017
57807 .xword 0x416304c7001f002d
57808 .xword 0xc16308e5000f0033
57809 .xword 0xc160016a00130029
57810 .xword 0x41620b65000f0023
57811 .xword 0x41630c29000f0038
57812 .xword 0x41630089000f000a
57813 .xword 0x4163176b001f000b
57814 .xword 0xc161034a00130018
57815 .xword 0xc1631307001f0033
57816 .xword 0xc1630585000f0039
57817 .xword 0x416104e5000f0011
57818 .xword 0xc1630ea9000f0006
57819 .xword 0x41630625000f0036
57820 .xword 0x41600ec9000f0008
57821_t1_rc4_cwd_array6:
57822 .xword 0xc0e0000000000000
57823 .xword 0x40e1004004000006
57824 .xword 0x40e1008004000008
57825 .xword 0x40e000e000000000
57826 .xword 0xc0e100e00400000f
57827 .xword 0x40e000400400000d
57828 .xword 0xc0e100200400000f
57829 .xword 0xc0e100c000000006
57830 .xword 0x40e000000400000c
57831 .xword 0xc0e0008000000001
57832 .xword 0x40e0002000000003
57833 .xword 0x40e1002004000002
57834 .xword 0xc0e000000000000d
57835 .xword 0xc0e1002004000006
57836 .xword 0xc0e1008004000000
57837_t1_sslkey_cwd_array6:
57838 .xword 0x906019e000000000, 0
57839 .xword 0x90600b0000000000, 0
57840 .xword 0x10601ce000000000, 0
57841 .xword 0x9060390000000000, 0
57842 .xword 0x90602ea000000000, 0
57843 .xword 0x106029c000000000, 0
57844 .xword 0x106036c000000000, 0
57845 .xword 0x9060356000000000, 0
57846 .xword 0x1060366000000000, 0
57847 .xword 0x106004c000000000, 0
57848 .xword 0x1060374000000000, 0
57849 .xword 0x90601e6000000000, 0
57850 .xword 0x9060102000000000, 0
57851 .xword 0x10603a0000000000, 0
57852 .xword 0x9060140000000000, 0
57853_t1_aes_key_array:
57854 .xword 0x704446b0206e3a17
57855 .xword 0xc7e99e83689e2117
57856 .xword 0xc7cf749d89542fdc
57857 .xword 0x2339f852d5b564ae
57858 .xword 0xbc33efd29281885e
57859 .xword 0x516417524b205ed0
57860 .xword 0xec7e89391d18fb26
57861 .xword 0x97c939f08a20281c
57862 .xword 0xc0049f884ee57813
57863 .xword 0x16592b5b6ccec1a8
57864 .xword 0x5cf99d17f2d2ac56
57865 .xword 0x183df879042dec98
57866 .xword 0xf59b11b9e02644c8
57867 .xword 0x30b0cad0d2e9948b
57868 .xword 0x6ca99d32cadacdfb
57869 .xword 0xce027287ce5c0e99
57870 .xword 0x26cb2c788602c5ae
57871 .xword 0x901a774d6c9f4a0e
57872 .xword 0x2c20d28b9e523abe
57873 .xword 0xbd2f1e647aad832e
57874 .xword 0xcd6b2c93520cd425
57875 .xword 0x36f322a53fec73df
57876 .xword 0xf58f8855c364a00e
57877 .xword 0xd0985f9d897c7f7a
57878 .xword 0xd755aa4a703a5189
57879 .xword 0xb93b0e1222bf3350
57880 .xword 0x3d576a7d158e8702
57881 .xword 0x0c46fcf5240275f9
57882 .xword 0xf86f3821fa5a7348
57883 .xword 0x362dc99d3c60b9bb
57884 .xword 0x3e83e124014f4ffb
57885 .xword 0x4ec4faeaa5fc6c9c
57886 .xword 0xd4fb4f3550984fd1
57887 .xword 0xf38e3d0204955db0
57888 .xword 0xe1691e0e57772eb7
57889 .xword 0x5f3551d7564b7222
57890 .xword 0x734de03942ada9ed
57891 .xword 0x45bd44f8060a9e64
57892 .xword 0x6ba1df933bfb7e8f
57893 .xword 0xbef3f3030e356526
57894 .xword 0x892e30bf24617a8e
57895 .xword 0xbe6145746cee1ad7
57896 .xword 0x6e2f9954639c7e18
57897 .xword 0x56dc4372ccd1db50
57898 .xword 0x1dba42aa280879cc
57899 .xword 0xe888b4bd6c2e424c
57900 .xword 0x223ac388a8cc2901
57901 .xword 0x8f1593be6a2a9a97
57902 .xword 0xce6dc16c14f89242
57903 .xword 0xc8246efe2654028b
57904 .xword 0x577dff63db7f56bf
57905_t1_aes_iv_array:
57906 .xword 0x54c909e62ad26ecb
57907 .xword 0xe9abc39776e14355
57908 .xword 0x43bf0a2db6213c14
57909 .xword 0x8fc8b6765ae6e384
57910 .xword 0x7d563e627dec0cf3
57911 .xword 0xb5ef8c498831d75c
57912 .xword 0xba0a16afb0d6eb72
57913 .xword 0x93e1ce098d377f9e
57914 .xword 0x55f3c19bf8ae15bb
57915 .xword 0x0382d82ddb97b46c
57916 .xword 0xa58fb433e727ef95
57917 .xword 0x347d29cc4e27e296
57918 .xword 0x12f99076054a31e4
57919 .xword 0xdd558948d7661e11
57920 .xword 0x1bdb8b477f1e1a3b
57921 .xword 0x59ab3cb2c3171618
57922 .xword 0x32d443e51a8d09bb
57923 .xword 0xc6822dc3a7b27c15
57924 .xword 0x3a32a1df905e6469
57925 .xword 0xe4faa130ec270b6e
57926 .xword 0x6cabcb7512cb7c18
57927 .xword 0x47fd7c37f7054af5
57928 .xword 0x34d10ea9ce6607e0
57929 .xword 0x8391282c6e4a6096
57930 .xword 0xf4378d093dc8bbc0
57931 .xword 0xfeecab3e8b88d41c
57932 .xword 0x3d36465b1aa315c2
57933 .xword 0x2d2e145ea644e0ed
57934 .xword 0xe78ab3b15c892b91
57935 .xword 0x41b2ca68e77b273b
57936 .xword 0x2dac472ecc9ad763
57937 .xword 0xf25fdd988872186b
57938 .xword 0xd9b540bcc564ae49
57939 .xword 0xe8c6f224d0a51e98
57940 .xword 0x209def6254b53c42
57941 .xword 0x421da5008052ad85
57942 .xword 0x46d7dc75afbabdac
57943 .xword 0xee79d6f481561f0a
57944 .xword 0xbbdd9027608e7c64
57945 .xword 0x4cbf8322f4f4d74b
57946 .xword 0xafca5b6a3d3e89aa
57947 .xword 0xce2151841512de17
57948 .xword 0x4bcd9d3004fa2504
57949 .xword 0x16fc2a02b49be72a
57950 .xword 0x06243c49870598bd
57951_t1_aes_alignment_array:
57952 .xword 9
57953 .xword 8
57954 .xword 6
57955 .xword 13
57956 .xword 2
57957 .xword 8
57958 .xword 13
57959 .xword 7
57960 .xword 9
57961 .xword 14
57962 .xword 15
57963 .xword 9
57964 .xword 15
57965 .xword 9
57966 .xword 11
57967 .xword 4
57968 .xword 13
57969 .xword 4
57970 .xword 5
57971 .xword 2
57972 .xword 3
57973 .xword 6
57974 .xword 3
57975 .xword 3
57976 .xword 14
57977 .xword 0
57978 .xword 1
57979 .xword 9
57980 .xword 3
57981 .xword 11
57982 .xword 0
57983 .xword 8
57984 .xword 6
57985 .xword 8
57986 .xword 8
57987 .xword 5
57988 .xword 13
57989 .xword 8
57990 .xword 15
57991 .xword 3
57992 .xword 10
57993 .xword 12
57994 .xword 4
57995 .xword 10
57996 .xword 1
57997 .xword 6
57998 .xword 6
57999 .xword 9
58000 .xword 10
58001 .xword 6
58002 .xword 2
58003 .xword 13
58004 .xword 7
58005 .xword 15
58006 .xword 6
58007 .xword 10
58008 .xword 7
58009 .xword 3
58010 .xword 5
58011 .xword 4
58012 .xword 15
58013 .xword 12
58014 .xword 14
58015 .xword 11
58016 .xword 5
58017 .xword 9
58018 .xword 13
58019 .xword 2
58020 .xword 7
58021 .xword 4
58022 .xword 7
58023 .xword 14
58024 .xword 2
58025 .xword 10
58026 .xword 12
58027 .xword 14
58028 .xword 10
58029 .xword 10
58030 .xword 0
58031 .xword 8
58032 .xword 15
58033 .xword 3
58034 .xword 8
58035 .xword 10
58036 .xword 11
58037 .xword 6
58038 .xword 11
58039 .xword 14
58040 .xword 6
58041 .xword 12
58042 .xword 0
58043 .xword 2
58044 .xword 13
58045 .xword 12
58046 .xword 13
58047 .xword 8
58048 .xword 6
58049 .xword 9
58050 .xword 12
58051 .xword 7
58052 .xword 3
58053 .xword 8
58054 .xword 14
58055 .xword 0
58056 .xword 14
58057_t1_aes_src:
58058 .xword 0x86173179e4b7e7aa
58059 .xword 0xbb3f536e9821c9b5
58060 .xword 0x270c3c18182d9938
58061 .xword 0x2b83da2c506b2d61
58062 .xword 0x48694081b6bfbc23
58063 .xword 0x94fb31d5e76d894a
58064 .xword 0x684432a5d7512143
58065 .xword 0xe356f72d11fdcd43
58066 .xword 0xc3c9b9164563e2bc
58067 .xword 0xfbd49ecf7a01443a
58068 .xword 0x948aa1e28f87b001
58069 .xword 0xfe6973afc25db9cb
58070 .xword 0x13c50ebdde2c59e5
58071 .xword 0x9aca9a7dd947e5b6
58072 .xword 0xf37e994766e7c1fb
58073 .xword 0x425a70633c5c2452
58074 .xword 0x73ea8ea6b3324f43
58075 .xword 0x02f3510556b14dfa
58076 .xword 0x37da09eaf305f9e5
58077 .xword 0x2b386eda3376d940
58078 .xword 0xea5eec3e3860a0c1
58079 .xword 0xe9b070f949bd978d
58080 .xword 0x104bd339ef72779c
58081 .xword 0xafc6ecd6ebfaaca1
58082 .xword 0x0ef4935d082dc2ec
58083 .xword 0x23a7d1977ef59c9b
58084 .xword 0x6c72aaeaf3e7d557
58085 .xword 0x2755f0f807839f53
58086 .xword 0x1eaee036f9ffc5e7
58087 .xword 0x4acf7b4ec6da15b2
58088 .xword 0x7eb2528a20e6d597
58089 .xword 0x2b9b758aa2f4b4a0
58090 .xword 0x782fa74e747293b9
58091 .xword 0x57786f42e83afcb9
58092 .xword 0x64863d0cfbd76d00
58093 .xword 0xab8daec1ec4586e6
58094 .xword 0x1b9426a85d1926c2
58095 .xword 0x056d14b389f765c7
58096 .xword 0xd44eef81a30b3b8c
58097 .xword 0x8b13af9b6cf0a378
58098 .xword 0xb224d8889550799c
58099 .xword 0xed287186d1323c89
58100 .xword 0xc5944a8a665827ac
58101 .xword 0x1c7f5025c5afb6fd
58102 .xword 0x502d9d1486e8b478
58103 .xword 0x8a863f0a28db7f59
58104 .xword 0x2de5dc471b998f19
58105 .xword 0xfb16c690e3e3a8b0
58106 .xword 0x3b48dae6e642e783
58107 .xword 0x4b58eff2d40eb6c9
58108 .xword 0x80cd39c2da724ffb
58109 .xword 0xf7f8688811e3930c
58110 .xword 0x9f78893962965d6e
58111 .xword 0xd87fe2d505dd1e3b
58112 .xword 0xac3502a3884df406
58113 .xword 0xe8c7592032090b41
58114 .xword 0xbf7dc8b97837bbaa
58115 .xword 0xf9d9f0e896a1d66e
58116 .xword 0xd6e5005e1c7c31b0
58117 .xword 0xe604f4cd46bbdc4e
58118 .xword 0x0ebf4f677f834afe
58119 .xword 0xb9b3ff03aecb3dda
58120 .xword 0xf244ddf5cde098a6
58121 .xword 0xf322aaddfd4eed09
58122 .xword 0xaed49a0818e62178
58123 .xword 0x9fb5a0f5e7a5e707
58124 .xword 0x55b454579edd9cec
58125 .xword 0xf501a3c31607dc85
58126 .xword 0xa8d99610a3ad274f
58127 .xword 0x469665dcc3702a64
58128 .xword 0xef46ed7f4bf8c254
58129 .xword 0xaa9be103a98f54f1
58130 .xword 0x8fe32397552dd2b0
58131 .xword 0x47b5c8802369a6c6
58132 .xword 0x6fd49ec4fb688e94
58133 .xword 0x81979b6bc6f18180
58134 .xword 0xcbdc7eaa38c31f3f
58135 .xword 0xc9effc38c31151ba
58136 .xword 0x7e7b047ce5373f80
58137 .xword 0xb1eb5385c83caeed
58138 .xword 0xb7154468c5e29c8a
58139 .xword 0x3e7a54f0938d1906
58140 .xword 0x1a48e540432e16f5
58141 .xword 0x72d466f05ebd0aa0
58142 .xword 0x1344d77f1c318375
58143 .xword 0x5c8e4585b099bc34
58144 .xword 0x6e3ee1e263178bcb
58145 .xword 0xf7e4c4542b3351b2
58146 .xword 0x8af32b92082361b1
58147 .xword 0x51713cd294c56ec6
58148 .xword 0x91af007184b5d824
58149 .xword 0xc70dc12965f819e0
58150 .xword 0x695f26df5f100244
58151 .xword 0x4e41305129500694
58152 .xword 0x8955f2ec882e29e7
58153 .xword 0x889db1afca9aef4d
58154 .xword 0x4faae7f8c0b0c3a0
58155 .xword 0xdb6d2fa39981bfa6
58156 .xword 0x3a9775f7f0e46ad6
58157 .xword 0x7d9a01dbabb5d265
58158 .xword 0xd00f667f8b550256
58159 .xword 0x5f84268d4e47ccc9
58160 .xword 0xadc8d7aacc602d56
58161 .xword 0x3e633d12a312eec4
58162 .xword 0x53d7181886f2bfbd
58163 .xword 0x398fac41eb409e6b
58164 .xword 0x48014976ea507609
58165 .xword 0x29668cd985bd680e
58166 .xword 0x256b288773277811
58167 .xword 0x93964720472717e2
58168 .xword 0x03352d7ba9cc37de
58169 .xword 0xf63c454da9825e40
58170 .xword 0xc890dc78801d649e
58171 .xword 0x365d1247a404c063
58172 .xword 0xdfdd12ca3be56da1
58173 .xword 0x3189305e4b5bc15a
58174 .xword 0xdc32d05126a6a754
58175 .xword 0x7b6fca55824d5281
58176 .xword 0x7c9e58ebd1c1ad5e
58177 .xword 0x7614b4ac425c4765
58178 .xword 0x63b3601c3541466b
58179 .xword 0x2729e18b81666341
58180 .xword 0x5145c0cdb349fe7e
58181 .xword 0x7a5e168f730a2603
58182 .xword 0xe87d540bbf6da56e
58183 .xword 0x1dc0474d8dff07a9
58184 .xword 0xfa1f07ef5032722c
58185 .xword 0x8753cbd991976fe9
58186 .xword 0x723256b85196c0ab
58187 .xword 0xa29e81dd087fda29
58188 .xword 0x18f7e8af4a29125b
58189 .xword 0x75df0d6a7621bbdd
58190 .xword 0x22f9c4ddc7670d82
58191 .xword 0x628545cfa4ca2149
58192 .xword 0xe9fe1eb3d5c04d71
58193 .xword 0xf6419f902c8dbb61
58194 .xword 0xff621b0ed55a16e5
58195 .xword 0x9380f38c4aec40da
58196 .xword 0xa6c67c412bbf2572
58197 .xword 0x9c27bd552e68ea13
58198 .xword 0x56be0735b2c65c15
58199 .xword 0x57337b8f95f4c955
58200 .xword 0x217019b06ee193fa
58201 .xword 0x65214662ea27a506
58202 .xword 0x7572043dff2f7e56
58203 .xword 0x7b1572c1cfd7a318
58204 .xword 0xb79d15bb28d94531
58205 .xword 0x60da121eaedd9274
58206 .xword 0xb83da868173157d3
58207 .xword 0xe3fe607975f235b0
58208 .xword 0x0ecf9581d2d0652f
58209 .xword 0x184fcaadbcefb068
58210 .xword 0xae734c4a0111c573
58211 .xword 0xbd0fdd8b2b375c0f
58212 .xword 0xc5a6e6914b85e716
58213 .xword 0xf62998d6a37bdef7
58214 .xword 0xcc57d937c6258d99
58215 .xword 0xef9390333ea0cc23
58216 .xword 0x0d5593401a64545d
58217 .xword 0xb3ccc44e46975498
58218 .xword 0x8aadad5a294de45f
58219 .xword 0x7a72c2df0cbb4c5d
58220 .xword 0xea1e44fed6b0ce10
58221 .xword 0x089c5bd16f6b1043
58222 .xword 0x971e1ddf7c3d574b
58223 .xword 0xdf2ec31a6c14fb4d
58224 .xword 0xd9ac19c857e5764a
58225 .xword 0x9bfd4eda46b32d64
58226 .xword 0x980669b3c44dba98
58227 .xword 0x9e37322465e0607e
58228 .xword 0x2b08cafa50d2b35b
58229 .xword 0x78fbf29af9bb9411
58230 .xword 0x5a8ab1d91d64f396
58231 .xword 0x939686e974517e49
58232 .xword 0x3111d3b4b1f36d93
58233 .xword 0xc31cd4d7d98ed573
58234 .xword 0xd09ef24a2ed9e79c
58235 .xword 0xd74b28cae8945d2a
58236 .xword 0x4010be81e27762d5
58237 .xword 0x5940039d8cd68cce
58238 .xword 0xbc26186d1a8c1c25
58239 .xword 0x738bd8b93b0b9daf
58240 .xword 0x4d5f0f3c3a0be630
58241 .xword 0x47d74a66fdee653d
58242 .xword 0xc139568b9ddcba3f
58243 .xword 0x4fb8827e38f38769
58244 .xword 0x0bcd5de57146d0a9
58245 .xword 0xe14ff7b28d4a1139
58246 .xword 0xe667e1b87fbf0daa
58247 .xword 0xd51a6b38eb838f1d
58248 .xword 0x2ead990318c11562
58249 .xword 0x26659b2b65901938
58250 .xword 0xd6e5ebd852b3acfd
58251 .xword 0x0bed52016e4006fe
58252 .xword 0xed8d1a5f0b4555f8
58253 .xword 0xbd10fa2a2b939aac
58254 .xword 0x4165d3520eb8a94d
58255 .xword 0x1673f665bd444855
58256 .xword 0xeed2c5778b9d9eea
58257 .xword 0x7239b07908cca8c7
58258 .xword 0x417cb4dde2262d89
58259 .xword 0x02325e5f7c10e744
58260 .xword 0x54bebde2c4eab517
58261 .xword 0x2b5596135ed9c543
58262 .xword 0xe48135edcccfebcd
58263 .xword 0x7ca0a0d5815fe946
58264 .xword 0x10ffca4f7413a615
58265 .xword 0xf7f7908789648e44
58266 .xword 0x7ed9bdcdbb2562ac
58267 .xword 0x3a526938207e9d19
58268 .xword 0x79a8c53245400cfa
58269 .xword 0x0ef186ca1cfad984
58270 .xword 0xc0036f3c56322630
58271 .xword 0x0cc15810a093665b
58272 .xword 0x7aed6be43ac986d6
58273 .xword 0xc5a9797dfb5477fb
58274 .xword 0xe0f6c86bd18c3bbd
58275 .xword 0x6e9276511855dcaf
58276 .xword 0xee2dd770a11867b9
58277 .xword 0xf22e32aaf32e11da
58278 .xword 0xbffd959c68c12a66
58279 .xword 0x243afc9976c138c7
58280 .xword 0x1550b6f27ef51da3
58281 .xword 0xfc7f3777d22a7f87
58282 .xword 0xa3590acea5433b74
58283 .xword 0xf96a7a748c1c9954
58284 .xword 0x586bec13ff585da8
58285 .xword 0x6f5e7e43f0b215bd
58286 .xword 0xdf2fb1d007cf5e43
58287 .xword 0x0c2e3af1b72f8cea
58288 .xword 0xb5aeeebc7300ab56
58289 .xword 0xcd73bb9a9d4e3ed2
58290 .xword 0x0f62467bc830db58
58291 .xword 0x8c24bdd8c19a6c35
58292 .xword 0x26c3136a46dc6cef
58293 .xword 0x280f59ee41a49c15
58294 .xword 0x50abb6a3468fdb64
58295 .xword 0x8bc8abca64805b7d
58296 .xword 0x8d970ac7a25b7a50
58297 .xword 0x55b9a0f0165bb618
58298 .xword 0x81872637339e1d15
58299 .xword 0x9ad4a8666fe9fc50
58300 .xword 0x6b2c588b40a2e074
58301 .xword 0x56deaa444a3c468a
58302 .xword 0xe8679d19e8d051a7
58303 .xword 0x11f7b226d30e374e
58304 .xword 0xec9e446f8ffb4d94
58305 .xword 0x1ce8e067fe996134
58306 .xword 0x3771323e713c0b75
58307 .xword 0xbbfc8dc9fc4125aa
58308 .xword 0x9a9974d4f4ffa3e1
58309 .xword 0xb013bc16db28483b
58310 .xword 0x01f5d63635dbb997
58311 .xword 0x1f38a3b600107d72
58312 .xword 0x6b630ce674cdc9ce
58313 .xword 0x1810a492b140b51a
58314 .xword 0x52d490b3f9dffce6
58315 .xword 0x51436311f325c6a1
58316 .xword 0x48666a919091dbe5
58317 .xword 0xb52d34fe631f2c0d
58318 .xword 0x006fef76a0a6b196
58319 .xword 0x07165636199a69bb
58320 .xword 0x724d1e210771942d
58321 .xword 0xee2edac15bb16ded
58322 .xword 0xfc6db64d1c9cb4b5
58323 .xword 0x3805e6d63013b55c
58324 .xword 0xa209fc0e67ae9bbf
58325 .xword 0xab4fb47f2497d277
58326 .xword 0x1ca750772ecb8fae
58327 .xword 0x1c3a5c1dc601aae1
58328 .xword 0x717dbe50115ab5c5
58329 .xword 0x255f31fbdcde7b50
58330 .xword 0x3353c5d776a62e7c
58331 .xword 0x47dda0043f998751
58332 .xword 0xc91af26f60f1ac64
58333_t1_aes_dest:
58334 .xword 0xDEADBEEFDEADBEEF
58335 .xword 0xDEADBEEFDEADBEEF
58336 .xword 0xDEADBEEFDEADBEEF
58337 .xword 0xDEADBEEFDEADBEEF
58338 .xword 0xDEADBEEFDEADBEEF
58339 .xword 0xDEADBEEFDEADBEEF
58340 .xword 0xDEADBEEFDEADBEEF
58341 .xword 0xDEADBEEFDEADBEEF
58342 .xword 0xDEADBEEFDEADBEEF
58343 .xword 0xDEADBEEFDEADBEEF
58344 .xword 0xDEADBEEFDEADBEEF
58345 .xword 0xDEADBEEFDEADBEEF
58346 .xword 0xDEADBEEFDEADBEEF
58347 .xword 0xDEADBEEFDEADBEEF
58348 .xword 0xDEADBEEFDEADBEEF
58349 .xword 0xDEADBEEFDEADBEEF
58350 .xword 0xDEADBEEFDEADBEEF
58351 .xword 0xDEADBEEFDEADBEEF
58352 .xword 0xDEADBEEFDEADBEEF
58353 .xword 0xDEADBEEFDEADBEEF
58354 .xword 0xDEADBEEFDEADBEEF
58355 .xword 0xDEADBEEFDEADBEEF
58356 .xword 0xDEADBEEFDEADBEEF
58357 .xword 0xDEADBEEFDEADBEEF
58358 .xword 0xDEADBEEFDEADBEEF
58359 .xword 0xDEADBEEFDEADBEEF
58360 .xword 0xDEADBEEFDEADBEEF
58361 .xword 0xDEADBEEFDEADBEEF
58362 .xword 0xDEADBEEFDEADBEEF
58363 .xword 0xDEADBEEFDEADBEEF
58364 .xword 0xDEADBEEFDEADBEEF
58365 .xword 0xDEADBEEFDEADBEEF
58366 .xword 0xDEADBEEFDEADBEEF
58367 .xword 0xDEADBEEFDEADBEEF
58368 .xword 0xDEADBEEFDEADBEEF
58369 .xword 0xDEADBEEFDEADBEEF
58370 .xword 0xDEADBEEFDEADBEEF
58371 .xword 0xDEADBEEFDEADBEEF
58372 .xword 0xDEADBEEFDEADBEEF
58373 .xword 0xDEADBEEFDEADBEEF
58374 .xword 0xDEADBEEFDEADBEEF
58375 .xword 0xDEADBEEFDEADBEEF
58376 .xword 0xDEADBEEFDEADBEEF
58377 .xword 0xDEADBEEFDEADBEEF
58378 .xword 0xDEADBEEFDEADBEEF
58379 .xword 0xDEADBEEFDEADBEEF
58380 .xword 0xDEADBEEFDEADBEEF
58381 .xword 0xDEADBEEFDEADBEEF
58382 .xword 0xDEADBEEFDEADBEEF
58383 .xword 0xDEADBEEFDEADBEEF
58384 .xword 0xDEADBEEFDEADBEEF
58385 .xword 0xDEADBEEFDEADBEEF
58386 .xword 0xDEADBEEFDEADBEEF
58387 .xword 0xDEADBEEFDEADBEEF
58388 .xword 0xDEADBEEFDEADBEEF
58389 .xword 0xDEADBEEFDEADBEEF
58390 .xword 0xDEADBEEFDEADBEEF
58391 .xword 0xDEADBEEFDEADBEEF
58392 .xword 0xDEADBEEFDEADBEEF
58393 .xword 0xDEADBEEFDEADBEEF
58394 .xword 0xDEADBEEFDEADBEEF
58395 .xword 0xDEADBEEFDEADBEEF
58396 .xword 0xDEADBEEFDEADBEEF
58397 .xword 0xDEADBEEFDEADBEEF
58398 .xword 0xDEADBEEFDEADBEEF
58399 .xword 0xDEADBEEFDEADBEEF
58400 .xword 0xDEADBEEFDEADBEEF
58401 .xword 0xDEADBEEFDEADBEEF
58402 .xword 0xDEADBEEFDEADBEEF
58403 .xword 0xDEADBEEFDEADBEEF
58404 .xword 0xDEADBEEFDEADBEEF
58405 .xword 0xDEADBEEFDEADBEEF
58406 .xword 0xDEADBEEFDEADBEEF
58407 .xword 0xDEADBEEFDEADBEEF
58408 .xword 0xDEADBEEFDEADBEEF
58409 .xword 0xDEADBEEFDEADBEEF
58410 .xword 0xDEADBEEFDEADBEEF
58411 .xword 0xDEADBEEFDEADBEEF
58412 .xword 0xDEADBEEFDEADBEEF
58413 .xword 0xDEADBEEFDEADBEEF
58414 .xword 0xDEADBEEFDEADBEEF
58415 .xword 0xDEADBEEFDEADBEEF
58416 .xword 0xDEADBEEFDEADBEEF
58417 .xword 0xDEADBEEFDEADBEEF
58418 .xword 0xDEADBEEFDEADBEEF
58419 .xword 0xDEADBEEFDEADBEEF
58420 .xword 0xDEADBEEFDEADBEEF
58421 .xword 0xDEADBEEFDEADBEEF
58422 .xword 0xDEADBEEFDEADBEEF
58423 .xword 0xDEADBEEFDEADBEEF
58424 .xword 0xDEADBEEFDEADBEEF
58425 .xword 0xDEADBEEFDEADBEEF
58426 .xword 0xDEADBEEFDEADBEEF
58427 .xword 0xDEADBEEFDEADBEEF
58428 .xword 0xDEADBEEFDEADBEEF
58429 .xword 0xDEADBEEFDEADBEEF
58430 .xword 0xDEADBEEFDEADBEEF
58431 .xword 0xDEADBEEFDEADBEEF
58432 .xword 0xDEADBEEFDEADBEEF
58433 .xword 0xDEADBEEFDEADBEEF
58434 .xword 0xDEADBEEFDEADBEEF
58435 .xword 0xDEADBEEFDEADBEEF
58436 .xword 0xDEADBEEFDEADBEEF
58437 .xword 0xDEADBEEFDEADBEEF
58438 .xword 0xDEADBEEFDEADBEEF
58439 .xword 0xDEADBEEFDEADBEEF
58440 .xword 0xDEADBEEFDEADBEEF
58441 .xword 0xDEADBEEFDEADBEEF
58442 .xword 0xDEADBEEFDEADBEEF
58443 .xword 0xDEADBEEFDEADBEEF
58444 .xword 0xDEADBEEFDEADBEEF
58445 .xword 0xDEADBEEFDEADBEEF
58446 .xword 0xDEADBEEFDEADBEEF
58447 .xword 0xDEADBEEFDEADBEEF
58448 .xword 0xDEADBEEFDEADBEEF
58449 .xword 0xDEADBEEFDEADBEEF
58450 .xword 0xDEADBEEFDEADBEEF
58451 .xword 0xDEADBEEFDEADBEEF
58452 .xword 0xDEADBEEFDEADBEEF
58453 .xword 0xDEADBEEFDEADBEEF
58454 .xword 0xDEADBEEFDEADBEEF
58455 .xword 0xDEADBEEFDEADBEEF
58456 .xword 0xDEADBEEFDEADBEEF
58457 .xword 0xDEADBEEFDEADBEEF
58458 .xword 0xDEADBEEFDEADBEEF
58459 .xword 0xDEADBEEFDEADBEEF
58460 .xword 0xDEADBEEFDEADBEEF
58461 .xword 0xDEADBEEFDEADBEEF
58462 .xword 0xDEADBEEFDEADBEEF
58463 .xword 0xDEADBEEFDEADBEEF
58464 .xword 0xDEADBEEFDEADBEEF
58465 .xword 0xDEADBEEFDEADBEEF
58466 .xword 0xDEADBEEFDEADBEEF
58467 .xword 0xDEADBEEFDEADBEEF
58468 .xword 0xDEADBEEFDEADBEEF
58469 .xword 0xDEADBEEFDEADBEEF
58470 .xword 0xDEADBEEFDEADBEEF
58471 .xword 0xDEADBEEFDEADBEEF
58472 .xword 0xDEADBEEFDEADBEEF
58473 .xword 0xDEADBEEFDEADBEEF
58474 .xword 0xDEADBEEFDEADBEEF
58475 .xword 0xDEADBEEFDEADBEEF
58476 .xword 0xDEADBEEFDEADBEEF
58477 .xword 0xDEADBEEFDEADBEEF
58478 .xword 0xDEADBEEFDEADBEEF
58479 .xword 0xDEADBEEFDEADBEEF
58480 .xword 0xDEADBEEFDEADBEEF
58481 .xword 0xDEADBEEFDEADBEEF
58482 .xword 0xDEADBEEFDEADBEEF
58483 .xword 0xDEADBEEFDEADBEEF
58484 .xword 0xDEADBEEFDEADBEEF
58485 .xword 0xDEADBEEFDEADBEEF
58486 .xword 0xDEADBEEFDEADBEEF
58487 .xword 0xDEADBEEFDEADBEEF
58488 .xword 0xDEADBEEFDEADBEEF
58489 .xword 0xDEADBEEFDEADBEEF
58490 .xword 0xDEADBEEFDEADBEEF
58491 .xword 0xDEADBEEFDEADBEEF
58492 .xword 0xDEADBEEFDEADBEEF
58493 .xword 0xDEADBEEFDEADBEEF
58494 .xword 0xDEADBEEFDEADBEEF
58495 .xword 0xDEADBEEFDEADBEEF
58496 .xword 0xDEADBEEFDEADBEEF
58497 .xword 0xDEADBEEFDEADBEEF
58498 .xword 0xDEADBEEFDEADBEEF
58499 .xword 0xDEADBEEFDEADBEEF
58500 .xword 0xDEADBEEFDEADBEEF
58501 .xword 0xDEADBEEFDEADBEEF
58502 .xword 0xDEADBEEFDEADBEEF
58503 .xword 0xDEADBEEFDEADBEEF
58504 .xword 0xDEADBEEFDEADBEEF
58505 .xword 0xDEADBEEFDEADBEEF
58506 .xword 0xDEADBEEFDEADBEEF
58507 .xword 0xDEADBEEFDEADBEEF
58508 .xword 0xDEADBEEFDEADBEEF
58509 .xword 0xDEADBEEFDEADBEEF
58510 .xword 0xDEADBEEFDEADBEEF
58511 .xword 0xDEADBEEFDEADBEEF
58512 .xword 0xDEADBEEFDEADBEEF
58513 .xword 0xDEADBEEFDEADBEEF
58514 .xword 0xDEADBEEFDEADBEEF
58515 .xword 0xDEADBEEFDEADBEEF
58516 .xword 0xDEADBEEFDEADBEEF
58517 .xword 0xDEADBEEFDEADBEEF
58518 .xword 0xDEADBEEFDEADBEEF
58519 .xword 0xDEADBEEFDEADBEEF
58520 .xword 0xDEADBEEFDEADBEEF
58521 .xword 0xDEADBEEFDEADBEEF
58522 .xword 0xDEADBEEFDEADBEEF
58523 .xword 0xDEADBEEFDEADBEEF
58524 .xword 0xDEADBEEFDEADBEEF
58525 .xword 0xDEADBEEFDEADBEEF
58526 .xword 0xDEADBEEFDEADBEEF
58527 .xword 0xDEADBEEFDEADBEEF
58528 .xword 0xDEADBEEFDEADBEEF
58529 .xword 0xDEADBEEFDEADBEEF
58530 .xword 0xDEADBEEFDEADBEEF
58531 .xword 0xDEADBEEFDEADBEEF
58532 .xword 0xDEADBEEFDEADBEEF
58533 .xword 0xDEADBEEFDEADBEEF
58534 .xword 0xDEADBEEFDEADBEEF
58535 .xword 0xDEADBEEFDEADBEEF
58536 .xword 0xDEADBEEFDEADBEEF
58537 .xword 0xDEADBEEFDEADBEEF
58538 .xword 0xDEADBEEFDEADBEEF
58539 .xword 0xDEADBEEFDEADBEEF
58540 .xword 0xDEADBEEFDEADBEEF
58541 .xword 0xDEADBEEFDEADBEEF
58542 .xword 0xDEADBEEFDEADBEEF
58543 .xword 0xDEADBEEFDEADBEEF
58544 .xword 0xDEADBEEFDEADBEEF
58545 .xword 0xDEADBEEFDEADBEEF
58546 .xword 0xDEADBEEFDEADBEEF
58547 .xword 0xDEADBEEFDEADBEEF
58548 .xword 0xDEADBEEFDEADBEEF
58549 .xword 0xDEADBEEFDEADBEEF
58550 .xword 0xDEADBEEFDEADBEEF
58551 .xword 0xDEADBEEFDEADBEEF
58552 .xword 0xDEADBEEFDEADBEEF
58553 .xword 0xDEADBEEFDEADBEEF
58554 .xword 0xDEADBEEFDEADBEEF
58555 .xword 0xDEADBEEFDEADBEEF
58556 .xword 0xDEADBEEFDEADBEEF
58557 .xword 0xDEADBEEFDEADBEEF
58558 .xword 0xDEADBEEFDEADBEEF
58559 .xword 0xDEADBEEFDEADBEEF
58560 .xword 0xDEADBEEFDEADBEEF
58561 .xword 0xDEADBEEFDEADBEEF
58562 .xword 0xDEADBEEFDEADBEEF
58563 .xword 0xDEADBEEFDEADBEEF
58564 .xword 0xDEADBEEFDEADBEEF
58565 .xword 0xDEADBEEFDEADBEEF
58566 .xword 0xDEADBEEFDEADBEEF
58567 .xword 0xDEADBEEFDEADBEEF
58568 .xword 0xDEADBEEFDEADBEEF
58569 .xword 0xDEADBEEFDEADBEEF
58570 .xword 0xDEADBEEFDEADBEEF
58571 .xword 0xDEADBEEFDEADBEEF
58572 .xword 0xDEADBEEFDEADBEEF
58573 .xword 0xDEADBEEFDEADBEEF
58574 .xword 0xDEADBEEFDEADBEEF
58575 .xword 0xDEADBEEFDEADBEEF
58576 .xword 0xDEADBEEFDEADBEEF
58577 .xword 0xDEADBEEFDEADBEEF
58578 .xword 0xDEADBEEFDEADBEEF
58579 .xword 0xDEADBEEFDEADBEEF
58580 .xword 0xDEADBEEFDEADBEEF
58581 .xword 0xDEADBEEFDEADBEEF
58582 .xword 0xDEADBEEFDEADBEEF
58583 .xword 0xDEADBEEFDEADBEEF
58584 .xword 0xDEADBEEFDEADBEEF
58585 .xword 0xDEADBEEFDEADBEEF
58586 .xword 0xDEADBEEFDEADBEEF
58587 .xword 0xDEADBEEFDEADBEEF
58588 .xword 0xDEADBEEFDEADBEEF
58589 .xword 0xDEADBEEFDEADBEEF
58590 .xword 0xDEADBEEFDEADBEEF
58591 .xword 0xDEADBEEFDEADBEEF
58592 .xword 0xDEADBEEFDEADBEEF
58593 .xword 0xDEADBEEFDEADBEEF
58594 .xword 0xDEADBEEFDEADBEEF
58595 .xword 0xDEADBEEFDEADBEEF
58596 .xword 0xDEADBEEFDEADBEEF
58597 .xword 0xDEADBEEFDEADBEEF
58598 .xword 0xDEADBEEFDEADBEEF
58599 .xword 0xDEADBEEFDEADBEEF
58600 .xword 0xDEADBEEFDEADBEEF
58601 .xword 0xDEADBEEFDEADBEEF
58602 .xword 0xDEADBEEFDEADBEEF
58603 .xword 0xDEADBEEFDEADBEEF
58604 .xword 0xDEADBEEFDEADBEEF
58605 .xword 0xDEADBEEFDEADBEEF
58606 .xword 0xDEADBEEFDEADBEEF
58607 .xword 0xDEADBEEFDEADBEEF
58608 .xword 0xDEADBEEFDEADBEEF
58609_t1_aes_auth_key:
58610 .xword 0x0be3d0c7346bc63d
58611 .xword 0x47c3ea2247d310d0
58612 .xword 0x6509151703a6aba8
58613 .xword 0x3656c96d9a76017f
58614 .xword 0x40f05900a272b2dd
58615 .xword 0x480d75c007a2cd51
58616 .xword 0x0ccc182c87af5ab6
58617 .xword 0xe6591e69be8c5bba
58618 .xword 0x0faeafa1863cf5dc
58619 .xword 0x94958007551c331a
58620 .xword 0x30a37411606f8ecd
58621 .xword 0xeb72b550144df8e5
58622 .xword 0xa2be80e4adb62a78
58623 .xword 0xab82e66c66d86709
58624 .xword 0xe5e165fcce36279f
58625 .xword 0x5993909ff8df1206
58626 .xword 0x3559ab3f35f88dad
58627 .xword 0xac370fe6ee6da5fe
58628 .xword 0x067517ae861efaf8
58629 .xword 0x3272cb6423c13bdd
58630 .xword 0xa6f721dae5fd4767
58631 .xword 0x8564ec93c6b51a13
58632 .xword 0xc4dcec7bab1cef89
58633_t1_aes_auth_iv:
58634 .xword 0x07859f3b40ccedd4
58635 .xword 0x25ab67a824ed9090
58636 .xword 0x066cac36b40668bc
58637 .xword 0xeb1963bc7dc5c655
58638 .xword 0x82f43e35be9b4fbc
58639 .xword 0xc1b2fa81eec51ea1
58640 .xword 0x76018fbfba8b5ab8
58641 .xword 0x04b41d6f98a83699
58642 .xword 0xe9946a0de4d7666d
58643 .xword 0x4796d4202cb9f76f
58644 .xword 0xba65820567058398
58645 .xword 0x0b07e53e40b08b2e
58646 .xword 0x7ea77c56fd2a4010
58647 .xword 0x8495ce59086228f4
58648 .xword 0x2cdb7bf856f004dc
58649 .xword 0xa2fbe137e864222c
58650 .xword 0x414c619b559ee279
58651 .xword 0x2887655ff8eea5b1
58652 .xword 0x323e4e585515e4d2
58653 .xword 0x3035953bd3ae4e59
58654 .xword 0x3367bb1371b5f070
58655 .xword 0x0265668cd2ffbcc2
58656 .xword 0x6ce2d6a793bbd599
58657_t1_aes_fas_result:
58658 .xword 0xDEADBEEFDEADBEEF
58659 .xword 0xDEADBEEFDEADBEEF
58660 .xword 0xDEADBEEFDEADBEEF
58661 .xword 0xDEADBEEFDEADBEEF
58662 .xword 0xDEADBEEFDEADBEEF
58663 .xword 0xDEADBEEFDEADBEEF
58664 .xword 0xDEADBEEFDEADBEEF
58665 .xword 0xDEADBEEFDEADBEEF
58666 .xword 0xDEADBEEFDEADBEEF
58667 .xword 0xDEADBEEFDEADBEEF
58668 .xword 0xDEADBEEFDEADBEEF
58669 .xword 0xDEADBEEFDEADBEEF
58670 .xword 0xDEADBEEFDEADBEEF
58671 .xword 0xDEADBEEFDEADBEEF
58672 .xword 0xDEADBEEFDEADBEEF
58673 .xword 0xDEADBEEFDEADBEEF
58674 .xword 0xDEADBEEFDEADBEEF
58675 .xword 0xDEADBEEFDEADBEEF
58676 .xword 0xDEADBEEFDEADBEEF
58677 .xword 0xDEADBEEFDEADBEEF
58678 .xword 0xDEADBEEFDEADBEEF
58679 .xword 0xDEADBEEFDEADBEEF
58680 .xword 0xDEADBEEFDEADBEEF
58681_t1_des_key_array:
58682 .xword 0x0de9f98f353b5a33
58683 .xword 0x8a8a7c2d6ce2ca4f
58684 .xword 0x6ab5ccf9128897d6
58685 .xword 0x8d7fab12a7bbc2f2
58686 .xword 0xcca441533b9c9048
58687 .xword 0x6c7400d43fb75d23
58688 .xword 0xc36a1952a80f3652
58689 .xword 0xf5aa959fe5613a9c
58690 .xword 0x5ca0da9b68985b89
58691 .xword 0x7a680a31ec9f5386
58692 .xword 0x27f848dde9a3f8d6
58693 .xword 0xa4662392308dac01
58694 .xword 0x302f518813ee5769
58695 .xword 0xe218fc57641b21de
58696 .xword 0x0a77f2917e06b3dc
58697 .xword 0xbc3b381f7730feae
58698 .xword 0x5d5a99105bca1a1d
58699 .xword 0xba412f49cc58ccdf
58700 .xword 0x58035e2298856124
58701 .xword 0x546ed92dfe59c409
58702 .xword 0x4237446104abdca9
58703 .xword 0xb8d36569c3a09ac6
58704 .xword 0x33b91f1d5eddb362
58705 .xword 0x541d194b1cef6f7f
58706 .xword 0x687f900e3040529c
58707 .xword 0x87b597b9f6da3928
58708 .xword 0xca6d9b31c76896e3
58709 .xword 0xf6641f1edb29b370
58710 .xword 0xba59d83521eac2bc
58711 .xword 0x8aeb4243a33ed8c7
58712 .xword 0xcc2ca36282e2d5ee
58713 .xword 0x9cf9c394a7dbf01d
58714 .xword 0x565bbaa81d51466d
58715 .xword 0x17c63119a2720610
58716 .xword 0x555603355be1b7e6
58717 .xword 0xa3e8bdc510b3ae0e
58718 .xword 0x47729aae25644b43
58719 .xword 0x9807eb5cf73806cf
58720 .xword 0x5822ac7b6f6940e9
58721 .xword 0x9f665b965acbdbb2
58722 .xword 0x048bd95c7ab6da1d
58723 .xword 0xd2da6e7b349f8db4
58724 .xword 0x33a3dea998a699a1
58725 .xword 0xf3734d22a1da0a7a
58726 .xword 0x743e28185f5464f2
58727 .xword 0xaac41ee233b77d99
58728 .xword 0xdca1ad6c8da3ccaa
58729 .xword 0xf5f8551d584f9127
58730 .xword 0xbf852fe7eb36606a
58731 .xword 0x66963a56966053b1
58732 .xword 0xf6e6822d87612766
58733_t1_des_iv_array:
58734 .xword 0x98276ddcefb16168
58735 .xword 0xc9269723a3e6f946
58736 .xword 0x28d5df82168b6744
58737 .xword 0xd50e028c99769b63
58738 .xword 0xb562ede0ea0ba72f
58739 .xword 0xa0668c3e841e2d97
58740 .xword 0x610b522f738224f2
58741 .xword 0xd0eff9d4429199cf
58742 .xword 0x58f7dcc9b9685f32
58743 .xword 0x018dd43e6f4e88b8
58744 .xword 0x3d487c74e2c9ec76
58745 .xword 0xb9c2e7b9b5a99f45
58746 .xword 0x381b6aca8e7650f5
58747 .xword 0xb62c318742fa727e
58748 .xword 0x8783e39e6f9cb810
58749 .xword 0x2fcf2a2232b6f960
58750 .xword 0x4bc52f52cf8d107f
58751 .xword 0xa4c754bbfaea4449
58752 .xword 0x435d3f10ab6a8b3f
58753 .xword 0xcac29599ee0772f6
58754 .xword 0xa6d1c687964b96fd
58755 .xword 0x0af71cacc48e9326
58756 .xword 0xaa0749a9e8a7e6ff
58757 .xword 0x078aa86a6f29d4c2
58758 .xword 0xdb62aa848f09951a
58759 .xword 0x3777dd1e2851f816
58760 .xword 0xe651e083f96b390a
58761 .xword 0xab45ac8bc2842556
58762 .xword 0x8b5421a1efebee62
58763 .xword 0xb4f7e4a50b201c97
58764 .xword 0x5a1d879db31fec9b
58765 .xword 0xb666e9ec601c1bae
58766 .xword 0xc9e0a944c1a104a7
58767 .xword 0xe5bcdf0b6567bc9e
58768 .xword 0x64628eff4901bfe7
58769 .xword 0x954d5adeed301c66
58770 .xword 0xe616d327f5acb1fc
58771 .xword 0xc04bdae90a343b0f
58772 .xword 0xd7d7667b0fe5e7c4
58773 .xword 0xb3e8a1d472d5744b
58774 .xword 0xb60fca579d2307f1
58775 .xword 0x4554483b9d8519c4
58776 .xword 0x5fef51fa81eeb767
58777 .xword 0x7d97fe675dd5a03d
58778 .xword 0x3dc86b9fa97c8724
58779_t1_des_alignment_array:
58780 .xword 12
58781 .xword 9
58782 .xword 11
58783 .xword 13
58784 .xword 13
58785 .xword 9
58786 .xword 12
58787 .xword 4
58788 .xword 7
58789 .xword 0
58790 .xword 2
58791 .xword 1
58792 .xword 10
58793 .xword 11
58794 .xword 13
58795 .xword 14
58796 .xword 8
58797 .xword 9
58798 .xword 8
58799 .xword 1
58800 .xword 9
58801 .xword 12
58802 .xword 6
58803 .xword 12
58804 .xword 2
58805 .xword 10
58806 .xword 8
58807 .xword 1
58808 .xword 4
58809 .xword 8
58810 .xword 8
58811 .xword 1
58812 .xword 3
58813 .xword 1
58814 .xword 9
58815 .xword 15
58816 .xword 4
58817 .xword 12
58818 .xword 1
58819 .xword 14
58820 .xword 7
58821 .xword 9
58822 .xword 15
58823 .xword 8
58824 .xword 8
58825 .xword 14
58826 .xword 6
58827 .xword 14
58828 .xword 1
58829 .xword 2
58830 .xword 7
58831 .xword 1
58832 .xword 2
58833 .xword 15
58834 .xword 13
58835 .xword 4
58836 .xword 7
58837 .xword 9
58838 .xword 8
58839 .xword 14
58840 .xword 2
58841 .xword 4
58842 .xword 11
58843 .xword 12
58844 .xword 0
58845 .xword 0
58846 .xword 15
58847 .xword 5
58848 .xword 5
58849 .xword 11
58850 .xword 11
58851 .xword 9
58852 .xword 6
58853 .xword 11
58854 .xword 13
58855 .xword 7
58856 .xword 5
58857 .xword 4
58858 .xword 14
58859 .xword 15
58860 .xword 4
58861 .xword 9
58862 .xword 9
58863 .xword 15
58864 .xword 11
58865 .xword 3
58866 .xword 9
58867 .xword 12
58868 .xword 14
58869 .xword 3
58870 .xword 4
58871 .xword 3
58872 .xword 0
58873 .xword 1
58874 .xword 14
58875 .xword 8
58876 .xword 3
58877 .xword 1
58878 .xword 13
58879 .xword 13
58880 .xword 8
58881 .xword 0
58882 .xword 10
58883 .xword 3
58884 .xword 8
58885_t1_des_src:
58886 .xword 0x1fea0433ace024ab
58887 .xword 0x1b304adad9c693f0
58888 .xword 0xf0a5ab8e60f4f2a7
58889 .xword 0x3ab30c0eeb610f23
58890 .xword 0x61ba2e1bf72ab23b
58891 .xword 0xed87dc9ed7e660ae
58892 .xword 0xadf6d01f603604ab
58893 .xword 0x23944cbb0ba00f13
58894 .xword 0x6c847f30a0b5d37c
58895 .xword 0xcb3ae67c29f605a9
58896 .xword 0xb370ad5c37ce4bf3
58897 .xword 0x1074edf58037e863
58898 .xword 0x9c6134c5a0dbcbbf
58899 .xword 0xe8d570bb60682d8e
58900 .xword 0xfeafe4a7dbf0143b
58901 .xword 0xf5ef0ba087bfc8a1
58902 .xword 0x4b4075e930d16130
58903 .xword 0xd263217a982bcfe2
58904 .xword 0x7b8c81c4f516c1b4
58905 .xword 0xbdf33db4a8948487
58906 .xword 0x048c5ffb6f195e39
58907 .xword 0x2e61a47f1a844f93
58908 .xword 0x071152be7233a26f
58909 .xword 0xd2a64d3e6ebb9498
58910 .xword 0x53b4d332d5a2e88d
58911 .xword 0xc11a9be923f3a4c1
58912 .xword 0xefe932e6af41bf19
58913 .xword 0x382fc718369805b1
58914 .xword 0x0b5f7ab28cc6cc44
58915 .xword 0xd7738cc908a805cf
58916 .xword 0x16c2b3721dff2e71
58917 .xword 0x2879742e6035bd8f
58918 .xword 0xde53a7d873a645b0
58919 .xword 0x6b5d06b5f3c319c3
58920 .xword 0xe526b605fb2126e9
58921 .xword 0xc6c9f165bdfbec1d
58922 .xword 0xe4f3a6d0be7316a1
58923 .xword 0x6ddded3c800bd7fe
58924 .xword 0x7e3b4397d1945c29
58925 .xword 0xbf3013245cb891cb
58926 .xword 0x797040000759a927
58927 .xword 0x5702e4b8ca68b092
58928 .xword 0x3692bfa4c4c2ab55
58929 .xword 0x3fa9b01c5c72cfb6
58930 .xword 0x1f9ee5dcb949b398
58931 .xword 0x4901ec0f4019dca6
58932 .xword 0x7964f6b7d3e74c4f
58933 .xword 0x5a3266f2b244bbfd
58934 .xword 0x00d823146e12b623
58935 .xword 0x9f6fcc59c3e86fb8
58936 .xword 0x700235202521666a
58937 .xword 0x1ca1512cb4c7cad0
58938 .xword 0x03974fb5011cbebe
58939 .xword 0xdc16bff5a242d67e
58940 .xword 0xc0ac9eddb9c3dfce
58941 .xword 0x933eaf233eaace53
58942 .xword 0x2e65e7fb01151903
58943 .xword 0x0b1a5848d29dc159
58944 .xword 0x80bbe3eca1752d28
58945 .xword 0xd15a2992b75f3bd5
58946 .xword 0x36e6c16c114d4c3a
58947 .xword 0xbb991119e042b1a4
58948 .xword 0x2e9869a812bc4885
58949 .xword 0x97c2d66d22df2ed6
58950 .xword 0xa7dd23a4873ed983
58951 .xword 0x581026ca9b56611d
58952 .xword 0xe17305de17ca1214
58953 .xword 0x2a36ed9e73bb7696
58954 .xword 0xc2226f54cf1b4e01
58955 .xword 0x283725023aa1b62b
58956 .xword 0x61db3f682cef550f
58957 .xword 0x1c5a1ca1c509eb24
58958 .xword 0xb0ea4b3e07fbbc45
58959 .xword 0x306a849f89daabe5
58960 .xword 0x3604044c829a0959
58961 .xword 0xdbfce4b2a167ca92
58962 .xword 0x03eb9881a190c61a
58963 .xword 0xc441972623a61da2
58964 .xword 0x7860e1f90c80d824
58965 .xword 0x8a0803c3edb3518b
58966 .xword 0xa6d7c3836be9fa84
58967 .xword 0xa7f4ef7473a23090
58968 .xword 0x0b9b53ee82e1c21b
58969 .xword 0x1531b2dfc51b5cff
58970 .xword 0x7e3c143cabc74ccd
58971 .xword 0xbcc349f39cb4863d
58972 .xword 0x37a422b09e928773
58973 .xword 0x4bbcc3175ab1f28a
58974 .xword 0xb5f516657a76b038
58975 .xword 0x5e736f414af968c7
58976 .xword 0x7f4b95798eceb094
58977 .xword 0xff0bb5e29cb34276
58978 .xword 0xbd316b792eccf351
58979 .xword 0xbd25d9163031992d
58980 .xword 0x6320cb9dc5549ffa
58981 .xword 0x2b40b3f918187386
58982 .xword 0x2a6af76aaef1f7c0
58983 .xword 0xc39c029dd0207065
58984 .xword 0xe7170ef85189f515
58985 .xword 0x3a4793c4ab01b7c8
58986 .xword 0x9d465f9660f2657f
58987 .xword 0x936f53e976d61bd3
58988 .xword 0xd5d9867005203a4e
58989 .xword 0x2e183c4da275eee3
58990 .xword 0x68918e45e88692bc
58991 .xword 0xb901a80f045086c1
58992 .xword 0x54d8443ca3f10e16
58993 .xword 0xff2e602bc0c8c60c
58994 .xword 0x1e99eaca43972eb2
58995 .xword 0x986fcff412a4959d
58996 .xword 0x1c34fc23711c52e3
58997 .xword 0x55f1965975125484
58998 .xword 0xc75700306a538f3f
58999 .xword 0x2a720da4ee4295b0
59000 .xword 0x471a044a55408bd0
59001 .xword 0x74d58fc0a1421748
59002 .xword 0xc98e3c120db927f1
59003 .xword 0xcc202a116807ba60
59004 .xword 0x0f495d3e9c2f6a54
59005 .xword 0x6fb3fc82bfa25cb3
59006 .xword 0x0cba5babd9de0035
59007 .xword 0x46f28d4ff43797cd
59008 .xword 0x79a98366f4b60e0c
59009 .xword 0xd1572458f4cd71de
59010 .xword 0x4b07e4b53bb62822
59011 .xword 0x881f8c9fda1bb546
59012 .xword 0x231974b0a024aea3
59013 .xword 0x760a54bcda89a049
59014 .xword 0x35e73fc24664d586
59015 .xword 0xbffb214690fe5f10
59016 .xword 0x88f88e3a639728b9
59017 .xword 0xc9f6e09b4defe8a7
59018 .xword 0xf80c759a66d72345
59019 .xword 0x842cf6bd30862891
59020 .xword 0x2be5c24c5178be28
59021 .xword 0x7c6db56ccb224c0a
59022 .xword 0xd476c8890efd565c
59023 .xword 0xa91592849619aea2
59024 .xword 0xbbd9b9be356ac556
59025 .xword 0x49e51186a5b68094
59026 .xword 0x1a0a4a336849b79a
59027 .xword 0xa8836c8806eafe4e
59028 .xword 0x13411f0d631af28d
59029 .xword 0x0c7142c28af65cf3
59030 .xword 0x6a7f46df9a9900bd
59031 .xword 0x522a8db0e3f08ae8
59032 .xword 0x63449a1eb73107f1
59033 .xword 0x4ac511ac8f2b01ef
59034 .xword 0xbb273305e063ce72
59035 .xword 0x1abb2a36ccd5e938
59036 .xword 0x7e0eed970ff6d310
59037 .xword 0x1e5e9791bb917bc5
59038 .xword 0x0b9fd6bc281bc86b
59039 .xword 0xc76908597650357d
59040 .xword 0xf626e46cdc8bc665
59041 .xword 0x73942139bdc1b459
59042 .xword 0xaf9845545ecba773
59043 .xword 0xbe65390ee9cf9f17
59044 .xword 0x03db177b4e31a389
59045 .xword 0x81350460545c40e4
59046 .xword 0xd87edb840c9af2ae
59047 .xword 0xb159db2c7408b65b
59048 .xword 0x7bcef47e08f30d64
59049 .xword 0x83619feb64b9f69a
59050 .xword 0xee7003fb5870c6ab
59051 .xword 0xf5993b5e04f72bc6
59052 .xword 0x41195c3fb23df2c8
59053 .xword 0x4a292b668f7f5c11
59054 .xword 0xb9f94a80afcddd63
59055 .xword 0x9847f06b94d60b8e
59056 .xword 0x8d99560ebbc2d466
59057 .xword 0x7a4d35fe8e0083af
59058 .xword 0xf3a61fa1524ea39f
59059 .xword 0x213e0f2b2d30b704
59060 .xword 0xdb507c73b52ab82d
59061 .xword 0x12b9361557d91c02
59062 .xword 0x452a78122e23b6ef
59063 .xword 0xd0f07bb99c93b04f
59064 .xword 0xca6017a17826473f
59065 .xword 0x4da19d10998dbaf7
59066 .xword 0x96bba97f02017a2c
59067 .xword 0xcd9bc144af89aa93
59068 .xword 0x2ad4686d6e2e735a
59069 .xword 0x5a52562e60f9e967
59070 .xword 0x7c28ce5313f4b293
59071 .xword 0x481b0b1926062a24
59072 .xword 0xbdc0213feda7eded
59073 .xword 0x4622d6bdfcf2e4fb
59074 .xword 0x2ba723bcc470d8f1
59075 .xword 0x0c1817a831287515
59076 .xword 0xb90f2fed894e9097
59077 .xword 0xf70cac99bab748b3
59078 .xword 0x6d85479b4df76f7b
59079 .xword 0xe403881b18505388
59080 .xword 0x785022535f2710ea
59081 .xword 0x3e40ea3cc9dbdbe1
59082 .xword 0x3a07ac9c56f61482
59083 .xword 0x48aa6d2940c7e78d
59084 .xword 0xfa2f60901633f560
59085 .xword 0x63c00742c2986f4f
59086 .xword 0xf6e00a6cdaaa292f
59087 .xword 0xcbf5ccfad93cd507
59088 .xword 0x315db77c2d62bc96
59089 .xword 0xe0fa4681d6d83973
59090 .xword 0x0e990b7e79b0f708
59091 .xword 0xe2f0da3dfa67c0ff
59092 .xword 0x1e31da518f6d90a2
59093 .xword 0x2c53c63c39dbd130
59094 .xword 0x93409f0d5a1937f7
59095 .xword 0xcf72d0a5f895b9d1
59096 .xword 0x80d30ec66f8bbcd1
59097 .xword 0x2769646cf657b115
59098 .xword 0x7479860c7576c7c2
59099 .xword 0x942ff144bb1c5a55
59100 .xword 0x9182cbbf0cae16b2
59101 .xword 0x669f3f2a9798ce4e
59102 .xword 0x0c35276d96c8ea2b
59103 .xword 0x023958d9f7e7dc10
59104 .xword 0x01f21c7eb287fbf3
59105 .xword 0x2b895c5267abe89a
59106 .xword 0x8ffb6128c02582f6
59107 .xword 0xfdf1f2a212ff2667
59108 .xword 0x203ff7d829a10f76
59109 .xword 0xc198622d83037292
59110 .xword 0x911025ac2ed154ed
59111 .xword 0x644a4febefab308b
59112 .xword 0x8d7444c1a496423a
59113 .xword 0x7589379291bf1c41
59114 .xword 0xb0e2116ad6d4b172
59115 .xword 0x75db88cf0dfad258
59116 .xword 0x8ecfc00c2f1f0380
59117 .xword 0x57e4d182a07b94ff
59118 .xword 0x63164aff8d08e466
59119 .xword 0x1e168cf673ba710c
59120 .xword 0xe80e856b25a2fe7d
59121 .xword 0x4a4f66ab63d1ae8a
59122 .xword 0x50c13a0bbfc9bd89
59123 .xword 0x0875f3f616c60126
59124 .xword 0x7dc7c52ec0e3966f
59125 .xword 0x57649d65ee20f3c9
59126 .xword 0x58259e52625ae17e
59127 .xword 0xc1cae4d0df98da3a
59128 .xword 0x0abf78b83e4881b4
59129 .xword 0x3d62e9e79322792d
59130 .xword 0xf4f4ef3a0c8a3f37
59131 .xword 0xcfc94efea888a94c
59132 .xword 0xf88c34da37175153
59133 .xword 0xbc5dd50ab949baea
59134 .xword 0xd2e44b8d80c91a2f
59135 .xword 0x65b331699e98a89c
59136 .xword 0x746f2947f42c0b6c
59137 .xword 0x17bd9d87c1a1efc5
59138 .xword 0x6442cf5be51096fa
59139 .xword 0x7d95465e76dfd966
59140 .xword 0x75a500cda772e3e4
59141 .xword 0xc6116aef69674622
59142 .xword 0xb05c9ce16d294fe0
59143 .xword 0xe72fc79b7fb9bc1a
59144 .xword 0x3d734dd58d53e469
59145 .xword 0xb79da247e40ca9b0
59146 .xword 0x78e33e23ad29e1bf
59147 .xword 0xdd7b8745caf2386d
59148 .xword 0xce8b707900ee18ae
59149 .xword 0x8c33c4774636ca23
59150 .xword 0x902ec58c584e0bd8
59151 .xword 0x06b42ac4ca412e13
59152 .xword 0xe4e17406515ffa94
59153 .xword 0x8a471a47440a6238
59154 .xword 0xf6c4088afae1ba55
59155 .xword 0xb37185d3bd36b1f2
59156 .xword 0xbdbcd390006a9625
59157 .xword 0x4d2c51ac2cadb424
59158 .xword 0x3a45b7c1533927ab
59159 .xword 0xb1c488294b91d437
59160 .xword 0x33278e5666516ba2
59161_t1_des_dest:
59162 .xword 0xDEADBEEFDEADBEEF
59163 .xword 0xDEADBEEFDEADBEEF
59164 .xword 0xDEADBEEFDEADBEEF
59165 .xword 0xDEADBEEFDEADBEEF
59166 .xword 0xDEADBEEFDEADBEEF
59167 .xword 0xDEADBEEFDEADBEEF
59168 .xword 0xDEADBEEFDEADBEEF
59169 .xword 0xDEADBEEFDEADBEEF
59170 .xword 0xDEADBEEFDEADBEEF
59171 .xword 0xDEADBEEFDEADBEEF
59172 .xword 0xDEADBEEFDEADBEEF
59173 .xword 0xDEADBEEFDEADBEEF
59174 .xword 0xDEADBEEFDEADBEEF
59175 .xword 0xDEADBEEFDEADBEEF
59176 .xword 0xDEADBEEFDEADBEEF
59177 .xword 0xDEADBEEFDEADBEEF
59178 .xword 0xDEADBEEFDEADBEEF
59179 .xword 0xDEADBEEFDEADBEEF
59180 .xword 0xDEADBEEFDEADBEEF
59181 .xword 0xDEADBEEFDEADBEEF
59182 .xword 0xDEADBEEFDEADBEEF
59183 .xword 0xDEADBEEFDEADBEEF
59184 .xword 0xDEADBEEFDEADBEEF
59185 .xword 0xDEADBEEFDEADBEEF
59186 .xword 0xDEADBEEFDEADBEEF
59187 .xword 0xDEADBEEFDEADBEEF
59188 .xword 0xDEADBEEFDEADBEEF
59189 .xword 0xDEADBEEFDEADBEEF
59190 .xword 0xDEADBEEFDEADBEEF
59191 .xword 0xDEADBEEFDEADBEEF
59192 .xword 0xDEADBEEFDEADBEEF
59193 .xword 0xDEADBEEFDEADBEEF
59194 .xword 0xDEADBEEFDEADBEEF
59195 .xword 0xDEADBEEFDEADBEEF
59196 .xword 0xDEADBEEFDEADBEEF
59197 .xword 0xDEADBEEFDEADBEEF
59198 .xword 0xDEADBEEFDEADBEEF
59199 .xword 0xDEADBEEFDEADBEEF
59200 .xword 0xDEADBEEFDEADBEEF
59201 .xword 0xDEADBEEFDEADBEEF
59202 .xword 0xDEADBEEFDEADBEEF
59203 .xword 0xDEADBEEFDEADBEEF
59204 .xword 0xDEADBEEFDEADBEEF
59205 .xword 0xDEADBEEFDEADBEEF
59206 .xword 0xDEADBEEFDEADBEEF
59207 .xword 0xDEADBEEFDEADBEEF
59208 .xword 0xDEADBEEFDEADBEEF
59209 .xword 0xDEADBEEFDEADBEEF
59210 .xword 0xDEADBEEFDEADBEEF
59211 .xword 0xDEADBEEFDEADBEEF
59212 .xword 0xDEADBEEFDEADBEEF
59213 .xword 0xDEADBEEFDEADBEEF
59214 .xword 0xDEADBEEFDEADBEEF
59215 .xword 0xDEADBEEFDEADBEEF
59216 .xword 0xDEADBEEFDEADBEEF
59217 .xword 0xDEADBEEFDEADBEEF
59218 .xword 0xDEADBEEFDEADBEEF
59219 .xword 0xDEADBEEFDEADBEEF
59220 .xword 0xDEADBEEFDEADBEEF
59221 .xword 0xDEADBEEFDEADBEEF
59222 .xword 0xDEADBEEFDEADBEEF
59223 .xword 0xDEADBEEFDEADBEEF
59224 .xword 0xDEADBEEFDEADBEEF
59225 .xword 0xDEADBEEFDEADBEEF
59226 .xword 0xDEADBEEFDEADBEEF
59227 .xword 0xDEADBEEFDEADBEEF
59228 .xword 0xDEADBEEFDEADBEEF
59229 .xword 0xDEADBEEFDEADBEEF
59230 .xword 0xDEADBEEFDEADBEEF
59231 .xword 0xDEADBEEFDEADBEEF
59232 .xword 0xDEADBEEFDEADBEEF
59233 .xword 0xDEADBEEFDEADBEEF
59234 .xword 0xDEADBEEFDEADBEEF
59235 .xword 0xDEADBEEFDEADBEEF
59236 .xword 0xDEADBEEFDEADBEEF
59237 .xword 0xDEADBEEFDEADBEEF
59238 .xword 0xDEADBEEFDEADBEEF
59239 .xword 0xDEADBEEFDEADBEEF
59240 .xword 0xDEADBEEFDEADBEEF
59241 .xword 0xDEADBEEFDEADBEEF
59242 .xword 0xDEADBEEFDEADBEEF
59243 .xword 0xDEADBEEFDEADBEEF
59244 .xword 0xDEADBEEFDEADBEEF
59245 .xword 0xDEADBEEFDEADBEEF
59246 .xword 0xDEADBEEFDEADBEEF
59247 .xword 0xDEADBEEFDEADBEEF
59248 .xword 0xDEADBEEFDEADBEEF
59249 .xword 0xDEADBEEFDEADBEEF
59250 .xword 0xDEADBEEFDEADBEEF
59251 .xword 0xDEADBEEFDEADBEEF
59252 .xword 0xDEADBEEFDEADBEEF
59253 .xword 0xDEADBEEFDEADBEEF
59254 .xword 0xDEADBEEFDEADBEEF
59255 .xword 0xDEADBEEFDEADBEEF
59256 .xword 0xDEADBEEFDEADBEEF
59257 .xword 0xDEADBEEFDEADBEEF
59258 .xword 0xDEADBEEFDEADBEEF
59259 .xword 0xDEADBEEFDEADBEEF
59260 .xword 0xDEADBEEFDEADBEEF
59261 .xword 0xDEADBEEFDEADBEEF
59262 .xword 0xDEADBEEFDEADBEEF
59263 .xword 0xDEADBEEFDEADBEEF
59264 .xword 0xDEADBEEFDEADBEEF
59265 .xword 0xDEADBEEFDEADBEEF
59266 .xword 0xDEADBEEFDEADBEEF
59267 .xword 0xDEADBEEFDEADBEEF
59268 .xword 0xDEADBEEFDEADBEEF
59269 .xword 0xDEADBEEFDEADBEEF
59270 .xword 0xDEADBEEFDEADBEEF
59271 .xword 0xDEADBEEFDEADBEEF
59272 .xword 0xDEADBEEFDEADBEEF
59273 .xword 0xDEADBEEFDEADBEEF
59274 .xword 0xDEADBEEFDEADBEEF
59275 .xword 0xDEADBEEFDEADBEEF
59276 .xword 0xDEADBEEFDEADBEEF
59277 .xword 0xDEADBEEFDEADBEEF
59278 .xword 0xDEADBEEFDEADBEEF
59279 .xword 0xDEADBEEFDEADBEEF
59280 .xword 0xDEADBEEFDEADBEEF
59281 .xword 0xDEADBEEFDEADBEEF
59282 .xword 0xDEADBEEFDEADBEEF
59283 .xword 0xDEADBEEFDEADBEEF
59284 .xword 0xDEADBEEFDEADBEEF
59285 .xword 0xDEADBEEFDEADBEEF
59286 .xword 0xDEADBEEFDEADBEEF
59287 .xword 0xDEADBEEFDEADBEEF
59288 .xword 0xDEADBEEFDEADBEEF
59289 .xword 0xDEADBEEFDEADBEEF
59290 .xword 0xDEADBEEFDEADBEEF
59291 .xword 0xDEADBEEFDEADBEEF
59292 .xword 0xDEADBEEFDEADBEEF
59293 .xword 0xDEADBEEFDEADBEEF
59294 .xword 0xDEADBEEFDEADBEEF
59295 .xword 0xDEADBEEFDEADBEEF
59296 .xword 0xDEADBEEFDEADBEEF
59297 .xword 0xDEADBEEFDEADBEEF
59298 .xword 0xDEADBEEFDEADBEEF
59299 .xword 0xDEADBEEFDEADBEEF
59300 .xword 0xDEADBEEFDEADBEEF
59301 .xword 0xDEADBEEFDEADBEEF
59302 .xword 0xDEADBEEFDEADBEEF
59303 .xword 0xDEADBEEFDEADBEEF
59304 .xword 0xDEADBEEFDEADBEEF
59305 .xword 0xDEADBEEFDEADBEEF
59306 .xword 0xDEADBEEFDEADBEEF
59307 .xword 0xDEADBEEFDEADBEEF
59308 .xword 0xDEADBEEFDEADBEEF
59309 .xword 0xDEADBEEFDEADBEEF
59310 .xword 0xDEADBEEFDEADBEEF
59311 .xword 0xDEADBEEFDEADBEEF
59312 .xword 0xDEADBEEFDEADBEEF
59313 .xword 0xDEADBEEFDEADBEEF
59314 .xword 0xDEADBEEFDEADBEEF
59315 .xword 0xDEADBEEFDEADBEEF
59316 .xword 0xDEADBEEFDEADBEEF
59317 .xword 0xDEADBEEFDEADBEEF
59318 .xword 0xDEADBEEFDEADBEEF
59319 .xword 0xDEADBEEFDEADBEEF
59320 .xword 0xDEADBEEFDEADBEEF
59321 .xword 0xDEADBEEFDEADBEEF
59322 .xword 0xDEADBEEFDEADBEEF
59323 .xword 0xDEADBEEFDEADBEEF
59324 .xword 0xDEADBEEFDEADBEEF
59325 .xword 0xDEADBEEFDEADBEEF
59326 .xword 0xDEADBEEFDEADBEEF
59327 .xword 0xDEADBEEFDEADBEEF
59328 .xword 0xDEADBEEFDEADBEEF
59329 .xword 0xDEADBEEFDEADBEEF
59330 .xword 0xDEADBEEFDEADBEEF
59331 .xword 0xDEADBEEFDEADBEEF
59332 .xword 0xDEADBEEFDEADBEEF
59333 .xword 0xDEADBEEFDEADBEEF
59334 .xword 0xDEADBEEFDEADBEEF
59335 .xword 0xDEADBEEFDEADBEEF
59336 .xword 0xDEADBEEFDEADBEEF
59337 .xword 0xDEADBEEFDEADBEEF
59338 .xword 0xDEADBEEFDEADBEEF
59339 .xword 0xDEADBEEFDEADBEEF
59340 .xword 0xDEADBEEFDEADBEEF
59341 .xword 0xDEADBEEFDEADBEEF
59342 .xword 0xDEADBEEFDEADBEEF
59343 .xword 0xDEADBEEFDEADBEEF
59344 .xword 0xDEADBEEFDEADBEEF
59345 .xword 0xDEADBEEFDEADBEEF
59346 .xword 0xDEADBEEFDEADBEEF
59347 .xword 0xDEADBEEFDEADBEEF
59348 .xword 0xDEADBEEFDEADBEEF
59349 .xword 0xDEADBEEFDEADBEEF
59350 .xword 0xDEADBEEFDEADBEEF
59351 .xword 0xDEADBEEFDEADBEEF
59352 .xword 0xDEADBEEFDEADBEEF
59353 .xword 0xDEADBEEFDEADBEEF
59354 .xword 0xDEADBEEFDEADBEEF
59355 .xword 0xDEADBEEFDEADBEEF
59356 .xword 0xDEADBEEFDEADBEEF
59357 .xword 0xDEADBEEFDEADBEEF
59358 .xword 0xDEADBEEFDEADBEEF
59359 .xword 0xDEADBEEFDEADBEEF
59360 .xword 0xDEADBEEFDEADBEEF
59361 .xword 0xDEADBEEFDEADBEEF
59362 .xword 0xDEADBEEFDEADBEEF
59363 .xword 0xDEADBEEFDEADBEEF
59364 .xword 0xDEADBEEFDEADBEEF
59365 .xword 0xDEADBEEFDEADBEEF
59366 .xword 0xDEADBEEFDEADBEEF
59367 .xword 0xDEADBEEFDEADBEEF
59368 .xword 0xDEADBEEFDEADBEEF
59369 .xword 0xDEADBEEFDEADBEEF
59370 .xword 0xDEADBEEFDEADBEEF
59371 .xword 0xDEADBEEFDEADBEEF
59372 .xword 0xDEADBEEFDEADBEEF
59373 .xword 0xDEADBEEFDEADBEEF
59374 .xword 0xDEADBEEFDEADBEEF
59375 .xword 0xDEADBEEFDEADBEEF
59376 .xword 0xDEADBEEFDEADBEEF
59377 .xword 0xDEADBEEFDEADBEEF
59378 .xword 0xDEADBEEFDEADBEEF
59379 .xword 0xDEADBEEFDEADBEEF
59380 .xword 0xDEADBEEFDEADBEEF
59381 .xword 0xDEADBEEFDEADBEEF
59382 .xword 0xDEADBEEFDEADBEEF
59383 .xword 0xDEADBEEFDEADBEEF
59384 .xword 0xDEADBEEFDEADBEEF
59385 .xword 0xDEADBEEFDEADBEEF
59386 .xword 0xDEADBEEFDEADBEEF
59387 .xword 0xDEADBEEFDEADBEEF
59388 .xword 0xDEADBEEFDEADBEEF
59389 .xword 0xDEADBEEFDEADBEEF
59390 .xword 0xDEADBEEFDEADBEEF
59391 .xword 0xDEADBEEFDEADBEEF
59392 .xword 0xDEADBEEFDEADBEEF
59393 .xword 0xDEADBEEFDEADBEEF
59394 .xword 0xDEADBEEFDEADBEEF
59395 .xword 0xDEADBEEFDEADBEEF
59396 .xword 0xDEADBEEFDEADBEEF
59397 .xword 0xDEADBEEFDEADBEEF
59398 .xword 0xDEADBEEFDEADBEEF
59399 .xword 0xDEADBEEFDEADBEEF
59400 .xword 0xDEADBEEFDEADBEEF
59401 .xword 0xDEADBEEFDEADBEEF
59402 .xword 0xDEADBEEFDEADBEEF
59403 .xword 0xDEADBEEFDEADBEEF
59404 .xword 0xDEADBEEFDEADBEEF
59405 .xword 0xDEADBEEFDEADBEEF
59406 .xword 0xDEADBEEFDEADBEEF
59407 .xword 0xDEADBEEFDEADBEEF
59408 .xword 0xDEADBEEFDEADBEEF
59409 .xword 0xDEADBEEFDEADBEEF
59410 .xword 0xDEADBEEFDEADBEEF
59411 .xword 0xDEADBEEFDEADBEEF
59412 .xword 0xDEADBEEFDEADBEEF
59413 .xword 0xDEADBEEFDEADBEEF
59414 .xword 0xDEADBEEFDEADBEEF
59415 .xword 0xDEADBEEFDEADBEEF
59416 .xword 0xDEADBEEFDEADBEEF
59417 .xword 0xDEADBEEFDEADBEEF
59418 .xword 0xDEADBEEFDEADBEEF
59419 .xword 0xDEADBEEFDEADBEEF
59420 .xword 0xDEADBEEFDEADBEEF
59421 .xword 0xDEADBEEFDEADBEEF
59422 .xword 0xDEADBEEFDEADBEEF
59423 .xword 0xDEADBEEFDEADBEEF
59424 .xword 0xDEADBEEFDEADBEEF
59425 .xword 0xDEADBEEFDEADBEEF
59426 .xword 0xDEADBEEFDEADBEEF
59427 .xword 0xDEADBEEFDEADBEEF
59428 .xword 0xDEADBEEFDEADBEEF
59429 .xword 0xDEADBEEFDEADBEEF
59430 .xword 0xDEADBEEFDEADBEEF
59431 .xword 0xDEADBEEFDEADBEEF
59432 .xword 0xDEADBEEFDEADBEEF
59433 .xword 0xDEADBEEFDEADBEEF
59434 .xword 0xDEADBEEFDEADBEEF
59435 .xword 0xDEADBEEFDEADBEEF
59436 .xword 0xDEADBEEFDEADBEEF
59437_t1_des_auth_key:
59438 .xword 0x534c374f88111c0a
59439 .xword 0x66433c7fb67d7045
59440 .xword 0xe5b9d3ee43f571f9
59441 .xword 0xbb8a3b3682d37ef8
59442 .xword 0x95b80851ecedce5c
59443 .xword 0x928b5fdb4fc5f6a9
59444 .xword 0x5b44e8befa69eb25
59445 .xword 0x86580e99240d0040
59446 .xword 0x032feb61481dfc90
59447 .xword 0xe431a78b78bee04d
59448 .xword 0xbd010798503250de
59449 .xword 0x606746e125a0548a
59450 .xword 0x3deafa00b6f1bcb6
59451 .xword 0xb968cc334bb7f5b2
59452 .xword 0xbcdc84d0d67ae504
59453 .xword 0x6d112205ec282973
59454 .xword 0x6134dc30f1095743
59455 .xword 0x7529b984b9ee1632
59456 .xword 0xd699c0c7eca9be19
59457 .xword 0x2b0b983bcba5635f
59458 .xword 0xd0823ec319294cc1
59459 .xword 0xf79dd10a6791cd7a
59460 .xword 0x491264a9a4dc98ec
59461_t1_des_auth_iv:
59462 .xword 0x99370300371ca93d
59463 .xword 0xbe869bde3c11da81
59464 .xword 0x516adabbad73f11c
59465 .xword 0xad1457bd64e2329e
59466 .xword 0xcd7f06d7d74d3870
59467 .xword 0x8f0494662781acb6
59468 .xword 0x4f9b641f862d439e
59469 .xword 0x80743f044ce4d486
59470 .xword 0x0b2952bf2afbe4f7
59471 .xword 0x694efd7f830383cc
59472 .xword 0x64244505c069c984
59473 .xword 0xe9879879e556c14d
59474 .xword 0x32ee72e412d3d117
59475 .xword 0x04019c88045cfee9
59476 .xword 0x2e921d98e8186a10
59477 .xword 0x8474e66993f15ac2
59478 .xword 0x9663283afb97eb41
59479 .xword 0x39a665fe5566805d
59480 .xword 0x074652d27510a6fa
59481 .xword 0xf5e7d53f2aa61fa3
59482 .xword 0x06ed81cf2a4cb94a
59483 .xword 0x00090907527b4346
59484 .xword 0x66fc72f67861fdf7
59485_t1_des_fas_result:
59486 .xword 0xDEADBEEFDEADBEEF
59487 .xword 0xDEADBEEFDEADBEEF
59488 .xword 0xDEADBEEFDEADBEEF
59489 .xword 0xDEADBEEFDEADBEEF
59490 .xword 0xDEADBEEFDEADBEEF
59491 .xword 0xDEADBEEFDEADBEEF
59492 .xword 0xDEADBEEFDEADBEEF
59493 .xword 0xDEADBEEFDEADBEEF
59494 .xword 0xDEADBEEFDEADBEEF
59495 .xword 0xDEADBEEFDEADBEEF
59496 .xword 0xDEADBEEFDEADBEEF
59497 .xword 0xDEADBEEFDEADBEEF
59498 .xword 0xDEADBEEFDEADBEEF
59499 .xword 0xDEADBEEFDEADBEEF
59500 .xword 0xDEADBEEFDEADBEEF
59501 .xword 0xDEADBEEFDEADBEEF
59502 .xword 0xDEADBEEFDEADBEEF
59503 .xword 0xDEADBEEFDEADBEEF
59504 .xword 0xDEADBEEFDEADBEEF
59505 .xword 0xDEADBEEFDEADBEEF
59506 .xword 0xDEADBEEFDEADBEEF
59507 .xword 0xDEADBEEFDEADBEEF
59508 .xword 0xDEADBEEFDEADBEEF
59509_t1_copy_key_array:
59510 .xword 0x040bdd7c54898574
59511 .xword 0x243aef91c795237d
59512 .xword 0xd56efa6fe78d0b35
59513 .xword 0xdb709b4ebece5265
59514 .xword 0xd7bc87ec5d744a69
59515 .xword 0x03fce5f7a54fe0f5
59516 .xword 0x552352c1ce6145bf
59517 .xword 0x26a078fe5f348dc9
59518 .xword 0xd85617a4674ee697
59519 .xword 0x8e264d28259a2e22
59520 .xword 0x4e32f0c1d4253904
59521 .xword 0x24135f09f6164fa6
59522 .xword 0xd6b3f2f1dc019f04
59523 .xword 0xb18e55c2133df374
59524 .xword 0x9200678666d902de
59525 .xword 0x08768f27242a6d06
59526 .xword 0x57d99e39e1481bb4
59527 .xword 0xf1a8a9d155490bfd
59528 .xword 0xadd9ad7f6db5545f
59529 .xword 0xd7045c3b18037b87
59530 .xword 0x7129ebd8ff78b882
59531 .xword 0xae8c8ddbf3a19fe9
59532 .xword 0xa679554567f97a14
59533 .xword 0x3c78ac8b9af16e3d
59534 .xword 0x6986dd05501afb25
59535 .xword 0x1c64f420c0c066ce
59536 .xword 0x71677ea305c4f4f2
59537 .xword 0x33905c0c440432e5
59538 .xword 0x87f4309dc0f1c8f1
59539 .xword 0x413e279c9211becf
59540 .xword 0x8eb13305da9b9b73
59541 .xword 0x08f23d62dd6a5892
59542 .xword 0xd0bfdc6857df650d
59543 .xword 0x9554c34734324e10
59544 .xword 0x9d7ebf2307587f4f
59545 .xword 0x8093f380e050109c
59546 .xword 0xe1b7f4ddc073740b
59547 .xword 0x01f0ad40d141521a
59548 .xword 0xdb4acfdc26830323
59549 .xword 0x8b8dd1be2fa9532e
59550 .xword 0xa93862a877ed1d88
59551 .xword 0xc19331ac91f4f1b9
59552 .xword 0x22b4e93a56b443cf
59553 .xword 0x9ec5dd8755b07fe8
59554 .xword 0xedabb50b3e1b5256
59555 .xword 0x3b963097489d92de
59556 .xword 0x98c4ee4083a3a147
59557 .xword 0xecb4cee93918ef88
59558 .xword 0xf29b9879a7ae5f9d
59559 .xword 0xb152ae4e9e00aff3
59560 .xword 0x4072c04d0c25bb77
59561_t1_copy_iv_array:
59562 .xword 0x27636d9418177245
59563 .xword 0x674121b61759afe2
59564 .xword 0xf66147813580bd60
59565 .xword 0xb4d2e39f946ec5e2
59566 .xword 0xe1993d6eebf7bb5f
59567 .xword 0x676f29e0f8701d69
59568 .xword 0xedf4bb5ca6332e75
59569 .xword 0x95672c91b03f86eb
59570 .xword 0x6d3cb695c9ac29ad
59571 .xword 0x22c9546092a85610
59572 .xword 0x600810718372e817
59573 .xword 0x3d4780ee6709aee5
59574 .xword 0xb68b734fd3f8d9f8
59575 .xword 0x3c7091389f7d2fdc
59576 .xword 0xa28849533b20139f
59577 .xword 0x1e851315b68a6905
59578 .xword 0xe533fd0c61289398
59579 .xword 0xd49622aafeb5f12d
59580 .xword 0x72a93c6fac9a1434
59581 .xword 0x46360865e5630528
59582 .xword 0x121c28c753cb7e89
59583 .xword 0xc60c7c1bf82b9acf
59584 .xword 0x2189a4b0853de8cf
59585 .xword 0x13d96f0d61c863c2
59586 .xword 0xec42f5497d56b061
59587 .xword 0xba5af7af69bd6da6
59588 .xword 0x82ab5a508a753875
59589 .xword 0xa403ad59074c058e
59590 .xword 0x52eed6ee48dc9158
59591 .xword 0x20791ae4b58101f8
59592 .xword 0xd793c25a444de1f0
59593 .xword 0xd353f3f8a72e51ff
59594 .xword 0xc09ac77d286807ff
59595 .xword 0xb9a047f2686518af
59596 .xword 0x1195371e55124e86
59597 .xword 0x25cca78319c9c97a
59598 .xword 0x507ffdf7ddce3527
59599 .xword 0x82a7a909070e39f8
59600 .xword 0xe25de54bd1ab0db0
59601 .xword 0xc6963def006c09a4
59602 .xword 0x155699a23b8922ee
59603 .xword 0x9c88e27792697f57
59604 .xword 0xa6c392e38c710bc3
59605 .xword 0x9ca3d639298d8584
59606 .xword 0x298c4e55c6d4513d
59607_t1_copy_alignment_array:
59608 .xword 0
59609 .xword 2
59610 .xword 4
59611 .xword 6
59612 .xword 6
59613 .xword 1
59614 .xword 4
59615 .xword 9
59616 .xword 0
59617 .xword 1
59618 .xword 8
59619 .xword 0
59620 .xword 6
59621 .xword 14
59622 .xword 3
59623 .xword 12
59624 .xword 5
59625 .xword 1
59626 .xword 10
59627 .xword 7
59628 .xword 14
59629 .xword 2
59630 .xword 3
59631 .xword 0
59632 .xword 3
59633 .xword 11
59634 .xword 12
59635 .xword 9
59636 .xword 1
59637 .xword 9
59638 .xword 13
59639 .xword 10
59640 .xword 15
59641 .xword 4
59642 .xword 3
59643 .xword 3
59644 .xword 0
59645 .xword 8
59646 .xword 9
59647 .xword 11
59648 .xword 3
59649 .xword 9
59650 .xword 4
59651 .xword 7
59652 .xword 7
59653 .xword 9
59654 .xword 8
59655 .xword 12
59656 .xword 9
59657 .xword 4
59658 .xword 1
59659 .xword 8
59660 .xword 13
59661 .xword 0
59662 .xword 10
59663 .xword 11
59664 .xword 12
59665 .xword 2
59666 .xword 4
59667 .xword 12
59668 .xword 1
59669 .xword 15
59670 .xword 2
59671 .xword 2
59672 .xword 2
59673 .xword 12
59674 .xword 13
59675 .xword 5
59676 .xword 10
59677 .xword 15
59678 .xword 10
59679 .xword 15
59680 .xword 4
59681 .xword 5
59682 .xword 4
59683 .xword 14
59684 .xword 5
59685 .xword 3
59686 .xword 5
59687 .xword 1
59688 .xword 4
59689 .xword 8
59690 .xword 9
59691 .xword 12
59692 .xword 14
59693 .xword 11
59694 .xword 1
59695 .xword 6
59696 .xword 9
59697 .xword 10
59698 .xword 0
59699 .xword 4
59700 .xword 1
59701 .xword 12
59702 .xword 12
59703 .xword 6
59704 .xword 12
59705 .xword 2
59706 .xword 9
59707 .xword 5
59708 .xword 4
59709 .xword 8
59710 .xword 11
59711 .xword 1
59712 .xword 5
59713_t1_copy_src:
59714 .xword 0x40b0fcc3fe0b423c
59715 .xword 0x455d2a156f822f07
59716 .xword 0xfd5fb365ca508b09
59717 .xword 0x6d8757d9a09c1e00
59718 .xword 0xa9485c930ec476bf
59719 .xword 0x4515bdab60cba4c7
59720 .xword 0x00aa3f5bc564eec1
59721 .xword 0xd955f2ad01ed3d3d
59722 .xword 0xdd2fe012eb2d6660
59723 .xword 0x83110ba6831dea0e
59724 .xword 0x68276e426b9d0d39
59725 .xword 0x73681fc91ff216a5
59726 .xword 0x6e4bc7dc588e241a
59727 .xword 0x0debb4496170d01b
59728 .xword 0x4f96a2294ee8adac
59729 .xword 0xc6294963bf9ca29d
59730 .xword 0x70d75b3d8328bdf9
59731 .xword 0xd2fc81524108ecc2
59732 .xword 0x87ede1712e702162
59733 .xword 0xe3184721d1422401
59734 .xword 0x3893ff35ac5be0cb
59735 .xword 0x4bf7ebb51fa3cb63
59736 .xword 0xb408d04ba873edf4
59737 .xword 0x989557654d451a9f
59738 .xword 0x043a8c1e576bf1e2
59739 .xword 0xc6428adeba93522f
59740 .xword 0x918db25f2485eb8b
59741 .xword 0x9803e073ab04148e
59742 .xword 0xdd720f02bff18cd3
59743 .xword 0x03f1f5e3e5c60254
59744 .xword 0x96f01facf8a1692c
59745 .xword 0xed15bf83492750b3
59746 .xword 0x07090cb2ae32a632
59747 .xword 0xb57231ac4798b864
59748 .xword 0x9e59a06a86256642
59749 .xword 0x11738e90f314e793
59750 .xword 0x80c07fd9cbd6db3b
59751 .xword 0xe49f10bcc53efd43
59752 .xword 0xb425f1b7d1e107c2
59753 .xword 0xbf4387f5c560f231
59754 .xword 0x12e6d6c9222d5b39
59755 .xword 0x6261a6864a321e65
59756 .xword 0x60c86cd99534cb02
59757 .xword 0x8ec0de9e447b1f4f
59758 .xword 0x7c209ffe030d2dc1
59759 .xword 0x2eca901102d2f386
59760 .xword 0xd3994423a5a8b11d
59761 .xword 0x960bc8d2f6c9b772
59762 .xword 0x8a41522d63c4dfac
59763 .xword 0xcdef3fddc9754d78
59764 .xword 0x16bf42f67c91775e
59765 .xword 0x3b83d3b9f49cdaf6
59766 .xword 0xde165b8dda08169b
59767 .xword 0x3ffd2e68be7c6a07
59768 .xword 0x2f94891cd73495dd
59769 .xword 0x85ebab6f6954c038
59770 .xword 0xc68bf795c0674db3
59771 .xword 0xd158c0e3e7286dae
59772 .xword 0x95688553d8d57ab5
59773 .xword 0xa9236752a587fda4
59774 .xword 0xccdd647c4a5015ec
59775 .xword 0xda9884d6ea3eef4c
59776 .xword 0x7242e82f3a5085db
59777 .xword 0xa4c53c40798bba45
59778 .xword 0xa7277f078b427cd0
59779 .xword 0x2030c806efd6a6eb
59780 .xword 0x0d52401573624d32
59781 .xword 0xaf5909ba715f164f
59782 .xword 0x3165b77b89d5fcfd
59783 .xword 0x77d5056af5bfe59a
59784 .xword 0x9366652d3d57ddb5
59785 .xword 0x9cccaae2b7e4690a
59786 .xword 0x43a0c40bdf57e1a1
59787 .xword 0x72a2cbd728fc8040
59788 .xword 0xf88faf01257ff254
59789 .xword 0xf72f059007bf925a
59790 .xword 0xd7a5dd944d6eae03
59791 .xword 0xcbabdba6bdf9a491
59792 .xword 0x8331e1546ecb6e03
59793 .xword 0x7eb05029ca125ee2
59794 .xword 0xd9d3dd58c46968ae
59795 .xword 0x2c6ed474bc5abe73
59796 .xword 0x1d9780e7f420ca6c
59797 .xword 0xea94953054ce5272
59798 .xword 0x7803dbe0ce9b089e
59799 .xword 0x9001c06badc77627
59800 .xword 0x9fd172881aecc265
59801 .xword 0x34f3bb2306dcba6a
59802 .xword 0x84d2d7956d6ba473
59803 .xword 0x38ccc63f18e72105
59804 .xword 0x6b2a13c1abf3f8bf
59805 .xword 0x36fa557091eec818
59806 .xword 0x4eacbd9ee17ebac4
59807 .xword 0xd5d5a18633659e43
59808 .xword 0x42b7bcc4e7b866ac
59809 .xword 0xe9511455ee1c3efc
59810 .xword 0xb13ecdd84d57322c
59811 .xword 0x23a50785c923f8bf
59812 .xword 0x870c82a2bd010c09
59813 .xword 0x8fd9e34dbbbade73
59814 .xword 0x8b2162f0d5ecd375
59815 .xword 0xaf744807e609700a
59816 .xword 0x5b80e2b57b8755bf
59817 .xword 0xe821eb0f6eaee718
59818 .xword 0x90553a81900b929f
59819 .xword 0x13add2e86495ef89
59820 .xword 0x7bdbb417550728c6
59821 .xword 0xeb722ec51a1ced36
59822 .xword 0x08b21d3f8cb7406e
59823 .xword 0x3c93225b0fb6e546
59824 .xword 0xefa918afd9ecf9d6
59825 .xword 0xea86dafba30db247
59826 .xword 0x4d531b977f4bc7e8
59827 .xword 0x27c2423736db5d29
59828 .xword 0xc68e74f59e42fd2d
59829 .xword 0x7d5c8cf6a96902be
59830 .xword 0x3cdac1e63bea791c
59831 .xword 0xea988ab620542db9
59832 .xword 0xa2c7dd1c6b59530b
59833 .xword 0x3271317cbff18317
59834 .xword 0xa4f6107d69f2b6f7
59835 .xword 0xb2710546b3bdeaba
59836 .xword 0x9fe263b3c54bcbca
59837 .xword 0x40d913e18e9b9b88
59838 .xword 0x22961bd60ba4f6aa
59839 .xword 0xcb776b43725ad73e
59840 .xword 0xfaef2e388b5149f8
59841 .xword 0x8f7ed0f81f0bf868
59842 .xword 0x859bab5f34d3da2c
59843 .xword 0xaef7b63f0ada03d2
59844 .xword 0x87dcd9e7caccabb1
59845 .xword 0x4f6070c022bd61f4
59846 .xword 0xd644e06a64258c94
59847 .xword 0x2f0d335d7d227517
59848 .xword 0x36689ea6a225e682
59849 .xword 0xed0b03f50b19153a
59850 .xword 0x92fd5fa43717f835
59851 .xword 0xd4992071dc23c5a6
59852 .xword 0x2733f6263e129058
59853 .xword 0x1ee9c487624a5b36
59854 .xword 0x49c57b72d461b578
59855 .xword 0xc8a5debf334f4dc7
59856 .xword 0x83ad0a6a360df0e9
59857 .xword 0x6ae33b3374b672ee
59858 .xword 0x8017cfe440b50811
59859 .xword 0x8eef3c0eab35caaa
59860 .xword 0xfa4b6232b75252e4
59861 .xword 0x96d3e37f80f8fd18
59862 .xword 0x4eeb997ae3874115
59863 .xword 0x826869c7930b174f
59864 .xword 0x41eb6fb1250f3701
59865 .xword 0xb0ea3b1e8dcf91ee
59866 .xword 0x8b62851a096567d4
59867 .xword 0x6d177b0e2a6c1d26
59868 .xword 0x5c5c029b2f76b57a
59869 .xword 0x15a95e626f93391b
59870 .xword 0x187e67dc5833cf60
59871 .xword 0x78ac3d028b819d0d
59872 .xword 0x7bc1dc6c563e291c
59873 .xword 0x2551aa46bd71dc0f
59874 .xword 0xb78c4969b154d8d7
59875 .xword 0x5888e7af7344fc3c
59876 .xword 0xdb87af5e8b4cfec8
59877 .xword 0xec022759ac18adf4
59878 .xword 0xa096566f9092f652
59879 .xword 0x52b6034c963df404
59880 .xword 0x35d0375aad894f04
59881 .xword 0x32b7a9d13650dee3
59882 .xword 0x8826e2dd2db75ce4
59883 .xword 0x42627ea454c12e40
59884 .xword 0x27e7d71466c6941c
59885 .xword 0x3c06410079b742ab
59886 .xword 0xf21d0dbaf3c23e46
59887 .xword 0xdec12e18c6a2dfb9
59888 .xword 0xff482956c1f142b5
59889 .xword 0x98eacb5f28ba76b3
59890 .xword 0x49bfbcc00892c2f4
59891 .xword 0xf4edc684c9bc0660
59892 .xword 0xd383de8f058e5ada
59893 .xword 0x5c5253efc2c694be
59894 .xword 0x7d4009052412682e
59895 .xword 0xe9549aa2f65055cd
59896 .xword 0x0217332edb2a3f44
59897 .xword 0x146afd2153c94b37
59898 .xword 0xd743991d383f386c
59899 .xword 0x66409f82bca84fe7
59900 .xword 0x9b08d1c072715906
59901 .xword 0x6b8a00248143316e
59902 .xword 0x7fdcbf86232eb863
59903 .xword 0xda8e812bfa14ad95
59904 .xword 0x549929de7b1cbe5e
59905 .xword 0x138c655fb7b772c9
59906 .xword 0x2a55331ed7bc2212
59907 .xword 0xb084b1d78d9663ec
59908 .xword 0x5283dffd08231851
59909 .xword 0x4c5df58e9dbda55e
59910 .xword 0xbe32eb2a50b1ba3a
59911 .xword 0x2ad3c42b889126df
59912 .xword 0xf86dfacf12077872
59913 .xword 0x6f340dce263eeb78
59914 .xword 0x3236bbdaf61703d4
59915 .xword 0xd7c1ab58a581816a
59916 .xword 0xe0ca7420da65900b
59917 .xword 0x3f68c8346322c0bf
59918 .xword 0x2be502bfabd7be4a
59919 .xword 0x1cbb413e448d1a36
59920 .xword 0x413d2927445aee21
59921 .xword 0xd9b7612d8b6b8505
59922 .xword 0x81f01fa070fba381
59923 .xword 0x1e0a6e6ee9a79453
59924 .xword 0xaf02fc45fc3f1556
59925 .xword 0xaa99dac0510bdec4
59926 .xword 0x24bb5290ef4e56cb
59927 .xword 0x69c92be6231b688a
59928 .xword 0x573954970665e916
59929 .xword 0x52f7983a22f8aac2
59930 .xword 0x1812f5b8424050bd
59931 .xword 0x074460a81c62c330
59932 .xword 0x0721d7848c591680
59933 .xword 0x42db0399b4b7ad7b
59934 .xword 0x5af1ee95be94104a
59935 .xword 0xec795bd3a5b7b6b6
59936 .xword 0x4f41366b55af6e1b
59937 .xword 0x4ec701e3807b3fdb
59938 .xword 0x836d7b767e1527dc
59939 .xword 0x3e8d626edc69e0a7
59940 .xword 0x8cd7e0c1960d3dc2
59941 .xword 0x39f28089ff9eafdb
59942 .xword 0x63d7cdd6763b6a71
59943 .xword 0x5bf8328f17c15427
59944 .xword 0x00c72562226c0486
59945 .xword 0x5add1466f35a0cdd
59946 .xword 0x02adf292950a1ee3
59947 .xword 0xa6adfd8a26563da5
59948 .xword 0xb0ab1c25b18d136f
59949 .xword 0x2ba8d75e7b97b751
59950 .xword 0xd5dd79a23418599f
59951 .xword 0xc3ab719c743cfebd
59952 .xword 0xc50d07da2b40e261
59953 .xword 0xbaf8e0f8e8325e12
59954 .xword 0xb754d4fcb50c0aa6
59955 .xword 0x4eabf660c913e6de
59956 .xword 0xc2e3a7fb547085bd
59957 .xword 0x8d321d594bc4213a
59958 .xword 0x470096671a2bb626
59959 .xword 0x5f729ee3c6b95889
59960 .xword 0x654261a05bdf5655
59961 .xword 0x3427483b89396bf5
59962 .xword 0xf9272cef655b5f0c
59963 .xword 0xc38e158fe1934016
59964 .xword 0xda0002aed8abd22b
59965 .xword 0xa98e30df8363cc13
59966 .xword 0xd9c1f5e89238ed8d
59967 .xword 0x18bed27056c7af94
59968 .xword 0x9e250dcb8642984c
59969 .xword 0x8c27a72f900d0840
59970 .xword 0xffd548316b73fe0a
59971 .xword 0x00766bdf28ee0744
59972 .xword 0x0fab7790c6e27ddd
59973 .xword 0x8c935b49a0dbc293
59974 .xword 0x66b8cec8a04619f5
59975 .xword 0xd3b212ed7a8eaf71
59976 .xword 0xd2c725233bdccf3f
59977 .xword 0xc255a094b3c102e2
59978 .xword 0xc06083ac8311773d
59979 .xword 0x1791a5c6470a98f6
59980 .xword 0x79c3ede032ed32d3
59981 .xword 0x6aedbebdc0eb6034
59982 .xword 0x710781490a5db9cc
59983 .xword 0x11597efe1a132ab9
59984 .xword 0xd3efcf8c5ffd5d79
59985 .xword 0x9316886e3cde7a90
59986 .xword 0xd682b4b677684acf
59987 .xword 0x8e9d2c534f3ad1d6
59988 .xword 0x525e3811e94f5fe6
59989_t1_copy_dest:
59990 .xword 0xDEADBEEFDEADBEEF
59991 .xword 0xDEADBEEFDEADBEEF
59992 .xword 0xDEADBEEFDEADBEEF
59993 .xword 0xDEADBEEFDEADBEEF
59994 .xword 0xDEADBEEFDEADBEEF
59995 .xword 0xDEADBEEFDEADBEEF
59996 .xword 0xDEADBEEFDEADBEEF
59997 .xword 0xDEADBEEFDEADBEEF
59998 .xword 0xDEADBEEFDEADBEEF
59999 .xword 0xDEADBEEFDEADBEEF
60000 .xword 0xDEADBEEFDEADBEEF
60001 .xword 0xDEADBEEFDEADBEEF
60002 .xword 0xDEADBEEFDEADBEEF
60003 .xword 0xDEADBEEFDEADBEEF
60004 .xword 0xDEADBEEFDEADBEEF
60005 .xword 0xDEADBEEFDEADBEEF
60006 .xword 0xDEADBEEFDEADBEEF
60007 .xword 0xDEADBEEFDEADBEEF
60008 .xword 0xDEADBEEFDEADBEEF
60009 .xword 0xDEADBEEFDEADBEEF
60010 .xword 0xDEADBEEFDEADBEEF
60011 .xword 0xDEADBEEFDEADBEEF
60012 .xword 0xDEADBEEFDEADBEEF
60013 .xword 0xDEADBEEFDEADBEEF
60014 .xword 0xDEADBEEFDEADBEEF
60015 .xword 0xDEADBEEFDEADBEEF
60016 .xword 0xDEADBEEFDEADBEEF
60017 .xword 0xDEADBEEFDEADBEEF
60018 .xword 0xDEADBEEFDEADBEEF
60019 .xword 0xDEADBEEFDEADBEEF
60020 .xword 0xDEADBEEFDEADBEEF
60021 .xword 0xDEADBEEFDEADBEEF
60022 .xword 0xDEADBEEFDEADBEEF
60023 .xword 0xDEADBEEFDEADBEEF
60024 .xword 0xDEADBEEFDEADBEEF
60025 .xword 0xDEADBEEFDEADBEEF
60026 .xword 0xDEADBEEFDEADBEEF
60027 .xword 0xDEADBEEFDEADBEEF
60028 .xword 0xDEADBEEFDEADBEEF
60029 .xword 0xDEADBEEFDEADBEEF
60030 .xword 0xDEADBEEFDEADBEEF
60031 .xword 0xDEADBEEFDEADBEEF
60032 .xword 0xDEADBEEFDEADBEEF
60033 .xword 0xDEADBEEFDEADBEEF
60034 .xword 0xDEADBEEFDEADBEEF
60035 .xword 0xDEADBEEFDEADBEEF
60036 .xword 0xDEADBEEFDEADBEEF
60037 .xword 0xDEADBEEFDEADBEEF
60038 .xword 0xDEADBEEFDEADBEEF
60039 .xword 0xDEADBEEFDEADBEEF
60040 .xword 0xDEADBEEFDEADBEEF
60041 .xword 0xDEADBEEFDEADBEEF
60042 .xword 0xDEADBEEFDEADBEEF
60043 .xword 0xDEADBEEFDEADBEEF
60044 .xword 0xDEADBEEFDEADBEEF
60045 .xword 0xDEADBEEFDEADBEEF
60046 .xword 0xDEADBEEFDEADBEEF
60047 .xword 0xDEADBEEFDEADBEEF
60048 .xword 0xDEADBEEFDEADBEEF
60049 .xword 0xDEADBEEFDEADBEEF
60050 .xword 0xDEADBEEFDEADBEEF
60051 .xword 0xDEADBEEFDEADBEEF
60052 .xword 0xDEADBEEFDEADBEEF
60053 .xword 0xDEADBEEFDEADBEEF
60054 .xword 0xDEADBEEFDEADBEEF
60055 .xword 0xDEADBEEFDEADBEEF
60056 .xword 0xDEADBEEFDEADBEEF
60057 .xword 0xDEADBEEFDEADBEEF
60058 .xword 0xDEADBEEFDEADBEEF
60059 .xword 0xDEADBEEFDEADBEEF
60060 .xword 0xDEADBEEFDEADBEEF
60061 .xword 0xDEADBEEFDEADBEEF
60062 .xword 0xDEADBEEFDEADBEEF
60063 .xword 0xDEADBEEFDEADBEEF
60064 .xword 0xDEADBEEFDEADBEEF
60065 .xword 0xDEADBEEFDEADBEEF
60066 .xword 0xDEADBEEFDEADBEEF
60067 .xword 0xDEADBEEFDEADBEEF
60068 .xword 0xDEADBEEFDEADBEEF
60069 .xword 0xDEADBEEFDEADBEEF
60070 .xword 0xDEADBEEFDEADBEEF
60071 .xword 0xDEADBEEFDEADBEEF
60072 .xword 0xDEADBEEFDEADBEEF
60073 .xword 0xDEADBEEFDEADBEEF
60074 .xword 0xDEADBEEFDEADBEEF
60075 .xword 0xDEADBEEFDEADBEEF
60076 .xword 0xDEADBEEFDEADBEEF
60077 .xword 0xDEADBEEFDEADBEEF
60078 .xword 0xDEADBEEFDEADBEEF
60079 .xword 0xDEADBEEFDEADBEEF
60080 .xword 0xDEADBEEFDEADBEEF
60081 .xword 0xDEADBEEFDEADBEEF
60082 .xword 0xDEADBEEFDEADBEEF
60083 .xword 0xDEADBEEFDEADBEEF
60084 .xword 0xDEADBEEFDEADBEEF
60085 .xword 0xDEADBEEFDEADBEEF
60086 .xword 0xDEADBEEFDEADBEEF
60087 .xword 0xDEADBEEFDEADBEEF
60088 .xword 0xDEADBEEFDEADBEEF
60089 .xword 0xDEADBEEFDEADBEEF
60090 .xword 0xDEADBEEFDEADBEEF
60091 .xword 0xDEADBEEFDEADBEEF
60092 .xword 0xDEADBEEFDEADBEEF
60093 .xword 0xDEADBEEFDEADBEEF
60094 .xword 0xDEADBEEFDEADBEEF
60095 .xword 0xDEADBEEFDEADBEEF
60096 .xword 0xDEADBEEFDEADBEEF
60097 .xword 0xDEADBEEFDEADBEEF
60098 .xword 0xDEADBEEFDEADBEEF
60099 .xword 0xDEADBEEFDEADBEEF
60100 .xword 0xDEADBEEFDEADBEEF
60101 .xword 0xDEADBEEFDEADBEEF
60102 .xword 0xDEADBEEFDEADBEEF
60103 .xword 0xDEADBEEFDEADBEEF
60104 .xword 0xDEADBEEFDEADBEEF
60105 .xword 0xDEADBEEFDEADBEEF
60106 .xword 0xDEADBEEFDEADBEEF
60107 .xword 0xDEADBEEFDEADBEEF
60108 .xword 0xDEADBEEFDEADBEEF
60109 .xword 0xDEADBEEFDEADBEEF
60110 .xword 0xDEADBEEFDEADBEEF
60111 .xword 0xDEADBEEFDEADBEEF
60112 .xword 0xDEADBEEFDEADBEEF
60113 .xword 0xDEADBEEFDEADBEEF
60114 .xword 0xDEADBEEFDEADBEEF
60115 .xword 0xDEADBEEFDEADBEEF
60116 .xword 0xDEADBEEFDEADBEEF
60117 .xword 0xDEADBEEFDEADBEEF
60118 .xword 0xDEADBEEFDEADBEEF
60119 .xword 0xDEADBEEFDEADBEEF
60120 .xword 0xDEADBEEFDEADBEEF
60121 .xword 0xDEADBEEFDEADBEEF
60122 .xword 0xDEADBEEFDEADBEEF
60123 .xword 0xDEADBEEFDEADBEEF
60124 .xword 0xDEADBEEFDEADBEEF
60125 .xword 0xDEADBEEFDEADBEEF
60126 .xword 0xDEADBEEFDEADBEEF
60127 .xword 0xDEADBEEFDEADBEEF
60128 .xword 0xDEADBEEFDEADBEEF
60129 .xword 0xDEADBEEFDEADBEEF
60130 .xword 0xDEADBEEFDEADBEEF
60131 .xword 0xDEADBEEFDEADBEEF
60132 .xword 0xDEADBEEFDEADBEEF
60133 .xword 0xDEADBEEFDEADBEEF
60134 .xword 0xDEADBEEFDEADBEEF
60135 .xword 0xDEADBEEFDEADBEEF
60136 .xword 0xDEADBEEFDEADBEEF
60137 .xword 0xDEADBEEFDEADBEEF
60138 .xword 0xDEADBEEFDEADBEEF
60139 .xword 0xDEADBEEFDEADBEEF
60140 .xword 0xDEADBEEFDEADBEEF
60141 .xword 0xDEADBEEFDEADBEEF
60142 .xword 0xDEADBEEFDEADBEEF
60143 .xword 0xDEADBEEFDEADBEEF
60144 .xword 0xDEADBEEFDEADBEEF
60145 .xword 0xDEADBEEFDEADBEEF
60146 .xword 0xDEADBEEFDEADBEEF
60147 .xword 0xDEADBEEFDEADBEEF
60148 .xword 0xDEADBEEFDEADBEEF
60149 .xword 0xDEADBEEFDEADBEEF
60150 .xword 0xDEADBEEFDEADBEEF
60151 .xword 0xDEADBEEFDEADBEEF
60152 .xword 0xDEADBEEFDEADBEEF
60153 .xword 0xDEADBEEFDEADBEEF
60154 .xword 0xDEADBEEFDEADBEEF
60155 .xword 0xDEADBEEFDEADBEEF
60156 .xword 0xDEADBEEFDEADBEEF
60157 .xword 0xDEADBEEFDEADBEEF
60158 .xword 0xDEADBEEFDEADBEEF
60159 .xword 0xDEADBEEFDEADBEEF
60160 .xword 0xDEADBEEFDEADBEEF
60161 .xword 0xDEADBEEFDEADBEEF
60162 .xword 0xDEADBEEFDEADBEEF
60163 .xword 0xDEADBEEFDEADBEEF
60164 .xword 0xDEADBEEFDEADBEEF
60165 .xword 0xDEADBEEFDEADBEEF
60166 .xword 0xDEADBEEFDEADBEEF
60167 .xword 0xDEADBEEFDEADBEEF
60168 .xword 0xDEADBEEFDEADBEEF
60169 .xword 0xDEADBEEFDEADBEEF
60170 .xword 0xDEADBEEFDEADBEEF
60171 .xword 0xDEADBEEFDEADBEEF
60172 .xword 0xDEADBEEFDEADBEEF
60173 .xword 0xDEADBEEFDEADBEEF
60174 .xword 0xDEADBEEFDEADBEEF
60175 .xword 0xDEADBEEFDEADBEEF
60176 .xword 0xDEADBEEFDEADBEEF
60177 .xword 0xDEADBEEFDEADBEEF
60178 .xword 0xDEADBEEFDEADBEEF
60179 .xword 0xDEADBEEFDEADBEEF
60180 .xword 0xDEADBEEFDEADBEEF
60181 .xword 0xDEADBEEFDEADBEEF
60182 .xword 0xDEADBEEFDEADBEEF
60183 .xword 0xDEADBEEFDEADBEEF
60184 .xword 0xDEADBEEFDEADBEEF
60185 .xword 0xDEADBEEFDEADBEEF
60186 .xword 0xDEADBEEFDEADBEEF
60187 .xword 0xDEADBEEFDEADBEEF
60188 .xword 0xDEADBEEFDEADBEEF
60189 .xword 0xDEADBEEFDEADBEEF
60190 .xword 0xDEADBEEFDEADBEEF
60191 .xword 0xDEADBEEFDEADBEEF
60192 .xword 0xDEADBEEFDEADBEEF
60193 .xword 0xDEADBEEFDEADBEEF
60194 .xword 0xDEADBEEFDEADBEEF
60195 .xword 0xDEADBEEFDEADBEEF
60196 .xword 0xDEADBEEFDEADBEEF
60197 .xword 0xDEADBEEFDEADBEEF
60198 .xword 0xDEADBEEFDEADBEEF
60199 .xword 0xDEADBEEFDEADBEEF
60200 .xword 0xDEADBEEFDEADBEEF
60201 .xword 0xDEADBEEFDEADBEEF
60202 .xword 0xDEADBEEFDEADBEEF
60203 .xword 0xDEADBEEFDEADBEEF
60204 .xword 0xDEADBEEFDEADBEEF
60205 .xword 0xDEADBEEFDEADBEEF
60206 .xword 0xDEADBEEFDEADBEEF
60207 .xword 0xDEADBEEFDEADBEEF
60208 .xword 0xDEADBEEFDEADBEEF
60209 .xword 0xDEADBEEFDEADBEEF
60210 .xword 0xDEADBEEFDEADBEEF
60211 .xword 0xDEADBEEFDEADBEEF
60212 .xword 0xDEADBEEFDEADBEEF
60213 .xword 0xDEADBEEFDEADBEEF
60214 .xword 0xDEADBEEFDEADBEEF
60215 .xword 0xDEADBEEFDEADBEEF
60216 .xword 0xDEADBEEFDEADBEEF
60217 .xword 0xDEADBEEFDEADBEEF
60218 .xword 0xDEADBEEFDEADBEEF
60219 .xword 0xDEADBEEFDEADBEEF
60220 .xword 0xDEADBEEFDEADBEEF
60221 .xword 0xDEADBEEFDEADBEEF
60222 .xword 0xDEADBEEFDEADBEEF
60223 .xword 0xDEADBEEFDEADBEEF
60224 .xword 0xDEADBEEFDEADBEEF
60225 .xword 0xDEADBEEFDEADBEEF
60226 .xword 0xDEADBEEFDEADBEEF
60227 .xword 0xDEADBEEFDEADBEEF
60228 .xword 0xDEADBEEFDEADBEEF
60229 .xword 0xDEADBEEFDEADBEEF
60230 .xword 0xDEADBEEFDEADBEEF
60231 .xword 0xDEADBEEFDEADBEEF
60232 .xword 0xDEADBEEFDEADBEEF
60233 .xword 0xDEADBEEFDEADBEEF
60234 .xword 0xDEADBEEFDEADBEEF
60235 .xword 0xDEADBEEFDEADBEEF
60236 .xword 0xDEADBEEFDEADBEEF
60237 .xword 0xDEADBEEFDEADBEEF
60238 .xword 0xDEADBEEFDEADBEEF
60239 .xword 0xDEADBEEFDEADBEEF
60240 .xword 0xDEADBEEFDEADBEEF
60241 .xword 0xDEADBEEFDEADBEEF
60242 .xword 0xDEADBEEFDEADBEEF
60243 .xword 0xDEADBEEFDEADBEEF
60244 .xword 0xDEADBEEFDEADBEEF
60245 .xword 0xDEADBEEFDEADBEEF
60246 .xword 0xDEADBEEFDEADBEEF
60247 .xword 0xDEADBEEFDEADBEEF
60248 .xword 0xDEADBEEFDEADBEEF
60249 .xword 0xDEADBEEFDEADBEEF
60250 .xword 0xDEADBEEFDEADBEEF
60251 .xword 0xDEADBEEFDEADBEEF
60252 .xword 0xDEADBEEFDEADBEEF
60253 .xword 0xDEADBEEFDEADBEEF
60254 .xword 0xDEADBEEFDEADBEEF
60255 .xword 0xDEADBEEFDEADBEEF
60256 .xword 0xDEADBEEFDEADBEEF
60257 .xword 0xDEADBEEFDEADBEEF
60258 .xword 0xDEADBEEFDEADBEEF
60259 .xword 0xDEADBEEFDEADBEEF
60260 .xword 0xDEADBEEFDEADBEEF
60261 .xword 0xDEADBEEFDEADBEEF
60262 .xword 0xDEADBEEFDEADBEEF
60263 .xword 0xDEADBEEFDEADBEEF
60264 .xword 0xDEADBEEFDEADBEEF
60265_t1_copy_auth_key:
60266 .xword 0x8b1f413ca02ae8bf
60267 .xword 0x9b6002847a76bb73
60268 .xword 0x6d5e972e4ec75abe
60269 .xword 0x194e71b1cdc34276
60270 .xword 0xdf3b044982bbab3e
60271 .xword 0x7156ab99dba3917b
60272 .xword 0x751bbbe5947b30be
60273 .xword 0x0590b65f61b42c5d
60274 .xword 0x79393d0bde2ff62f
60275 .xword 0x65e2ac6b1a4290f5
60276 .xword 0x5b1824cb7e66636b
60277 .xword 0x8d792ad6957d2c25
60278 .xword 0x9946162d9c663acb
60279 .xword 0xc2c2493b2697eab6
60280 .xword 0x4387415f8619a983
60281 .xword 0x0c44c92ce9efbccd
60282 .xword 0x6717e8594101a716
60283 .xword 0xf714793db6b404da
60284 .xword 0xe65e0694ad904089
60285 .xword 0x1d626521da21b414
60286 .xword 0x94d6adcdea531b32
60287 .xword 0x4c044e73f3bc1e00
60288 .xword 0xefd6aff9e125b50c
60289_t1_copy_auth_iv:
60290 .xword 0x0ebea13ee2336e86
60291 .xword 0x76f999c31d8df8a4
60292 .xword 0x30f9a4a3ffd54032
60293 .xword 0x458f2b13fea9b366
60294 .xword 0x661cabae8ba2c027
60295 .xword 0x1c5b3c1e7d847494
60296 .xword 0xa004b302f9a6fb22
60297 .xword 0x80105c21d27a4b8c
60298 .xword 0xe76b016a1b8701ff
60299 .xword 0x21124541d5fd649d
60300 .xword 0x0f1a9fa9e870fda5
60301 .xword 0xb378dc2a6be072c3
60302 .xword 0x8d06392bb8f11690
60303 .xword 0x7e8911c6e01ad352
60304 .xword 0xe398b2714c21a970
60305 .xword 0xb4e8c997ea2bb9a8
60306 .xword 0x05bfe3940b888ef8
60307 .xword 0xf5b5b5a28d0e51de
60308 .xword 0xbc081ccf24b024fc
60309 .xword 0x0010af0baa68f1d4
60310 .xword 0x099dbc502e6acbf9
60311 .xword 0x0e59dc1f8d66d8c9
60312 .xword 0x2178586f117e9b4f
60313_t1_copy_fas_result:
60314 .xword 0xDEADBEEFDEADBEEF
60315 .xword 0xDEADBEEFDEADBEEF
60316 .xword 0xDEADBEEFDEADBEEF
60317 .xword 0xDEADBEEFDEADBEEF
60318 .xword 0xDEADBEEFDEADBEEF
60319 .xword 0xDEADBEEFDEADBEEF
60320 .xword 0xDEADBEEFDEADBEEF
60321 .xword 0xDEADBEEFDEADBEEF
60322 .xword 0xDEADBEEFDEADBEEF
60323 .xword 0xDEADBEEFDEADBEEF
60324 .xword 0xDEADBEEFDEADBEEF
60325 .xword 0xDEADBEEFDEADBEEF
60326 .xword 0xDEADBEEFDEADBEEF
60327 .xword 0xDEADBEEFDEADBEEF
60328 .xword 0xDEADBEEFDEADBEEF
60329 .xword 0xDEADBEEFDEADBEEF
60330 .xword 0xDEADBEEFDEADBEEF
60331 .xword 0xDEADBEEFDEADBEEF
60332 .xword 0xDEADBEEFDEADBEEF
60333 .xword 0xDEADBEEFDEADBEEF
60334 .xword 0xDEADBEEFDEADBEEF
60335 .xword 0xDEADBEEFDEADBEEF
60336 .xword 0xDEADBEEFDEADBEEF
60337_t1_crc_key_array:
60338 .xword 0xf4be2cce86e8c251
60339 .xword 0x866101241ce9e331
60340 .xword 0xa621180820d05c1a
60341 .xword 0x4e4717b8dad0fd25
60342 .xword 0x9f8024447e8187fb
60343 .xword 0x913831e191a2e601
60344 .xword 0x9ad104ca476143fa
60345 .xword 0xae0bb4046bec42fc
60346 .xword 0x6ca3a22e3551650a
60347 .xword 0xc0fe85e6081a396c
60348 .xword 0xe33414503df47ebd
60349 .xword 0x87565aabda41bc70
60350 .xword 0x9fdef215706b56d3
60351 .xword 0xed518ba721a5932f
60352 .xword 0x059c7c978946d922
60353 .xword 0x650c52624d62ce1a
60354 .xword 0x79fd2356ead74af5
60355 .xword 0xaad0e77d5aff2bba
60356 .xword 0x57d9fb892133e87f
60357 .xword 0x850ef9347021b1e7
60358 .xword 0x2f5c820cc5e22da8
60359 .xword 0x7695e40843ea28d0
60360 .xword 0x82cd530a3ea81e57
60361 .xword 0x48cdfad90ce8511c
60362 .xword 0xc75c18742f88c083
60363 .xword 0x7c6b6f72d803651f
60364 .xword 0xf95a03238ecb2b6b
60365 .xword 0xfdef6517df8e5ef5
60366 .xword 0x0d97f1be67601219
60367 .xword 0x7fac0922b40826c8
60368 .xword 0x441c63dad91a7001
60369 .xword 0x63bce956ac5bb17d
60370 .xword 0xb6ab781ffe872eeb
60371 .xword 0x3dfc0de14e8a867f
60372 .xword 0xa5b485ee521b587d
60373 .xword 0x4ccf755a8e15a0ba
60374 .xword 0x6457d433c46c6147
60375 .xword 0xcd6e5d833ed94128
60376 .xword 0x6cd2d3d7b85eaed0
60377 .xword 0x9c5697bfde9171c2
60378 .xword 0x75eaf25ff25a76ad
60379 .xword 0xca056d6889067fbc
60380 .xword 0x3e429efd83999ca4
60381 .xword 0xc8aab1c6d8ffd55c
60382 .xword 0xc945e9abbcad8a79
60383 .xword 0xa28161d653d0a715
60384 .xword 0x7bdd24c884a963cd
60385 .xword 0x35567ee33e05a2d7
60386 .xword 0x38b4d9bfa12c6b1d
60387 .xword 0x667e048715c5304f
60388 .xword 0x9c9cac311748492c
60389_t1_crc_iv_array:
60390 .xword 0xa1087e162e1c5c6b
60391 .xword 0x0a6b27da2b76d4bc
60392 .xword 0xe4a6c49092d2fb59
60393 .xword 0x6cc8f08e2fb0e015
60394 .xword 0xcfb6a7ae57bdc4fa
60395 .xword 0xe7cf7487835a007b
60396 .xword 0xdb4adb8b8a79c8eb
60397 .xword 0x8bbcd6f96667cafe
60398 .xword 0x04b2e8bc80ed64b5
60399 .xword 0x6e8d37f92a100afa
60400 .xword 0x96d05ec7bf6cb6bf
60401 .xword 0xf18083c5d82f4d31
60402 .xword 0xd82a709217b8576a
60403 .xword 0xbdf8a9cb9f27493e
60404 .xword 0xe51fb53eadb65ba5
60405 .xword 0x9980bef370224b23
60406 .xword 0xa1f0ea113a642ca3
60407 .xword 0xed9a6d61f9ce81b2
60408 .xword 0xd0e6dd64e6c52e68
60409 .xword 0x19d18a4b044bc58f
60410 .xword 0xc1e06b10c2bdb8e4
60411 .xword 0x730de6ab4912298e
60412 .xword 0x24360f23abb12635
60413 .xword 0x009debe194fd259e
60414 .xword 0xa4ee1336edf413b6
60415 .xword 0x5ecef9d0431da596
60416 .xword 0xf96b8e5d6225cc0c
60417 .xword 0xb10f4bb632e97273
60418 .xword 0x09239f67c31ea981
60419 .xword 0xfcf28763cd894581
60420 .xword 0x592d6d4e2ca6f781
60421 .xword 0xf01c56342afb8e6a
60422 .xword 0xb64c7256b29a6978
60423 .xword 0xec7dcdfc49413c85
60424 .xword 0x7d7853c4b61ec1ba
60425 .xword 0xad3e36342b493597
60426 .xword 0x65dc38ffd1533b16
60427 .xword 0xd05e7f12a381d175
60428 .xword 0x43c37c7f5351ce5a
60429 .xword 0x6730b344e364c5e0
60430 .xword 0xa0dbe7a244f14b02
60431 .xword 0x68868aabc6d448ea
60432 .xword 0x2fa2f1fff0fb7312
60433 .xword 0xffd48ca0b055b01b
60434 .xword 0xa49316d4825e5b2c
60435_t1_crc_alignment_array:
60436 .xword 9
60437 .xword 14
60438 .xword 4
60439 .xword 4
60440 .xword 5
60441 .xword 4
60442 .xword 8
60443 .xword 12
60444 .xword 8
60445 .xword 8
60446 .xword 2
60447 .xword 3
60448 .xword 4
60449 .xword 2
60450 .xword 5
60451 .xword 14
60452 .xword 15
60453 .xword 0
60454 .xword 4
60455 .xword 11
60456 .xword 4
60457 .xword 11
60458 .xword 1
60459 .xword 9
60460 .xword 14
60461 .xword 5
60462 .xword 4
60463 .xword 2
60464 .xword 5
60465 .xword 8
60466 .xword 8
60467 .xword 14
60468 .xword 1
60469 .xword 4
60470 .xword 13
60471 .xword 8
60472 .xword 3
60473 .xword 9
60474 .xword 14
60475 .xword 2
60476 .xword 8
60477 .xword 5
60478 .xword 15
60479 .xword 3
60480 .xword 12
60481 .xword 4
60482 .xword 13
60483 .xword 12
60484 .xword 0
60485 .xword 15
60486 .xword 15
60487 .xword 0
60488 .xword 4
60489 .xword 8
60490 .xword 12
60491 .xword 7
60492 .xword 10
60493 .xword 15
60494 .xword 15
60495 .xword 12
60496 .xword 12
60497 .xword 4
60498 .xword 9
60499 .xword 9
60500 .xword 14
60501 .xword 10
60502 .xword 8
60503 .xword 11
60504 .xword 14
60505 .xword 8
60506 .xword 6
60507 .xword 14
60508 .xword 14
60509 .xword 14
60510 .xword 14
60511 .xword 6
60512 .xword 2
60513 .xword 15
60514 .xword 15
60515 .xword 5
60516 .xword 13
60517 .xword 4
60518 .xword 12
60519 .xword 10
60520 .xword 3
60521 .xword 10
60522 .xword 13
60523 .xword 1
60524 .xword 2
60525 .xword 13
60526 .xword 14
60527 .xword 2
60528 .xword 5
60529 .xword 6
60530 .xword 14
60531 .xword 3
60532 .xword 3
60533 .xword 11
60534 .xword 8
60535 .xword 7
60536 .xword 12
60537 .xword 9
60538 .xword 13
60539 .xword 3
60540 .xword 7
60541_t1_crc_src:
60542 .xword 0x4640039479fd0ce3
60543 .xword 0x9d4ddaad6c74c852
60544 .xword 0xf67e5f3bee4bb057
60545 .xword 0xfe12d1b7e814cd7e
60546 .xword 0x0503272a8164b0fb
60547 .xword 0x3390796a90b44f2a
60548 .xword 0x330e92aec4d95731
60549 .xword 0x4d4c8ecc123e3570
60550 .xword 0xa9ce7cb65224d7fc
60551 .xword 0x96686a76a39aae9d
60552 .xword 0xb09fa048dfab5a3e
60553 .xword 0xba7edf557f8745d4
60554 .xword 0x1918e397124f79f3
60555 .xword 0xacf93ac95ca72889
60556 .xword 0x74a0c0ca100aadf2
60557 .xword 0x4db52be23631ebee
60558 .xword 0xf32fd5726d52a4fa
60559 .xword 0xbd16edaf6de5c6d0
60560 .xword 0x4c5f0f2a83ef0533
60561 .xword 0xd653a6bc5019ad7e
60562 .xword 0xc740eea76cc2ba71
60563 .xword 0x3da066ea1fbe0e71
60564 .xword 0x2c5958a762dfb6dc
60565 .xword 0xadda297193ff3424
60566 .xword 0x8fd1c69694bb9d5f
60567 .xword 0xcc1ffebaf9d8cf32
60568 .xword 0xafac86c9feee5ab9
60569 .xword 0x31f22ef2b005a899
60570 .xword 0x6b2b11de4cb14e61
60571 .xword 0x7b605501e75d89b7
60572 .xword 0x879be70803ee7f19
60573 .xword 0x7dc94d2d070a5474
60574 .xword 0x8eb9079b0f4c867f
60575 .xword 0x9fbece74d8bcd4a1
60576 .xword 0xa1fedebbf7946141
60577 .xword 0x93af781a19f87847
60578 .xword 0x97e4e8ce35159c77
60579 .xword 0xae51b01b7e2ce343
60580 .xword 0x4b254bfd8ff200b5
60581 .xword 0x8454e180b10b4d0a
60582 .xword 0x97c62c381642f8b5
60583 .xword 0x6eb7de8158250626
60584 .xword 0x5988ba774860bdcd
60585 .xword 0x66f2ece09eac61c3
60586 .xword 0xecd6aba6fb936e11
60587 .xword 0x5295c76c84b16a40
60588 .xword 0x3b47ebd667f4c2e0
60589 .xword 0x1b99f08050c1f089
60590 .xword 0xa2b4de1e2244cddd
60591 .xword 0xb9ac288d68e7d502
60592 .xword 0xae753b853816c736
60593 .xword 0x078ceaff453f879a
60594 .xword 0xc042ce7bcac7414e
60595 .xword 0xc95605c277d69c09
60596 .xword 0xdbb0be591f736688
60597 .xword 0xccd020fd3a21c14c
60598 .xword 0x41bcfb196d64fece
60599 .xword 0x819911195f2d9329
60600 .xword 0x44f282aa47d79d1b
60601 .xword 0x1d076b21bf2fe524
60602 .xword 0xb71cf2fd5c26a013
60603 .xword 0x02324c7ff0904498
60604 .xword 0x2cfc7455dfe64f7e
60605 .xword 0x30da9e6c515c11fc
60606 .xword 0x7f994e1731238c44
60607 .xword 0x307e38700a5dfb79
60608 .xword 0xe1d355e624781da4
60609 .xword 0x90edd837b3d50002
60610 .xword 0x07ce94e2399276b2
60611 .xword 0x562ab2667af3a93c
60612 .xword 0xeb3d1e263312d4bd
60613 .xword 0xaf89446f044d92a3
60614 .xword 0x9fcf1b86e6c7148e
60615 .xword 0x17ba79a7847c5bfa
60616 .xword 0xa4b0d9be57a24542
60617 .xword 0xf0d30ef2a40caa19
60618 .xword 0xec8c5979f495b7c9
60619 .xword 0x24cf2600f1545710
60620 .xword 0x6b5743d5d7383ff4
60621 .xword 0x07b20049cd38b18e
60622 .xword 0x1f1ab6ec532f1695
60623 .xword 0x638a8b0895802df5
60624 .xword 0xe937d0774ee27981
60625 .xword 0x0f4146f785eb3cfb
60626 .xword 0xdd0e2cbc39868e0f
60627 .xword 0x3b6d290fde2e2bc0
60628 .xword 0x83fbdd56ab03ea62
60629 .xword 0x12551640cfd8ad83
60630 .xword 0x4eea46e3c93cebcb
60631 .xword 0xfe20b50cbbc09949
60632 .xword 0x5024f493f0268cdf
60633 .xword 0x2ee339eaa362d21e
60634 .xword 0x6011998b959777c0
60635 .xword 0xe18998a20ac0389a
60636 .xword 0x689c1564ef011124
60637 .xword 0x66f3a9546bb45913
60638 .xword 0xdc0ecc3cb8f94d7a
60639 .xword 0x8a6ec424fcf5b211
60640 .xword 0x5de0ad860295f1b9
60641 .xword 0xf18e79061d6cc83f
60642 .xword 0xae01662b35e07dff
60643 .xword 0x533ef87b5a39d371
60644 .xword 0x59b56a6934f841ab
60645 .xword 0xfbce2a47add7b434
60646 .xword 0x253ef0403327430f
60647 .xword 0x7dcffab3c449edec
60648 .xword 0x23980261d6b87b73
60649 .xword 0x4bd980ea34fad8c3
60650 .xword 0x7d0cba5352f21a74
60651 .xword 0x893718e6c65cc59d
60652 .xword 0xaf5c68dd7b0d4b74
60653 .xword 0x009411e876ffea7f
60654 .xword 0xecf5d319f019e71f
60655 .xword 0x6c900f8bdb0a5207
60656 .xword 0xba665a5644bee81e
60657 .xword 0x1872e3bea633ff8c
60658 .xword 0xe75b7e403e0f5e51
60659 .xword 0x9d51ebef798f4346
60660 .xword 0x59cb1f50f1e56461
60661 .xword 0x249554a5bdcad825
60662 .xword 0x3204d50572ffa5f6
60663 .xword 0x7b8bd3a7e7f2b98a
60664 .xword 0x508b1bc68b27d60e
60665 .xword 0x9ee47bb3b7a91a25
60666 .xword 0x21be9b41c430ad9a
60667 .xword 0x852c9a5b76cd5699
60668 .xword 0xf22ef7eec8fff0ac
60669 .xword 0x6a6342fb87e96c99
60670 .xword 0x8d7fd71fb1d8b680
60671 .xword 0x145006d159e838dd
60672 .xword 0x2a895d40be3e42c0
60673 .xword 0x77702eedf0622ad3
60674 .xword 0x8b089fd68251ced9
60675 .xword 0x69b652d08a1773a9
60676 .xword 0xbf5bbe0b08781467
60677 .xword 0x25f553e18ad29766
60678 .xword 0x4e31275153047e5f
60679 .xword 0xa8dd4f34f2abc7b8
60680 .xword 0xfa7b53ab5c1a721c
60681 .xword 0x7e023e7f4e79804b
60682 .xword 0x84497c1518e348bd
60683 .xword 0xbfa6a9f5725b0a4a
60684 .xword 0x4a94db328e48051c
60685 .xword 0x4093aacf6bb2cb4a
60686 .xword 0x4273a09e3c9021d2
60687 .xword 0x2296b085c723f124
60688 .xword 0xd6c3e72ce1b364d7
60689 .xword 0x696abbad44db267b
60690 .xword 0x48e3cbe2d1d908f3
60691 .xword 0x520f03039e0ac5bf
60692 .xword 0xa6cb3e7deec39fdd
60693 .xword 0x51cdca306f5dc4e1
60694 .xword 0xc305be8852f29f44
60695 .xword 0x4d7103b8827ddb4c
60696 .xword 0x6133f97412ebab02
60697 .xword 0x84760cf9239fb486
60698 .xword 0x24d4c301185543af
60699 .xword 0x3134a769f220d312
60700 .xword 0x513328211294ec11
60701 .xword 0xdc0bdde9e72c518a
60702 .xword 0xb7b92fa63f6e30b0
60703 .xword 0xfda9a10b9bfe19a5
60704 .xword 0xefa3a0143cc5f4f6
60705 .xword 0x91f881a0f3526c14
60706 .xword 0xd85738b0785eb3ae
60707 .xword 0x3d88d41895d56993
60708 .xword 0x755ab40efc0b623f
60709 .xword 0x43692d8901b76bc5
60710 .xword 0x31b8fe5437cd89d4
60711 .xword 0xb27a8a926f7bd25d
60712 .xword 0x37e7c98b5cebc48b
60713 .xword 0x24f585442527c02d
60714 .xword 0x80203251629c2a5e
60715 .xword 0x023e21ed6ba77c99
60716 .xword 0x472207890514bf5b
60717 .xword 0xa2bc02bc97f64039
60718 .xword 0xe3dcf1b009a694f8
60719 .xword 0xca80ecdac80256b0
60720 .xword 0x4162796ac3763152
60721 .xword 0x7df15812037faec0
60722 .xword 0xc7edc1d967144962
60723 .xword 0x5b0024bba823cd3c
60724 .xword 0x1f1abc54fd65fda4
60725 .xword 0xc285ddbbb34aed29
60726 .xword 0x7405dda71929396f
60727 .xword 0x971a2cb6825406fb
60728 .xword 0xa72a74acb89d6ea6
60729 .xword 0xc160fb099978e9eb
60730 .xword 0x6581e0daf25672e4
60731 .xword 0xadcd08fa0597ffe5
60732 .xword 0xa86fc6f22939eb46
60733 .xword 0x734eb393abe00310
60734 .xword 0x650794514749dfc2
60735 .xword 0x9a979cfd6a6ca8b3
60736 .xword 0xd9309eef82904d88
60737 .xword 0xed20c5caec350ed4
60738 .xword 0xc3d640671afd3aba
60739 .xword 0xcb8712aa26217b48
60740 .xword 0x6394feede804390a
60741 .xword 0x8c2a49f2de2f8564
60742 .xword 0x4dbdc302884236ab
60743 .xword 0x728dddb7a818e1d1
60744 .xword 0xd559125ae3736051
60745 .xword 0xf0cc985182d47b50
60746 .xword 0xb620c83983c675f0
60747 .xword 0xfe547dcc334e2196
60748 .xword 0xc96566ae7202d7a5
60749 .xword 0x13aa098b8295bf53
60750 .xword 0x6b7534f9fc06f5f5
60751 .xword 0x164c4cd8c38970e5
60752 .xword 0xd0d6b6ee3fd96593
60753 .xword 0xb22b4b6c848a2055
60754 .xword 0x56e61ff68cedf2da
60755 .xword 0x46b2befd44267721
60756 .xword 0x343ffad67db85dd2
60757 .xword 0xf38bea08f87a5c09
60758 .xword 0xb64baaa4903bfcb2
60759 .xword 0x56fda9d72398f500
60760 .xword 0xc43ad46a47caccf7
60761 .xword 0x86fe704344f68c94
60762 .xword 0x9725ed8fdb3e047e
60763 .xword 0xd5ca024e5938ecb9
60764 .xword 0x5a2062cb649ebcd8
60765 .xword 0x4db3cf906e9a4743
60766 .xword 0xb53cf2d4f0f91333
60767 .xword 0x5dea53d3f1510aa2
60768 .xword 0x498454e804f78292
60769 .xword 0xd9e0bcbb47750823
60770 .xword 0xd0239f10f99eac15
60771 .xword 0x7c5585897ee02d15
60772 .xword 0x0ce1963c4e1df48e
60773 .xword 0xda1bc303fced27fe
60774 .xword 0x97b6802f1a14c568
60775 .xword 0x946a366b21280469
60776 .xword 0x78ac51d7ae2e8b0b
60777 .xword 0xd3ad6faf871f8d34
60778 .xword 0x273de942e9000920
60779 .xword 0x85e70b5a14962948
60780 .xword 0x714775aed5dc6935
60781 .xword 0x2eadc979fe89ef41
60782 .xword 0x9fe1a197ff508f8a
60783 .xword 0x8d55ea3d220335e2
60784 .xword 0x03e25a9759a8bdb0
60785 .xword 0x7fd2b249daf79bc1
60786 .xword 0x0e2b03b422bd01e7
60787 .xword 0x516793be9fb8dca7
60788 .xword 0x2e82b9f56f1ef93a
60789 .xword 0xce3a1a4edc1a635d
60790 .xword 0xfe89cfc0ff84ce19
60791 .xword 0x397b1cb02dc9680a
60792 .xword 0x022bbc3ea1206d9f
60793 .xword 0x84b07fdcbe4ffd7a
60794 .xword 0xfed21c1fcfa352d7
60795 .xword 0xcade7ff8a68f7eec
60796 .xword 0x2b34d6c596e241c6
60797 .xword 0x0c9c866df28d4d76
60798 .xword 0x2498bf6e9fd09730
60799 .xword 0x0329b9ea539e1097
60800 .xword 0x5180dec47644611e
60801 .xword 0x0a501d450456fef4
60802 .xword 0x7420135ba73138c9
60803 .xword 0x552a0edaf93a4289
60804 .xword 0xef264bab12c7b743
60805 .xword 0x6963430f79ae8a01
60806 .xword 0x4526bd7a4977108a
60807 .xword 0x89c6f3498a14744d
60808 .xword 0xff9ef45125aa630a
60809 .xword 0xc33b30d811eaf045
60810 .xword 0x05bed072fb142d57
60811 .xword 0x980385767e1a9525
60812 .xword 0x73904c4b846ffab3
60813 .xword 0x9a648c1c7dd57583
60814 .xword 0x43cdedf0c40b027a
60815 .xword 0xaad3084a52e94620
60816 .xword 0x7f4c3a056e55cbab
60817_t1_crc_dest:
60818 .xword 0xDEADBEEFDEADBEEF
60819 .xword 0xDEADBEEFDEADBEEF
60820 .xword 0xDEADBEEFDEADBEEF
60821 .xword 0xDEADBEEFDEADBEEF
60822 .xword 0xDEADBEEFDEADBEEF
60823 .xword 0xDEADBEEFDEADBEEF
60824 .xword 0xDEADBEEFDEADBEEF
60825 .xword 0xDEADBEEFDEADBEEF
60826 .xword 0xDEADBEEFDEADBEEF
60827 .xword 0xDEADBEEFDEADBEEF
60828 .xword 0xDEADBEEFDEADBEEF
60829 .xword 0xDEADBEEFDEADBEEF
60830 .xword 0xDEADBEEFDEADBEEF
60831 .xword 0xDEADBEEFDEADBEEF
60832 .xword 0xDEADBEEFDEADBEEF
60833 .xword 0xDEADBEEFDEADBEEF
60834 .xword 0xDEADBEEFDEADBEEF
60835 .xword 0xDEADBEEFDEADBEEF
60836 .xword 0xDEADBEEFDEADBEEF
60837 .xword 0xDEADBEEFDEADBEEF
60838 .xword 0xDEADBEEFDEADBEEF
60839 .xword 0xDEADBEEFDEADBEEF
60840 .xword 0xDEADBEEFDEADBEEF
60841 .xword 0xDEADBEEFDEADBEEF
60842 .xword 0xDEADBEEFDEADBEEF
60843 .xword 0xDEADBEEFDEADBEEF
60844 .xword 0xDEADBEEFDEADBEEF
60845 .xword 0xDEADBEEFDEADBEEF
60846 .xword 0xDEADBEEFDEADBEEF
60847 .xword 0xDEADBEEFDEADBEEF
60848 .xword 0xDEADBEEFDEADBEEF
60849 .xword 0xDEADBEEFDEADBEEF
60850 .xword 0xDEADBEEFDEADBEEF
60851 .xword 0xDEADBEEFDEADBEEF
60852 .xword 0xDEADBEEFDEADBEEF
60853 .xword 0xDEADBEEFDEADBEEF
60854 .xword 0xDEADBEEFDEADBEEF
60855 .xword 0xDEADBEEFDEADBEEF
60856 .xword 0xDEADBEEFDEADBEEF
60857 .xword 0xDEADBEEFDEADBEEF
60858 .xword 0xDEADBEEFDEADBEEF
60859 .xword 0xDEADBEEFDEADBEEF
60860 .xword 0xDEADBEEFDEADBEEF
60861 .xword 0xDEADBEEFDEADBEEF
60862 .xword 0xDEADBEEFDEADBEEF
60863 .xword 0xDEADBEEFDEADBEEF
60864 .xword 0xDEADBEEFDEADBEEF
60865 .xword 0xDEADBEEFDEADBEEF
60866 .xword 0xDEADBEEFDEADBEEF
60867 .xword 0xDEADBEEFDEADBEEF
60868 .xword 0xDEADBEEFDEADBEEF
60869 .xword 0xDEADBEEFDEADBEEF
60870 .xword 0xDEADBEEFDEADBEEF
60871 .xword 0xDEADBEEFDEADBEEF
60872 .xword 0xDEADBEEFDEADBEEF
60873 .xword 0xDEADBEEFDEADBEEF
60874 .xword 0xDEADBEEFDEADBEEF
60875 .xword 0xDEADBEEFDEADBEEF
60876 .xword 0xDEADBEEFDEADBEEF
60877 .xword 0xDEADBEEFDEADBEEF
60878 .xword 0xDEADBEEFDEADBEEF
60879 .xword 0xDEADBEEFDEADBEEF
60880 .xword 0xDEADBEEFDEADBEEF
60881 .xword 0xDEADBEEFDEADBEEF
60882 .xword 0xDEADBEEFDEADBEEF
60883 .xword 0xDEADBEEFDEADBEEF
60884 .xword 0xDEADBEEFDEADBEEF
60885 .xword 0xDEADBEEFDEADBEEF
60886 .xword 0xDEADBEEFDEADBEEF
60887 .xword 0xDEADBEEFDEADBEEF
60888 .xword 0xDEADBEEFDEADBEEF
60889 .xword 0xDEADBEEFDEADBEEF
60890 .xword 0xDEADBEEFDEADBEEF
60891 .xword 0xDEADBEEFDEADBEEF
60892 .xword 0xDEADBEEFDEADBEEF
60893 .xword 0xDEADBEEFDEADBEEF
60894 .xword 0xDEADBEEFDEADBEEF
60895 .xword 0xDEADBEEFDEADBEEF
60896 .xword 0xDEADBEEFDEADBEEF
60897 .xword 0xDEADBEEFDEADBEEF
60898 .xword 0xDEADBEEFDEADBEEF
60899 .xword 0xDEADBEEFDEADBEEF
60900 .xword 0xDEADBEEFDEADBEEF
60901 .xword 0xDEADBEEFDEADBEEF
60902 .xword 0xDEADBEEFDEADBEEF
60903 .xword 0xDEADBEEFDEADBEEF
60904 .xword 0xDEADBEEFDEADBEEF
60905 .xword 0xDEADBEEFDEADBEEF
60906 .xword 0xDEADBEEFDEADBEEF
60907 .xword 0xDEADBEEFDEADBEEF
60908 .xword 0xDEADBEEFDEADBEEF
60909 .xword 0xDEADBEEFDEADBEEF
60910 .xword 0xDEADBEEFDEADBEEF
60911 .xword 0xDEADBEEFDEADBEEF
60912 .xword 0xDEADBEEFDEADBEEF
60913 .xword 0xDEADBEEFDEADBEEF
60914 .xword 0xDEADBEEFDEADBEEF
60915 .xword 0xDEADBEEFDEADBEEF
60916 .xword 0xDEADBEEFDEADBEEF
60917 .xword 0xDEADBEEFDEADBEEF
60918 .xword 0xDEADBEEFDEADBEEF
60919 .xword 0xDEADBEEFDEADBEEF
60920 .xword 0xDEADBEEFDEADBEEF
60921 .xword 0xDEADBEEFDEADBEEF
60922 .xword 0xDEADBEEFDEADBEEF
60923 .xword 0xDEADBEEFDEADBEEF
60924 .xword 0xDEADBEEFDEADBEEF
60925 .xword 0xDEADBEEFDEADBEEF
60926 .xword 0xDEADBEEFDEADBEEF
60927 .xword 0xDEADBEEFDEADBEEF
60928 .xword 0xDEADBEEFDEADBEEF
60929 .xword 0xDEADBEEFDEADBEEF
60930 .xword 0xDEADBEEFDEADBEEF
60931 .xword 0xDEADBEEFDEADBEEF
60932 .xword 0xDEADBEEFDEADBEEF
60933 .xword 0xDEADBEEFDEADBEEF
60934 .xword 0xDEADBEEFDEADBEEF
60935 .xword 0xDEADBEEFDEADBEEF
60936 .xword 0xDEADBEEFDEADBEEF
60937 .xword 0xDEADBEEFDEADBEEF
60938 .xword 0xDEADBEEFDEADBEEF
60939 .xword 0xDEADBEEFDEADBEEF
60940 .xword 0xDEADBEEFDEADBEEF
60941 .xword 0xDEADBEEFDEADBEEF
60942 .xword 0xDEADBEEFDEADBEEF
60943 .xword 0xDEADBEEFDEADBEEF
60944 .xword 0xDEADBEEFDEADBEEF
60945 .xword 0xDEADBEEFDEADBEEF
60946 .xword 0xDEADBEEFDEADBEEF
60947 .xword 0xDEADBEEFDEADBEEF
60948 .xword 0xDEADBEEFDEADBEEF
60949 .xword 0xDEADBEEFDEADBEEF
60950 .xword 0xDEADBEEFDEADBEEF
60951 .xword 0xDEADBEEFDEADBEEF
60952 .xword 0xDEADBEEFDEADBEEF
60953 .xword 0xDEADBEEFDEADBEEF
60954 .xword 0xDEADBEEFDEADBEEF
60955 .xword 0xDEADBEEFDEADBEEF
60956 .xword 0xDEADBEEFDEADBEEF
60957 .xword 0xDEADBEEFDEADBEEF
60958 .xword 0xDEADBEEFDEADBEEF
60959 .xword 0xDEADBEEFDEADBEEF
60960 .xword 0xDEADBEEFDEADBEEF
60961 .xword 0xDEADBEEFDEADBEEF
60962 .xword 0xDEADBEEFDEADBEEF
60963 .xword 0xDEADBEEFDEADBEEF
60964 .xword 0xDEADBEEFDEADBEEF
60965 .xword 0xDEADBEEFDEADBEEF
60966 .xword 0xDEADBEEFDEADBEEF
60967 .xword 0xDEADBEEFDEADBEEF
60968 .xword 0xDEADBEEFDEADBEEF
60969 .xword 0xDEADBEEFDEADBEEF
60970 .xword 0xDEADBEEFDEADBEEF
60971 .xword 0xDEADBEEFDEADBEEF
60972 .xword 0xDEADBEEFDEADBEEF
60973 .xword 0xDEADBEEFDEADBEEF
60974 .xword 0xDEADBEEFDEADBEEF
60975 .xword 0xDEADBEEFDEADBEEF
60976 .xword 0xDEADBEEFDEADBEEF
60977 .xword 0xDEADBEEFDEADBEEF
60978 .xword 0xDEADBEEFDEADBEEF
60979 .xword 0xDEADBEEFDEADBEEF
60980 .xword 0xDEADBEEFDEADBEEF
60981 .xword 0xDEADBEEFDEADBEEF
60982 .xword 0xDEADBEEFDEADBEEF
60983 .xword 0xDEADBEEFDEADBEEF
60984 .xword 0xDEADBEEFDEADBEEF
60985 .xword 0xDEADBEEFDEADBEEF
60986 .xword 0xDEADBEEFDEADBEEF
60987 .xword 0xDEADBEEFDEADBEEF
60988 .xword 0xDEADBEEFDEADBEEF
60989 .xword 0xDEADBEEFDEADBEEF
60990 .xword 0xDEADBEEFDEADBEEF
60991 .xword 0xDEADBEEFDEADBEEF
60992 .xword 0xDEADBEEFDEADBEEF
60993 .xword 0xDEADBEEFDEADBEEF
60994 .xword 0xDEADBEEFDEADBEEF
60995 .xword 0xDEADBEEFDEADBEEF
60996 .xword 0xDEADBEEFDEADBEEF
60997 .xword 0xDEADBEEFDEADBEEF
60998 .xword 0xDEADBEEFDEADBEEF
60999 .xword 0xDEADBEEFDEADBEEF
61000 .xword 0xDEADBEEFDEADBEEF
61001 .xword 0xDEADBEEFDEADBEEF
61002 .xword 0xDEADBEEFDEADBEEF
61003 .xword 0xDEADBEEFDEADBEEF
61004 .xword 0xDEADBEEFDEADBEEF
61005 .xword 0xDEADBEEFDEADBEEF
61006 .xword 0xDEADBEEFDEADBEEF
61007 .xword 0xDEADBEEFDEADBEEF
61008 .xword 0xDEADBEEFDEADBEEF
61009 .xword 0xDEADBEEFDEADBEEF
61010 .xword 0xDEADBEEFDEADBEEF
61011 .xword 0xDEADBEEFDEADBEEF
61012 .xword 0xDEADBEEFDEADBEEF
61013 .xword 0xDEADBEEFDEADBEEF
61014 .xword 0xDEADBEEFDEADBEEF
61015 .xword 0xDEADBEEFDEADBEEF
61016 .xword 0xDEADBEEFDEADBEEF
61017 .xword 0xDEADBEEFDEADBEEF
61018 .xword 0xDEADBEEFDEADBEEF
61019 .xword 0xDEADBEEFDEADBEEF
61020 .xword 0xDEADBEEFDEADBEEF
61021 .xword 0xDEADBEEFDEADBEEF
61022 .xword 0xDEADBEEFDEADBEEF
61023 .xword 0xDEADBEEFDEADBEEF
61024 .xword 0xDEADBEEFDEADBEEF
61025 .xword 0xDEADBEEFDEADBEEF
61026 .xword 0xDEADBEEFDEADBEEF
61027 .xword 0xDEADBEEFDEADBEEF
61028 .xword 0xDEADBEEFDEADBEEF
61029 .xword 0xDEADBEEFDEADBEEF
61030 .xword 0xDEADBEEFDEADBEEF
61031 .xword 0xDEADBEEFDEADBEEF
61032 .xword 0xDEADBEEFDEADBEEF
61033 .xword 0xDEADBEEFDEADBEEF
61034 .xword 0xDEADBEEFDEADBEEF
61035 .xword 0xDEADBEEFDEADBEEF
61036 .xword 0xDEADBEEFDEADBEEF
61037 .xword 0xDEADBEEFDEADBEEF
61038 .xword 0xDEADBEEFDEADBEEF
61039 .xword 0xDEADBEEFDEADBEEF
61040 .xword 0xDEADBEEFDEADBEEF
61041 .xword 0xDEADBEEFDEADBEEF
61042 .xword 0xDEADBEEFDEADBEEF
61043 .xword 0xDEADBEEFDEADBEEF
61044 .xword 0xDEADBEEFDEADBEEF
61045 .xword 0xDEADBEEFDEADBEEF
61046 .xword 0xDEADBEEFDEADBEEF
61047 .xword 0xDEADBEEFDEADBEEF
61048 .xword 0xDEADBEEFDEADBEEF
61049 .xword 0xDEADBEEFDEADBEEF
61050 .xword 0xDEADBEEFDEADBEEF
61051 .xword 0xDEADBEEFDEADBEEF
61052 .xword 0xDEADBEEFDEADBEEF
61053 .xword 0xDEADBEEFDEADBEEF
61054 .xword 0xDEADBEEFDEADBEEF
61055 .xword 0xDEADBEEFDEADBEEF
61056 .xword 0xDEADBEEFDEADBEEF
61057 .xword 0xDEADBEEFDEADBEEF
61058 .xword 0xDEADBEEFDEADBEEF
61059 .xword 0xDEADBEEFDEADBEEF
61060 .xword 0xDEADBEEFDEADBEEF
61061 .xword 0xDEADBEEFDEADBEEF
61062 .xword 0xDEADBEEFDEADBEEF
61063 .xword 0xDEADBEEFDEADBEEF
61064 .xword 0xDEADBEEFDEADBEEF
61065 .xword 0xDEADBEEFDEADBEEF
61066 .xword 0xDEADBEEFDEADBEEF
61067 .xword 0xDEADBEEFDEADBEEF
61068 .xword 0xDEADBEEFDEADBEEF
61069 .xword 0xDEADBEEFDEADBEEF
61070 .xword 0xDEADBEEFDEADBEEF
61071 .xword 0xDEADBEEFDEADBEEF
61072 .xword 0xDEADBEEFDEADBEEF
61073 .xword 0xDEADBEEFDEADBEEF
61074 .xword 0xDEADBEEFDEADBEEF
61075 .xword 0xDEADBEEFDEADBEEF
61076 .xword 0xDEADBEEFDEADBEEF
61077 .xword 0xDEADBEEFDEADBEEF
61078 .xword 0xDEADBEEFDEADBEEF
61079 .xword 0xDEADBEEFDEADBEEF
61080 .xword 0xDEADBEEFDEADBEEF
61081 .xword 0xDEADBEEFDEADBEEF
61082 .xword 0xDEADBEEFDEADBEEF
61083 .xword 0xDEADBEEFDEADBEEF
61084 .xword 0xDEADBEEFDEADBEEF
61085 .xword 0xDEADBEEFDEADBEEF
61086 .xword 0xDEADBEEFDEADBEEF
61087 .xword 0xDEADBEEFDEADBEEF
61088 .xword 0xDEADBEEFDEADBEEF
61089 .xword 0xDEADBEEFDEADBEEF
61090 .xword 0xDEADBEEFDEADBEEF
61091 .xword 0xDEADBEEFDEADBEEF
61092 .xword 0xDEADBEEFDEADBEEF
61093_t1_crc_auth_key:
61094 .xword 0x47a228bced7b4758
61095 .xword 0x916c390bd3b1385a
61096 .xword 0x3ff97fe9c227953b
61097 .xword 0xa05420e4e6868d7c
61098 .xword 0xa1eed6469a865f32
61099 .xword 0x77e566ee96b88c88
61100 .xword 0xa34321a72b81b0af
61101 .xword 0xe6ac27125670106f
61102 .xword 0xf4ffa7c46c58b02e
61103 .xword 0x195f30d59ee9eb5f
61104 .xword 0xe1b7e9c185a1eed3
61105 .xword 0x2c94bd940f1b5a97
61106 .xword 0x0691a4faafdc0a49
61107 .xword 0x69b75f2326a876a4
61108 .xword 0x6356aabee0c8914c
61109 .xword 0xecd8b59fc6b44129
61110 .xword 0xdf259b5e9d43c8ff
61111 .xword 0xc219b18daffdcc0f
61112 .xword 0x855de254a8b1d709
61113 .xword 0xea1cfa1a28fc377e
61114 .xword 0x44b22bb6dc009add
61115 .xword 0x1fa3389b6d8ac373
61116 .xword 0xd5430d72bb4208b4
61117_t1_crc_auth_iv:
61118 .xword 0xb7cf30aee73a8545
61119 .xword 0x5277d1d261879353
61120 .xword 0xbf83a0fffd802927
61121 .xword 0x287b297d1ecf222e
61122 .xword 0x244c26ceca3c8e97
61123 .xword 0xa455b9ddc9de1d4a
61124 .xword 0xf96c99c2451020f6
61125 .xword 0x33b1b1d7bd363e93
61126 .xword 0x7362465f5a2a6b0d
61127 .xword 0xda6010efd3b21f06
61128 .xword 0x640a3625c8474634
61129 .xword 0xa7699ac6d9b498b7
61130 .xword 0xe565bad39c991a54
61131 .xword 0x11d9c22c6ef19b26
61132 .xword 0xd870d31f4faee755
61133 .xword 0xd4621fc32cd7d45b
61134 .xword 0xc820892db1bdc36f
61135 .xword 0xa7f61be95f0f2628
61136 .xword 0xe4046b727d3cd378
61137 .xword 0xb7c2bf5e6dfa3181
61138 .xword 0xc15b7c971f695e24
61139 .xword 0x5000329cde685d1a
61140 .xword 0x28978aa1ffff7ff3
61141_t1_crc_fas_result:
61142 .xword 0xDEADBEEFDEADBEEF
61143 .xword 0xDEADBEEFDEADBEEF
61144 .xword 0xDEADBEEFDEADBEEF
61145 .xword 0xDEADBEEFDEADBEEF
61146 .xword 0xDEADBEEFDEADBEEF
61147 .xword 0xDEADBEEFDEADBEEF
61148 .xword 0xDEADBEEFDEADBEEF
61149 .xword 0xDEADBEEFDEADBEEF
61150 .xword 0xDEADBEEFDEADBEEF
61151 .xword 0xDEADBEEFDEADBEEF
61152 .xword 0xDEADBEEFDEADBEEF
61153 .xword 0xDEADBEEFDEADBEEF
61154 .xword 0xDEADBEEFDEADBEEF
61155 .xword 0xDEADBEEFDEADBEEF
61156 .xword 0xDEADBEEFDEADBEEF
61157 .xword 0xDEADBEEFDEADBEEF
61158 .xword 0xDEADBEEFDEADBEEF
61159 .xword 0xDEADBEEFDEADBEEF
61160 .xword 0xDEADBEEFDEADBEEF
61161 .xword 0xDEADBEEFDEADBEEF
61162 .xword 0xDEADBEEFDEADBEEF
61163 .xword 0xDEADBEEFDEADBEEF
61164 .xword 0xDEADBEEFDEADBEEF
61165_t1_hash_key_array:
61166 .xword 0xf48ce5483a165dec
61167 .xword 0xb1efde5ea301c3e8
61168 .xword 0x59bba935af7341e6
61169 .xword 0x75f0e5a4068c81cc
61170 .xword 0xa3858c4be8dca048
61171 .xword 0x98c4cbea1f129de5
61172 .xword 0x4247cc13ccae4173
61173 .xword 0x3df917ec823f84a6
61174 .xword 0xf704e27cb7f79b3f
61175 .xword 0xd4a0baf58394e589
61176 .xword 0x5830adfefd8ed1ac
61177 .xword 0xac9ff02871691668
61178 .xword 0xc9a2db0ddd61088c
61179 .xword 0x7d785311d726e2f2
61180 .xword 0x907fd0c6a79cab9d
61181 .xword 0xcc1d87b0ec2f61cf
61182 .xword 0xdcad557f5c85b9a4
61183 .xword 0x3a1bfa130a49eac8
61184 .xword 0xb0e076be11c5c21f
61185 .xword 0x32eb1d4243a8f777
61186 .xword 0x3f86b8bf12b8cad5
61187 .xword 0xfc82623c48729710
61188 .xword 0xb45ff7419b5c13a3
61189 .xword 0xddf13421efee8618
61190 .xword 0xc226d66ab244422a
61191 .xword 0x97b6884892453a77
61192 .xword 0xca731189837fcd46
61193 .xword 0x5dc1820a5cd5db5e
61194 .xword 0x6479f5ea77a0ce97
61195 .xword 0xa208ca7bc2b8e4b6
61196 .xword 0xc2f498a10f066217
61197 .xword 0xd886106c1a0a48d3
61198 .xword 0x90a08da5c3b6213b
61199 .xword 0x2a36c98418b79884
61200 .xword 0x0d7a902b2efcb9d4
61201 .xword 0xf77f0bfb6943283e
61202 .xword 0x01b6333586e375c4
61203 .xword 0x72a0f22dd7431709
61204 .xword 0xfb8937c1e1b85644
61205 .xword 0x659139348c5b0b01
61206 .xword 0x36c16b22b6a28352
61207 .xword 0x5667225d677425e6
61208 .xword 0x38a9c9e49022082c
61209 .xword 0xdaee87e837ed5579
61210 .xword 0xb79e97d4f63714b5
61211 .xword 0xc7f9182068899464
61212 .xword 0x3cdfe4f8afa73b0e
61213 .xword 0xfd0f6a8f7e39049a
61214 .xword 0x1680b3a8dd1958e0
61215 .xword 0xc0cda151de53e216
61216 .xword 0xbf099a7f88e3e31c
61217_t1_hash_iv_array:
61218 .xword 0x3e2bcd071a1fb9be
61219 .xword 0x115c6889ba6840da
61220 .xword 0x2db8743b0ee7b4e7
61221 .xword 0x8ef6755b3169ed89
61222 .xword 0x371ff0534eff68d0
61223 .xword 0x5ea89636b9fe811a
61224 .xword 0xae4ae75be7e6c886
61225 .xword 0x1f61401e36502b70
61226 .xword 0xe47a0d90c460b5e1
61227 .xword 0x1971b8ba20656e05
61228 .xword 0xef58c997432c09e5
61229 .xword 0xe9e052707a202729
61230 .xword 0x9d101c690c428ad0
61231 .xword 0x0f8e662869e9bf20
61232 .xword 0xe35491e9ec858aa3
61233 .xword 0xcc2e941783a1cd3c
61234 .xword 0x3d5dda7ad8fca772
61235 .xword 0xc07351e8d58ebb78
61236 .xword 0xed8dfd140f092b46
61237 .xword 0x83e1f867e7e9705d
61238 .xword 0x1eb33d492c2a5b04
61239 .xword 0x440ee40249e4eef0
61240 .xword 0x4017e9fbbbeaeb5c
61241 .xword 0xa1cb686873201402
61242 .xword 0xb0cda20eaa0bfe43
61243 .xword 0x725790bc45045cab
61244 .xword 0x88ad79871bd3c82b
61245 .xword 0xa626fa0e14389e5a
61246 .xword 0x241e6a60dd227e10
61247 .xword 0xb95d30758175f517
61248 .xword 0x5ed6274bf26dccc7
61249 .xword 0x4e90af50b54d405f
61250 .xword 0xedc5f55315231e0a
61251 .xword 0x9858ccfde2ecc415
61252 .xword 0x69516b34195d315f
61253 .xword 0x44503f6c6adc8f29
61254 .xword 0xc833ebea634d966b
61255 .xword 0x9aa5fc1f57441975
61256 .xword 0x742c6736555c567a
61257 .xword 0xdac5c07968bc5f86
61258 .xword 0x0e4c13973bec317b
61259 .xword 0x8d263f6799231c63
61260 .xword 0x80e3c4b0fe0d6c58
61261 .xword 0xeed84fa3bf2c3fba
61262 .xword 0x712ca3acf4331fe6
61263_t1_hash_alignment_array:
61264 .xword 5
61265 .xword 5
61266 .xword 7
61267 .xword 3
61268 .xword 0
61269 .xword 0
61270 .xword 0
61271 .xword 15
61272 .xword 0
61273 .xword 9
61274 .xword 12
61275 .xword 1
61276 .xword 6
61277 .xword 11
61278 .xword 15
61279 .xword 3
61280 .xword 3
61281 .xword 11
61282 .xword 15
61283 .xword 4
61284 .xword 8
61285 .xword 9
61286 .xword 7
61287 .xword 3
61288 .xword 0
61289 .xword 9
61290 .xword 10
61291 .xword 9
61292 .xword 13
61293 .xword 12
61294 .xword 11
61295 .xword 7
61296 .xword 3
61297 .xword 15
61298 .xword 12
61299 .xword 4
61300 .xword 13
61301 .xword 11
61302 .xword 15
61303 .xword 2
61304 .xword 5
61305 .xword 5
61306 .xword 8
61307 .xword 15
61308 .xword 11
61309 .xword 12
61310 .xword 8
61311 .xword 1
61312 .xword 5
61313 .xword 12
61314 .xword 5
61315 .xword 14
61316 .xword 4
61317 .xword 5
61318 .xword 9
61319 .xword 2
61320 .xword 11
61321 .xword 15
61322 .xword 12
61323 .xword 7
61324 .xword 7
61325 .xword 7
61326 .xword 4
61327 .xword 1
61328 .xword 9
61329 .xword 4
61330 .xword 5
61331 .xword 11
61332 .xword 5
61333 .xword 6
61334 .xword 5
61335 .xword 4
61336 .xword 0
61337 .xword 11
61338 .xword 4
61339 .xword 5
61340 .xword 9
61341 .xword 4
61342 .xword 8
61343 .xword 8
61344 .xword 5
61345 .xword 13
61346 .xword 0
61347 .xword 11
61348 .xword 11
61349 .xword 8
61350 .xword 10
61351 .xword 12
61352 .xword 8
61353 .xword 6
61354 .xword 2
61355 .xword 12
61356 .xword 12
61357 .xword 11
61358 .xword 7
61359 .xword 4
61360 .xword 12
61361 .xword 8
61362 .xword 7
61363 .xword 12
61364 .xword 0
61365 .xword 7
61366 .xword 8
61367 .xword 15
61368 .xword 0
61369_t1_hash_src:
61370 .xword 0x9faabd1b1a8400f7
61371 .xword 0x4608b303062120fc
61372 .xword 0x12fc99fec9621f38
61373 .xword 0xfd0ed2033c692bd1
61374 .xword 0xa3397d82a0047038
61375 .xword 0xef0a652b5ed4715e
61376 .xword 0x39c96077bd9aeda2
61377 .xword 0xc5002c9c7d8c0ca4
61378 .xword 0x68c99be4f7cc6e74
61379 .xword 0xbcf8854a67b0a307
61380 .xword 0x5cbe5c5bd15b0f95
61381 .xword 0xddd2370a5fcb8d7d
61382 .xword 0x950ddd6cc3bc0169
61383 .xword 0x73c58cd229ff6cb8
61384 .xword 0xef502cd7cc83f75e
61385 .xword 0x95504b43c9330461
61386 .xword 0xe73c554a5340135f
61387 .xword 0x4e1d15e42396eb84
61388 .xword 0x9555458a646ce0b1
61389 .xword 0x41174a3a94e152cc
61390 .xword 0x3fca9ad136c2c040
61391 .xword 0xf0b1bfebf7efe93b
61392 .xword 0x220b3e245b7ae879
61393 .xword 0xd120f8c320e9cb81
61394 .xword 0xef4bca8a572c6fd0
61395 .xword 0x112549db07c067b8
61396 .xword 0xf94f9e924457b0e5
61397 .xword 0xe55709eb6d05558f
61398 .xword 0xd2aaea54cf01750f
61399 .xword 0x5cf4665e2e68c7c7
61400 .xword 0x9695227b30ed09ca
61401 .xword 0xd5ba1f736e97b287
61402 .xword 0x9fe2029babe3a4cb
61403 .xword 0x351c6af3d39be5fa
61404 .xword 0x369201a72c356aba
61405 .xword 0xf79ed50ed32217a6
61406 .xword 0xe34ccf098f85df87
61407 .xword 0x8a90f46899c87627
61408 .xword 0x07c6d95ac475f263
61409 .xword 0xb32625241c4f4d7d
61410 .xword 0x225cd54f008c713c
61411 .xword 0x469d69a5d818bd5a
61412 .xword 0xf3fd1dad251e4611
61413 .xword 0xfd861bb958deb820
61414 .xword 0xb0f8b7c807da980b
61415 .xword 0x2dd4745e656b42dc
61416 .xword 0x40b447ad4f7274e9
61417 .xword 0x72aa05824c40cc18
61418 .xword 0xc431415ce163ca10
61419 .xword 0xc22575ffcb5661eb
61420 .xword 0xefa6d12f00faf453
61421 .xword 0x401f35ad5c4a7260
61422 .xword 0x13152023777916f2
61423 .xword 0x4e652622c24f5258
61424 .xword 0x18566603fe4db896
61425 .xword 0x333c9d6b61a28f0f
61426 .xword 0x67ab41205403d48c
61427 .xword 0x383459f1d0394c32
61428 .xword 0xdaee1c2b1e5f36c7
61429 .xword 0x9f73449977300073
61430 .xword 0x3e1ab0133ebb1c1e
61431 .xword 0x498280df1bb9d338
61432 .xword 0x89962dd001070c12
61433 .xword 0x54160bcbec1342f9
61434 .xword 0x6913449fe2d32ee3
61435 .xword 0x13e48d589887df53
61436 .xword 0x3455bf51cda94ac1
61437 .xword 0x7817a1e28c71dcfa
61438 .xword 0x6129dd40b9ab6112
61439 .xword 0xfb36a2386902460e
61440 .xword 0xe0c1629d3d6212cf
61441 .xword 0xa97a11983ed13334
61442 .xword 0x00485049f80a47b2
61443 .xword 0x4db83b3d03ba61d4
61444 .xword 0x234c8652bab76851
61445 .xword 0x52eae56c8649660f
61446 .xword 0x185f63b17b555b42
61447 .xword 0x9d3582843b34d1ba
61448 .xword 0xd84f73ae219c2380
61449 .xword 0x63068597aab24dc7
61450 .xword 0x11a4f0eead95e58d
61451 .xword 0x495ad10bb16d1c52
61452 .xword 0xf7a19a7cb445c730
61453 .xword 0xcd4f0db89deaea36
61454 .xword 0xc0f44ca7e5616fe2
61455 .xword 0xbb9b7fec45fa2ef2
61456 .xword 0x2ea00bdd498a7635
61457 .xword 0x5c403e71590033ef
61458 .xword 0xec4e830cfb697c47
61459 .xword 0x9cef7e5f3529f9c4
61460 .xword 0xaf633db274f7897e
61461 .xword 0x14996eb6826d7d8a
61462 .xword 0x6df480901681ea94
61463 .xword 0xaf40cbfa03427632
61464 .xword 0xe136214a99aefdd4
61465 .xword 0x68ed4231ac1207d1
61466 .xword 0xba03d5feecdea9bc
61467 .xword 0xe4ddb60abb9a1db0
61468 .xword 0x747d3577c3db797b
61469 .xword 0x26dd58ff62fc2086
61470 .xword 0x86115dae63f98af5
61471 .xword 0x47944c30603d7e31
61472 .xword 0x7f91ddae32322e6e
61473 .xword 0xd12cc809917fe7f8
61474 .xword 0xd49678568cad3e0e
61475 .xword 0xd042397b41600b99
61476 .xword 0x068d6a5ea5f4e732
61477 .xword 0x3066351919bd7c24
61478 .xword 0x3f609283d1aeda31
61479 .xword 0xb522547684212c92
61480 .xword 0x8f73503660401e61
61481 .xword 0x562c571036dca768
61482 .xword 0x1472ac841a8bd0c1
61483 .xword 0x6fb329cef2bed359
61484 .xword 0x56e786a2d763ec99
61485 .xword 0x0e5ea32515419805
61486 .xword 0xa1e93de70a8e1c6b
61487 .xword 0x539ff446f7871a98
61488 .xword 0xf365941ba101b1d2
61489 .xword 0x61d37c38ee67530e
61490 .xword 0x32d9ccc3bf999f2d
61491 .xword 0xc961640b63cbd138
61492 .xword 0xcaca566da70724a4
61493 .xword 0x827a6e255193cd8a
61494 .xword 0x03efef7044f337c1
61495 .xword 0xc8b1bf41381b656a
61496 .xword 0xd91b486aa1e97006
61497 .xword 0xc01d29aec08cfdaa
61498 .xword 0x93c09c00abc561ef
61499 .xword 0xdc6172f3f41ad08f
61500 .xword 0x334cd0b060a59fa9
61501 .xword 0xf7dddbf0de5a59f9
61502 .xword 0x6a20ca3a9559b0ff
61503 .xword 0xc6eab23d0c181579
61504 .xword 0x8b4116ff4a03770f
61505 .xword 0xea210d45dd1a97ba
61506 .xword 0x2f18930372162a31
61507 .xword 0xbb09985354ac30c9
61508 .xword 0xbd4db35e39dbf7d4
61509 .xword 0x92bedc8bf38f3442
61510 .xword 0xb7ffda018fa71f33
61511 .xword 0xa38882f4cd335506
61512 .xword 0x3a2c1a286eba8bcf
61513 .xword 0x6eae67a7c8165b04
61514 .xword 0x69ec6ce5026d9511
61515 .xword 0x42332f0f2ec7d93f
61516 .xword 0x21dda0a2bd37fc7a
61517 .xword 0x7856cf863446d54a
61518 .xword 0xffce6fc41defb5b2
61519 .xword 0xfc52202a14f68bfa
61520 .xword 0xd78b784d9121a435
61521 .xword 0x23a37b90f055eeb0
61522 .xword 0xa2c89705769af948
61523 .xword 0xb2dcadb5f68a8fb0
61524 .xword 0x72aac5e21727d610
61525 .xword 0xf51e97cf1adc285b
61526 .xword 0x87054acc313bb09c
61527 .xword 0xa138772baee62019
61528 .xword 0x35d1df32a6534be8
61529 .xword 0xa85f10ddb5f7e7c6
61530 .xword 0xfaa5607b81840c8a
61531 .xword 0x1550b846f845d8ae
61532 .xword 0x47b37b7609930eed
61533 .xword 0x8e3b296e0560d6a8
61534 .xword 0x774661591e2025ee
61535 .xword 0x662f171e812c656f
61536 .xword 0xfe50e301808efdc8
61537 .xword 0x560b2207c7846628
61538 .xword 0x99d263c9fa0b6b57
61539 .xword 0xc701f2f7e39555c3
61540 .xword 0x0cdc25a5bf05238f
61541 .xword 0xc007fde5d1efbf98
61542 .xword 0xbba0c0dfd2f6eba5
61543 .xword 0x3d9d2a9a4a99883b
61544 .xword 0x657995c764571683
61545 .xword 0x581e16745d02aa06
61546 .xword 0x5e75b1ca93e2809e
61547 .xword 0xe0dc354a7f9901f1
61548 .xword 0xdf501833ca38f927
61549 .xword 0x2962f7a0b7db0a21
61550 .xword 0x58d9498edd70fd6c
61551 .xword 0x814e7bc001b97ee9
61552 .xword 0x531f0bffd7b2488e
61553 .xword 0x44c036d40ba90f7c
61554 .xword 0x2fe12f3cd6b5137b
61555 .xword 0x30dd9184ff646147
61556 .xword 0x8545264d55db1ce9
61557 .xword 0xb0909d01a45030ec
61558 .xword 0xeb756f0ef24935f9
61559 .xword 0x2346217ad3a16988
61560 .xword 0xccd9b894c1435b2d
61561 .xword 0x2641c62c567dfb65
61562 .xword 0x459181ae8f9324a5
61563 .xword 0x319cb212696404ae
61564 .xword 0x00acc3cf811a39df
61565 .xword 0x45858ceb4e8abbc0
61566 .xword 0x8344e3d7af3b4750
61567 .xword 0x95c0889c8df0314a
61568 .xword 0x755ddae103ed55e6
61569 .xword 0x7fcbf640b30be591
61570 .xword 0xe2138dfe9ad0738e
61571 .xword 0xceba7d23b8f408ed
61572 .xword 0x04a8892d99fe7ecc
61573 .xword 0x4447a8e8469100b0
61574 .xword 0xccaf95f503be460e
61575 .xword 0x41e501cfbf5e17d7
61576 .xword 0x9a7d3ccd01e72cdb
61577 .xword 0x4919644f018c5ba6
61578 .xword 0xc022f515cd9c9fa6
61579 .xword 0x2f0dd006af326044
61580 .xword 0x5d303c732c1f377b
61581 .xword 0xbed1d8a44190d3e9
61582 .xword 0x711a2aaefff63db0
61583 .xword 0x9721fed6342f2f93
61584 .xword 0xd708494b30be9214
61585 .xword 0x34285d88eb2ce277
61586 .xword 0x7ba79f796a145bb3
61587 .xword 0xd03ec2b96dfd05dd
61588 .xword 0xe7fd64bfd30e7e3f
61589 .xword 0x2338ad482a80a338
61590 .xword 0xe0550195fa1256dd
61591 .xword 0x135ea1b33cf2e738
61592 .xword 0x028b2c2a38df7025
61593 .xword 0x23d1b7b026dccd11
61594 .xword 0x2bb92f69e58fd5d4
61595 .xword 0xc80a607ca252ef44
61596 .xword 0x62ca59d354586a51
61597 .xword 0xc800265fb85be29c
61598 .xword 0x2ae221e79ddf7a5d
61599 .xword 0x14fd6d4dfc9d4a77
61600 .xword 0x2541ac1a0e794984
61601 .xword 0x836b7662628d01a7
61602 .xword 0x81e3856734cd71fa
61603 .xword 0x5289e96f119076fb
61604 .xword 0x61e4d6fd1f87938b
61605 .xword 0x8e1e7fdb7370508b
61606 .xword 0x6b7d8493780dd1ff
61607 .xword 0x9dc947eae401f200
61608 .xword 0x045e066f896edd8b
61609 .xword 0xe65ea73f5130a907
61610 .xword 0x60b004dad6ea27b2
61611 .xword 0x1b54ee7f7af4dd82
61612 .xword 0x3fe12f085087d9fa
61613 .xword 0x09dc1d89a23c202a
61614 .xword 0x932d43e4ff72456f
61615 .xword 0x2574791164e4de54
61616 .xword 0x8fdd46cf722ce11f
61617 .xword 0x921b13d27a226856
61618 .xword 0x92627386f951cbaf
61619 .xword 0x70254373cad5a018
61620 .xword 0x7490b5d0ae2d05dd
61621 .xword 0x57050f050466b829
61622 .xword 0x7c88aafaff175ae3
61623 .xword 0xc65036890239a04e
61624 .xword 0x8504b4327a75d13e
61625 .xword 0x73c914a1d9e555c5
61626 .xword 0xd4e6c3643f19324d
61627 .xword 0x3c50c0c5a3d1b00e
61628 .xword 0x146e3b0f200929ed
61629 .xword 0xf9cf8895035cc539
61630 .xword 0xdd02dd00d68857dd
61631 .xword 0x362427fbaeebc6c3
61632 .xword 0x99cc8d2e683068e4
61633 .xword 0xc6e7cf7bb08b3014
61634 .xword 0x075e5c33a17047cf
61635 .xword 0xdd3d0e78b09de2a2
61636 .xword 0x077f7343af633b11
61637 .xword 0x251571e99feac245
61638 .xword 0x5aed216b16665c1f
61639 .xword 0x746249cd4faaada9
61640 .xword 0x8b4f89a39a0bc973
61641 .xword 0xa4a3b5bd05631f8d
61642 .xword 0xc28fe2d6f602cbb9
61643 .xword 0xc9da5530370e48fe
61644 .xword 0xf5d4493492db1736
61645_t1_hash_dest:
61646 .xword 0xDEADBEEFDEADBEEF
61647 .xword 0xDEADBEEFDEADBEEF
61648 .xword 0xDEADBEEFDEADBEEF
61649 .xword 0xDEADBEEFDEADBEEF
61650 .xword 0xDEADBEEFDEADBEEF
61651 .xword 0xDEADBEEFDEADBEEF
61652 .xword 0xDEADBEEFDEADBEEF
61653 .xword 0xDEADBEEFDEADBEEF
61654 .xword 0xDEADBEEFDEADBEEF
61655 .xword 0xDEADBEEFDEADBEEF
61656 .xword 0xDEADBEEFDEADBEEF
61657 .xword 0xDEADBEEFDEADBEEF
61658 .xword 0xDEADBEEFDEADBEEF
61659 .xword 0xDEADBEEFDEADBEEF
61660 .xword 0xDEADBEEFDEADBEEF
61661 .xword 0xDEADBEEFDEADBEEF
61662 .xword 0xDEADBEEFDEADBEEF
61663 .xword 0xDEADBEEFDEADBEEF
61664 .xword 0xDEADBEEFDEADBEEF
61665 .xword 0xDEADBEEFDEADBEEF
61666 .xword 0xDEADBEEFDEADBEEF
61667 .xword 0xDEADBEEFDEADBEEF
61668 .xword 0xDEADBEEFDEADBEEF
61669 .xword 0xDEADBEEFDEADBEEF
61670 .xword 0xDEADBEEFDEADBEEF
61671 .xword 0xDEADBEEFDEADBEEF
61672 .xword 0xDEADBEEFDEADBEEF
61673 .xword 0xDEADBEEFDEADBEEF
61674 .xword 0xDEADBEEFDEADBEEF
61675 .xword 0xDEADBEEFDEADBEEF
61676 .xword 0xDEADBEEFDEADBEEF
61677 .xword 0xDEADBEEFDEADBEEF
61678 .xword 0xDEADBEEFDEADBEEF
61679 .xword 0xDEADBEEFDEADBEEF
61680 .xword 0xDEADBEEFDEADBEEF
61681 .xword 0xDEADBEEFDEADBEEF
61682 .xword 0xDEADBEEFDEADBEEF
61683 .xword 0xDEADBEEFDEADBEEF
61684 .xword 0xDEADBEEFDEADBEEF
61685 .xword 0xDEADBEEFDEADBEEF
61686 .xword 0xDEADBEEFDEADBEEF
61687 .xword 0xDEADBEEFDEADBEEF
61688 .xword 0xDEADBEEFDEADBEEF
61689 .xword 0xDEADBEEFDEADBEEF
61690 .xword 0xDEADBEEFDEADBEEF
61691 .xword 0xDEADBEEFDEADBEEF
61692 .xword 0xDEADBEEFDEADBEEF
61693 .xword 0xDEADBEEFDEADBEEF
61694 .xword 0xDEADBEEFDEADBEEF
61695 .xword 0xDEADBEEFDEADBEEF
61696 .xword 0xDEADBEEFDEADBEEF
61697 .xword 0xDEADBEEFDEADBEEF
61698 .xword 0xDEADBEEFDEADBEEF
61699 .xword 0xDEADBEEFDEADBEEF
61700 .xword 0xDEADBEEFDEADBEEF
61701 .xword 0xDEADBEEFDEADBEEF
61702 .xword 0xDEADBEEFDEADBEEF
61703 .xword 0xDEADBEEFDEADBEEF
61704 .xword 0xDEADBEEFDEADBEEF
61705 .xword 0xDEADBEEFDEADBEEF
61706 .xword 0xDEADBEEFDEADBEEF
61707 .xword 0xDEADBEEFDEADBEEF
61708 .xword 0xDEADBEEFDEADBEEF
61709 .xword 0xDEADBEEFDEADBEEF
61710 .xword 0xDEADBEEFDEADBEEF
61711 .xword 0xDEADBEEFDEADBEEF
61712 .xword 0xDEADBEEFDEADBEEF
61713 .xword 0xDEADBEEFDEADBEEF
61714 .xword 0xDEADBEEFDEADBEEF
61715 .xword 0xDEADBEEFDEADBEEF
61716 .xword 0xDEADBEEFDEADBEEF
61717 .xword 0xDEADBEEFDEADBEEF
61718 .xword 0xDEADBEEFDEADBEEF
61719 .xword 0xDEADBEEFDEADBEEF
61720 .xword 0xDEADBEEFDEADBEEF
61721 .xword 0xDEADBEEFDEADBEEF
61722 .xword 0xDEADBEEFDEADBEEF
61723 .xword 0xDEADBEEFDEADBEEF
61724 .xword 0xDEADBEEFDEADBEEF
61725 .xword 0xDEADBEEFDEADBEEF
61726 .xword 0xDEADBEEFDEADBEEF
61727 .xword 0xDEADBEEFDEADBEEF
61728 .xword 0xDEADBEEFDEADBEEF
61729 .xword 0xDEADBEEFDEADBEEF
61730 .xword 0xDEADBEEFDEADBEEF
61731 .xword 0xDEADBEEFDEADBEEF
61732 .xword 0xDEADBEEFDEADBEEF
61733 .xword 0xDEADBEEFDEADBEEF
61734 .xword 0xDEADBEEFDEADBEEF
61735 .xword 0xDEADBEEFDEADBEEF
61736 .xword 0xDEADBEEFDEADBEEF
61737 .xword 0xDEADBEEFDEADBEEF
61738 .xword 0xDEADBEEFDEADBEEF
61739 .xword 0xDEADBEEFDEADBEEF
61740 .xword 0xDEADBEEFDEADBEEF
61741 .xword 0xDEADBEEFDEADBEEF
61742 .xword 0xDEADBEEFDEADBEEF
61743 .xword 0xDEADBEEFDEADBEEF
61744 .xword 0xDEADBEEFDEADBEEF
61745 .xword 0xDEADBEEFDEADBEEF
61746 .xword 0xDEADBEEFDEADBEEF
61747 .xword 0xDEADBEEFDEADBEEF
61748 .xword 0xDEADBEEFDEADBEEF
61749 .xword 0xDEADBEEFDEADBEEF
61750 .xword 0xDEADBEEFDEADBEEF
61751 .xword 0xDEADBEEFDEADBEEF
61752 .xword 0xDEADBEEFDEADBEEF
61753 .xword 0xDEADBEEFDEADBEEF
61754 .xword 0xDEADBEEFDEADBEEF
61755 .xword 0xDEADBEEFDEADBEEF
61756 .xword 0xDEADBEEFDEADBEEF
61757 .xword 0xDEADBEEFDEADBEEF
61758 .xword 0xDEADBEEFDEADBEEF
61759 .xword 0xDEADBEEFDEADBEEF
61760 .xword 0xDEADBEEFDEADBEEF
61761 .xword 0xDEADBEEFDEADBEEF
61762 .xword 0xDEADBEEFDEADBEEF
61763 .xword 0xDEADBEEFDEADBEEF
61764 .xword 0xDEADBEEFDEADBEEF
61765 .xword 0xDEADBEEFDEADBEEF
61766 .xword 0xDEADBEEFDEADBEEF
61767 .xword 0xDEADBEEFDEADBEEF
61768 .xword 0xDEADBEEFDEADBEEF
61769 .xword 0xDEADBEEFDEADBEEF
61770 .xword 0xDEADBEEFDEADBEEF
61771 .xword 0xDEADBEEFDEADBEEF
61772 .xword 0xDEADBEEFDEADBEEF
61773 .xword 0xDEADBEEFDEADBEEF
61774 .xword 0xDEADBEEFDEADBEEF
61775 .xword 0xDEADBEEFDEADBEEF
61776 .xword 0xDEADBEEFDEADBEEF
61777 .xword 0xDEADBEEFDEADBEEF
61778 .xword 0xDEADBEEFDEADBEEF
61779 .xword 0xDEADBEEFDEADBEEF
61780 .xword 0xDEADBEEFDEADBEEF
61781 .xword 0xDEADBEEFDEADBEEF
61782 .xword 0xDEADBEEFDEADBEEF
61783 .xword 0xDEADBEEFDEADBEEF
61784 .xword 0xDEADBEEFDEADBEEF
61785 .xword 0xDEADBEEFDEADBEEF
61786 .xword 0xDEADBEEFDEADBEEF
61787 .xword 0xDEADBEEFDEADBEEF
61788 .xword 0xDEADBEEFDEADBEEF
61789 .xword 0xDEADBEEFDEADBEEF
61790 .xword 0xDEADBEEFDEADBEEF
61791 .xword 0xDEADBEEFDEADBEEF
61792 .xword 0xDEADBEEFDEADBEEF
61793 .xword 0xDEADBEEFDEADBEEF
61794 .xword 0xDEADBEEFDEADBEEF
61795 .xword 0xDEADBEEFDEADBEEF
61796 .xword 0xDEADBEEFDEADBEEF
61797 .xword 0xDEADBEEFDEADBEEF
61798 .xword 0xDEADBEEFDEADBEEF
61799 .xword 0xDEADBEEFDEADBEEF
61800 .xword 0xDEADBEEFDEADBEEF
61801 .xword 0xDEADBEEFDEADBEEF
61802 .xword 0xDEADBEEFDEADBEEF
61803 .xword 0xDEADBEEFDEADBEEF
61804 .xword 0xDEADBEEFDEADBEEF
61805 .xword 0xDEADBEEFDEADBEEF
61806 .xword 0xDEADBEEFDEADBEEF
61807 .xword 0xDEADBEEFDEADBEEF
61808 .xword 0xDEADBEEFDEADBEEF
61809 .xword 0xDEADBEEFDEADBEEF
61810 .xword 0xDEADBEEFDEADBEEF
61811 .xword 0xDEADBEEFDEADBEEF
61812 .xword 0xDEADBEEFDEADBEEF
61813 .xword 0xDEADBEEFDEADBEEF
61814 .xword 0xDEADBEEFDEADBEEF
61815 .xword 0xDEADBEEFDEADBEEF
61816 .xword 0xDEADBEEFDEADBEEF
61817 .xword 0xDEADBEEFDEADBEEF
61818 .xword 0xDEADBEEFDEADBEEF
61819 .xword 0xDEADBEEFDEADBEEF
61820 .xword 0xDEADBEEFDEADBEEF
61821 .xword 0xDEADBEEFDEADBEEF
61822 .xword 0xDEADBEEFDEADBEEF
61823 .xword 0xDEADBEEFDEADBEEF
61824 .xword 0xDEADBEEFDEADBEEF
61825 .xword 0xDEADBEEFDEADBEEF
61826 .xword 0xDEADBEEFDEADBEEF
61827 .xword 0xDEADBEEFDEADBEEF
61828 .xword 0xDEADBEEFDEADBEEF
61829 .xword 0xDEADBEEFDEADBEEF
61830 .xword 0xDEADBEEFDEADBEEF
61831 .xword 0xDEADBEEFDEADBEEF
61832 .xword 0xDEADBEEFDEADBEEF
61833 .xword 0xDEADBEEFDEADBEEF
61834 .xword 0xDEADBEEFDEADBEEF
61835 .xword 0xDEADBEEFDEADBEEF
61836 .xword 0xDEADBEEFDEADBEEF
61837 .xword 0xDEADBEEFDEADBEEF
61838 .xword 0xDEADBEEFDEADBEEF
61839 .xword 0xDEADBEEFDEADBEEF
61840 .xword 0xDEADBEEFDEADBEEF
61841 .xword 0xDEADBEEFDEADBEEF
61842 .xword 0xDEADBEEFDEADBEEF
61843 .xword 0xDEADBEEFDEADBEEF
61844 .xword 0xDEADBEEFDEADBEEF
61845 .xword 0xDEADBEEFDEADBEEF
61846 .xword 0xDEADBEEFDEADBEEF
61847 .xword 0xDEADBEEFDEADBEEF
61848 .xword 0xDEADBEEFDEADBEEF
61849 .xword 0xDEADBEEFDEADBEEF
61850 .xword 0xDEADBEEFDEADBEEF
61851 .xword 0xDEADBEEFDEADBEEF
61852 .xword 0xDEADBEEFDEADBEEF
61853 .xword 0xDEADBEEFDEADBEEF
61854 .xword 0xDEADBEEFDEADBEEF
61855 .xword 0xDEADBEEFDEADBEEF
61856 .xword 0xDEADBEEFDEADBEEF
61857 .xword 0xDEADBEEFDEADBEEF
61858 .xword 0xDEADBEEFDEADBEEF
61859 .xword 0xDEADBEEFDEADBEEF
61860 .xword 0xDEADBEEFDEADBEEF
61861 .xword 0xDEADBEEFDEADBEEF
61862 .xword 0xDEADBEEFDEADBEEF
61863 .xword 0xDEADBEEFDEADBEEF
61864 .xword 0xDEADBEEFDEADBEEF
61865 .xword 0xDEADBEEFDEADBEEF
61866 .xword 0xDEADBEEFDEADBEEF
61867 .xword 0xDEADBEEFDEADBEEF
61868 .xword 0xDEADBEEFDEADBEEF
61869 .xword 0xDEADBEEFDEADBEEF
61870 .xword 0xDEADBEEFDEADBEEF
61871 .xword 0xDEADBEEFDEADBEEF
61872 .xword 0xDEADBEEFDEADBEEF
61873 .xword 0xDEADBEEFDEADBEEF
61874 .xword 0xDEADBEEFDEADBEEF
61875 .xword 0xDEADBEEFDEADBEEF
61876 .xword 0xDEADBEEFDEADBEEF
61877 .xword 0xDEADBEEFDEADBEEF
61878 .xword 0xDEADBEEFDEADBEEF
61879 .xword 0xDEADBEEFDEADBEEF
61880 .xword 0xDEADBEEFDEADBEEF
61881 .xword 0xDEADBEEFDEADBEEF
61882 .xword 0xDEADBEEFDEADBEEF
61883 .xword 0xDEADBEEFDEADBEEF
61884 .xword 0xDEADBEEFDEADBEEF
61885 .xword 0xDEADBEEFDEADBEEF
61886 .xword 0xDEADBEEFDEADBEEF
61887 .xword 0xDEADBEEFDEADBEEF
61888 .xword 0xDEADBEEFDEADBEEF
61889 .xword 0xDEADBEEFDEADBEEF
61890 .xword 0xDEADBEEFDEADBEEF
61891 .xword 0xDEADBEEFDEADBEEF
61892 .xword 0xDEADBEEFDEADBEEF
61893 .xword 0xDEADBEEFDEADBEEF
61894 .xword 0xDEADBEEFDEADBEEF
61895 .xword 0xDEADBEEFDEADBEEF
61896 .xword 0xDEADBEEFDEADBEEF
61897 .xword 0xDEADBEEFDEADBEEF
61898 .xword 0xDEADBEEFDEADBEEF
61899 .xword 0xDEADBEEFDEADBEEF
61900 .xword 0xDEADBEEFDEADBEEF
61901 .xword 0xDEADBEEFDEADBEEF
61902 .xword 0xDEADBEEFDEADBEEF
61903 .xword 0xDEADBEEFDEADBEEF
61904 .xword 0xDEADBEEFDEADBEEF
61905 .xword 0xDEADBEEFDEADBEEF
61906 .xword 0xDEADBEEFDEADBEEF
61907 .xword 0xDEADBEEFDEADBEEF
61908 .xword 0xDEADBEEFDEADBEEF
61909 .xword 0xDEADBEEFDEADBEEF
61910 .xword 0xDEADBEEFDEADBEEF
61911 .xword 0xDEADBEEFDEADBEEF
61912 .xword 0xDEADBEEFDEADBEEF
61913 .xword 0xDEADBEEFDEADBEEF
61914 .xword 0xDEADBEEFDEADBEEF
61915 .xword 0xDEADBEEFDEADBEEF
61916 .xword 0xDEADBEEFDEADBEEF
61917 .xword 0xDEADBEEFDEADBEEF
61918 .xword 0xDEADBEEFDEADBEEF
61919 .xword 0xDEADBEEFDEADBEEF
61920 .xword 0xDEADBEEFDEADBEEF
61921_t1_hash_auth_key:
61922 .xword 0x3eef95d27fdfc91c
61923 .xword 0x020c7b4c22e0990a
61924 .xword 0xec39f73015ed00f7
61925 .xword 0x22a01b1049904ae5
61926 .xword 0xac5451080e287722
61927 .xword 0x921532bcd1005400
61928 .xword 0xc5577548e16f3728
61929 .xword 0xaa864d3475723e51
61930 .xword 0x0d0a6b400bd66882
61931 .xword 0xbdd4b1f6bf459b6c
61932 .xword 0x4ccf4ac0558d88ac
61933 .xword 0x7225b98f6f8380db
61934 .xword 0x5d964ad48a80c8fe
61935 .xword 0x263ed4b6feb87bf1
61936 .xword 0x708986fe705d6d05
61937 .xword 0xda21a0aa892062e1
61938 .xword 0x0b62edc2ae5f02a9
61939 .xword 0xcbc8f419d250b0a9
61940 .xword 0x192cb3666982e1b9
61941 .xword 0xd991ae3a139d1417
61942 .xword 0x3969fcd5b4412c6d
61943 .xword 0x2804131f3aeb9e09
61944 .xword 0x95b0c3e635a4ed6b
61945_t1_hash_auth_iv:
61946 .xword 0x1d5c83a4ca5b87f7
61947 .xword 0xbb7bf5f9cd281939
61948 .xword 0xad67a83de08828c0
61949 .xword 0x3313c8e538aaa9c9
61950 .xword 0x87c7abe03e2f70b6
61951 .xword 0x836a3a33aba95223
61952 .xword 0x95e7e49fa89885f3
61953 .xword 0x4795289e49c5059a
61954 .xword 0x9d2788d58724ffd4
61955 .xword 0x7472e10ddbba9e14
61956 .xword 0xd049a11ea9eb8c87
61957 .xword 0x7bdbd2f62be02913
61958 .xword 0xaaf9426c1f5aa2b0
61959 .xword 0xf0890d2f71bdbe07
61960 .xword 0x1c402f3e7ed0817f
61961 .xword 0xef93ad442c33cf41
61962 .xword 0x286876640fd7355b
61963 .xword 0x4ca42387d00ddadc
61964 .xword 0x555cb1bba187821a
61965 .xword 0x8a9203d677dbaa65
61966 .xword 0xbcb3dd8fe4ecfd0a
61967 .xword 0xfc15770f355d4ace
61968 .xword 0x9240589bde1aee2e
61969_t1_hash_fas_result:
61970 .xword 0xDEADBEEFDEADBEEF
61971 .xword 0xDEADBEEFDEADBEEF
61972 .xword 0xDEADBEEFDEADBEEF
61973 .xword 0xDEADBEEFDEADBEEF
61974 .xword 0xDEADBEEFDEADBEEF
61975 .xword 0xDEADBEEFDEADBEEF
61976 .xword 0xDEADBEEFDEADBEEF
61977 .xword 0xDEADBEEFDEADBEEF
61978 .xword 0xDEADBEEFDEADBEEF
61979 .xword 0xDEADBEEFDEADBEEF
61980 .xword 0xDEADBEEFDEADBEEF
61981 .xword 0xDEADBEEFDEADBEEF
61982 .xword 0xDEADBEEFDEADBEEF
61983 .xword 0xDEADBEEFDEADBEEF
61984 .xword 0xDEADBEEFDEADBEEF
61985 .xword 0xDEADBEEFDEADBEEF
61986 .xword 0xDEADBEEFDEADBEEF
61987 .xword 0xDEADBEEFDEADBEEF
61988 .xword 0xDEADBEEFDEADBEEF
61989 .xword 0xDEADBEEFDEADBEEF
61990 .xword 0xDEADBEEFDEADBEEF
61991 .xword 0xDEADBEEFDEADBEEF
61992 .xword 0xDEADBEEFDEADBEEF
61993_t1_hmac_key_array:
61994 .xword 0x7b74d3cbbdc62886
61995 .xword 0x88a09615dee2b834
61996 .xword 0xb72235b5eb754bb7
61997 .xword 0x65a4d3f6c1170e1c
61998 .xword 0xab1d82dfe4f2f854
61999 .xword 0x8ffba5e18b0e48a7
62000 .xword 0xe9aa32d195aa2d49
62001 .xword 0x359ba0e54e70f24d
62002 .xword 0xb381cd0cc89b0e52
62003 .xword 0x47652188ce5260f6
62004 .xword 0xd9e7c0b2e0793c78
62005 .xword 0x265a6f6a64652e08
62006 .xword 0x71d8bd73bacbcf36
62007 .xword 0xfceaafeb3c4e53f1
62008 .xword 0x7a972886dcd26273
62009 .xword 0xa435ab7d32bbd3ce
62010 .xword 0x40eb1e8e97d96a56
62011 .xword 0x13ed009aa1398cd2
62012 .xword 0x21f2cff4e9a752df
62013 .xword 0xb64315deb667a8f8
62014 .xword 0x45533c67379f3457
62015 .xword 0xe793da2ab5c5333c
62016 .xword 0x586d4b933e14d36c
62017 .xword 0xca4f8806c45afa3e
62018 .xword 0x2e130aff7beb74e7
62019 .xword 0x83b38e051dea54bb
62020 .xword 0xd299732a2c7792b9
62021 .xword 0x202f54df2441e9e7
62022 .xword 0x4447f789c6641f60
62023 .xword 0x51a982d397f033c0
62024 .xword 0xe35ebafc1d1ad31e
62025 .xword 0x03863b1649b6469d
62026 .xword 0x9447e85adb44d78f
62027 .xword 0x9a712f0fbfd0f643
62028 .xword 0x237af1d294d55796
62029 .xword 0xe1e844576ff8f885
62030 .xword 0x67df4027e1e21f55
62031 .xword 0x0a4809a863f0f25e
62032 .xword 0x187a5932ba8544be
62033 .xword 0x3b5e24d44c95b02e
62034 .xword 0x2424c94ff3c7c025
62035 .xword 0x488c34b485f537e5
62036 .xword 0xfc422e71ebd08e01
62037 .xword 0xf5fb93c86dc412ae
62038 .xword 0xbb03e74b33263f7f
62039 .xword 0xa50b109c72447467
62040 .xword 0x657ad9fd166662c8
62041 .xword 0xff67601840be9d03
62042 .xword 0xb362fcd7224e936f
62043 .xword 0xbd696ce70733399d
62044 .xword 0x08f514b8f84c31ea
62045_t1_hmac_iv_array:
62046 .xword 0x33b294ecfc806ee9
62047 .xword 0x042b8a9a3e4ebeb4
62048 .xword 0x1b5950cbf5dbbe80
62049 .xword 0xc0d261e3a18b5300
62050 .xword 0xb738c286aa24a418
62051 .xword 0x4da49ed07894722f
62052 .xword 0x5271294f0cf6c847
62053 .xword 0x6b94ff1d01a0cb43
62054 .xword 0x245d8d4edfaf21e1
62055 .xword 0x80192aaa60d71d1b
62056 .xword 0xa96fc34475a9b9ca
62057 .xword 0xe8474881827a3f2a
62058 .xword 0x0c6c3b1cb0485f70
62059 .xword 0xd77bbcfee9f64725
62060 .xword 0x60c3458b9cc6c894
62061 .xword 0xf42a8c00bc15ff89
62062 .xword 0x5156e386f2b2bd7e
62063 .xword 0x9b038947e460eaad
62064 .xword 0x6b4b9f047bc2836c
62065 .xword 0x20a605bd9cb02c28
62066 .xword 0xe33fe1163d1ac148
62067 .xword 0x639170f1125f18e6
62068 .xword 0xeaee3f8564d150ce
62069 .xword 0xf3dcdedb191ba531
62070 .xword 0xa1f3d8e8606554d4
62071 .xword 0x139b20e87626b239
62072 .xword 0x20539a2fd1f2c7e6
62073 .xword 0x2d9a64b8f4befbf7
62074 .xword 0xd4d12dc48bcbe741
62075 .xword 0x9e27f45a0fa2381c
62076 .xword 0xc3bac74f6477b57c
62077 .xword 0x4d9a82bdc8c79249
62078 .xword 0x150a775c49d48f56
62079 .xword 0xe2b9d5089bf3ad35
62080 .xword 0x29a996c687e4a7e2
62081 .xword 0xc412a50bbfa0da55
62082 .xword 0xf0ceb87676c1551c
62083 .xword 0x258dcf8f6866d148
62084 .xword 0xe447456a45493315
62085 .xword 0x9f9fbf2a513a7b74
62086 .xword 0xfed4dbe5b9668281
62087 .xword 0xd0fc679f3eee5603
62088 .xword 0x51a7db6dff601aa1
62089 .xword 0xffc5feac2bc009b4
62090 .xword 0xb83c3807b4a77c9e
62091_t1_hmac_alignment_array:
62092 .xword 7
62093 .xword 14
62094 .xword 15
62095 .xword 2
62096 .xword 12
62097 .xword 10
62098 .xword 1
62099 .xword 2
62100 .xword 2
62101 .xword 5
62102 .xword 13
62103 .xword 10
62104 .xword 0
62105 .xword 12
62106 .xword 5
62107 .xword 8
62108 .xword 1
62109 .xword 4
62110 .xword 8
62111 .xword 11
62112 .xword 2
62113 .xword 10
62114 .xword 12
62115 .xword 6
62116 .xword 8
62117 .xword 6
62118 .xword 15
62119 .xword 0
62120 .xword 5
62121 .xword 2
62122 .xword 12
62123 .xword 0
62124 .xword 12
62125 .xword 5
62126 .xword 15
62127 .xword 3
62128 .xword 6
62129 .xword 4
62130 .xword 5
62131 .xword 0
62132 .xword 12
62133 .xword 10
62134 .xword 9
62135 .xword 4
62136 .xword 11
62137 .xword 14
62138 .xword 4
62139 .xword 4
62140 .xword 3
62141 .xword 10
62142 .xword 9
62143 .xword 4
62144 .xword 14
62145 .xword 5
62146 .xword 12
62147 .xword 6
62148 .xword 3
62149 .xword 14
62150 .xword 11
62151 .xword 6
62152 .xword 15
62153 .xword 2
62154 .xword 14
62155 .xword 9
62156 .xword 12
62157 .xword 7
62158 .xword 6
62159 .xword 13
62160 .xword 14
62161 .xword 6
62162 .xword 15
62163 .xword 3
62164 .xword 14
62165 .xword 10
62166 .xword 8
62167 .xword 11
62168 .xword 2
62169 .xword 10
62170 .xword 11
62171 .xword 15
62172 .xword 7
62173 .xword 9
62174 .xword 15
62175 .xword 14
62176 .xword 15
62177 .xword 7
62178 .xword 5
62179 .xword 13
62180 .xword 7
62181 .xword 0
62182 .xword 14
62183 .xword 12
62184 .xword 5
62185 .xword 11
62186 .xword 14
62187 .xword 14
62188 .xword 0
62189 .xword 4
62190 .xword 15
62191 .xword 6
62192 .xword 3
62193 .xword 15
62194 .xword 8
62195 .xword 2
62196 .xword 9
62197_t1_hmac_src:
62198 .xword 0x00a47c5d3c0cc00b
62199 .xword 0x64c76fcd46e22ca3
62200 .xword 0xdfefe74b08611407
62201 .xword 0x83cb307fc5b88b53
62202 .xword 0xeec45ecf81f6dde2
62203 .xword 0xff2b2fbb0b357de1
62204 .xword 0x186ff559332be009
62205 .xword 0x3aef0197cee798ac
62206 .xword 0xf412b5751fed0ff5
62207 .xword 0x846569687105bb97
62208 .xword 0xd7ae755ff7bbc404
62209 .xword 0x68c21662d4ff964c
62210 .xword 0x6bc3906e70c9cc86
62211 .xword 0x8549d7533f90492b
62212 .xword 0xdaa76202c39752c5
62213 .xword 0x215f182464937b5c
62214 .xword 0xfb8c295b33ec2c6a
62215 .xword 0x5d135480904b1650
62216 .xword 0xaf7eb6185b4d2054
62217 .xword 0x3a0efb2649c36c00
62218 .xword 0x8ba31d2cab65ebd4
62219 .xword 0xd2eca8406ea3fdd5
62220 .xword 0x377cb328b465b8dc
62221 .xword 0x7e09330cbe6b876d
62222 .xword 0xcc8c6e9a74e292af
62223 .xword 0xad857f7403441de7
62224 .xword 0x3473df8c92352a85
62225 .xword 0x07d93ba45b5b606e
62226 .xword 0x53ee0bcd59dc009a
62227 .xword 0x0866134775f15b58
62228 .xword 0xe63146577683cb1f
62229 .xword 0xe400ea413e95191b
62230 .xword 0xc1e55c8c8f7aa86b
62231 .xword 0x40a138bfcabda0bc
62232 .xword 0xdc24ec07871d83f1
62233 .xword 0xe39990c149aa872c
62234 .xword 0xc5f6bd85208a0ea2
62235 .xword 0xce18440e2b2f6d2a
62236 .xword 0xfc406aaf6470a0e1
62237 .xword 0x5473cbead8d5c25b
62238 .xword 0xdf976405321c9caf
62239 .xword 0x9516a023df6189f4
62240 .xword 0x2aebf2ffc4669320
62241 .xword 0x4d44053438eb9ce7
62242 .xword 0xa4862665ad7dcc9a
62243 .xword 0x755ade235c7d1dbe
62244 .xword 0x4fb7631b3fa113b7
62245 .xword 0x3f9c73b14665cb8f
62246 .xword 0xd1b094e9a86219c2
62247 .xword 0x4ef9112314351e24
62248 .xword 0x3d982dc59311e33a
62249 .xword 0x6e01f7d39a613fe5
62250 .xword 0x9bc69f375f71ccce
62251 .xword 0xda63c50818ab11c7
62252 .xword 0x3431d6a04d47f2b9
62253 .xword 0x7f3db4a30861d9ee
62254 .xword 0x5b25f979cff81c89
62255 .xword 0xa15e4500deb1afbe
62256 .xword 0x82e61a93c470ec7b
62257 .xword 0x7fac8824af2c33ba
62258 .xword 0x6ff4a0750734bb80
62259 .xword 0x25697d6d61aea0af
62260 .xword 0xc6108e9dda99e871
62261 .xword 0x5056112a57347f95
62262 .xword 0xe03ce8c08074a627
62263 .xword 0x87758c3edc463f5d
62264 .xword 0x8ac8c9b4be4e9f8d
62265 .xword 0x8e0e18e30923ccf5
62266 .xword 0xcf8410581e73cf0d
62267 .xword 0xc323f6aa90fd24b9
62268 .xword 0x4e008f363c8397e5
62269 .xword 0xb05c8b97742d3b99
62270 .xword 0x6dcac04503b5999f
62271 .xword 0xa90367d34e50d873
62272 .xword 0xe6645851225ddf8f
62273 .xword 0x7e9705bb703dc0c5
62274 .xword 0x6d9f208ca02c293a
62275 .xword 0x0f7bb5b73e0995b7
62276 .xword 0xf914dbd57b5f5103
62277 .xword 0xeec5b4f02c1593ff
62278 .xword 0xa0e37ecb1e84f505
62279 .xword 0x2c6399c9a46830d1
62280 .xword 0x58a80392eb7dcab8
62281 .xword 0x01e087bc2fb281d6
62282 .xword 0x3056f5fa080b9c96
62283 .xword 0x6e50ea313c9e4726
62284 .xword 0x06dd5c2537606c5c
62285 .xword 0x0615ec0c1fe29fa0
62286 .xword 0xffef1087057ed9ea
62287 .xword 0xd87ce11264d98dc6
62288 .xword 0x39cdafeb4bd6c2cf
62289 .xword 0xa03b111547337ecd
62290 .xword 0x6b94588d41f5b2d6
62291 .xword 0x10122cdf3fdfa715
62292 .xword 0xec5b2f9644430a9d
62293 .xword 0x71fffbd4438a4cbe
62294 .xword 0x4bee6906f3f6a2d6
62295 .xword 0x49d204d174f9d667
62296 .xword 0x0f0bfd284bc5b1fc
62297 .xword 0x16ec0e3180ab442f
62298 .xword 0xb27d8e9c53423dce
62299 .xword 0x0ca15b19f5ecf61d
62300 .xword 0x9ae78458cadf4781
62301 .xword 0xd36c32f8d1f1edd4
62302 .xword 0x253e275074641797
62303 .xword 0x17e548e1b5ad6186
62304 .xword 0x50e111d0544b90b3
62305 .xword 0x2bac627905fbced5
62306 .xword 0x5a925e206eb9c866
62307 .xword 0x7bf57c8875d01c53
62308 .xword 0xabaaa9c72319bcab
62309 .xword 0xa02fd9957825ac74
62310 .xword 0xf41236f99046737e
62311 .xword 0xe447242673a38e0a
62312 .xword 0x705d2d7256e24b3b
62313 .xword 0xdad05215df665955
62314 .xword 0xc6b1c588d7d4f325
62315 .xword 0x089aa229917541d1
62316 .xword 0x06a80cdfbc5a38db
62317 .xword 0x71911cca08a2f7cc
62318 .xword 0x30f8bad467635170
62319 .xword 0x0484aa92c2ba6ec7
62320 .xword 0xac7bebf30f771b38
62321 .xword 0xeedaa648bd116255
62322 .xword 0x9701ccc53895aa49
62323 .xword 0x521aea187bc28914
62324 .xword 0x91164bd3852a922f
62325 .xword 0x4d69519b9ad976ec
62326 .xword 0x4e09b03407429ba4
62327 .xword 0xfc7d19a11b3744bf
62328 .xword 0x197f5bccbf665b68
62329 .xword 0x51e9fff4c9553757
62330 .xword 0x7273a5aa9b287e06
62331 .xword 0xc13e4b91222532a5
62332 .xword 0x2bf5eea736e74753
62333 .xword 0xdd962241549e3cdd
62334 .xword 0xa8231bc020b44547
62335 .xword 0x5d9bb1fc8c7ff40c
62336 .xword 0x86cad07cca3d5320
62337 .xword 0x8d29fe8150f48d3a
62338 .xword 0x0497336760a74d8b
62339 .xword 0xcd2b51aa6e53ff47
62340 .xword 0x0eb68d21304b8b7b
62341 .xword 0x2fb7d95f596f5aca
62342 .xword 0xa7610069d05edeaf
62343 .xword 0xee47cac4e97bc284
62344 .xword 0xdb6a5098997b5b72
62345 .xword 0x21e9bf233df1de80
62346 .xword 0x715c36eb82ee2dc6
62347 .xword 0x2176a48b71aca0da
62348 .xword 0xd2c4ee9d7b1d1e3a
62349 .xword 0xe0d29dcc424d622b
62350 .xword 0x8d25955e46733963
62351 .xword 0xb9848f8c05b2bc99
62352 .xword 0xae62790130f3a3f3
62353 .xword 0xe45123cbb4f4b795
62354 .xword 0x13228d3c6a987223
62355 .xword 0x14067c3001d1d92b
62356 .xword 0x716bd7047710748a
62357 .xword 0x25e29a3767db6eeb
62358 .xword 0x620c750f94041def
62359 .xword 0x19e5dbdc773e7bdf
62360 .xword 0x66e61ff0f91985d5
62361 .xword 0xef2f940dd08850e2
62362 .xword 0xd2a2b402a9985400
62363 .xword 0x04db51679bcc9079
62364 .xword 0xff234f114f073ba5
62365 .xword 0xefe7faacda64043b
62366 .xword 0xe04f2d9d16a31548
62367 .xword 0xef91069302c099e3
62368 .xword 0x0ae777c84f0c6020
62369 .xword 0x94f4fc8abfe7f8be
62370 .xword 0x8e5058a4ca001707
62371 .xword 0x675a20422947e800
62372 .xword 0xd135ffcc8f5aaa78
62373 .xword 0x4706b18cc563eff6
62374 .xword 0xa0001f6fec66002f
62375 .xword 0xa3143bf988c87444
62376 .xword 0x443af0c9d9b957b1
62377 .xword 0xac7bd052cf2d8134
62378 .xword 0x717f70b5869095b3
62379 .xword 0xcb10485c0b5aff14
62380 .xword 0xa8dd6adf59c263fd
62381 .xword 0xb14ca26eb49f401f
62382 .xword 0xcfaab1a9b1282229
62383 .xword 0x4d08b7ad3ae9cadf
62384 .xword 0xbf43275aafb5b952
62385 .xword 0x2e40a8ae9bd3f604
62386 .xword 0x2e9c8c854706a49f
62387 .xword 0x2b00e7d8160f150d
62388 .xword 0xeec1f9005cce405f
62389 .xword 0x91dd4063fd62ffab
62390 .xword 0x7f86d7c4719f1454
62391 .xword 0x5c8f7b4a7442c2aa
62392 .xword 0x19f24b932c698bd1
62393 .xword 0x93f5c23183e2d092
62394 .xword 0x6f970fd87ccdf8f1
62395 .xword 0x9279f2b1767c442c
62396 .xword 0x097bdd055e7ca53a
62397 .xword 0x18c7f2436f1e8898
62398 .xword 0xc4c27b1b66a3955a
62399 .xword 0x86723257d81a99d6
62400 .xword 0xa431b5197e3a5ada
62401 .xword 0x21fd0996bcb153d7
62402 .xword 0xd9c505e055509243
62403 .xword 0x67018c9b0ef9922e
62404 .xword 0x6c471c681b57b3a2
62405 .xword 0x166dd57deaca970d
62406 .xword 0xa77b034d07272b69
62407 .xword 0x431585edcf73c95c
62408 .xword 0x678afdc72826d213
62409 .xword 0xbe757639d7220263
62410 .xword 0x9990500117922aa0
62411 .xword 0x8a69d6569465723d
62412 .xword 0xaee579fe177b1319
62413 .xword 0x77a7e16d23486c8f
62414 .xword 0x381ade23579defaa
62415 .xword 0xdb1ba13795dfa6a0
62416 .xword 0x6d5c184b34af39d2
62417 .xword 0xc0e4d1d1d73828c0
62418 .xword 0x783731efd59f5798
62419 .xword 0x7bcad48ffc857b5b
62420 .xword 0xa728edf6aa1be0bf
62421 .xword 0x9a245a49f5b35366
62422 .xword 0xaca3e7147af3541e
62423 .xword 0x13dee4ae468d9631
62424 .xword 0x614557660eb63ce7
62425 .xword 0x2132d9cc3f9ef991
62426 .xword 0x33e0a5c1ef7301d8
62427 .xword 0xddd191b6e5e55607
62428 .xword 0xff57def109701236
62429 .xword 0x6c0e4810eddfb739
62430 .xword 0xb32e4645f18c6343
62431 .xword 0xb634b7c9f33281a8
62432 .xword 0x819f1b643e8de2cf
62433 .xword 0xd3d2d4b5e0302dba
62434 .xword 0x4c54ec8413e17668
62435 .xword 0xf540241ba5aa69c8
62436 .xword 0xc1856d6d80adf0fc
62437 .xword 0xe3282f9c427d3ad4
62438 .xword 0x0daa75968fe20b57
62439 .xword 0x8779afd4c3ae9930
62440 .xword 0x43e4e40605093f1a
62441 .xword 0x52583d1737ca0699
62442 .xword 0xaec9cd5baae41045
62443 .xword 0xf2ef4e584bc81db7
62444 .xword 0xdf3345919317c38a
62445 .xword 0x2d6beae3381fc733
62446 .xword 0x112661da452106f8
62447 .xword 0xded403f293ce7358
62448 .xword 0x71d5e8873182dd0b
62449 .xword 0x280cddca2683ca84
62450 .xword 0x735e500ad9738bda
62451 .xword 0xe2d4e3d342dc4297
62452 .xword 0x0a36d8bd3c56f1f0
62453 .xword 0xa860706c12b4c0cc
62454 .xword 0x735dc5ad70a427be
62455 .xword 0x205a1000808e1a38
62456 .xword 0x47902f5fce71e920
62457 .xword 0x792f57c440900703
62458 .xword 0x7beeb9910b7057a6
62459 .xword 0xc91d2494a09dc7bb
62460 .xword 0x3f9e15ac3b80c63a
62461 .xword 0x9a3bb34c483d3686
62462 .xword 0x6d3a1122bf0e5938
62463 .xword 0x06a60ce79d242c6c
62464 .xword 0xe90aaf14bade8d8c
62465 .xword 0x22eaf83153dad0ab
62466 .xword 0x75367918406a2822
62467 .xword 0x140eb7db52e0a463
62468 .xword 0x34e5a210f22f1be5
62469 .xword 0xc5f13573d35969d2
62470 .xword 0x160478976a8c9759
62471 .xword 0x01dc4ce24a8ac5d6
62472 .xword 0x6521232bf7d8b78f
62473_t1_hmac_dest:
62474 .xword 0xDEADBEEFDEADBEEF
62475 .xword 0xDEADBEEFDEADBEEF
62476 .xword 0xDEADBEEFDEADBEEF
62477 .xword 0xDEADBEEFDEADBEEF
62478 .xword 0xDEADBEEFDEADBEEF
62479 .xword 0xDEADBEEFDEADBEEF
62480 .xword 0xDEADBEEFDEADBEEF
62481 .xword 0xDEADBEEFDEADBEEF
62482 .xword 0xDEADBEEFDEADBEEF
62483 .xword 0xDEADBEEFDEADBEEF
62484 .xword 0xDEADBEEFDEADBEEF
62485 .xword 0xDEADBEEFDEADBEEF
62486 .xword 0xDEADBEEFDEADBEEF
62487 .xword 0xDEADBEEFDEADBEEF
62488 .xword 0xDEADBEEFDEADBEEF
62489 .xword 0xDEADBEEFDEADBEEF
62490 .xword 0xDEADBEEFDEADBEEF
62491 .xword 0xDEADBEEFDEADBEEF
62492 .xword 0xDEADBEEFDEADBEEF
62493 .xword 0xDEADBEEFDEADBEEF
62494 .xword 0xDEADBEEFDEADBEEF
62495 .xword 0xDEADBEEFDEADBEEF
62496 .xword 0xDEADBEEFDEADBEEF
62497 .xword 0xDEADBEEFDEADBEEF
62498 .xword 0xDEADBEEFDEADBEEF
62499 .xword 0xDEADBEEFDEADBEEF
62500 .xword 0xDEADBEEFDEADBEEF
62501 .xword 0xDEADBEEFDEADBEEF
62502 .xword 0xDEADBEEFDEADBEEF
62503 .xword 0xDEADBEEFDEADBEEF
62504 .xword 0xDEADBEEFDEADBEEF
62505 .xword 0xDEADBEEFDEADBEEF
62506 .xword 0xDEADBEEFDEADBEEF
62507 .xword 0xDEADBEEFDEADBEEF
62508 .xword 0xDEADBEEFDEADBEEF
62509 .xword 0xDEADBEEFDEADBEEF
62510 .xword 0xDEADBEEFDEADBEEF
62511 .xword 0xDEADBEEFDEADBEEF
62512 .xword 0xDEADBEEFDEADBEEF
62513 .xword 0xDEADBEEFDEADBEEF
62514 .xword 0xDEADBEEFDEADBEEF
62515 .xword 0xDEADBEEFDEADBEEF
62516 .xword 0xDEADBEEFDEADBEEF
62517 .xword 0xDEADBEEFDEADBEEF
62518 .xword 0xDEADBEEFDEADBEEF
62519 .xword 0xDEADBEEFDEADBEEF
62520 .xword 0xDEADBEEFDEADBEEF
62521 .xword 0xDEADBEEFDEADBEEF
62522 .xword 0xDEADBEEFDEADBEEF
62523 .xword 0xDEADBEEFDEADBEEF
62524 .xword 0xDEADBEEFDEADBEEF
62525 .xword 0xDEADBEEFDEADBEEF
62526 .xword 0xDEADBEEFDEADBEEF
62527 .xword 0xDEADBEEFDEADBEEF
62528 .xword 0xDEADBEEFDEADBEEF
62529 .xword 0xDEADBEEFDEADBEEF
62530 .xword 0xDEADBEEFDEADBEEF
62531 .xword 0xDEADBEEFDEADBEEF
62532 .xword 0xDEADBEEFDEADBEEF
62533 .xword 0xDEADBEEFDEADBEEF
62534 .xword 0xDEADBEEFDEADBEEF
62535 .xword 0xDEADBEEFDEADBEEF
62536 .xword 0xDEADBEEFDEADBEEF
62537 .xword 0xDEADBEEFDEADBEEF
62538 .xword 0xDEADBEEFDEADBEEF
62539 .xword 0xDEADBEEFDEADBEEF
62540 .xword 0xDEADBEEFDEADBEEF
62541 .xword 0xDEADBEEFDEADBEEF
62542 .xword 0xDEADBEEFDEADBEEF
62543 .xword 0xDEADBEEFDEADBEEF
62544 .xword 0xDEADBEEFDEADBEEF
62545 .xword 0xDEADBEEFDEADBEEF
62546 .xword 0xDEADBEEFDEADBEEF
62547 .xword 0xDEADBEEFDEADBEEF
62548 .xword 0xDEADBEEFDEADBEEF
62549 .xword 0xDEADBEEFDEADBEEF
62550 .xword 0xDEADBEEFDEADBEEF
62551 .xword 0xDEADBEEFDEADBEEF
62552 .xword 0xDEADBEEFDEADBEEF
62553 .xword 0xDEADBEEFDEADBEEF
62554 .xword 0xDEADBEEFDEADBEEF
62555 .xword 0xDEADBEEFDEADBEEF
62556 .xword 0xDEADBEEFDEADBEEF
62557 .xword 0xDEADBEEFDEADBEEF
62558 .xword 0xDEADBEEFDEADBEEF
62559 .xword 0xDEADBEEFDEADBEEF
62560 .xword 0xDEADBEEFDEADBEEF
62561 .xword 0xDEADBEEFDEADBEEF
62562 .xword 0xDEADBEEFDEADBEEF
62563 .xword 0xDEADBEEFDEADBEEF
62564 .xword 0xDEADBEEFDEADBEEF
62565 .xword 0xDEADBEEFDEADBEEF
62566 .xword 0xDEADBEEFDEADBEEF
62567 .xword 0xDEADBEEFDEADBEEF
62568 .xword 0xDEADBEEFDEADBEEF
62569 .xword 0xDEADBEEFDEADBEEF
62570 .xword 0xDEADBEEFDEADBEEF
62571 .xword 0xDEADBEEFDEADBEEF
62572 .xword 0xDEADBEEFDEADBEEF
62573 .xword 0xDEADBEEFDEADBEEF
62574 .xword 0xDEADBEEFDEADBEEF
62575 .xword 0xDEADBEEFDEADBEEF
62576 .xword 0xDEADBEEFDEADBEEF
62577 .xword 0xDEADBEEFDEADBEEF
62578 .xword 0xDEADBEEFDEADBEEF
62579 .xword 0xDEADBEEFDEADBEEF
62580 .xword 0xDEADBEEFDEADBEEF
62581 .xword 0xDEADBEEFDEADBEEF
62582 .xword 0xDEADBEEFDEADBEEF
62583 .xword 0xDEADBEEFDEADBEEF
62584 .xword 0xDEADBEEFDEADBEEF
62585 .xword 0xDEADBEEFDEADBEEF
62586 .xword 0xDEADBEEFDEADBEEF
62587 .xword 0xDEADBEEFDEADBEEF
62588 .xword 0xDEADBEEFDEADBEEF
62589 .xword 0xDEADBEEFDEADBEEF
62590 .xword 0xDEADBEEFDEADBEEF
62591 .xword 0xDEADBEEFDEADBEEF
62592 .xword 0xDEADBEEFDEADBEEF
62593 .xword 0xDEADBEEFDEADBEEF
62594 .xword 0xDEADBEEFDEADBEEF
62595 .xword 0xDEADBEEFDEADBEEF
62596 .xword 0xDEADBEEFDEADBEEF
62597 .xword 0xDEADBEEFDEADBEEF
62598 .xword 0xDEADBEEFDEADBEEF
62599 .xword 0xDEADBEEFDEADBEEF
62600 .xword 0xDEADBEEFDEADBEEF
62601 .xword 0xDEADBEEFDEADBEEF
62602 .xword 0xDEADBEEFDEADBEEF
62603 .xword 0xDEADBEEFDEADBEEF
62604 .xword 0xDEADBEEFDEADBEEF
62605 .xword 0xDEADBEEFDEADBEEF
62606 .xword 0xDEADBEEFDEADBEEF
62607 .xword 0xDEADBEEFDEADBEEF
62608 .xword 0xDEADBEEFDEADBEEF
62609 .xword 0xDEADBEEFDEADBEEF
62610 .xword 0xDEADBEEFDEADBEEF
62611 .xword 0xDEADBEEFDEADBEEF
62612 .xword 0xDEADBEEFDEADBEEF
62613 .xword 0xDEADBEEFDEADBEEF
62614 .xword 0xDEADBEEFDEADBEEF
62615 .xword 0xDEADBEEFDEADBEEF
62616 .xword 0xDEADBEEFDEADBEEF
62617 .xword 0xDEADBEEFDEADBEEF
62618 .xword 0xDEADBEEFDEADBEEF
62619 .xword 0xDEADBEEFDEADBEEF
62620 .xword 0xDEADBEEFDEADBEEF
62621 .xword 0xDEADBEEFDEADBEEF
62622 .xword 0xDEADBEEFDEADBEEF
62623 .xword 0xDEADBEEFDEADBEEF
62624 .xword 0xDEADBEEFDEADBEEF
62625 .xword 0xDEADBEEFDEADBEEF
62626 .xword 0xDEADBEEFDEADBEEF
62627 .xword 0xDEADBEEFDEADBEEF
62628 .xword 0xDEADBEEFDEADBEEF
62629 .xword 0xDEADBEEFDEADBEEF
62630 .xword 0xDEADBEEFDEADBEEF
62631 .xword 0xDEADBEEFDEADBEEF
62632 .xword 0xDEADBEEFDEADBEEF
62633 .xword 0xDEADBEEFDEADBEEF
62634 .xword 0xDEADBEEFDEADBEEF
62635 .xword 0xDEADBEEFDEADBEEF
62636 .xword 0xDEADBEEFDEADBEEF
62637 .xword 0xDEADBEEFDEADBEEF
62638 .xword 0xDEADBEEFDEADBEEF
62639 .xword 0xDEADBEEFDEADBEEF
62640 .xword 0xDEADBEEFDEADBEEF
62641 .xword 0xDEADBEEFDEADBEEF
62642 .xword 0xDEADBEEFDEADBEEF
62643 .xword 0xDEADBEEFDEADBEEF
62644 .xword 0xDEADBEEFDEADBEEF
62645 .xword 0xDEADBEEFDEADBEEF
62646 .xword 0xDEADBEEFDEADBEEF
62647 .xword 0xDEADBEEFDEADBEEF
62648 .xword 0xDEADBEEFDEADBEEF
62649 .xword 0xDEADBEEFDEADBEEF
62650 .xword 0xDEADBEEFDEADBEEF
62651 .xword 0xDEADBEEFDEADBEEF
62652 .xword 0xDEADBEEFDEADBEEF
62653 .xword 0xDEADBEEFDEADBEEF
62654 .xword 0xDEADBEEFDEADBEEF
62655 .xword 0xDEADBEEFDEADBEEF
62656 .xword 0xDEADBEEFDEADBEEF
62657 .xword 0xDEADBEEFDEADBEEF
62658 .xword 0xDEADBEEFDEADBEEF
62659 .xword 0xDEADBEEFDEADBEEF
62660 .xword 0xDEADBEEFDEADBEEF
62661 .xword 0xDEADBEEFDEADBEEF
62662 .xword 0xDEADBEEFDEADBEEF
62663 .xword 0xDEADBEEFDEADBEEF
62664 .xword 0xDEADBEEFDEADBEEF
62665 .xword 0xDEADBEEFDEADBEEF
62666 .xword 0xDEADBEEFDEADBEEF
62667 .xword 0xDEADBEEFDEADBEEF
62668 .xword 0xDEADBEEFDEADBEEF
62669 .xword 0xDEADBEEFDEADBEEF
62670 .xword 0xDEADBEEFDEADBEEF
62671 .xword 0xDEADBEEFDEADBEEF
62672 .xword 0xDEADBEEFDEADBEEF
62673 .xword 0xDEADBEEFDEADBEEF
62674 .xword 0xDEADBEEFDEADBEEF
62675 .xword 0xDEADBEEFDEADBEEF
62676 .xword 0xDEADBEEFDEADBEEF
62677 .xword 0xDEADBEEFDEADBEEF
62678 .xword 0xDEADBEEFDEADBEEF
62679 .xword 0xDEADBEEFDEADBEEF
62680 .xword 0xDEADBEEFDEADBEEF
62681 .xword 0xDEADBEEFDEADBEEF
62682 .xword 0xDEADBEEFDEADBEEF
62683 .xword 0xDEADBEEFDEADBEEF
62684 .xword 0xDEADBEEFDEADBEEF
62685 .xword 0xDEADBEEFDEADBEEF
62686 .xword 0xDEADBEEFDEADBEEF
62687 .xword 0xDEADBEEFDEADBEEF
62688 .xword 0xDEADBEEFDEADBEEF
62689 .xword 0xDEADBEEFDEADBEEF
62690 .xword 0xDEADBEEFDEADBEEF
62691 .xword 0xDEADBEEFDEADBEEF
62692 .xword 0xDEADBEEFDEADBEEF
62693 .xword 0xDEADBEEFDEADBEEF
62694 .xword 0xDEADBEEFDEADBEEF
62695 .xword 0xDEADBEEFDEADBEEF
62696 .xword 0xDEADBEEFDEADBEEF
62697 .xword 0xDEADBEEFDEADBEEF
62698 .xword 0xDEADBEEFDEADBEEF
62699 .xword 0xDEADBEEFDEADBEEF
62700 .xword 0xDEADBEEFDEADBEEF
62701 .xword 0xDEADBEEFDEADBEEF
62702 .xword 0xDEADBEEFDEADBEEF
62703 .xword 0xDEADBEEFDEADBEEF
62704 .xword 0xDEADBEEFDEADBEEF
62705 .xword 0xDEADBEEFDEADBEEF
62706 .xword 0xDEADBEEFDEADBEEF
62707 .xword 0xDEADBEEFDEADBEEF
62708 .xword 0xDEADBEEFDEADBEEF
62709 .xword 0xDEADBEEFDEADBEEF
62710 .xword 0xDEADBEEFDEADBEEF
62711 .xword 0xDEADBEEFDEADBEEF
62712 .xword 0xDEADBEEFDEADBEEF
62713 .xword 0xDEADBEEFDEADBEEF
62714 .xword 0xDEADBEEFDEADBEEF
62715 .xword 0xDEADBEEFDEADBEEF
62716 .xword 0xDEADBEEFDEADBEEF
62717 .xword 0xDEADBEEFDEADBEEF
62718 .xword 0xDEADBEEFDEADBEEF
62719 .xword 0xDEADBEEFDEADBEEF
62720 .xword 0xDEADBEEFDEADBEEF
62721 .xword 0xDEADBEEFDEADBEEF
62722 .xword 0xDEADBEEFDEADBEEF
62723 .xword 0xDEADBEEFDEADBEEF
62724 .xword 0xDEADBEEFDEADBEEF
62725 .xword 0xDEADBEEFDEADBEEF
62726 .xword 0xDEADBEEFDEADBEEF
62727 .xword 0xDEADBEEFDEADBEEF
62728 .xword 0xDEADBEEFDEADBEEF
62729 .xword 0xDEADBEEFDEADBEEF
62730 .xword 0xDEADBEEFDEADBEEF
62731 .xword 0xDEADBEEFDEADBEEF
62732 .xword 0xDEADBEEFDEADBEEF
62733 .xword 0xDEADBEEFDEADBEEF
62734 .xword 0xDEADBEEFDEADBEEF
62735 .xword 0xDEADBEEFDEADBEEF
62736 .xword 0xDEADBEEFDEADBEEF
62737 .xword 0xDEADBEEFDEADBEEF
62738 .xword 0xDEADBEEFDEADBEEF
62739 .xword 0xDEADBEEFDEADBEEF
62740 .xword 0xDEADBEEFDEADBEEF
62741 .xword 0xDEADBEEFDEADBEEF
62742 .xword 0xDEADBEEFDEADBEEF
62743 .xword 0xDEADBEEFDEADBEEF
62744 .xword 0xDEADBEEFDEADBEEF
62745 .xword 0xDEADBEEFDEADBEEF
62746 .xword 0xDEADBEEFDEADBEEF
62747 .xword 0xDEADBEEFDEADBEEF
62748 .xword 0xDEADBEEFDEADBEEF
62749_t1_hmac_auth_key:
62750 .xword 0x118fa86b7cd73d3b
62751 .xword 0x7e04737b426dd149
62752 .xword 0x53151ad66c517dd4
62753 .xword 0x856f6c64f9edfe3c
62754 .xword 0xa14c3628531a8a02
62755 .xword 0x447bd37939b9a28c
62756 .xword 0x1682a803d823d713
62757 .xword 0xd2d2fb060dab2102
62758 .xword 0x1f9e74bd011de8fe
62759 .xword 0xcabaa19f708896b9
62760 .xword 0x91483e8869f18aed
62761 .xword 0x97b1fa55d1dc646c
62762 .xword 0xde7ef0c923e4c71b
62763 .xword 0xeb4e908c9b82a6d1
62764 .xword 0xee33308d2e9798cc
62765 .xword 0x65acce5faf74edfc
62766 .xword 0x8ee0db31f24b7f74
62767 .xword 0x800f30047cff5e40
62768 .xword 0x591291d36cd80ec7
62769 .xword 0x533e71f478d6eaf1
62770 .xword 0x8467643b13fde2b8
62771 .xword 0xc7bf320a68590322
62772 .xword 0x42fda2bd7e7c9064
62773_t1_hmac_auth_iv:
62774 .xword 0x77936609f65848ca
62775 .xword 0x6ba8a32071eac113
62776 .xword 0xeede7dc97b05bc17
62777 .xword 0xa32f96e10a159991
62778 .xword 0x0a3cf1435e53428e
62779 .xword 0xfe532785481bab02
62780 .xword 0xbdeda7e33672fff9
62781 .xword 0x492839912cfcbab1
62782 .xword 0x54abb586ddac347b
62783 .xword 0x8d9ce9226a132abc
62784 .xword 0x22e206972da42bc2
62785 .xword 0x21bf893800052e68
62786 .xword 0x88a8ed1400ed0c3a
62787 .xword 0x025d44bc3da1a298
62788 .xword 0x56379693dd075042
62789 .xword 0x0cd829ebc1a0c5e6
62790 .xword 0x7497922b8d3f6d23
62791 .xword 0x705a99489e933137
62792 .xword 0xaf294fc89272c912
62793 .xword 0x4f17d34deaa80a37
62794 .xword 0x6d2b132d0d93bd72
62795 .xword 0x377a3782211e5906
62796 .xword 0x0e066b0a74d46ed2
62797_t1_hmac_fas_result:
62798 .xword 0xDEADBEEFDEADBEEF
62799 .xword 0xDEADBEEFDEADBEEF
62800 .xword 0xDEADBEEFDEADBEEF
62801 .xword 0xDEADBEEFDEADBEEF
62802 .xword 0xDEADBEEFDEADBEEF
62803 .xword 0xDEADBEEFDEADBEEF
62804 .xword 0xDEADBEEFDEADBEEF
62805 .xword 0xDEADBEEFDEADBEEF
62806 .xword 0xDEADBEEFDEADBEEF
62807 .xword 0xDEADBEEFDEADBEEF
62808 .xword 0xDEADBEEFDEADBEEF
62809 .xword 0xDEADBEEFDEADBEEF
62810 .xword 0xDEADBEEFDEADBEEF
62811 .xword 0xDEADBEEFDEADBEEF
62812 .xword 0xDEADBEEFDEADBEEF
62813 .xword 0xDEADBEEFDEADBEEF
62814 .xword 0xDEADBEEFDEADBEEF
62815 .xword 0xDEADBEEFDEADBEEF
62816 .xword 0xDEADBEEFDEADBEEF
62817 .xword 0xDEADBEEFDEADBEEF
62818 .xword 0xDEADBEEFDEADBEEF
62819 .xword 0xDEADBEEFDEADBEEF
62820 .xword 0xDEADBEEFDEADBEEF
62821_t1_rc4_key_array:
62822 .xword 0xd05e1fea2b4eeb25
62823 .xword 0x2b94c22b32d51680
62824 .xword 0xb090261f4b2939be
62825 .xword 0x35cf4dabc0842ced
62826 .xword 0xe35dbcbabe446f95
62827 .xword 0x3c9151a03aedffc7
62828 .xword 0x3cc41aaebfa0ebce
62829 .xword 0x02f7e4261e7ca84c
62830 .xword 0xbd036a14ae7f1266
62831 .xword 0xf74e56041c0f03ea
62832 .xword 0xbf3a29679ef5dc87
62833 .xword 0x2ac7fa10ff4b3069
62834 .xword 0xd5f63d94ad2af7c0
62835 .xword 0x54ca3a35fe733b8d
62836 .xword 0x3c3771ce7a797570
62837 .xword 0xd37e319ffbc2efb2
62838 .xword 0xf0d26e118ce7285d
62839 .xword 0x05182acde63c8349
62840 .xword 0x67482a13201d6f13
62841 .xword 0xfab95533a4327ebe
62842 .xword 0x7faa5590d7d76f58
62843 .xword 0x04d0eab3d56ef1d5
62844 .xword 0xca639eb8293aa05d
62845 .xword 0x55fd863b0ce079b3
62846 .xword 0x373b325ca15f1372
62847 .xword 0xfb48e0ab3207a1a0
62848 .xword 0xf7c798ff1fbf925e
62849 .xword 0x85a0bb8a6de02f1f
62850 .xword 0x15e0e1ec0e0addde
62851 .xword 0xd24d051efbb5b294
62852 .xword 0x04de8131320c2398
62853 .xword 0x4b5b5f11b3d71b52
62854 .xword 0x74b566493ff1b09c
62855 .xword 0x1fc33021a0e368b4
62856 .xword 0xf2e73783d1a6bd03
62857 .xword 0xb9ce2c269219fcb1
62858 .xword 0xc26c56d1402d1a90
62859 .xword 0x2e00b4d65b29300a
62860 .xword 0xfc1742e06c3f0fb9
62861 .xword 0xd75e36f3155e987c
62862 .xword 0x62305eee6aee8c79
62863 .xword 0x6d66cd65a03ebc15
62864 .xword 0xc21b4f79ba788623
62865 .xword 0x1b6266a29a23b1d9
62866 .xword 0x788fc16c607fb790
62867 .xword 0x1e3871c15b3c4d1d
62868 .xword 0xae23b6a038ddc195
62869 .xword 0xa78e4d6bf9ee12e3
62870 .xword 0x8edb014f95595528
62871 .xword 0xc18388e45c13dd62
62872 .xword 0x430e21ad9293bf3e
62873_t1_rc4_iv_array:
62874 .xword 0x9559cf98852e5420
62875 .xword 0x7fcaebccd634b76b
62876 .xword 0xe9c717560805167f
62877 .xword 0x95a8c9d239ff63b3
62878 .xword 0xd273f37325d5bdc4
62879 .xword 0x4c946511fa5d4dcc
62880 .xword 0xdead0380e7005b37
62881 .xword 0x3c092c65c1ff088d
62882 .xword 0xab1b8ba0e95cdb6c
62883 .xword 0xbffb5b184c30b66f
62884 .xword 0x8045db1f4461b39d
62885 .xword 0x26fe2a1978eb5183
62886 .xword 0x615068e4f6b8f325
62887 .xword 0xf2abf13109c5ac91
62888 .xword 0xcd4cda7d0b4b1800
62889 .xword 0x0e7a6ad1bbedb311
62890 .xword 0xecadf6aab348ad0c
62891 .xword 0xcf581d7083fc3e63
62892 .xword 0xe0f8d89d7ee3f3cb
62893 .xword 0x01dd39313e3075b3
62894 .xword 0x03bcd290a4dfc12a
62895 .xword 0x3bfde5104e277354
62896 .xword 0x48046888fc8f9afe
62897 .xword 0x727399a5e7422d73
62898 .xword 0x37ba712684abc53c
62899 .xword 0x4e2f8ef30c7a238c
62900 .xword 0x0cb4bee7cdcf8e8e
62901 .xword 0x13d3867052327611
62902 .xword 0x7e9dabb2a90b0cd3
62903 .xword 0x4c34851d73991d15
62904 .xword 0xd90ec2d3a2fad8d5
62905 .xword 0x053e709555493351
62906 .xword 0xd3521866362d834a
62907 .xword 0x213751ad75782673
62908 .xword 0xc2afb119f80e1b62
62909 .xword 0xfd182d5ae6982885
62910 .xword 0x55c4e0330f4af9cd
62911 .xword 0xf0b9c34c643353a6
62912 .xword 0xbdf35cc7e7477494
62913 .xword 0x5cc80b282e9b8000
62914 .xword 0xe717cecc44d6bef1
62915 .xword 0x6d87bccd8a9a43c9
62916 .xword 0xd8622ba5e34cc952
62917 .xword 0x3fbf73e4766eb935
62918 .xword 0xbd13c5a610bb8296
62919_t1_rc4_alignment_array:
62920 .xword 2
62921 .xword 13
62922 .xword 0
62923 .xword 0
62924 .xword 4
62925 .xword 3
62926 .xword 5
62927 .xword 13
62928 .xword 15
62929 .xword 5
62930 .xword 6
62931 .xword 9
62932 .xword 4
62933 .xword 10
62934 .xword 9
62935 .xword 9
62936 .xword 10
62937 .xword 5
62938 .xword 13
62939 .xword 0
62940 .xword 15
62941 .xword 4
62942 .xword 1
62943 .xword 10
62944 .xword 4
62945 .xword 4
62946 .xword 1
62947 .xword 9
62948 .xword 4
62949 .xword 14
62950 .xword 10
62951 .xword 3
62952 .xword 7
62953 .xword 11
62954 .xword 4
62955 .xword 10
62956 .xword 5
62957 .xword 0
62958 .xword 5
62959 .xword 13
62960 .xword 10
62961 .xword 2
62962 .xword 13
62963 .xword 8
62964 .xword 8
62965 .xword 13
62966 .xword 1
62967 .xword 0
62968 .xword 10
62969 .xword 7
62970 .xword 7
62971 .xword 10
62972 .xword 14
62973 .xword 13
62974 .xword 0
62975 .xword 0
62976 .xword 12
62977 .xword 1
62978 .xword 15
62979 .xword 5
62980 .xword 15
62981 .xword 2
62982 .xword 11
62983 .xword 12
62984 .xword 5
62985 .xword 6
62986 .xword 8
62987 .xword 0
62988 .xword 9
62989 .xword 14
62990 .xword 0
62991 .xword 13
62992 .xword 5
62993 .xword 10
62994 .xword 15
62995 .xword 2
62996 .xword 11
62997 .xword 3
62998 .xword 14
62999 .xword 12
63000 .xword 6
63001 .xword 10
63002 .xword 10
63003 .xword 8
63004 .xword 8
63005 .xword 11
63006 .xword 1
63007 .xword 0
63008 .xword 14
63009 .xword 5
63010 .xword 4
63011 .xword 1
63012 .xword 14
63013 .xword 12
63014 .xword 14
63015 .xword 13
63016 .xword 12
63017 .xword 15
63018 .xword 6
63019 .xword 1
63020 .xword 5
63021 .xword 12
63022 .xword 4
63023 .xword 5
63024 .xword 15
63025_t1_rc4_src:
63026 .xword 0x517b46fae1108e77
63027 .xword 0x9e35f77d3fa365c6
63028 .xword 0x60f52de4b99fd92f
63029 .xword 0x15d041559b247504
63030 .xword 0xc767e27330d893fd
63031 .xword 0x0db010536d46ca61
63032 .xword 0x1fd7604cf689725e
63033 .xword 0xd913d16f34924f77
63034 .xword 0x8f4d8752b7cd9e59
63035 .xword 0x112c5ef29b07b86f
63036 .xword 0x85f35d9cdf10341e
63037 .xword 0x17081711f29882f0
63038 .xword 0xcde23fe4697a69e0
63039 .xword 0x0c6d244a1b701413
63040 .xword 0xf14bc5364462383b
63041 .xword 0xc4befc0ac697747b
63042 .xword 0x30a44740d8d34858
63043 .xword 0x3766ffcaf46017dd
63044 .xword 0x2b09fff2b50bb368
63045 .xword 0x597490fa51d24513
63046 .xword 0x1fd0c45f9c521a31
63047 .xword 0xa4fb657e13e103bc
63048 .xword 0xea54ba355788bb0a
63049 .xword 0x327a396dd9678387
63050 .xword 0x55b023e13dfac177
63051 .xword 0x2532915b8ab110ce
63052 .xword 0xfb2c049709ef80c2
63053 .xword 0x9df6eefc04271081
63054 .xword 0x7835aab1a056d350
63055 .xword 0x688017b7d70a319d
63056 .xword 0xe343b184893f70da
63057 .xword 0x3aa55a44ae0c45a5
63058 .xword 0xd3305aba7150a525
63059 .xword 0xc63bf844408e0f40
63060 .xword 0x54d88990c887f04b
63061 .xword 0x5a8de36710c8af7b
63062 .xword 0x8d506261bcb5e3e1
63063 .xword 0xe3f0189756a3f218
63064 .xword 0x641961b3eea2d70a
63065 .xword 0x8361a9230ae17c1b
63066 .xword 0x862e33f7a295ce65
63067 .xword 0x2f73a4ae74445716
63068 .xword 0xc37d863792afe4d6
63069 .xword 0xed3500d213c34f85
63070 .xword 0x8638a3d68cb020ce
63071 .xword 0xda37db2dbfadb851
63072 .xword 0x09c9daaf87cf250c
63073 .xword 0x671ec9d78f01c679
63074 .xword 0x78b9451c4def9142
63075 .xword 0x7679b98ccf9b18a2
63076 .xword 0x9916403b6a287a2a
63077 .xword 0x10a5843a27b3d9bd
63078 .xword 0xf1dd1b17284dc594
63079 .xword 0xbb4facdbe02f64bc
63080 .xword 0x1e40b079b7b63ef8
63081 .xword 0x409318bd31a85fa5
63082 .xword 0xae717d88c85947ae
63083 .xword 0xde1317ed29f7a542
63084 .xword 0x97dcc5e989cfa8f1
63085 .xword 0x69e35b3a40170efe
63086 .xword 0xdbf1484aa3b3f1e3
63087 .xword 0x6d8d85494cc3aeff
63088 .xword 0xbc4df2a742fbb647
63089 .xword 0xb42e48abd74ea840
63090 .xword 0x63f8d3e2501f4a2d
63091 .xword 0x024b1b3f278f9899
63092 .xword 0xe65f6bca56f2c93c
63093 .xword 0xec86515a13d8bd90
63094 .xword 0x8e9d7f8b66a86b15
63095 .xword 0xc5c31c772bbb2759
63096 .xword 0xe3a22de810fe2d75
63097 .xword 0x5d1a0237677f7479
63098 .xword 0x9fb790493e532117
63099 .xword 0x8bee693fd661a3b0
63100 .xword 0xba0be7ea51d55d4e
63101 .xword 0xd49f11632fbf8272
63102 .xword 0x84e6392617fa390a
63103 .xword 0x99c14125626d7580
63104 .xword 0x0c7d814483df5535
63105 .xword 0x272847f4c64cf8b5
63106 .xword 0x4fa1b345e0246a61
63107 .xword 0x8de20603fa15d1bf
63108 .xword 0x355d325cc5860908
63109 .xword 0xfd33168c2ff717f7
63110 .xword 0x9cb597ae15c7ef8b
63111 .xword 0xa996747715064790
63112 .xword 0xc9bfab8147998632
63113 .xword 0x16940aeef3bb9e47
63114 .xword 0xb43195af20421a11
63115 .xword 0x4d274f431f82fea6
63116 .xword 0xe9cfb51869cf7c08
63117 .xword 0x315750b51c8da555
63118 .xword 0x71558f51871b0086
63119 .xword 0x8898d45127957361
63120 .xword 0x9246d658f33ad8f0
63121 .xword 0x3cc9a8df2421598d
63122 .xword 0x5230bbb9db4b6314
63123 .xword 0xa9b1893e729694d4
63124 .xword 0xeffed6d02692ce69
63125 .xword 0x0404c516733a2a04
63126 .xword 0x25f8faf2cfdd40ec
63127 .xword 0x1a12157d8803abe3
63128 .xword 0xba5e12feab09b7ae
63129 .xword 0xbe7cb88f9e8e52c8
63130 .xword 0xc6f7e90b1fec5c47
63131 .xword 0x3027a731d10d421b
63132 .xword 0xb9d3869da2f3c3a1
63133 .xword 0x9a5c3bfc6909a74c
63134 .xword 0xfbc580da2224c99e
63135 .xword 0x6a5c8244a68a196d
63136 .xword 0x8957617937a4ea4c
63137 .xword 0x6d66796c5d73f31e
63138 .xword 0xa0de2520132a50b7
63139 .xword 0xd94485253e8c70dc
63140 .xword 0x6b91806faee92886
63141 .xword 0x139408d4304646fb
63142 .xword 0x25571c895d7a79e8
63143 .xword 0x8ceb12dcc5b20544
63144 .xword 0x8054aee521ab34fb
63145 .xword 0x44f8035511c08d6d
63146 .xword 0x97824ca3fa167b5e
63147 .xword 0x645918abfa10ad98
63148 .xword 0x00375d9b3ec9b89e
63149 .xword 0xddb288cd0de1f453
63150 .xword 0xc63154b0659b3111
63151 .xword 0xfefd640dcead2c15
63152 .xword 0xa14d6109b09af282
63153 .xword 0x1a247f954279b048
63154 .xword 0xa285701a63b9cf07
63155 .xword 0x6af3ebb18beea174
63156 .xword 0xb9f9aad44bc5cb22
63157 .xword 0x206b497ad40e6486
63158 .xword 0xaf205d21aa940a0a
63159 .xword 0xf29d5ef5aadc4967
63160 .xword 0xbe3289b5aad879bf
63161 .xword 0x1e896ce9bb4ca67f
63162 .xword 0xfd79fa0cccd44c00
63163 .xword 0x763c5f781ce6fefb
63164 .xword 0x525db725c9486bd6
63165 .xword 0xdd8d416c49f1f4a2
63166 .xword 0xd38ed805076b2e28
63167 .xword 0x5be0bdbb50e84d46
63168 .xword 0xa23e98afe5bb3b0d
63169 .xword 0x9be3fca22def2f3c
63170 .xword 0x525153445e3c3286
63171 .xword 0x57e5c7498b9c4410
63172 .xword 0x50fe22c52a10dbf9
63173 .xword 0x222075f160eedfda
63174 .xword 0xdf0c1d475323ab51
63175 .xword 0x37c73ef79cd334e9
63176 .xword 0xdb17f850a7cddd28
63177 .xword 0x626146ddf4821d6e
63178 .xword 0xcd52f82bb4b85f7c
63179 .xword 0xe8a463fb1e7900eb
63180 .xword 0x44bfe753eb2e7f0b
63181 .xword 0x24e8f4121c89a6a1
63182 .xword 0xa805ef61ee71f299
63183 .xword 0x79b52d6e3b193b24
63184 .xword 0x971b5d5a4588dbb3
63185 .xword 0xdb03990be6e801f0
63186 .xword 0xee4cd300b1c4572a
63187 .xword 0x2f36e883ad8a7990
63188 .xword 0xe499114be32b9aa8
63189 .xword 0x9c3830bf81ff65ad
63190 .xword 0x17979a623ee577de
63191 .xword 0xab795e4d11bd8d67
63192 .xword 0x8c7847ce2fb51d76
63193 .xword 0xfe1331a1e222e7c1
63194 .xword 0xbb7cfc340acc0c32
63195 .xword 0x2986ecdb49dd65b9
63196 .xword 0xc11b39b15208f355
63197 .xword 0x57c73ea38feebd9d
63198 .xword 0x3a1561560c20fe4b
63199 .xword 0x72f5365c68c4ac15
63200 .xword 0xf45ddbc1ca590be8
63201 .xword 0x05e206a1c47700cd
63202 .xword 0x9d35ecfb2dc8fa1f
63203 .xword 0xed82c604f660063c
63204 .xword 0x5ab6d60e2c2cfdab
63205 .xword 0x08ae9ef89f4fa8eb
63206 .xword 0x3ccf73a00f8b7ce0
63207 .xword 0x6fb62684261899f1
63208 .xword 0x4286a83204b4b9f3
63209 .xword 0x922f51b429f53aba
63210 .xword 0x2e93854e2a31bd54
63211 .xword 0x15a2947d964c9e73
63212 .xword 0x9a108626ca8c0d1e
63213 .xword 0x0ae54a6938a830bd
63214 .xword 0x18c4595e925f6a83
63215 .xword 0x963a8ca4a0e21b84
63216 .xword 0x7a53f1cc3a237cbe
63217 .xword 0x5985180f7695bc53
63218 .xword 0xe6ee2c269e37a14f
63219 .xword 0x3345c7464dded27b
63220 .xword 0x6354301302bd7730
63221 .xword 0x258fc0e9bcfc638d
63222 .xword 0x62b0a1ba8016f1d0
63223 .xword 0x23aa8093abe17cc5
63224 .xword 0x5e9ae52087359ca4
63225 .xword 0x02864242a8910cd2
63226 .xword 0x772491ac3268351e
63227 .xword 0xf8efb041a85240cd
63228 .xword 0x47c94da9c394e26a
63229 .xword 0x58bc4785eacf9988
63230 .xword 0x16388032baaa5b69
63231 .xword 0x095ecf74741a1b5d
63232 .xword 0x71435b1f58abc1ba
63233 .xword 0x9c912e5efa0c1fa0
63234 .xword 0xbd05f555cda0c034
63235 .xword 0x91492e5c825a05db
63236 .xword 0x86afd906181cf3a6
63237 .xword 0xd8e7c63366c2fc42
63238 .xword 0x3cfa6f2e5aed90e9
63239 .xword 0x376e05c8270eab4b
63240 .xword 0x1c2b8470f2962dd7
63241 .xword 0x73e33a86dcb9ac25
63242 .xword 0xb6ddb3103e0877bf
63243 .xword 0x08b8bd854cedfa47
63244 .xword 0x8bd880b1afddd511
63245 .xword 0x6d53a0f119c82f00
63246 .xword 0x576c648de6d450b7
63247 .xword 0x77dab6efb6a83b89
63248 .xword 0x096c7a3ee4b570b2
63249 .xword 0x93dfe130e2db2865
63250 .xword 0xfbd1275b129948f6
63251 .xword 0x67c80e0db9bdf5fc
63252 .xword 0x0135e64a59a04db8
63253 .xword 0xb0aa5d177cc99e52
63254 .xword 0xfd95efacf92b81a8
63255 .xword 0x551715dbe98c0a24
63256 .xword 0x90f80e8a6eaa7272
63257 .xword 0x90e72ad54a9aa693
63258 .xword 0x4cb94826f0df6c1e
63259 .xword 0xba099ad1c501be45
63260 .xword 0x796cccdfd2a29d4b
63261 .xword 0xacd42ef2e9becd4d
63262 .xword 0x7dd3bd84602d4255
63263 .xword 0x3545bd05aa45688e
63264 .xword 0xe009366a53f86b53
63265 .xword 0xf03d920786248114
63266 .xword 0xcbecbf2d405e98f0
63267 .xword 0x5b20e8b1d4fb30de
63268 .xword 0x910ecb6d516596e3
63269 .xword 0x22e3275cbe61cc25
63270 .xword 0x1d95de82ce3f211d
63271 .xword 0x749f20782efb4736
63272 .xword 0xea9383a2fccb4709
63273 .xword 0x93ec0d0c8c882556
63274 .xword 0xa6fdc2d53303a589
63275 .xword 0x2c326ca28af8b4f2
63276 .xword 0xe33c0986a7cd919c
63277 .xword 0x9e861bc2434e500c
63278 .xword 0xeb7767882d484f02
63279 .xword 0x277d406f165b8e32
63280 .xword 0xdfb98bb83956a647
63281 .xword 0xf1db0dd23daa9c50
63282 .xword 0xffc8adac2d5183a9
63283 .xword 0x58c197ac3b1ea6a4
63284 .xword 0x07177402a2e47e7e
63285 .xword 0xdc1f0ee15aa73d12
63286 .xword 0x484c03c8712e32fc
63287 .xword 0xd833fb3ea5577a0f
63288 .xword 0xce55b5ab8f326e8b
63289 .xword 0x8d0565f1a507ac2d
63290 .xword 0x4b5f8a1fe48cbe39
63291 .xword 0xf7716176189d6ef2
63292 .xword 0x4281521e86827351
63293 .xword 0xb7efcaf9ae75c583
63294 .xword 0x3d0d04c6bc671884
63295 .xword 0xc9747c7686b9c9d8
63296 .xword 0x5adb7b5c1f457242
63297 .xword 0x31954ade2257f999
63298 .xword 0x415cb35e9ed39e98
63299 .xword 0xd0caf632a88188d4
63300 .xword 0x81590636d782da6b
63301_t1_rc4_dest:
63302 .xword 0xDEADBEEFDEADBEEF
63303 .xword 0xDEADBEEFDEADBEEF
63304 .xword 0xDEADBEEFDEADBEEF
63305 .xword 0xDEADBEEFDEADBEEF
63306 .xword 0xDEADBEEFDEADBEEF
63307 .xword 0xDEADBEEFDEADBEEF
63308 .xword 0xDEADBEEFDEADBEEF
63309 .xword 0xDEADBEEFDEADBEEF
63310 .xword 0xDEADBEEFDEADBEEF
63311 .xword 0xDEADBEEFDEADBEEF
63312 .xword 0xDEADBEEFDEADBEEF
63313 .xword 0xDEADBEEFDEADBEEF
63314 .xword 0xDEADBEEFDEADBEEF
63315 .xword 0xDEADBEEFDEADBEEF
63316 .xword 0xDEADBEEFDEADBEEF
63317 .xword 0xDEADBEEFDEADBEEF
63318 .xword 0xDEADBEEFDEADBEEF
63319 .xword 0xDEADBEEFDEADBEEF
63320 .xword 0xDEADBEEFDEADBEEF
63321 .xword 0xDEADBEEFDEADBEEF
63322 .xword 0xDEADBEEFDEADBEEF
63323 .xword 0xDEADBEEFDEADBEEF
63324 .xword 0xDEADBEEFDEADBEEF
63325 .xword 0xDEADBEEFDEADBEEF
63326 .xword 0xDEADBEEFDEADBEEF
63327 .xword 0xDEADBEEFDEADBEEF
63328 .xword 0xDEADBEEFDEADBEEF
63329 .xword 0xDEADBEEFDEADBEEF
63330 .xword 0xDEADBEEFDEADBEEF
63331 .xword 0xDEADBEEFDEADBEEF
63332 .xword 0xDEADBEEFDEADBEEF
63333 .xword 0xDEADBEEFDEADBEEF
63334 .xword 0xDEADBEEFDEADBEEF
63335 .xword 0xDEADBEEFDEADBEEF
63336 .xword 0xDEADBEEFDEADBEEF
63337 .xword 0xDEADBEEFDEADBEEF
63338 .xword 0xDEADBEEFDEADBEEF
63339 .xword 0xDEADBEEFDEADBEEF
63340 .xword 0xDEADBEEFDEADBEEF
63341 .xword 0xDEADBEEFDEADBEEF
63342 .xword 0xDEADBEEFDEADBEEF
63343 .xword 0xDEADBEEFDEADBEEF
63344 .xword 0xDEADBEEFDEADBEEF
63345 .xword 0xDEADBEEFDEADBEEF
63346 .xword 0xDEADBEEFDEADBEEF
63347 .xword 0xDEADBEEFDEADBEEF
63348 .xword 0xDEADBEEFDEADBEEF
63349 .xword 0xDEADBEEFDEADBEEF
63350 .xword 0xDEADBEEFDEADBEEF
63351 .xword 0xDEADBEEFDEADBEEF
63352 .xword 0xDEADBEEFDEADBEEF
63353 .xword 0xDEADBEEFDEADBEEF
63354 .xword 0xDEADBEEFDEADBEEF
63355 .xword 0xDEADBEEFDEADBEEF
63356 .xword 0xDEADBEEFDEADBEEF
63357 .xword 0xDEADBEEFDEADBEEF
63358 .xword 0xDEADBEEFDEADBEEF
63359 .xword 0xDEADBEEFDEADBEEF
63360 .xword 0xDEADBEEFDEADBEEF
63361 .xword 0xDEADBEEFDEADBEEF
63362 .xword 0xDEADBEEFDEADBEEF
63363 .xword 0xDEADBEEFDEADBEEF
63364 .xword 0xDEADBEEFDEADBEEF
63365 .xword 0xDEADBEEFDEADBEEF
63366 .xword 0xDEADBEEFDEADBEEF
63367 .xword 0xDEADBEEFDEADBEEF
63368 .xword 0xDEADBEEFDEADBEEF
63369 .xword 0xDEADBEEFDEADBEEF
63370 .xword 0xDEADBEEFDEADBEEF
63371 .xword 0xDEADBEEFDEADBEEF
63372 .xword 0xDEADBEEFDEADBEEF
63373 .xword 0xDEADBEEFDEADBEEF
63374 .xword 0xDEADBEEFDEADBEEF
63375 .xword 0xDEADBEEFDEADBEEF
63376 .xword 0xDEADBEEFDEADBEEF
63377 .xword 0xDEADBEEFDEADBEEF
63378 .xword 0xDEADBEEFDEADBEEF
63379 .xword 0xDEADBEEFDEADBEEF
63380 .xword 0xDEADBEEFDEADBEEF
63381 .xword 0xDEADBEEFDEADBEEF
63382 .xword 0xDEADBEEFDEADBEEF
63383 .xword 0xDEADBEEFDEADBEEF
63384 .xword 0xDEADBEEFDEADBEEF
63385 .xword 0xDEADBEEFDEADBEEF
63386 .xword 0xDEADBEEFDEADBEEF
63387 .xword 0xDEADBEEFDEADBEEF
63388 .xword 0xDEADBEEFDEADBEEF
63389 .xword 0xDEADBEEFDEADBEEF
63390 .xword 0xDEADBEEFDEADBEEF
63391 .xword 0xDEADBEEFDEADBEEF
63392 .xword 0xDEADBEEFDEADBEEF
63393 .xword 0xDEADBEEFDEADBEEF
63394 .xword 0xDEADBEEFDEADBEEF
63395 .xword 0xDEADBEEFDEADBEEF
63396 .xword 0xDEADBEEFDEADBEEF
63397 .xword 0xDEADBEEFDEADBEEF
63398 .xword 0xDEADBEEFDEADBEEF
63399 .xword 0xDEADBEEFDEADBEEF
63400 .xword 0xDEADBEEFDEADBEEF
63401 .xword 0xDEADBEEFDEADBEEF
63402 .xword 0xDEADBEEFDEADBEEF
63403 .xword 0xDEADBEEFDEADBEEF
63404 .xword 0xDEADBEEFDEADBEEF
63405 .xword 0xDEADBEEFDEADBEEF
63406 .xword 0xDEADBEEFDEADBEEF
63407 .xword 0xDEADBEEFDEADBEEF
63408 .xword 0xDEADBEEFDEADBEEF
63409 .xword 0xDEADBEEFDEADBEEF
63410 .xword 0xDEADBEEFDEADBEEF
63411 .xword 0xDEADBEEFDEADBEEF
63412 .xword 0xDEADBEEFDEADBEEF
63413 .xword 0xDEADBEEFDEADBEEF
63414 .xword 0xDEADBEEFDEADBEEF
63415 .xword 0xDEADBEEFDEADBEEF
63416 .xword 0xDEADBEEFDEADBEEF
63417 .xword 0xDEADBEEFDEADBEEF
63418 .xword 0xDEADBEEFDEADBEEF
63419 .xword 0xDEADBEEFDEADBEEF
63420 .xword 0xDEADBEEFDEADBEEF
63421 .xword 0xDEADBEEFDEADBEEF
63422 .xword 0xDEADBEEFDEADBEEF
63423 .xword 0xDEADBEEFDEADBEEF
63424 .xword 0xDEADBEEFDEADBEEF
63425 .xword 0xDEADBEEFDEADBEEF
63426 .xword 0xDEADBEEFDEADBEEF
63427 .xword 0xDEADBEEFDEADBEEF
63428 .xword 0xDEADBEEFDEADBEEF
63429 .xword 0xDEADBEEFDEADBEEF
63430 .xword 0xDEADBEEFDEADBEEF
63431 .xword 0xDEADBEEFDEADBEEF
63432 .xword 0xDEADBEEFDEADBEEF
63433 .xword 0xDEADBEEFDEADBEEF
63434 .xword 0xDEADBEEFDEADBEEF
63435 .xword 0xDEADBEEFDEADBEEF
63436 .xword 0xDEADBEEFDEADBEEF
63437 .xword 0xDEADBEEFDEADBEEF
63438 .xword 0xDEADBEEFDEADBEEF
63439 .xword 0xDEADBEEFDEADBEEF
63440 .xword 0xDEADBEEFDEADBEEF
63441 .xword 0xDEADBEEFDEADBEEF
63442 .xword 0xDEADBEEFDEADBEEF
63443 .xword 0xDEADBEEFDEADBEEF
63444 .xword 0xDEADBEEFDEADBEEF
63445 .xword 0xDEADBEEFDEADBEEF
63446 .xword 0xDEADBEEFDEADBEEF
63447 .xword 0xDEADBEEFDEADBEEF
63448 .xword 0xDEADBEEFDEADBEEF
63449 .xword 0xDEADBEEFDEADBEEF
63450 .xword 0xDEADBEEFDEADBEEF
63451 .xword 0xDEADBEEFDEADBEEF
63452 .xword 0xDEADBEEFDEADBEEF
63453 .xword 0xDEADBEEFDEADBEEF
63454 .xword 0xDEADBEEFDEADBEEF
63455 .xword 0xDEADBEEFDEADBEEF
63456 .xword 0xDEADBEEFDEADBEEF
63457 .xword 0xDEADBEEFDEADBEEF
63458 .xword 0xDEADBEEFDEADBEEF
63459 .xword 0xDEADBEEFDEADBEEF
63460 .xword 0xDEADBEEFDEADBEEF
63461 .xword 0xDEADBEEFDEADBEEF
63462 .xword 0xDEADBEEFDEADBEEF
63463 .xword 0xDEADBEEFDEADBEEF
63464 .xword 0xDEADBEEFDEADBEEF
63465 .xword 0xDEADBEEFDEADBEEF
63466 .xword 0xDEADBEEFDEADBEEF
63467 .xword 0xDEADBEEFDEADBEEF
63468 .xword 0xDEADBEEFDEADBEEF
63469 .xword 0xDEADBEEFDEADBEEF
63470 .xword 0xDEADBEEFDEADBEEF
63471 .xword 0xDEADBEEFDEADBEEF
63472 .xword 0xDEADBEEFDEADBEEF
63473 .xword 0xDEADBEEFDEADBEEF
63474 .xword 0xDEADBEEFDEADBEEF
63475 .xword 0xDEADBEEFDEADBEEF
63476 .xword 0xDEADBEEFDEADBEEF
63477 .xword 0xDEADBEEFDEADBEEF
63478 .xword 0xDEADBEEFDEADBEEF
63479 .xword 0xDEADBEEFDEADBEEF
63480 .xword 0xDEADBEEFDEADBEEF
63481 .xword 0xDEADBEEFDEADBEEF
63482 .xword 0xDEADBEEFDEADBEEF
63483 .xword 0xDEADBEEFDEADBEEF
63484 .xword 0xDEADBEEFDEADBEEF
63485 .xword 0xDEADBEEFDEADBEEF
63486 .xword 0xDEADBEEFDEADBEEF
63487 .xword 0xDEADBEEFDEADBEEF
63488 .xword 0xDEADBEEFDEADBEEF
63489 .xword 0xDEADBEEFDEADBEEF
63490 .xword 0xDEADBEEFDEADBEEF
63491 .xword 0xDEADBEEFDEADBEEF
63492 .xword 0xDEADBEEFDEADBEEF
63493 .xword 0xDEADBEEFDEADBEEF
63494 .xword 0xDEADBEEFDEADBEEF
63495 .xword 0xDEADBEEFDEADBEEF
63496 .xword 0xDEADBEEFDEADBEEF
63497 .xword 0xDEADBEEFDEADBEEF
63498 .xword 0xDEADBEEFDEADBEEF
63499 .xword 0xDEADBEEFDEADBEEF
63500 .xword 0xDEADBEEFDEADBEEF
63501 .xword 0xDEADBEEFDEADBEEF
63502 .xword 0xDEADBEEFDEADBEEF
63503 .xword 0xDEADBEEFDEADBEEF
63504 .xword 0xDEADBEEFDEADBEEF
63505 .xword 0xDEADBEEFDEADBEEF
63506 .xword 0xDEADBEEFDEADBEEF
63507 .xword 0xDEADBEEFDEADBEEF
63508 .xword 0xDEADBEEFDEADBEEF
63509 .xword 0xDEADBEEFDEADBEEF
63510 .xword 0xDEADBEEFDEADBEEF
63511 .xword 0xDEADBEEFDEADBEEF
63512 .xword 0xDEADBEEFDEADBEEF
63513 .xword 0xDEADBEEFDEADBEEF
63514 .xword 0xDEADBEEFDEADBEEF
63515 .xword 0xDEADBEEFDEADBEEF
63516 .xword 0xDEADBEEFDEADBEEF
63517 .xword 0xDEADBEEFDEADBEEF
63518 .xword 0xDEADBEEFDEADBEEF
63519 .xword 0xDEADBEEFDEADBEEF
63520 .xword 0xDEADBEEFDEADBEEF
63521 .xword 0xDEADBEEFDEADBEEF
63522 .xword 0xDEADBEEFDEADBEEF
63523 .xword 0xDEADBEEFDEADBEEF
63524 .xword 0xDEADBEEFDEADBEEF
63525 .xword 0xDEADBEEFDEADBEEF
63526 .xword 0xDEADBEEFDEADBEEF
63527 .xword 0xDEADBEEFDEADBEEF
63528 .xword 0xDEADBEEFDEADBEEF
63529 .xword 0xDEADBEEFDEADBEEF
63530 .xword 0xDEADBEEFDEADBEEF
63531 .xword 0xDEADBEEFDEADBEEF
63532 .xword 0xDEADBEEFDEADBEEF
63533 .xword 0xDEADBEEFDEADBEEF
63534 .xword 0xDEADBEEFDEADBEEF
63535 .xword 0xDEADBEEFDEADBEEF
63536 .xword 0xDEADBEEFDEADBEEF
63537 .xword 0xDEADBEEFDEADBEEF
63538 .xword 0xDEADBEEFDEADBEEF
63539 .xword 0xDEADBEEFDEADBEEF
63540 .xword 0xDEADBEEFDEADBEEF
63541 .xword 0xDEADBEEFDEADBEEF
63542 .xword 0xDEADBEEFDEADBEEF
63543 .xword 0xDEADBEEFDEADBEEF
63544 .xword 0xDEADBEEFDEADBEEF
63545 .xword 0xDEADBEEFDEADBEEF
63546 .xword 0xDEADBEEFDEADBEEF
63547 .xword 0xDEADBEEFDEADBEEF
63548 .xword 0xDEADBEEFDEADBEEF
63549 .xword 0xDEADBEEFDEADBEEF
63550 .xword 0xDEADBEEFDEADBEEF
63551 .xword 0xDEADBEEFDEADBEEF
63552 .xword 0xDEADBEEFDEADBEEF
63553 .xword 0xDEADBEEFDEADBEEF
63554 .xword 0xDEADBEEFDEADBEEF
63555 .xword 0xDEADBEEFDEADBEEF
63556 .xword 0xDEADBEEFDEADBEEF
63557 .xword 0xDEADBEEFDEADBEEF
63558 .xword 0xDEADBEEFDEADBEEF
63559 .xword 0xDEADBEEFDEADBEEF
63560 .xword 0xDEADBEEFDEADBEEF
63561 .xword 0xDEADBEEFDEADBEEF
63562 .xword 0xDEADBEEFDEADBEEF
63563 .xword 0xDEADBEEFDEADBEEF
63564 .xword 0xDEADBEEFDEADBEEF
63565 .xword 0xDEADBEEFDEADBEEF
63566 .xword 0xDEADBEEFDEADBEEF
63567 .xword 0xDEADBEEFDEADBEEF
63568 .xword 0xDEADBEEFDEADBEEF
63569 .xword 0xDEADBEEFDEADBEEF
63570 .xword 0xDEADBEEFDEADBEEF
63571 .xword 0xDEADBEEFDEADBEEF
63572 .xword 0xDEADBEEFDEADBEEF
63573 .xword 0xDEADBEEFDEADBEEF
63574 .xword 0xDEADBEEFDEADBEEF
63575 .xword 0xDEADBEEFDEADBEEF
63576 .xword 0xDEADBEEFDEADBEEF
63577_t1_rc4_auth_key:
63578 .xword 0xd21e1742143303f7
63579 .xword 0x48491f099082234c
63580 .xword 0x78ff7ab4cf0b82bf
63581 .xword 0x382198a212e5505f
63582 .xword 0x5b0cbfe273f8c80c
63583 .xword 0x6861f85abcb760af
63584 .xword 0xb49d3d2146989735
63585 .xword 0xaf98e162fca2a696
63586 .xword 0xe2c34d54a1925f6b
63587 .xword 0x7d751a32d13e5fc2
63588 .xword 0x64b53b64de07628b
63589 .xword 0xe81b05c6dfbec843
63590 .xword 0x259fc1863b62cdf5
63591 .xword 0x32e7bbdfae971c20
63592 .xword 0xd9edfaa763066cd2
63593 .xword 0x15cf30758c3ddcea
63594 .xword 0x1c8812acabb473a0
63595 .xword 0x1fb263657f397291
63596 .xword 0xc9d2ee204ed2bb41
63597 .xword 0xcc9ba663006dcf82
63598 .xword 0x3d9dff01397b2f62
63599 .xword 0x6465595b104a55b8
63600 .xword 0xb405c348cc0694fe
63601_t1_rc4_auth_iv:
63602 .xword 0xd296f2c7fc2e924f
63603 .xword 0x6bf0c694145c3427
63604 .xword 0x739c9017cf60c13e
63605 .xword 0xa4546ec43f9dc51d
63606 .xword 0x3bc82abdb4f97006
63607 .xword 0xe8e0125ac7566cc0
63608 .xword 0xa8674e98476d0373
63609 .xword 0xd8797e2109659bad
63610 .xword 0x507a4f60508cd74a
63611 .xword 0x5c685d8306dbbae3
63612 .xword 0x7975933975bd76c1
63613 .xword 0xc45df76acb377142
63614 .xword 0xd792def8bb943389
63615 .xword 0xaea64bb7673197e7
63616 .xword 0xa063e7d1118bc940
63617 .xword 0x14d7e806ffa1d56b
63618 .xword 0x52b12e86087b3a03
63619 .xword 0x645cf3c49750a902
63620 .xword 0x98b67ec8cf61d63f
63621 .xword 0x525f18d04b37632d
63622 .xword 0xe1a680410b6a8d31
63623 .xword 0x2abfdefa729a19b1
63624 .xword 0x64b8c98b107320d0
63625_t1_rc4_fas_result:
63626 .xword 0xDEADBEEFDEADBEEF
63627 .xword 0xDEADBEEFDEADBEEF
63628 .xword 0xDEADBEEFDEADBEEF
63629 .xword 0xDEADBEEFDEADBEEF
63630 .xword 0xDEADBEEFDEADBEEF
63631 .xword 0xDEADBEEFDEADBEEF
63632 .xword 0xDEADBEEFDEADBEEF
63633 .xword 0xDEADBEEFDEADBEEF
63634 .xword 0xDEADBEEFDEADBEEF
63635 .xword 0xDEADBEEFDEADBEEF
63636 .xword 0xDEADBEEFDEADBEEF
63637 .xword 0xDEADBEEFDEADBEEF
63638 .xword 0xDEADBEEFDEADBEEF
63639 .xword 0xDEADBEEFDEADBEEF
63640 .xword 0xDEADBEEFDEADBEEF
63641 .xword 0xDEADBEEFDEADBEEF
63642 .xword 0xDEADBEEFDEADBEEF
63643 .xword 0xDEADBEEFDEADBEEF
63644 .xword 0xDEADBEEFDEADBEEF
63645 .xword 0xDEADBEEFDEADBEEF
63646 .xword 0xDEADBEEFDEADBEEF
63647 .xword 0xDEADBEEFDEADBEEF
63648 .xword 0xDEADBEEFDEADBEEF
63649_t1_sslkey_key_array:
63650 .xword 0x84f22fcb6e192540
63651 .xword 0x26256865f33c9220
63652 .xword 0xb1d6e2bd0a942212
63653 .xword 0x7cc679eae87f4166
63654 .xword 0xa1a1ac302dfb948a
63655 .xword 0x1629f2a4518e00c8
63656 .xword 0x4306a4998d543c50
63657 .xword 0xfebf0dd2872baa5d
63658 .xword 0x0e7e84b5048f129e
63659 .xword 0x678cf62e8201c0fd
63660 .xword 0xd23a34e1a81e5452
63661 .xword 0x1c4b26140485f87b
63662 .xword 0xad376171ded5a107
63663 .xword 0x79220edd52452cf5
63664 .xword 0x7fced1a33cd2e089
63665 .xword 0x2bea97f9dcab979d
63666 .xword 0xbcf6a9299316c0a3
63667 .xword 0x168ef0cd221b7878
63668 .xword 0xaab9c640ef288e9a
63669 .xword 0xaba7b4640ccee0dd
63670 .xword 0xf8d713082225e456
63671 .xword 0x76e6068c43264cba
63672 .xword 0x4c5f451634bde5a2
63673 .xword 0x0a95b2ab0a8bd40c
63674 .xword 0xc09f0179351c0f0b
63675 .xword 0x705df10f4e0ad2e1
63676 .xword 0x11ea33997df2b846
63677 .xword 0x840fa61f8735c316
63678 .xword 0x28ead9703bb4d269
63679 .xword 0xd310b7f7a0cc7e0e
63680 .xword 0x9515805d8f669351
63681 .xword 0x6b13ba2556165ffb
63682 .xword 0x09b09daadc0ac9b5
63683 .xword 0xc257a4c4f284e35a
63684 .xword 0x80881a29ae685634
63685 .xword 0x933f2588157f33a7
63686 .xword 0x9fc3a8842e3789a4
63687 .xword 0x4787b3bbcbccd9e7
63688 .xword 0xda1a402e8a3166aa
63689 .xword 0x9d36616902e3740c
63690 .xword 0x6f2eb03b9b34f012
63691 .xword 0xbb1656c020b44dcf
63692 .xword 0x4b6641f57b499e4a
63693 .xword 0x0213678a69f0a0a2
63694 .xword 0xb26d6fb036dfab0d
63695 .xword 0x1d705cd84cbe30c3
63696 .xword 0x488a47ca3e2457af
63697 .xword 0xf802cf7b603fa495
63698 .xword 0x2a6462dc5d5718d3
63699 .xword 0x35100b6cab4fc3b8
63700 .xword 0x4060e74d854722fa
63701_t1_sslkey_iv_array:
63702 .xword 0xf1ec3d6b3c120121
63703 .xword 0x1d65f16ba0205547
63704 .xword 0xfb901c2d27f21d74
63705 .xword 0xdfef192fdfabdd0a
63706 .xword 0x8824d7efa1d9cd86
63707 .xword 0x2f120b591c007001
63708 .xword 0x79a86579b730579a
63709 .xword 0xda4a3d34aca64158
63710 .xword 0xf217204cc37d64e8
63711 .xword 0xdeb92901b832810e
63712 .xword 0x05e7032f7ca1facc
63713 .xword 0x855c76ea27cf670e
63714 .xword 0x80784a46b36a0252
63715 .xword 0x27a3ebfc407cf4a4
63716 .xword 0x9c1dbc72d0881672
63717 .xword 0xdf30327b76aa1433
63718 .xword 0x1103e32a96c76bce
63719 .xword 0x1a474ca00f2140bc
63720 .xword 0xdb7e672d1c88465f
63721 .xword 0xba5cfeab6e0866db
63722 .xword 0x11fa9b3cf2ba8e2d
63723 .xword 0x5c94967cd80e63bd
63724 .xword 0x2d9403599503e504
63725 .xword 0xcf3ef91a03ed7817
63726 .xword 0x5dc391b6b3c6964c
63727 .xword 0x51d55e6d126493b4
63728 .xword 0x74f8074a8f6b4d6a
63729 .xword 0xba147600f50bd742
63730 .xword 0x842b7cdb07d67a44
63731 .xword 0xd33a5ff510bca11f
63732 .xword 0xbea5c50d0f62b634
63733 .xword 0xbbdbee638bc9a28c
63734 .xword 0xeeb58d90b9ea3a97
63735 .xword 0xd272a17f49763229
63736 .xword 0xa4a16fa1d3140d44
63737 .xword 0x16bc004871cc6ff4
63738 .xword 0xce1562548bd2c7bc
63739 .xword 0x5c4aa08cdd26ce5d
63740 .xword 0x71de4c940c79577c
63741 .xword 0x77494f329e0a3a2f
63742 .xword 0x250ec3d5a21d7ff8
63743 .xword 0x5e5811549fc02521
63744 .xword 0xd25d1ee83348b91e
63745 .xword 0x85e939326e7cdc9b
63746 .xword 0xdf2bf88a90b24117
63747_t1_sslkey_alignment_array:
63748 .xword 0
63749 .xword 0
63750 .xword 0
63751 .xword 0
63752 .xword 0
63753 .xword 0
63754 .xword 0
63755 .xword 0
63756 .xword 0
63757 .xword 0
63758 .xword 0
63759 .xword 0
63760 .xword 0
63761 .xword 0
63762 .xword 0
63763 .xword 0
63764 .xword 0
63765 .xword 0
63766 .xword 0
63767 .xword 0
63768 .xword 0
63769 .xword 0
63770 .xword 0
63771 .xword 0
63772 .xword 0
63773 .xword 0
63774 .xword 0
63775 .xword 0
63776 .xword 0
63777 .xword 0
63778 .xword 0
63779 .xword 0
63780 .xword 0
63781 .xword 0
63782 .xword 0
63783 .xword 0
63784 .xword 0
63785 .xword 0
63786 .xword 0
63787 .xword 0
63788 .xword 0
63789 .xword 0
63790 .xword 0
63791 .xword 0
63792 .xword 0
63793 .xword 0
63794 .xword 0
63795 .xword 0
63796 .xword 0
63797 .xword 0
63798 .xword 0
63799 .xword 0
63800 .xword 0
63801 .xword 0
63802 .xword 0
63803 .xword 0
63804 .xword 0
63805 .xword 0
63806 .xword 0
63807 .xword 0
63808 .xword 0
63809 .xword 0
63810 .xword 0
63811 .xword 0
63812 .xword 0
63813 .xword 0
63814 .xword 0
63815 .xword 0
63816 .xword 0
63817 .xword 0
63818 .xword 0
63819 .xword 0
63820 .xword 0
63821 .xword 0
63822 .xword 0
63823 .xword 0
63824 .xword 0
63825 .xword 0
63826 .xword 0
63827 .xword 0
63828 .xword 0
63829 .xword 0
63830 .xword 0
63831 .xword 0
63832 .xword 0
63833 .xword 0
63834 .xword 0
63835 .xword 0
63836 .xword 0
63837 .xword 0
63838 .xword 0
63839 .xword 0
63840 .xword 0
63841 .xword 0
63842 .xword 0
63843 .xword 0
63844 .xword 0
63845 .xword 0
63846 .xword 0
63847 .xword 0
63848 .xword 0
63849 .xword 0
63850 .xword 0
63851 .xword 0
63852 .xword 0
63853_t1_sslkey_src:
63854 .xword 0x50919b1d58c2803c
63855 .xword 0xe03056efa899dc0c
63856 .xword 0xf310da30cc018d6f
63857 .xword 0xc406e54f2c145825
63858 .xword 0xc69c97e6db1c5b07
63859 .xword 0x1c8d927980f14489
63860 .xword 0x73dc1688e9cfbfd7
63861 .xword 0x8036d3886267c0ba
63862 .xword 0xbef55a87f3bf5f53
63863 .xword 0x9bf6637a3ac46be0
63864 .xword 0xf4ccc582ef48ec0a
63865 .xword 0xc92e0c345c7dad29
63866 .xword 0x7b9116cb56e16802
63867 .xword 0xd936ddf0c29f3fa6
63868 .xword 0x6b762e933a7d6a6e
63869 .xword 0xbfba7e774404ffa3
63870 .xword 0xea20c4e1decb5733
63871 .xword 0xce3a8172b9bcb5af
63872 .xword 0x76e21467d7460ed9
63873 .xword 0x09acd1ae8d75074d
63874 .xword 0xd4341f785a03dc21
63875 .xword 0x774f18ddc1033850
63876 .xword 0xe8261882d95c0db2
63877 .xword 0x93171e4fe3a49010
63878 .xword 0x71641c21cb2b10bf
63879 .xword 0xe8bac0ba0d88a4ac
63880 .xword 0x3503667725308c47
63881 .xword 0x71e1cd041f6a802f
63882 .xword 0x4e3898c703df60ae
63883 .xword 0x7c8880dfb5529c60
63884 .xword 0xd85060b1ec90ad56
63885 .xword 0x54f646ab6f5b06ed
63886 .xword 0xe2e5bf06de8d5945
63887 .xword 0x0b14fb9040e2ebc5
63888 .xword 0x431193f36fa44574
63889 .xword 0x6fad869b5cbc8c8d
63890 .xword 0x63403cdc16c1f25f
63891 .xword 0xbf041003173b99bb
63892 .xword 0xe4e74d72ec635806
63893 .xword 0xfa46ce42267b3d34
63894 .xword 0x9af806d3d6144241
63895 .xword 0xc6abe4f0bd1e32fd
63896 .xword 0x6402971baf74c52f
63897 .xword 0x934de93a9c831a35
63898 .xword 0x505589357018420d
63899 .xword 0x56cd676a3ab20dff
63900 .xword 0x8d9b2fe298a567c8
63901 .xword 0x48608559b3803033
63902 .xword 0x16542a109779056b
63903 .xword 0x6c14b592de23f0d2
63904 .xword 0xc42a40078f28e813
63905 .xword 0xaa5557c4ff15ddad
63906 .xword 0x9ce65ae3236446cf
63907 .xword 0xab59bdf1008a8f95
63908 .xword 0xfbc7ddabe5a3a4ac
63909 .xword 0x65eca4cbf07a6137
63910 .xword 0x648afa6c1769d09c
63911 .xword 0xc29e6b2f460195fc
63912 .xword 0x6d4603de023df454
63913 .xword 0x0bd77283e11ad539
63914 .xword 0xfa08a7405d82cfc7
63915 .xword 0x872bbf66690378dd
63916 .xword 0xceccdf02bda7acd3
63917 .xword 0x4a2893f1c0ef2593
63918 .xword 0x33b415aa6f2176fb
63919 .xword 0xae98f06b624c140b
63920 .xword 0x2239e4b4e8e317d1
63921 .xword 0x681aa5f8d1a1efd1
63922 .xword 0xe581b0c37f3f5f53
63923 .xword 0xd86af18bbe05fc49
63924 .xword 0xfbb275b8496fad1c
63925 .xword 0xdca4a717a148f31a
63926 .xword 0xecc87e6ae7be7076
63927 .xword 0x3fc69b62b3211c7e
63928 .xword 0x3fe347f216f144f2
63929 .xword 0x2d01cfb4d7edc333
63930 .xword 0x81195c4ff4c1d0fa
63931 .xword 0xacc5cbcd5f2d9ee8
63932 .xword 0x3219ba3fb1863564
63933 .xword 0x44fe989d2e06b0a1
63934 .xword 0x8dc4853166636086
63935 .xword 0x1e6310d60e642b43
63936 .xword 0x8716f987d3830ab5
63937 .xword 0xa9bc3123902db821
63938 .xword 0x51593ed0e170495d
63939 .xword 0xcbe4f424888b1081
63940 .xword 0x36e7d2b67dc14b7d
63941 .xword 0xa3b8d28d456b1733
63942 .xword 0x8027538cbf9256e2
63943 .xword 0xe0b4e5c715d0a7ea
63944 .xword 0xb7c0c8d0da5c9cbc
63945 .xword 0x33f5a2ac01db7f12
63946 .xword 0x0a4bbbee122ac1a6
63947 .xword 0x47d668a9601c1c90
63948 .xword 0x6ef5be366edff47e
63949 .xword 0x28f38f788cd37759
63950 .xword 0x0e31ad9e45335c8f
63951 .xword 0x6bf2de51dac60c5c
63952 .xword 0x93116282a3092766
63953 .xword 0x701968a8d58564dd
63954 .xword 0x0e41c1e2c257aa86
63955 .xword 0x03d625c453416951
63956 .xword 0x10da6ee891f4ae86
63957 .xword 0x98c2da9dfcd9b110
63958 .xword 0x0bc24261199eeb55
63959 .xword 0x116fd968872f9f49
63960 .xword 0xd2f8046e98c14627
63961 .xword 0x042d624ad0ebe61c
63962 .xword 0x20297355383e32a0
63963 .xword 0xa995ceadf329ddfa
63964 .xword 0xcca3dfb70edb2dd1
63965 .xword 0xd53c0d8b15c631d5
63966 .xword 0xf4be6423cc15f570
63967 .xword 0x457916d5cdae39e1
63968 .xword 0xf04c42968cdf3989
63969 .xword 0x095d22482704ce5e
63970 .xword 0x93e9348d9308ddcc
63971 .xword 0xc7312ee32ee319bf
63972 .xword 0x655a4c2fdb6213b9
63973 .xword 0xd44e5d4472103272
63974 .xword 0x06fa9b2b3d9a543f
63975 .xword 0xd0082f66295daf85
63976 .xword 0x5a2d5d00b34651f4
63977 .xword 0x7e2b7e3d4708d5fb
63978 .xword 0xfb4ff9bf4aaf120f
63979 .xword 0xe7027ba8124d4645
63980 .xword 0x6ddeea307b959b04
63981 .xword 0x8f8d1c10a63c40fc
63982 .xword 0x3d162ae07fcea2ac
63983 .xword 0x2ef5d4bcc41e6427
63984 .xword 0xb413be681e92ddf8
63985 .xword 0xc6dc64e97a544e19
63986 .xword 0x3bb3ed4c4d52796a
63987 .xword 0xbd5cb9d283adb6d5
63988 .xword 0x69e7ceedaf034ae8
63989 .xword 0x5d09eda3e1edc1dd
63990 .xword 0xc905fac0f3b129ed
63991 .xword 0xcc719cb9ddb0b1be
63992 .xword 0x002549e788d63a78
63993 .xword 0x4521e14d194863a5
63994 .xword 0xf0f81e4f9e62cd19
63995 .xword 0x362f074de33f5df7
63996 .xword 0xa5c87cdf37049aad
63997 .xword 0xd9ea0035b61679fe
63998 .xword 0x667afed09232bad1
63999 .xword 0x128e311f50fc8fe8
64000 .xword 0x1ea73af0de9c7790
64001 .xword 0xab4356e4a4d32c9a
64002 .xword 0x4c8a66a604d71562
64003 .xword 0xbef82f82b4f57820
64004 .xword 0x85b8cbb3e2305cd7
64005 .xword 0xc831539e438d8bae
64006 .xword 0x35069e24282bcf3f
64007 .xword 0x1e8001bb468ec91d
64008 .xword 0x4d36ee04aa098f94
64009 .xword 0x603e1e89858bc46e
64010 .xword 0xf3d0ba37ac64762d
64011 .xword 0xa215bde0b6bcc2af
64012 .xword 0x6655d71a942d3bc3
64013 .xword 0xfbec581c339d400e
64014 .xword 0x91bf6664903eeab3
64015 .xword 0x06516a0b526f7eaf
64016 .xword 0x631d509669ac0c78
64017 .xword 0xd8f91e052d0313c6
64018 .xword 0xd9c100119932d6c5
64019 .xword 0xc191b8be884280be
64020 .xword 0xb40b4a59e2138663
64021 .xword 0xe333c950887fbc3c
64022 .xword 0x18b54d88248a2167
64023 .xword 0x944cdc66f0c76873
64024 .xword 0xe80adcb3a74aac6e
64025 .xword 0x2c20d8bedeba1743
64026 .xword 0x8a5fad1c07b52a1f
64027 .xword 0x38006a5697d98a06
64028 .xword 0xe3599d4cc5942e4b
64029 .xword 0xb81d9db6c15500d9
64030 .xword 0xc6aa006d6ad68560
64031 .xword 0x7b09666b7b964a22
64032 .xword 0x2490161af530e47d
64033 .xword 0x40e2d1a8d73d30fc
64034 .xword 0x2613180c84978838
64035 .xword 0x88f9140b122003ef
64036 .xword 0x9dcb0d896b373ea8
64037 .xword 0xb17ea7f8734667ef
64038 .xword 0x4a43bc29c8feacef
64039 .xword 0xa5113956757454ba
64040 .xword 0xe69147fc8497b04a
64041 .xword 0xc3aa545085c334d2
64042 .xword 0x351ee9e4f4c96f6f
64043 .xword 0xef2a7f51c3831cd4
64044 .xword 0x977f1f2883ab0c9a
64045 .xword 0x5a51a093777acd76
64046 .xword 0x347aea88bdc0aaff
64047 .xword 0x08c333c18f2e8bfb
64048 .xword 0x3b7c234827f867ff
64049 .xword 0x1fd3ef7ddd730b42
64050 .xword 0xf633bea66a96ce39
64051 .xword 0x00622c030bfb0bab
64052 .xword 0x8c4ef37c1f71a6ed
64053 .xword 0x19c635a5bf1c7595
64054 .xword 0xe61cd5e0a5a1492d
64055 .xword 0x20be2d01b957d0d6
64056 .xword 0xfca42817fb5eee44
64057 .xword 0xb5e0473dd13d6019
64058 .xword 0x449d6c196b80dd34
64059 .xword 0x7ca361ee241be4af
64060 .xword 0x300221971e23d459
64061 .xword 0xf55ee6cfb2a3d92c
64062 .xword 0x080df7724a6eecfc
64063 .xword 0x4c91768f567f6af6
64064 .xword 0xcd78b481fe444f07
64065 .xword 0x82f7b88bdf77b4df
64066 .xword 0xfc156ce41f73efe7
64067 .xword 0x3f62e3596a7a2654
64068 .xword 0x19a0b5f7c1e6568b
64069 .xword 0x920e50eceec9d6db
64070 .xword 0xb787cef7422e63f7
64071 .xword 0xdd51148441eb47c0
64072 .xword 0x7ba49669e142c31f
64073 .xword 0x044785f15ced38d3
64074 .xword 0x79bb8727cd0c6546
64075 .xword 0xd72949dd68bafacf
64076 .xword 0x2a0931c4ba1becba
64077 .xword 0x489b485991267d2a
64078 .xword 0x8b9553fb080cf5d5
64079 .xword 0x94314df1291a528e
64080 .xword 0xf219055918d3b7d2
64081 .xword 0x20acd027ba00cb4a
64082 .xword 0xfc2fc26784d206c8
64083 .xword 0x5b1e9b38ddea8cd5
64084 .xword 0x6484f88594b121a8
64085 .xword 0x9bc85e8bed1abd9f
64086 .xword 0xf5f3197b2ef97d18
64087 .xword 0x79be06414bbc9118
64088 .xword 0x9952cf90b5b09c9c
64089 .xword 0xa832ad16608f0654
64090 .xword 0x915d6e87f6ab6309
64091 .xword 0x88fc88d8c7348bed
64092 .xword 0x958864c801d78187
64093 .xword 0x50ef3ba947611ee3
64094 .xword 0x721f62fd65ba64ab
64095 .xword 0x9aa4a4b9da88029f
64096 .xword 0xae696a3acb2eb027
64097 .xword 0x947b9e6cb0e89931
64098 .xword 0x202c5730f40125b0
64099 .xword 0xf2e59b81cb735f0a
64100 .xword 0x4431b5f6fbc2806e
64101 .xword 0x027f92a71e612b85
64102 .xword 0x7509a91b5aee90c9
64103 .xword 0xfca0f28584f9e840
64104 .xword 0x3cff8ea16eb135da
64105 .xword 0x6283d3e64ff7ce4e
64106 .xword 0x8298b0b632ba1e6e
64107 .xword 0xb28cd844aabc4c18
64108 .xword 0xd25a15172f16ca1b
64109 .xword 0x6d04d192ec25d8ff
64110 .xword 0x3f91eeaa14271022
64111 .xword 0xc6c581fb7bea2053
64112 .xword 0x7a22de08c66ccf68
64113 .xword 0x9af4b4b7148715d6
64114 .xword 0x5f13ab9fba99674c
64115 .xword 0x7c6189adc33d5518
64116 .xword 0x0dd52df810f2412e
64117 .xword 0x21e38e476e3d8e4d
64118 .xword 0xa99b1651a9c364b0
64119 .xword 0x69aee96184187ca7
64120 .xword 0x80e9e2e73fac77d1
64121 .xword 0xf118e791704e1163
64122 .xword 0x28453f446c2d91f3
64123 .xword 0xf41a2138481180ba
64124 .xword 0x73680acff027e8f5
64125 .xword 0x9fbcf9257f67ef28
64126 .xword 0x204806d73f9b87fe
64127 .xword 0x8174de0dea01ac93
64128 .xword 0x0daa6cef4acf7ccf
64129_t1_sslkey_dest:
64130 .xword 0xDEADBEEFDEADBEEF
64131 .xword 0xDEADBEEFDEADBEEF
64132 .xword 0xDEADBEEFDEADBEEF
64133 .xword 0xDEADBEEFDEADBEEF
64134 .xword 0xDEADBEEFDEADBEEF
64135 .xword 0xDEADBEEFDEADBEEF
64136 .xword 0xDEADBEEFDEADBEEF
64137 .xword 0xDEADBEEFDEADBEEF
64138 .xword 0xDEADBEEFDEADBEEF
64139 .xword 0xDEADBEEFDEADBEEF
64140 .xword 0xDEADBEEFDEADBEEF
64141 .xword 0xDEADBEEFDEADBEEF
64142 .xword 0xDEADBEEFDEADBEEF
64143 .xword 0xDEADBEEFDEADBEEF
64144 .xword 0xDEADBEEFDEADBEEF
64145 .xword 0xDEADBEEFDEADBEEF
64146 .xword 0xDEADBEEFDEADBEEF
64147 .xword 0xDEADBEEFDEADBEEF
64148 .xword 0xDEADBEEFDEADBEEF
64149 .xword 0xDEADBEEFDEADBEEF
64150 .xword 0xDEADBEEFDEADBEEF
64151 .xword 0xDEADBEEFDEADBEEF
64152 .xword 0xDEADBEEFDEADBEEF
64153 .xword 0xDEADBEEFDEADBEEF
64154 .xword 0xDEADBEEFDEADBEEF
64155 .xword 0xDEADBEEFDEADBEEF
64156 .xword 0xDEADBEEFDEADBEEF
64157 .xword 0xDEADBEEFDEADBEEF
64158 .xword 0xDEADBEEFDEADBEEF
64159 .xword 0xDEADBEEFDEADBEEF
64160 .xword 0xDEADBEEFDEADBEEF
64161 .xword 0xDEADBEEFDEADBEEF
64162 .xword 0xDEADBEEFDEADBEEF
64163 .xword 0xDEADBEEFDEADBEEF
64164 .xword 0xDEADBEEFDEADBEEF
64165 .xword 0xDEADBEEFDEADBEEF
64166 .xword 0xDEADBEEFDEADBEEF
64167 .xword 0xDEADBEEFDEADBEEF
64168 .xword 0xDEADBEEFDEADBEEF
64169 .xword 0xDEADBEEFDEADBEEF
64170 .xword 0xDEADBEEFDEADBEEF
64171 .xword 0xDEADBEEFDEADBEEF
64172 .xword 0xDEADBEEFDEADBEEF
64173 .xword 0xDEADBEEFDEADBEEF
64174 .xword 0xDEADBEEFDEADBEEF
64175 .xword 0xDEADBEEFDEADBEEF
64176 .xword 0xDEADBEEFDEADBEEF
64177 .xword 0xDEADBEEFDEADBEEF
64178 .xword 0xDEADBEEFDEADBEEF
64179 .xword 0xDEADBEEFDEADBEEF
64180 .xword 0xDEADBEEFDEADBEEF
64181 .xword 0xDEADBEEFDEADBEEF
64182 .xword 0xDEADBEEFDEADBEEF
64183 .xword 0xDEADBEEFDEADBEEF
64184 .xword 0xDEADBEEFDEADBEEF
64185 .xword 0xDEADBEEFDEADBEEF
64186 .xword 0xDEADBEEFDEADBEEF
64187 .xword 0xDEADBEEFDEADBEEF
64188 .xword 0xDEADBEEFDEADBEEF
64189 .xword 0xDEADBEEFDEADBEEF
64190 .xword 0xDEADBEEFDEADBEEF
64191 .xword 0xDEADBEEFDEADBEEF
64192 .xword 0xDEADBEEFDEADBEEF
64193 .xword 0xDEADBEEFDEADBEEF
64194 .xword 0xDEADBEEFDEADBEEF
64195 .xword 0xDEADBEEFDEADBEEF
64196 .xword 0xDEADBEEFDEADBEEF
64197 .xword 0xDEADBEEFDEADBEEF
64198 .xword 0xDEADBEEFDEADBEEF
64199 .xword 0xDEADBEEFDEADBEEF
64200 .xword 0xDEADBEEFDEADBEEF
64201 .xword 0xDEADBEEFDEADBEEF
64202 .xword 0xDEADBEEFDEADBEEF
64203 .xword 0xDEADBEEFDEADBEEF
64204 .xword 0xDEADBEEFDEADBEEF
64205 .xword 0xDEADBEEFDEADBEEF
64206 .xword 0xDEADBEEFDEADBEEF
64207 .xword 0xDEADBEEFDEADBEEF
64208 .xword 0xDEADBEEFDEADBEEF
64209 .xword 0xDEADBEEFDEADBEEF
64210 .xword 0xDEADBEEFDEADBEEF
64211 .xword 0xDEADBEEFDEADBEEF
64212 .xword 0xDEADBEEFDEADBEEF
64213 .xword 0xDEADBEEFDEADBEEF
64214 .xword 0xDEADBEEFDEADBEEF
64215 .xword 0xDEADBEEFDEADBEEF
64216 .xword 0xDEADBEEFDEADBEEF
64217 .xword 0xDEADBEEFDEADBEEF
64218 .xword 0xDEADBEEFDEADBEEF
64219 .xword 0xDEADBEEFDEADBEEF
64220 .xword 0xDEADBEEFDEADBEEF
64221 .xword 0xDEADBEEFDEADBEEF
64222 .xword 0xDEADBEEFDEADBEEF
64223 .xword 0xDEADBEEFDEADBEEF
64224 .xword 0xDEADBEEFDEADBEEF
64225 .xword 0xDEADBEEFDEADBEEF
64226 .xword 0xDEADBEEFDEADBEEF
64227 .xword 0xDEADBEEFDEADBEEF
64228 .xword 0xDEADBEEFDEADBEEF
64229 .xword 0xDEADBEEFDEADBEEF
64230 .xword 0xDEADBEEFDEADBEEF
64231 .xword 0xDEADBEEFDEADBEEF
64232 .xword 0xDEADBEEFDEADBEEF
64233 .xword 0xDEADBEEFDEADBEEF
64234 .xword 0xDEADBEEFDEADBEEF
64235 .xword 0xDEADBEEFDEADBEEF
64236 .xword 0xDEADBEEFDEADBEEF
64237 .xword 0xDEADBEEFDEADBEEF
64238 .xword 0xDEADBEEFDEADBEEF
64239 .xword 0xDEADBEEFDEADBEEF
64240 .xword 0xDEADBEEFDEADBEEF
64241 .xword 0xDEADBEEFDEADBEEF
64242 .xword 0xDEADBEEFDEADBEEF
64243 .xword 0xDEADBEEFDEADBEEF
64244 .xword 0xDEADBEEFDEADBEEF
64245 .xword 0xDEADBEEFDEADBEEF
64246 .xword 0xDEADBEEFDEADBEEF
64247 .xword 0xDEADBEEFDEADBEEF
64248 .xword 0xDEADBEEFDEADBEEF
64249 .xword 0xDEADBEEFDEADBEEF
64250 .xword 0xDEADBEEFDEADBEEF
64251 .xword 0xDEADBEEFDEADBEEF
64252 .xword 0xDEADBEEFDEADBEEF
64253 .xword 0xDEADBEEFDEADBEEF
64254 .xword 0xDEADBEEFDEADBEEF
64255 .xword 0xDEADBEEFDEADBEEF
64256 .xword 0xDEADBEEFDEADBEEF
64257 .xword 0xDEADBEEFDEADBEEF
64258 .xword 0xDEADBEEFDEADBEEF
64259 .xword 0xDEADBEEFDEADBEEF
64260 .xword 0xDEADBEEFDEADBEEF
64261 .xword 0xDEADBEEFDEADBEEF
64262 .xword 0xDEADBEEFDEADBEEF
64263 .xword 0xDEADBEEFDEADBEEF
64264 .xword 0xDEADBEEFDEADBEEF
64265 .xword 0xDEADBEEFDEADBEEF
64266 .xword 0xDEADBEEFDEADBEEF
64267 .xword 0xDEADBEEFDEADBEEF
64268 .xword 0xDEADBEEFDEADBEEF
64269 .xword 0xDEADBEEFDEADBEEF
64270 .xword 0xDEADBEEFDEADBEEF
64271 .xword 0xDEADBEEFDEADBEEF
64272 .xword 0xDEADBEEFDEADBEEF
64273 .xword 0xDEADBEEFDEADBEEF
64274 .xword 0xDEADBEEFDEADBEEF
64275 .xword 0xDEADBEEFDEADBEEF
64276 .xword 0xDEADBEEFDEADBEEF
64277 .xword 0xDEADBEEFDEADBEEF
64278 .xword 0xDEADBEEFDEADBEEF
64279 .xword 0xDEADBEEFDEADBEEF
64280 .xword 0xDEADBEEFDEADBEEF
64281 .xword 0xDEADBEEFDEADBEEF
64282 .xword 0xDEADBEEFDEADBEEF
64283 .xword 0xDEADBEEFDEADBEEF
64284 .xword 0xDEADBEEFDEADBEEF
64285 .xword 0xDEADBEEFDEADBEEF
64286 .xword 0xDEADBEEFDEADBEEF
64287 .xword 0xDEADBEEFDEADBEEF
64288 .xword 0xDEADBEEFDEADBEEF
64289 .xword 0xDEADBEEFDEADBEEF
64290 .xword 0xDEADBEEFDEADBEEF
64291 .xword 0xDEADBEEFDEADBEEF
64292 .xword 0xDEADBEEFDEADBEEF
64293 .xword 0xDEADBEEFDEADBEEF
64294 .xword 0xDEADBEEFDEADBEEF
64295 .xword 0xDEADBEEFDEADBEEF
64296 .xword 0xDEADBEEFDEADBEEF
64297 .xword 0xDEADBEEFDEADBEEF
64298 .xword 0xDEADBEEFDEADBEEF
64299 .xword 0xDEADBEEFDEADBEEF
64300 .xword 0xDEADBEEFDEADBEEF
64301 .xword 0xDEADBEEFDEADBEEF
64302 .xword 0xDEADBEEFDEADBEEF
64303 .xword 0xDEADBEEFDEADBEEF
64304 .xword 0xDEADBEEFDEADBEEF
64305 .xword 0xDEADBEEFDEADBEEF
64306 .xword 0xDEADBEEFDEADBEEF
64307 .xword 0xDEADBEEFDEADBEEF
64308 .xword 0xDEADBEEFDEADBEEF
64309 .xword 0xDEADBEEFDEADBEEF
64310 .xword 0xDEADBEEFDEADBEEF
64311 .xword 0xDEADBEEFDEADBEEF
64312 .xword 0xDEADBEEFDEADBEEF
64313 .xword 0xDEADBEEFDEADBEEF
64314 .xword 0xDEADBEEFDEADBEEF
64315 .xword 0xDEADBEEFDEADBEEF
64316 .xword 0xDEADBEEFDEADBEEF
64317 .xword 0xDEADBEEFDEADBEEF
64318 .xword 0xDEADBEEFDEADBEEF
64319 .xword 0xDEADBEEFDEADBEEF
64320 .xword 0xDEADBEEFDEADBEEF
64321 .xword 0xDEADBEEFDEADBEEF
64322 .xword 0xDEADBEEFDEADBEEF
64323 .xword 0xDEADBEEFDEADBEEF
64324 .xword 0xDEADBEEFDEADBEEF
64325 .xword 0xDEADBEEFDEADBEEF
64326 .xword 0xDEADBEEFDEADBEEF
64327 .xword 0xDEADBEEFDEADBEEF
64328 .xword 0xDEADBEEFDEADBEEF
64329 .xword 0xDEADBEEFDEADBEEF
64330 .xword 0xDEADBEEFDEADBEEF
64331 .xword 0xDEADBEEFDEADBEEF
64332 .xword 0xDEADBEEFDEADBEEF
64333 .xword 0xDEADBEEFDEADBEEF
64334 .xword 0xDEADBEEFDEADBEEF
64335 .xword 0xDEADBEEFDEADBEEF
64336 .xword 0xDEADBEEFDEADBEEF
64337 .xword 0xDEADBEEFDEADBEEF
64338 .xword 0xDEADBEEFDEADBEEF
64339 .xword 0xDEADBEEFDEADBEEF
64340 .xword 0xDEADBEEFDEADBEEF
64341 .xword 0xDEADBEEFDEADBEEF
64342 .xword 0xDEADBEEFDEADBEEF
64343 .xword 0xDEADBEEFDEADBEEF
64344 .xword 0xDEADBEEFDEADBEEF
64345 .xword 0xDEADBEEFDEADBEEF
64346 .xword 0xDEADBEEFDEADBEEF
64347 .xword 0xDEADBEEFDEADBEEF
64348 .xword 0xDEADBEEFDEADBEEF
64349 .xword 0xDEADBEEFDEADBEEF
64350 .xword 0xDEADBEEFDEADBEEF
64351 .xword 0xDEADBEEFDEADBEEF
64352 .xword 0xDEADBEEFDEADBEEF
64353 .xword 0xDEADBEEFDEADBEEF
64354 .xword 0xDEADBEEFDEADBEEF
64355 .xword 0xDEADBEEFDEADBEEF
64356 .xword 0xDEADBEEFDEADBEEF
64357 .xword 0xDEADBEEFDEADBEEF
64358 .xword 0xDEADBEEFDEADBEEF
64359 .xword 0xDEADBEEFDEADBEEF
64360 .xword 0xDEADBEEFDEADBEEF
64361 .xword 0xDEADBEEFDEADBEEF
64362 .xword 0xDEADBEEFDEADBEEF
64363 .xword 0xDEADBEEFDEADBEEF
64364 .xword 0xDEADBEEFDEADBEEF
64365 .xword 0xDEADBEEFDEADBEEF
64366 .xword 0xDEADBEEFDEADBEEF
64367 .xword 0xDEADBEEFDEADBEEF
64368 .xword 0xDEADBEEFDEADBEEF
64369 .xword 0xDEADBEEFDEADBEEF
64370 .xword 0xDEADBEEFDEADBEEF
64371 .xword 0xDEADBEEFDEADBEEF
64372 .xword 0xDEADBEEFDEADBEEF
64373 .xword 0xDEADBEEFDEADBEEF
64374 .xword 0xDEADBEEFDEADBEEF
64375 .xword 0xDEADBEEFDEADBEEF
64376 .xword 0xDEADBEEFDEADBEEF
64377 .xword 0xDEADBEEFDEADBEEF
64378 .xword 0xDEADBEEFDEADBEEF
64379 .xword 0xDEADBEEFDEADBEEF
64380 .xword 0xDEADBEEFDEADBEEF
64381 .xword 0xDEADBEEFDEADBEEF
64382 .xword 0xDEADBEEFDEADBEEF
64383 .xword 0xDEADBEEFDEADBEEF
64384 .xword 0xDEADBEEFDEADBEEF
64385 .xword 0xDEADBEEFDEADBEEF
64386 .xword 0xDEADBEEFDEADBEEF
64387 .xword 0xDEADBEEFDEADBEEF
64388 .xword 0xDEADBEEFDEADBEEF
64389 .xword 0xDEADBEEFDEADBEEF
64390 .xword 0xDEADBEEFDEADBEEF
64391 .xword 0xDEADBEEFDEADBEEF
64392 .xword 0xDEADBEEFDEADBEEF
64393 .xword 0xDEADBEEFDEADBEEF
64394 .xword 0xDEADBEEFDEADBEEF
64395 .xword 0xDEADBEEFDEADBEEF
64396 .xword 0xDEADBEEFDEADBEEF
64397 .xword 0xDEADBEEFDEADBEEF
64398 .xword 0xDEADBEEFDEADBEEF
64399 .xword 0xDEADBEEFDEADBEEF
64400 .xword 0xDEADBEEFDEADBEEF
64401 .xword 0xDEADBEEFDEADBEEF
64402 .xword 0xDEADBEEFDEADBEEF
64403 .xword 0xDEADBEEFDEADBEEF
64404 .xword 0xDEADBEEFDEADBEEF
64405_t1_sslkey_auth_key:
64406 .xword 0x4b453c560cf553fe
64407 .xword 0xe0040843b05cd031
64408 .xword 0xf2c28e9e9b36fde2
64409 .xword 0x91858fd848528f93
64410 .xword 0xeda3529abcc45bdc
64411 .xword 0xc77e43ced5dd8d9e
64412 .xword 0x9d61aa63a8b3a439
64413 .xword 0xc695c61a39dd0e1b
64414 .xword 0x8d551db555dc6581
64415 .xword 0x3adba3fdf90d2736
64416 .xword 0xd993e55c35876440
64417 .xword 0xc4142c51485f1fcb
64418 .xword 0x5e41544b9b67c48b
64419 .xword 0x849d3013fa70d3d5
64420 .xword 0x10a1cd467080de00
64421 .xword 0xedb0f7f4a68794ef
64422 .xword 0xdf2ac97f0d855405
64423 .xword 0x589e3d9b32e0b9eb
64424 .xword 0x9b46e0fa08c7eb85
64425 .xword 0x98ab56234d38eff5
64426 .xword 0x67c08d6cffdbe20a
64427 .xword 0xd381caafbafa3fd3
64428 .xword 0xa90fbe6d704cc219
64429_t1_sslkey_auth_iv:
64430 .xword 0xf4d8579658b94b26
64431 .xword 0x3650b245b74e9167
64432 .xword 0x70a0c068a8c3e23f
64433 .xword 0x48714d5fb9181bca
64434 .xword 0x9c26314b3928f975
64435 .xword 0x35e07bc4d78c7804
64436 .xword 0x352609dd113c178b
64437 .xword 0x312ab3d3bfb28323
64438 .xword 0x3006e6573420a8d7
64439 .xword 0xdf6aa194e7b598d8
64440 .xword 0xa1b3624adb2fd5c4
64441 .xword 0x19267b3ef87bab96
64442 .xword 0xf00cd44ea9bf2b13
64443 .xword 0x4f88c30654bfe1e5
64444 .xword 0x3a0dbf70df251c71
64445 .xword 0x5c7952ff338ee96f
64446 .xword 0x87fa35c7a526cd8f
64447 .xword 0xd37a0e3f0690ae10
64448 .xword 0x5cd1a82f5cdfaa03
64449 .xword 0x5d209fed02f41f7e
64450 .xword 0x670fe0952ad2da68
64451 .xword 0x77b29bb5f40ba273
64452 .xword 0x35b11eef9a6e0938
64453_t1_sslkey_fas_result:
64454 .xword 0xDEADBEEFDEADBEEF
64455 .xword 0xDEADBEEFDEADBEEF
64456 .xword 0xDEADBEEFDEADBEEF
64457 .xword 0xDEADBEEFDEADBEEF
64458 .xword 0xDEADBEEFDEADBEEF
64459 .xword 0xDEADBEEFDEADBEEF
64460 .xword 0xDEADBEEFDEADBEEF
64461 .xword 0xDEADBEEFDEADBEEF
64462 .xword 0xDEADBEEFDEADBEEF
64463 .xword 0xDEADBEEFDEADBEEF
64464 .xword 0xDEADBEEFDEADBEEF
64465 .xword 0xDEADBEEFDEADBEEF
64466 .xword 0xDEADBEEFDEADBEEF
64467 .xword 0xDEADBEEFDEADBEEF
64468 .xword 0xDEADBEEFDEADBEEF
64469 .xword 0xDEADBEEFDEADBEEF
64470 .xword 0xDEADBEEFDEADBEEF
64471 .xword 0xDEADBEEFDEADBEEF
64472 .xword 0xDEADBEEFDEADBEEF
64473 .xword 0xDEADBEEFDEADBEEF
64474 .xword 0xDEADBEEFDEADBEEF
64475 .xword 0xDEADBEEFDEADBEEF
64476 .xword 0xDEADBEEFDEADBEEF
64477_t1_aes_toc:
64478 .xword _t1_aes_cwd_array
64479 .xword _t1_aes_src
64480 .xword _t1_aes_auth_key
64481 .xword _t1_aes_auth_iv
64482 .xword _t1_aes_fas_result
64483 .xword _t1_aes_key_array
64484 .xword _t1_aes_iv_array
64485 .xword _t1_aes_dest
64486 .xword _t1_aes_alignment_array
64487_t1_des_toc:
64488 .xword _t1_des_cwd_array
64489 .xword _t1_des_src
64490 .xword _t1_des_auth_key
64491 .xword _t1_des_auth_iv
64492 .xword _t1_des_fas_result
64493 .xword _t1_des_key_array
64494 .xword _t1_des_iv_array
64495 .xword _t1_des_dest
64496 .xword _t1_des_alignment_array
64497_t1_copy_toc:
64498 .xword _t1_copy_cwd_array
64499 .xword _t1_copy_src
64500 .xword _t1_copy_auth_key
64501 .xword _t1_copy_auth_iv
64502 .xword _t1_copy_fas_result
64503 .xword _t1_copy_key_array
64504 .xword _t1_copy_iv_array
64505 .xword _t1_copy_dest
64506 .xword _t1_copy_alignment_array
64507_t1_crc_toc:
64508 .xword _t1_crc_cwd_array
64509 .xword _t1_crc_src
64510 .xword _t1_crc_auth_key
64511 .xword _t1_crc_auth_iv
64512 .xword _t1_crc_fas_result
64513 .xword _t1_crc_key_array
64514 .xword _t1_crc_iv_array
64515 .xword _t1_crc_dest
64516 .xword _t1_crc_alignment_array
64517_t1_hash_toc:
64518 .xword _t1_hash_cwd_array
64519 .xword _t1_hash_src
64520 .xword _t1_hash_auth_key
64521 .xword _t1_hash_auth_iv
64522 .xword _t1_hash_fas_result
64523 .xword _t1_hash_key_array
64524 .xword _t1_hash_iv_array
64525 .xword _t1_hash_dest
64526 .xword _t1_hash_alignment_array
64527_t1_hmac_toc:
64528 .xword _t1_hmac_cwd_array
64529 .xword _t1_hmac_src
64530 .xword _t1_hmac_auth_key
64531 .xword _t1_hmac_auth_iv
64532 .xword _t1_hmac_fas_result
64533 .xword _t1_hmac_key_array
64534 .xword _t1_hmac_iv_array
64535 .xword _t1_hmac_dest
64536 .xword _t1_hmac_alignment_array
64537_t1_rc4_toc:
64538 .xword _t1_rc4_cwd_array
64539 .xword _t1_rc4_src
64540 .xword _t1_rc4_auth_key
64541 .xword _t1_rc4_auth_iv
64542 .xword _t1_rc4_fas_result
64543 .xword _t1_rc4_key_array
64544 .xword _t1_rc4_iv_array
64545 .xword _t1_rc4_dest
64546 .xword _t1_rc4_alignment_array
64547_t1_sslkey_toc:
64548 .xword _t1_sslkey_cwd_array
64549 .xword _t1_sslkey_src
64550 .xword _t1_sslkey_auth_key
64551 .xword _t1_sslkey_auth_iv
64552 .xword _t1_sslkey_fas_result
64553 .xword _t1_sslkey_key_array
64554 .xword _t1_sslkey_iv_array
64555 .xword _t1_sslkey_dest
64556 .xword _t1_sslkey_alignment_array
64557_t1_table_of_context6:
64558 .xword _t1_aes_toc
64559 .xword _t1_des_toc
64560 .xword _t1_copy_toc
64561 .xword _t1_crc_toc
64562 .xword _t1_hash_toc
64563 .xword _t1_hmac_toc
64564 .xword _t1_rc4_toc
64565 .xword _t1_sslkey_toc
64566
64567!# CWQ data area, set aside 512 CW's worth
64568!# 512*8*8 = 32KB
64569.align 32*1024
64570_t1_cwq_base6:
64571 .xword 0xAAAAAAAAAAAAAAA
64572 .xword 0xAAAAAAAAAAAAAAA
64573 .xword 0xAAAAAAAAAAAAAAA
64574 .xword 0xAAAAAAAAAAAAAAA
64575 .xword 0xAAAAAAAAAAAAAAA
64576 .xword 0xAAAAAAAAAAAAAAA
64577 .xword 0xAAAAAAAAAAAAAAA
64578 .xword 0xAAAAAAAAAAAAAAA
64579.align 32*1024
64580_t1_cwq_last6:
64581
64582SECTION ._t1_T_CWQ_DATA7 DATA_VA=292552704
64583attr_data {
64584 Name = ._t1_T_CWQ_DATA7
64585 hypervisor
64586}
64587 .data
64588_t1_user_data_start7:
64589_t1_scratch_area7:
64590
64591.align 16
64592_t1_spu_op_array7:
64593 .xword 3
64594 .xword 4
64595 .xword 4
64596 .xword 7
64597 .xword 0
64598 .xword 7
64599 .xword 2
64600 .xword 1
64601 .xword 4
64602 .xword 0
64603 .xword 3
64604 .xword 0
64605 .xword 7
64606 .xword 1
64607 .xword 4
64608_t1_aes_cwd_array7:
64609 .xword 0xc0e000e01900002f
64610 .xword 0xc06000201b00001f
64611 .xword 0xc06000001700003f
64612 .xword 0x406100801300003f
64613 .xword 0xc06000a01700002f
64614 .xword 0xc06000e01700000f
64615 .xword 0xc06100a01000003f
64616 .xword 0xc0e100201900002f
64617 .xword 0x40e000e01900001f
64618 .xword 0xc06100c01100000f
64619 .xword 0xc0e000a01900003f
64620 .xword 0x406100801b00000f
64621 .xword 0x40e000201900000f
64622 .xword 0x406100801500003f
64623 .xword 0x40e000601700003f
64624_t1_des_cwd_array7:
64625 .xword 0xc0e000800e000007
64626 .xword 0x406100e00d00000f
64627 .xword 0xc06000600e00001f
64628 .xword 0xc06100e00a00001f
64629 .xword 0x406000200a000007
64630 .xword 0xc06000600c00000f
64631 .xword 0x406100600900000f
64632 .xword 0x406000400c000007
64633 .xword 0x40e000a00c00001f
64634 .xword 0xc06100c00d00000f
64635 .xword 0xc06100a00d000007
64636 .xword 0xc06100800c000017
64637 .xword 0x40e0002008000017
64638 .xword 0xc0e000800900000f
64639 .xword 0x406000200c00000f
64640_t1_copy_cwd_array7:
64641 .xword 0xa061008000000005
64642 .xword 0x206100a00000000e
64643 .xword 0xa060008000000000
64644 .xword 0xa06000a000000007
64645 .xword 0x206000c000000006
64646 .xword 0x206100400000000c
64647 .xword 0x206100200000000a
64648 .xword 0xa061006000000004
64649 .xword 0x206100c00000000f
64650 .xword 0x206100800000000c
64651 .xword 0xa060008000000002
64652 .xword 0x206000e00000000a
64653 .xword 0x206100200000000e
64654 .xword 0xa06100a000000008
64655 .xword 0x2061000000000006
64656_t1_crc_cwd_array7:
64657 .xword 0x416303840000000f
64658 .xword 0x4162010800000004
64659 .xword 0x416103e400000002
64660 .xword 0x416201880000000d
64661 .xword 0xc16003040000000f
64662 .xword 0x416201080000000f
64663 .xword 0xc160038400000006
64664 .xword 0xc163014800000007
64665 .xword 0x416203840000000a
64666 .xword 0xc161014800000007
64667 .xword 0x4162036400000003
64668 .xword 0x416101480000000c
64669 .xword 0x4163038400000000
64670 .xword 0xc160012800000007
64671_t1_hash_cwd_array7:
64672 .xword 0xc161116200000039
64673 .xword 0xc1600d4300000012
64674 .xword 0xc1600ec100000003
64675 .xword 0x4162138200000007
64676 .xword 0xc1620ba10000001d
64677 .xword 0xc1620f620000002e
64678 .xword 0xc16208420000002c
64679 .xword 0xc160090100000008
64680 .xword 0x416007610000002f
64681 .xword 0xc1600de10000003b
64682 .xword 0x41600e010000001e
64683 .xword 0xc16301410000001b
64684 .xword 0x4162076100000038
64685 .xword 0xc1610c0100000027
64686 .xword 0xc1610ea10000000b
64687_t1_hmac_cwd_array7:
64688 .xword 0x41620285000f0032
64689 .xword 0xc16303ca0013003b
64690 .xword 0xc1600249000f003f
64691 .xword 0x416008c9000f001d
64692 .xword 0x41621407001f001a
64693 .xword 0x41600e4a00130031
64694 .xword 0xc1620645000f0000
64695 .xword 0xc1630589000f0028
64696 .xword 0xc16207e9000f0033
64697 .xword 0xc1620cab001f002f
64698 .xword 0x41610d89000f0005
64699 .xword 0xc1610e69000f000c
64700 .xword 0x41630989000f0030
64701 .xword 0x41610b69000f000e
64702 .xword 0xc1600c2a00130000
64703_t1_rc4_cwd_array7:
64704 .xword 0x40e000c000000002
64705 .xword 0xc0e1006004000000
64706 .xword 0xc0e100a004000003
64707 .xword 0x40e100a00000000e
64708 .xword 0xc0e100c004000009
64709 .xword 0xc0e1004004000003
64710 .xword 0x40e0004004000000
64711 .xword 0xc0e0000000000005
64712 .xword 0x40e100200000000b
64713 .xword 0x40e100600000000f
64714 .xword 0xc0e1000004000002
64715 .xword 0x40e100c000000000
64716 .xword 0x40e0004000000004
64717 .xword 0x40e000600000000e
64718 .xword 0xc0e1002004000003
64719_t1_sslkey_cwd_array7:
64720 .xword 0x106021c000000000, 0
64721 .xword 0x10600ee000000000, 0
64722 .xword 0x10600b2000000000, 0
64723 .xword 0x90603c6000000000, 0
64724 .xword 0x106008a000000000, 0
64725 .xword 0x9060384000000000, 0
64726 .xword 0x1060074000000000, 0
64727 .xword 0x1060070000000000, 0
64728 .xword 0x9060000000000000, 0
64729 .xword 0x9060290000000000, 0
64730 .xword 0x906018e000000000, 0
64731 .xword 0x106036e000000000, 0
64732 .xword 0x106025c000000000, 0
64733 .xword 0x906024a000000000, 0
64734 .xword 0x90602a2000000000, 0
64735_t1_aes_key_array:
64736 .xword 0x3a5e468467489735
64737 .xword 0x4e68258e0ce133e3
64738 .xword 0x3e67495df139a4ba
64739 .xword 0x3f86d0f4032d5417
64740 .xword 0xb1de50399add4360
64741 .xword 0x9a66ebb0bad505ad
64742 .xword 0x50da7a4cc367416c
64743 .xword 0x0b62ed97665962e7
64744 .xword 0xab49393eac92b982
64745 .xword 0x8082808f91de5e87
64746 .xword 0xf3fbd4e7f3f6f2db
64747 .xword 0x257b1e38cc26f385
64748 .xword 0x9179093d3a8ba497
64749 .xword 0xf58b8401e49d8011
64750 .xword 0xf435b2a3ac97a123
64751 .xword 0xcf030eb5f074a683
64752 .xword 0xef8757726a2e04dd
64753 .xword 0xda1f410d6e7249f1
64754 .xword 0xa737764100ba1cd3
64755 .xword 0x3503cae4bca80f98
64756 .xword 0xe2e3b66a8a88111c
64757 .xword 0xa8441abe6896c5c9
64758 .xword 0x16e770687e87d53a
64759 .xword 0x486d06c40be04263
64760 .xword 0xca11f933c9525d80
64761 .xword 0x474fc7a8f553465f
64762 .xword 0xc1d48c0599386e42
64763 .xword 0xc3247d3f68041116
64764 .xword 0x26880adf341ca3ff
64765 .xword 0xb933b891a6d6b4c1
64766 .xword 0x2c970c7815d8f42f
64767 .xword 0xa9db8ee63ef4d36f
64768 .xword 0x503881c8df9a4cf6
64769 .xword 0x3fe3aaea7fb4fc7c
64770 .xword 0xf7cbc5e3096cc96c
64771 .xword 0x6b6389809aaf2c0b
64772 .xword 0xd6b3c40ef9d700fc
64773 .xword 0xfa30f577cacd939c
64774 .xword 0x792dd58f2aba632a
64775 .xword 0x07c35c9cadfbbd5b
64776 .xword 0xabb2d475514359e6
64777 .xword 0xb0674489fb8ba6fb
64778 .xword 0x9b121486dfe515fc
64779 .xword 0x7466fb3a0d1fb0fe
64780 .xword 0x76ff1a066a19d942
64781 .xword 0xaa6cd8aa7400ea76
64782 .xword 0xa5a599c28a02fcd7
64783 .xword 0xb7caf8a8a247b5ec
64784 .xword 0x393dfc0d06ec0c39
64785 .xword 0xe991e5cea8b3fe7c
64786 .xword 0x9f435185c5468bd6
64787_t1_aes_iv_array:
64788 .xword 0xd804bc74cd00a8c5
64789 .xword 0x7eb97444c336a64b
64790 .xword 0x7cfebdab948bb7c8
64791 .xword 0x43394ac8c1b8dfb2
64792 .xword 0x0ee7e5769aecd49c
64793 .xword 0x674344bb7ef3f132
64794 .xword 0x25e7f7815c7e5739
64795 .xword 0xa85059a335fcdceb
64796 .xword 0xe11b31363cefbb70
64797 .xword 0xccb1784c18a9b635
64798 .xword 0x2a773a89009c1ef0
64799 .xword 0x0b80f49c852dbca5
64800 .xword 0x1b29fb3ed86357ee
64801 .xword 0x8958de5eef65ab7f
64802 .xword 0xa3d71b2043312536
64803 .xword 0x6807fb1ab5e50e35
64804 .xword 0x0566805ed3773f4e
64805 .xword 0xbf193b0ea49e85f0
64806 .xword 0x97112a7338b781e8
64807 .xword 0x6a58a51ecc96530e
64808 .xword 0x43cf0bdb5d447cec
64809 .xword 0xf87f619968313ae2
64810 .xword 0xd6a244170c0db5d4
64811 .xword 0x5714bbffa10e8806
64812 .xword 0x8a1f6e73080380ad
64813 .xword 0xc171557fa11545c5
64814 .xword 0x6c5dce8d1b0df79f
64815 .xword 0x510986d415bc301b
64816 .xword 0x8361f6f8c4cc8e6b
64817 .xword 0xf80bf2900a697d0b
64818 .xword 0x1e59bab6d5d8447c
64819 .xword 0x18a0529d178877a3
64820 .xword 0x2a77231dd3653833
64821 .xword 0x614d758784e3575f
64822 .xword 0x86e0e7578d9ffe2b
64823 .xword 0x5707f5664ff6b604
64824 .xword 0xdc95d8fb90562b2e
64825 .xword 0xf1825372ca6d2716
64826 .xword 0xa56246293961f5a3
64827 .xword 0xcc30743e8fabdd86
64828 .xword 0x28f6cdfcfe2509fc
64829 .xword 0xef9b7aba524028f5
64830 .xword 0xcf1a9a3ae510a866
64831 .xword 0xc958e13aa57d6826
64832 .xword 0xb36b6dba739c9a56
64833_t1_aes_alignment_array:
64834 .xword 13
64835 .xword 4
64836 .xword 2
64837 .xword 7
64838 .xword 4
64839 .xword 8
64840 .xword 7
64841 .xword 8
64842 .xword 9
64843 .xword 11
64844 .xword 10
64845 .xword 12
64846 .xword 15
64847 .xword 10
64848 .xword 0
64849 .xword 13
64850 .xword 0
64851 .xword 4
64852 .xword 3
64853 .xword 1
64854 .xword 1
64855 .xword 9
64856 .xword 15
64857 .xword 9
64858 .xword 12
64859 .xword 0
64860 .xword 2
64861 .xword 4
64862 .xword 0
64863 .xword 6
64864 .xword 0
64865 .xword 7
64866 .xword 5
64867 .xword 15
64868 .xword 1
64869 .xword 0
64870 .xword 7
64871 .xword 15
64872 .xword 14
64873 .xword 1
64874 .xword 14
64875 .xword 3
64876 .xword 10
64877 .xword 8
64878 .xword 4
64879 .xword 10
64880 .xword 2
64881 .xword 13
64882 .xword 12
64883 .xword 8
64884 .xword 10
64885 .xword 6
64886 .xword 1
64887 .xword 7
64888 .xword 12
64889 .xword 0
64890 .xword 13
64891 .xword 13
64892 .xword 8
64893 .xword 2
64894 .xword 0
64895 .xword 11
64896 .xword 6
64897 .xword 6
64898 .xword 10
64899 .xword 3
64900 .xword 3
64901 .xword 3
64902 .xword 11
64903 .xword 13
64904 .xword 5
64905 .xword 0
64906 .xword 13
64907 .xword 3
64908 .xword 13
64909 .xword 6
64910 .xword 15
64911 .xword 3
64912 .xword 5
64913 .xword 0
64914 .xword 4
64915 .xword 7
64916 .xword 8
64917 .xword 4
64918 .xword 5
64919 .xword 3
64920 .xword 14
64921 .xword 8
64922 .xword 11
64923 .xword 2
64924 .xword 4
64925 .xword 15
64926 .xword 13
64927 .xword 4
64928 .xword 0
64929 .xword 12
64930 .xword 0
64931 .xword 7
64932 .xword 9
64933 .xword 2
64934 .xword 11
64935 .xword 6
64936 .xword 15
64937 .xword 14
64938 .xword 10
64939_t1_aes_src:
64940 .xword 0xd8636945b7fad618
64941 .xword 0x97b3400bff60814a
64942 .xword 0x9a350fd23ac180a4
64943 .xword 0x4082ac080cdd7dcb
64944 .xword 0x0082c450a3757ae1
64945 .xword 0x6f3e9691eec7fd8a
64946 .xword 0xfdd5e95fadf2b0a8
64947 .xword 0x727579fbc57dff2e
64948 .xword 0xe0b7c81272757332
64949 .xword 0x7475c2031b31cbc1
64950 .xword 0xa29ab25ab8b1c576
64951 .xword 0x058b32352779b846
64952 .xword 0xb2802f0870e4c92f
64953 .xword 0x1126c3f869d5890a
64954 .xword 0x54a3509ff087aeff
64955 .xword 0xccfae822930f5e38
64956 .xword 0x378e09a2285e69d2
64957 .xword 0xde8d3d9adcf9c917
64958 .xword 0x289f3dbbc0183ece
64959 .xword 0x0f76ec60f43f04fc
64960 .xword 0xcc3f7d1def9671d2
64961 .xword 0x276334d94cfcbb02
64962 .xword 0x492acf0951862f05
64963 .xword 0xa7b73187f9329a2d
64964 .xword 0xd0845501e6e3827f
64965 .xword 0x95b763a15e1c796f
64966 .xword 0x51ee86739976b284
64967 .xword 0xe24f83d3ec149365
64968 .xword 0xf15e8cc3e99d7a56
64969 .xword 0xa5c2dd6b36aee00a
64970 .xword 0x21d9dac00f9780ff
64971 .xword 0x830a0bb0a6126700
64972 .xword 0x00d4cb2000e5392c
64973 .xword 0xe9c02180b310e943
64974 .xword 0x43a5ba3060354be3
64975 .xword 0xed280b63c3fe2336
64976 .xword 0x2455ab80a751bbf4
64977 .xword 0xc7b9f40fd7990330
64978 .xword 0x226a84fad374aa0a
64979 .xword 0x8ec425d745f15243
64980 .xword 0xfdec60fc8b8b8a75
64981 .xword 0x2c6f14c12744b213
64982 .xword 0x36fef0253b7b56c0
64983 .xword 0x84ed3781139a07f5
64984 .xword 0x89bd53f0d6a32efc
64985 .xword 0x3ca79446995d5002
64986 .xword 0x188310eb8dc63026
64987 .xword 0x8d7debb6682cb7b6
64988 .xword 0xd0725fbe3538a8f2
64989 .xword 0x4c0caabc46f638ec
64990 .xword 0x10a781681f982284
64991 .xword 0x3a76d2b50e22d781
64992 .xword 0xa1b4e96e5f05b045
64993 .xword 0xbbc382be6252211d
64994 .xword 0x237c5bd13086b8d5
64995 .xword 0x80f5cf1b4f66e7bb
64996 .xword 0x1a2444ee11c63e8b
64997 .xword 0x076292c76a637115
64998 .xword 0x0da8b81bad39e3c4
64999 .xword 0x76d174922580e9e2
65000 .xword 0xc90ba1d53eee61d3
65001 .xword 0x1849183ee6f2543e
65002 .xword 0x5adcab01c850e5ea
65003 .xword 0x055497868589b1e9
65004 .xword 0xe02bd5c5ac7a9e90
65005 .xword 0xa2db77798ac350b4
65006 .xword 0x3a9251efc16cc9c5
65007 .xword 0xe5d9dfb3f2b1e976
65008 .xword 0xca8537e4b6aa122e
65009 .xword 0x414051a1217c9de7
65010 .xword 0x13cce071840f847c
65011 .xword 0x86e724d3ee3f619b
65012 .xword 0x6dd2450b9d104de3
65013 .xword 0xba8b4b58e1be4e90
65014 .xword 0x7c803e71408eb445
65015 .xword 0xbbde15d7a19c3a4a
65016 .xword 0x3efebb9f334a29bb
65017 .xword 0x977449e504e51e69
65018 .xword 0x8742f6208f322873
65019 .xword 0xe956b5d3e19c5ab7
65020 .xword 0x92593c8d598e38a4
65021 .xword 0xe84bce4b4563d30b
65022 .xword 0x4f9e59bf0ea4dcfb
65023 .xword 0xe1ecd6d9119aacbc
65024 .xword 0x5ac06daea7ed311a
65025 .xword 0xa336505a8733ef80
65026 .xword 0x9e6f18f0470c4646
65027 .xword 0x1c79d815594eda94
65028 .xword 0xe8e17b21a9106baf
65029 .xword 0xff2754ec27e8df67
65030 .xword 0xd439e3829ecf5015
65031 .xword 0x3f3864ff6ca05695
65032 .xword 0x2fa4d5aa227cf7d1
65033 .xword 0x19b637a60e16de66
65034 .xword 0x7ea801147539c428
65035 .xword 0x9652da10d7574477
65036 .xword 0x5129b7ed1ec66b86
65037 .xword 0x8e5a2abd24a67f40
65038 .xword 0xcc461e83626c94fc
65039 .xword 0x7f388f6b3589e417
65040 .xword 0x8af868bd375d6434
65041 .xword 0x3385f5aae1d1e452
65042 .xword 0xb38ab037d83ba50b
65043 .xword 0x88047a2ec2c64d40
65044 .xword 0x3bc02e8aab94bde7
65045 .xword 0xbfb6a93045e7946b
65046 .xword 0x26539934b629f718
65047 .xword 0x8bda609e229e0382
65048 .xword 0xf94e88e66ad3afaa
65049 .xword 0x1ddc6e311e40ce17
65050 .xword 0xb0fb4a587cffcc53
65051 .xword 0x9bb0e837c0c6c1f9
65052 .xword 0xfeb400dd4eedfd44
65053 .xword 0xe0d891e470e52555
65054 .xword 0x8e63041823589e97
65055 .xword 0xfb07283c400b5ce4
65056 .xword 0x4bc758343c4b8561
65057 .xword 0x0495a4f5f5a50c0c
65058 .xword 0x0000b052f036de0a
65059 .xword 0x6179ab0f92ba01a3
65060 .xword 0x2ca085e0666bfb7c
65061 .xword 0x78c72dcfb3d29b9d
65062 .xword 0x81bb1502e41ed774
65063 .xword 0x30c2fb6616a089a8
65064 .xword 0xe133fb470dade8a9
65065 .xword 0xdd6dad029626a848
65066 .xword 0x577dce95107d26a8
65067 .xword 0x2242801a61862360
65068 .xword 0x701962498712aea3
65069 .xword 0xaa87aca289af10dd
65070 .xword 0x5f8c87ab2c1d4918
65071 .xword 0x4fb5e5612c6d0b90
65072 .xword 0x17d16fdde479f856
65073 .xword 0xb74e45d0c10fdf71
65074 .xword 0x78402a40109d3168
65075 .xword 0xc873df545078f1e0
65076 .xword 0x7061989514f183e1
65077 .xword 0x9b4463d517e1c0fd
65078 .xword 0x68e60225658e7848
65079 .xword 0xbc4408edb052e8bb
65080 .xword 0xb0ae54dec3bec239
65081 .xword 0xf820addf47afc3ee
65082 .xword 0x691fd0edd8a936dc
65083 .xword 0xe2d5f8806688b909
65084 .xword 0xbdf7d1f0807b5d9e
65085 .xword 0x1d6b0400fdab9084
65086 .xword 0xda48d006d761113a
65087 .xword 0xec23ac80db1ab4d6
65088 .xword 0x0a851098bb1cc13f
65089 .xword 0xb84024511913a5c2
65090 .xword 0x55c57fbc741d3d8f
65091 .xword 0x4c35b50b62f2fcc4
65092 .xword 0x60caf54d55d4e312
65093 .xword 0xbc45e681eddc3968
65094 .xword 0xb0898a33b07c7f33
65095 .xword 0xce4f16f9d3ebcf89
65096 .xword 0xc8c6175ae3f4b3b7
65097 .xword 0x7f61260335a5d909
65098 .xword 0xb78f5ffd6e02ee3e
65099 .xword 0x30c978c169064787
65100 .xword 0x3bb27db107209b82
65101 .xword 0x018b4cdd639efa37
65102 .xword 0x06e73cd354ee3f0b
65103 .xword 0x24ae1e19726b2fe7
65104 .xword 0x6e72e463be3aa814
65105 .xword 0x1860ce4a3b7a4337
65106 .xword 0xf029ea916995c673
65107 .xword 0xc3594e140e0b42ab
65108 .xword 0x24e48544d97421a3
65109 .xword 0x0cba2cf6740e4726
65110 .xword 0x63d1c183a18ca467
65111 .xword 0xafe586a77dfd3f83
65112 .xword 0x300c2f2cd1193c73
65113 .xword 0x3607aad206766bd8
65114 .xword 0xa13583602d0d2420
65115 .xword 0x517fee22f8f64781
65116 .xword 0xe44e84c7c8ba40ea
65117 .xword 0x038e0a2a19501cb6
65118 .xword 0x1886bccee20cf7f3
65119 .xword 0xcc7747f1d506eac6
65120 .xword 0x60cd5f92527c0e28
65121 .xword 0x6ca3ec7c65ba9c15
65122 .xword 0x0395f2e554aadb38
65123 .xword 0x77aa79b3da142708
65124 .xword 0x9126aefaf398d70c
65125 .xword 0xfbb1d82065669f5b
65126 .xword 0x546f8766ed83a957
65127 .xword 0xe817b5220cb1efe3
65128 .xword 0x5c3bedb4e3264d29
65129 .xword 0xf7fb9f94263627e0
65130 .xword 0x861f57136616b00e
65131 .xword 0x222fd0a68a3e2efb
65132 .xword 0x402f54e6b71b5da9
65133 .xword 0x32d9f620e26f9cc4
65134 .xword 0x836639564e177db1
65135 .xword 0x229865fd8911819e
65136 .xword 0xda48b322874cd3c8
65137 .xword 0x66cf48a784a45f5f
65138 .xword 0x31c4fcc52289b8c6
65139 .xword 0x46fe901c964baf63
65140 .xword 0x4b4a4f3249904bc8
65141 .xword 0xea69041042d9fd7a
65142 .xword 0x5ca9611d3d66b680
65143 .xword 0x9faa1afc4f85549f
65144 .xword 0xcb1f1736e0e17677
65145 .xword 0xbfd8c48826fe88c8
65146 .xword 0xcee6040bff226174
65147 .xword 0xa03c763aa5e11967
65148 .xword 0xa96e21e8207bec1d
65149 .xword 0x497653ef0f91442f
65150 .xword 0x9edad0f3229a91e5
65151 .xword 0xbdae9da2fda3cb31
65152 .xword 0xfdc9c8f8054b617e
65153 .xword 0xa06e22c33eb50e05
65154 .xword 0xa07fa49740746c60
65155 .xword 0xa25de209fcda399a
65156 .xword 0x01f70cbcf5238221
65157 .xword 0xfa146b46e5ea06be
65158 .xword 0x4c10679624901119
65159 .xword 0xda202aef54fa15e6
65160 .xword 0x63e39f3a379fcf89
65161 .xword 0x733c075ee2a2c40f
65162 .xword 0x09600f1c9bfb4c2d
65163 .xword 0x6dfced9b6a4c22bf
65164 .xword 0x66154396b17c9cc3
65165 .xword 0x47d2b06f8c813ad6
65166 .xword 0xce232464cec839ba
65167 .xword 0x569ce4af6560ea87
65168 .xword 0xf2d0c208817fca24
65169 .xword 0xea0a948a2acb4b44
65170 .xword 0x42a3d27f84158730
65171 .xword 0x1355409fec3f8ed7
65172 .xword 0x734e1bbac6dee571
65173 .xword 0x1b89ddf683fb5bbf
65174 .xword 0xd4aa4bf159dad02a
65175 .xword 0xa3b9ec51b0451cdd
65176 .xword 0x9e75e4c66f92d816
65177 .xword 0x6ac413b8d7a611ad
65178 .xword 0x31c1a34d4917dadd
65179 .xword 0x60c12d0feb0f114b
65180 .xword 0xb8c085291ce96cd2
65181 .xword 0xc2a35e42cda71ca9
65182 .xword 0x0b79dbf6d04d7391
65183 .xword 0xf9c3f3b4b7bee1e9
65184 .xword 0xa4632abf17a9ccd3
65185 .xword 0x33282d4d8d4652cf
65186 .xword 0x4479c7b11310cb6f
65187 .xword 0xfe48d33107b0ee75
65188 .xword 0xf78c3114296df3c6
65189 .xword 0x0d1191295348ea7e
65190 .xword 0xb66fab776dab8c2f
65191 .xword 0x6f78d26224545ab8
65192 .xword 0xf0f3431bd2b90a33
65193 .xword 0x52fb803676d742b3
65194 .xword 0x9d3a94559fb6f0da
65195 .xword 0x6088097228fe7d76
65196 .xword 0xcd62c37865de0e87
65197 .xword 0xcac8026846e353d5
65198 .xword 0x7b269aeac7831124
65199 .xword 0xf032b28c43336f11
65200 .xword 0xdb94ba84dfcacfdd
65201 .xword 0x3ee5d53f7573912b
65202 .xword 0xd8c2163490b13718
65203 .xword 0x506d4f2cd74c5850
65204 .xword 0x96a4a4fdf9758e2a
65205 .xword 0xebbce00468459a40
65206 .xword 0x36c060fe437656fd
65207 .xword 0x6a2993766bda783b
65208 .xword 0x2df65426841f6847
65209 .xword 0xd26313cfa86da70d
65210 .xword 0x157a7d15a1c449f2
65211 .xword 0xde9d5cf83d74d768
65212 .xword 0x3ca0c67450c1d218
65213 .xword 0xf5cb2e4f2420e44a
65214 .xword 0x43ef6c99c2f9ef17
65215_t1_aes_dest:
65216 .xword 0xDEADBEEFDEADBEEF
65217 .xword 0xDEADBEEFDEADBEEF
65218 .xword 0xDEADBEEFDEADBEEF
65219 .xword 0xDEADBEEFDEADBEEF
65220 .xword 0xDEADBEEFDEADBEEF
65221 .xword 0xDEADBEEFDEADBEEF
65222 .xword 0xDEADBEEFDEADBEEF
65223 .xword 0xDEADBEEFDEADBEEF
65224 .xword 0xDEADBEEFDEADBEEF
65225 .xword 0xDEADBEEFDEADBEEF
65226 .xword 0xDEADBEEFDEADBEEF
65227 .xword 0xDEADBEEFDEADBEEF
65228 .xword 0xDEADBEEFDEADBEEF
65229 .xword 0xDEADBEEFDEADBEEF
65230 .xword 0xDEADBEEFDEADBEEF
65231 .xword 0xDEADBEEFDEADBEEF
65232 .xword 0xDEADBEEFDEADBEEF
65233 .xword 0xDEADBEEFDEADBEEF
65234 .xword 0xDEADBEEFDEADBEEF
65235 .xword 0xDEADBEEFDEADBEEF
65236 .xword 0xDEADBEEFDEADBEEF
65237 .xword 0xDEADBEEFDEADBEEF
65238 .xword 0xDEADBEEFDEADBEEF
65239 .xword 0xDEADBEEFDEADBEEF
65240 .xword 0xDEADBEEFDEADBEEF
65241 .xword 0xDEADBEEFDEADBEEF
65242 .xword 0xDEADBEEFDEADBEEF
65243 .xword 0xDEADBEEFDEADBEEF
65244 .xword 0xDEADBEEFDEADBEEF
65245 .xword 0xDEADBEEFDEADBEEF
65246 .xword 0xDEADBEEFDEADBEEF
65247 .xword 0xDEADBEEFDEADBEEF
65248 .xword 0xDEADBEEFDEADBEEF
65249 .xword 0xDEADBEEFDEADBEEF
65250 .xword 0xDEADBEEFDEADBEEF
65251 .xword 0xDEADBEEFDEADBEEF
65252 .xword 0xDEADBEEFDEADBEEF
65253 .xword 0xDEADBEEFDEADBEEF
65254 .xword 0xDEADBEEFDEADBEEF
65255 .xword 0xDEADBEEFDEADBEEF
65256 .xword 0xDEADBEEFDEADBEEF
65257 .xword 0xDEADBEEFDEADBEEF
65258 .xword 0xDEADBEEFDEADBEEF
65259 .xword 0xDEADBEEFDEADBEEF
65260 .xword 0xDEADBEEFDEADBEEF
65261 .xword 0xDEADBEEFDEADBEEF
65262 .xword 0xDEADBEEFDEADBEEF
65263 .xword 0xDEADBEEFDEADBEEF
65264 .xword 0xDEADBEEFDEADBEEF
65265 .xword 0xDEADBEEFDEADBEEF
65266 .xword 0xDEADBEEFDEADBEEF
65267 .xword 0xDEADBEEFDEADBEEF
65268 .xword 0xDEADBEEFDEADBEEF
65269 .xword 0xDEADBEEFDEADBEEF
65270 .xword 0xDEADBEEFDEADBEEF
65271 .xword 0xDEADBEEFDEADBEEF
65272 .xword 0xDEADBEEFDEADBEEF
65273 .xword 0xDEADBEEFDEADBEEF
65274 .xword 0xDEADBEEFDEADBEEF
65275 .xword 0xDEADBEEFDEADBEEF
65276 .xword 0xDEADBEEFDEADBEEF
65277 .xword 0xDEADBEEFDEADBEEF
65278 .xword 0xDEADBEEFDEADBEEF
65279 .xword 0xDEADBEEFDEADBEEF
65280 .xword 0xDEADBEEFDEADBEEF
65281 .xword 0xDEADBEEFDEADBEEF
65282 .xword 0xDEADBEEFDEADBEEF
65283 .xword 0xDEADBEEFDEADBEEF
65284 .xword 0xDEADBEEFDEADBEEF
65285 .xword 0xDEADBEEFDEADBEEF
65286 .xword 0xDEADBEEFDEADBEEF
65287 .xword 0xDEADBEEFDEADBEEF
65288 .xword 0xDEADBEEFDEADBEEF
65289 .xword 0xDEADBEEFDEADBEEF
65290 .xword 0xDEADBEEFDEADBEEF
65291 .xword 0xDEADBEEFDEADBEEF
65292 .xword 0xDEADBEEFDEADBEEF
65293 .xword 0xDEADBEEFDEADBEEF
65294 .xword 0xDEADBEEFDEADBEEF
65295 .xword 0xDEADBEEFDEADBEEF
65296 .xword 0xDEADBEEFDEADBEEF
65297 .xword 0xDEADBEEFDEADBEEF
65298 .xword 0xDEADBEEFDEADBEEF
65299 .xword 0xDEADBEEFDEADBEEF
65300 .xword 0xDEADBEEFDEADBEEF
65301 .xword 0xDEADBEEFDEADBEEF
65302 .xword 0xDEADBEEFDEADBEEF
65303 .xword 0xDEADBEEFDEADBEEF
65304 .xword 0xDEADBEEFDEADBEEF
65305 .xword 0xDEADBEEFDEADBEEF
65306 .xword 0xDEADBEEFDEADBEEF
65307 .xword 0xDEADBEEFDEADBEEF
65308 .xword 0xDEADBEEFDEADBEEF
65309 .xword 0xDEADBEEFDEADBEEF
65310 .xword 0xDEADBEEFDEADBEEF
65311 .xword 0xDEADBEEFDEADBEEF
65312 .xword 0xDEADBEEFDEADBEEF
65313 .xword 0xDEADBEEFDEADBEEF
65314 .xword 0xDEADBEEFDEADBEEF
65315 .xword 0xDEADBEEFDEADBEEF
65316 .xword 0xDEADBEEFDEADBEEF
65317 .xword 0xDEADBEEFDEADBEEF
65318 .xword 0xDEADBEEFDEADBEEF
65319 .xword 0xDEADBEEFDEADBEEF
65320 .xword 0xDEADBEEFDEADBEEF
65321 .xword 0xDEADBEEFDEADBEEF
65322 .xword 0xDEADBEEFDEADBEEF
65323 .xword 0xDEADBEEFDEADBEEF
65324 .xword 0xDEADBEEFDEADBEEF
65325 .xword 0xDEADBEEFDEADBEEF
65326 .xword 0xDEADBEEFDEADBEEF
65327 .xword 0xDEADBEEFDEADBEEF
65328 .xword 0xDEADBEEFDEADBEEF
65329 .xword 0xDEADBEEFDEADBEEF
65330 .xword 0xDEADBEEFDEADBEEF
65331 .xword 0xDEADBEEFDEADBEEF
65332 .xword 0xDEADBEEFDEADBEEF
65333 .xword 0xDEADBEEFDEADBEEF
65334 .xword 0xDEADBEEFDEADBEEF
65335 .xword 0xDEADBEEFDEADBEEF
65336 .xword 0xDEADBEEFDEADBEEF
65337 .xword 0xDEADBEEFDEADBEEF
65338 .xword 0xDEADBEEFDEADBEEF
65339 .xword 0xDEADBEEFDEADBEEF
65340 .xword 0xDEADBEEFDEADBEEF
65341 .xword 0xDEADBEEFDEADBEEF
65342 .xword 0xDEADBEEFDEADBEEF
65343 .xword 0xDEADBEEFDEADBEEF
65344 .xword 0xDEADBEEFDEADBEEF
65345 .xword 0xDEADBEEFDEADBEEF
65346 .xword 0xDEADBEEFDEADBEEF
65347 .xword 0xDEADBEEFDEADBEEF
65348 .xword 0xDEADBEEFDEADBEEF
65349 .xword 0xDEADBEEFDEADBEEF
65350 .xword 0xDEADBEEFDEADBEEF
65351 .xword 0xDEADBEEFDEADBEEF
65352 .xword 0xDEADBEEFDEADBEEF
65353 .xword 0xDEADBEEFDEADBEEF
65354 .xword 0xDEADBEEFDEADBEEF
65355 .xword 0xDEADBEEFDEADBEEF
65356 .xword 0xDEADBEEFDEADBEEF
65357 .xword 0xDEADBEEFDEADBEEF
65358 .xword 0xDEADBEEFDEADBEEF
65359 .xword 0xDEADBEEFDEADBEEF
65360 .xword 0xDEADBEEFDEADBEEF
65361 .xword 0xDEADBEEFDEADBEEF
65362 .xword 0xDEADBEEFDEADBEEF
65363 .xword 0xDEADBEEFDEADBEEF
65364 .xword 0xDEADBEEFDEADBEEF
65365 .xword 0xDEADBEEFDEADBEEF
65366 .xword 0xDEADBEEFDEADBEEF
65367 .xword 0xDEADBEEFDEADBEEF
65368 .xword 0xDEADBEEFDEADBEEF
65369 .xword 0xDEADBEEFDEADBEEF
65370 .xword 0xDEADBEEFDEADBEEF
65371 .xword 0xDEADBEEFDEADBEEF
65372 .xword 0xDEADBEEFDEADBEEF
65373 .xword 0xDEADBEEFDEADBEEF
65374 .xword 0xDEADBEEFDEADBEEF
65375 .xword 0xDEADBEEFDEADBEEF
65376 .xword 0xDEADBEEFDEADBEEF
65377 .xword 0xDEADBEEFDEADBEEF
65378 .xword 0xDEADBEEFDEADBEEF
65379 .xword 0xDEADBEEFDEADBEEF
65380 .xword 0xDEADBEEFDEADBEEF
65381 .xword 0xDEADBEEFDEADBEEF
65382 .xword 0xDEADBEEFDEADBEEF
65383 .xword 0xDEADBEEFDEADBEEF
65384 .xword 0xDEADBEEFDEADBEEF
65385 .xword 0xDEADBEEFDEADBEEF
65386 .xword 0xDEADBEEFDEADBEEF
65387 .xword 0xDEADBEEFDEADBEEF
65388 .xword 0xDEADBEEFDEADBEEF
65389 .xword 0xDEADBEEFDEADBEEF
65390 .xword 0xDEADBEEFDEADBEEF
65391 .xword 0xDEADBEEFDEADBEEF
65392 .xword 0xDEADBEEFDEADBEEF
65393 .xword 0xDEADBEEFDEADBEEF
65394 .xword 0xDEADBEEFDEADBEEF
65395 .xword 0xDEADBEEFDEADBEEF
65396 .xword 0xDEADBEEFDEADBEEF
65397 .xword 0xDEADBEEFDEADBEEF
65398 .xword 0xDEADBEEFDEADBEEF
65399 .xword 0xDEADBEEFDEADBEEF
65400 .xword 0xDEADBEEFDEADBEEF
65401 .xword 0xDEADBEEFDEADBEEF
65402 .xword 0xDEADBEEFDEADBEEF
65403 .xword 0xDEADBEEFDEADBEEF
65404 .xword 0xDEADBEEFDEADBEEF
65405 .xword 0xDEADBEEFDEADBEEF
65406 .xword 0xDEADBEEFDEADBEEF
65407 .xword 0xDEADBEEFDEADBEEF
65408 .xword 0xDEADBEEFDEADBEEF
65409 .xword 0xDEADBEEFDEADBEEF
65410 .xword 0xDEADBEEFDEADBEEF
65411 .xword 0xDEADBEEFDEADBEEF
65412 .xword 0xDEADBEEFDEADBEEF
65413 .xword 0xDEADBEEFDEADBEEF
65414 .xword 0xDEADBEEFDEADBEEF
65415 .xword 0xDEADBEEFDEADBEEF
65416 .xword 0xDEADBEEFDEADBEEF
65417 .xword 0xDEADBEEFDEADBEEF
65418 .xword 0xDEADBEEFDEADBEEF
65419 .xword 0xDEADBEEFDEADBEEF
65420 .xword 0xDEADBEEFDEADBEEF
65421 .xword 0xDEADBEEFDEADBEEF
65422 .xword 0xDEADBEEFDEADBEEF
65423 .xword 0xDEADBEEFDEADBEEF
65424 .xword 0xDEADBEEFDEADBEEF
65425 .xword 0xDEADBEEFDEADBEEF
65426 .xword 0xDEADBEEFDEADBEEF
65427 .xword 0xDEADBEEFDEADBEEF
65428 .xword 0xDEADBEEFDEADBEEF
65429 .xword 0xDEADBEEFDEADBEEF
65430 .xword 0xDEADBEEFDEADBEEF
65431 .xword 0xDEADBEEFDEADBEEF
65432 .xword 0xDEADBEEFDEADBEEF
65433 .xword 0xDEADBEEFDEADBEEF
65434 .xword 0xDEADBEEFDEADBEEF
65435 .xword 0xDEADBEEFDEADBEEF
65436 .xword 0xDEADBEEFDEADBEEF
65437 .xword 0xDEADBEEFDEADBEEF
65438 .xword 0xDEADBEEFDEADBEEF
65439 .xword 0xDEADBEEFDEADBEEF
65440 .xword 0xDEADBEEFDEADBEEF
65441 .xword 0xDEADBEEFDEADBEEF
65442 .xword 0xDEADBEEFDEADBEEF
65443 .xword 0xDEADBEEFDEADBEEF
65444 .xword 0xDEADBEEFDEADBEEF
65445 .xword 0xDEADBEEFDEADBEEF
65446 .xword 0xDEADBEEFDEADBEEF
65447 .xword 0xDEADBEEFDEADBEEF
65448 .xword 0xDEADBEEFDEADBEEF
65449 .xword 0xDEADBEEFDEADBEEF
65450 .xword 0xDEADBEEFDEADBEEF
65451 .xword 0xDEADBEEFDEADBEEF
65452 .xword 0xDEADBEEFDEADBEEF
65453 .xword 0xDEADBEEFDEADBEEF
65454 .xword 0xDEADBEEFDEADBEEF
65455 .xword 0xDEADBEEFDEADBEEF
65456 .xword 0xDEADBEEFDEADBEEF
65457 .xword 0xDEADBEEFDEADBEEF
65458 .xword 0xDEADBEEFDEADBEEF
65459 .xword 0xDEADBEEFDEADBEEF
65460 .xword 0xDEADBEEFDEADBEEF
65461 .xword 0xDEADBEEFDEADBEEF
65462 .xword 0xDEADBEEFDEADBEEF
65463 .xword 0xDEADBEEFDEADBEEF
65464 .xword 0xDEADBEEFDEADBEEF
65465 .xword 0xDEADBEEFDEADBEEF
65466 .xword 0xDEADBEEFDEADBEEF
65467 .xword 0xDEADBEEFDEADBEEF
65468 .xword 0xDEADBEEFDEADBEEF
65469 .xword 0xDEADBEEFDEADBEEF
65470 .xword 0xDEADBEEFDEADBEEF
65471 .xword 0xDEADBEEFDEADBEEF
65472 .xword 0xDEADBEEFDEADBEEF
65473 .xword 0xDEADBEEFDEADBEEF
65474 .xword 0xDEADBEEFDEADBEEF
65475 .xword 0xDEADBEEFDEADBEEF
65476 .xword 0xDEADBEEFDEADBEEF
65477 .xword 0xDEADBEEFDEADBEEF
65478 .xword 0xDEADBEEFDEADBEEF
65479 .xword 0xDEADBEEFDEADBEEF
65480 .xword 0xDEADBEEFDEADBEEF
65481 .xword 0xDEADBEEFDEADBEEF
65482 .xword 0xDEADBEEFDEADBEEF
65483 .xword 0xDEADBEEFDEADBEEF
65484 .xword 0xDEADBEEFDEADBEEF
65485 .xword 0xDEADBEEFDEADBEEF
65486 .xword 0xDEADBEEFDEADBEEF
65487 .xword 0xDEADBEEFDEADBEEF
65488 .xword 0xDEADBEEFDEADBEEF
65489 .xword 0xDEADBEEFDEADBEEF
65490 .xword 0xDEADBEEFDEADBEEF
65491_t1_aes_auth_key:
65492 .xword 0x7cc8dee75af560f5
65493 .xword 0x2f762cb39f1e912b
65494 .xword 0xb673e68cdc790152
65495 .xword 0x6303db2ff0f7ed25
65496 .xword 0x149a3f1b8cad18ca
65497 .xword 0x9f858074a28201ba
65498 .xword 0xd2dc937f05d14ca3
65499 .xword 0x5a8c03ac1881de35
65500 .xword 0xcda9f66a851c5d8d
65501 .xword 0xc84ebce4f12b7277
65502 .xword 0xf5e5a11a237529ec
65503 .xword 0x6b7b1ecb83d69c0c
65504 .xword 0x72d638cd743a792f
65505 .xword 0x45f0a2ba5722db96
65506 .xword 0x92e8f0fbb9e9cba1
65507 .xword 0xc1c7a26ccd291961
65508 .xword 0x20c16e7165aa14c1
65509 .xword 0x2cff20667cb49c48
65510 .xword 0x40570cbeff374925
65511 .xword 0xa22f5a34502eb7fc
65512 .xword 0xe6864eda91d9d08f
65513 .xword 0x0c67b942c6d5e373
65514 .xword 0x98dc61d709f4dfd8
65515_t1_aes_auth_iv:
65516 .xword 0xef7d835f456bb170
65517 .xword 0x82b4a65672d5d6b8
65518 .xword 0x01399ef5e1a2a480
65519 .xword 0xddc7cdff987e4ad4
65520 .xword 0xf1b8561c7f6aef3f
65521 .xword 0x33232f461ee0d483
65522 .xword 0x7c443bf8bef60834
65523 .xword 0xd287a36a3faefe15
65524 .xword 0xd3cf387c8b4d4209
65525 .xword 0x05dc3d55a458c5c7
65526 .xword 0x290a32b5235f5b25
65527 .xword 0xf660a14a012c11a2
65528 .xword 0xefe9802d152089ee
65529 .xword 0x27985b928c99544f
65530 .xword 0x1938cebda82b7fae
65531 .xword 0x3826790dcd8dbdd7
65532 .xword 0x954d2402f77427b5
65533 .xword 0x5adb51ec7de5deeb
65534 .xword 0xeefa1a10e3f38f3b
65535 .xword 0xf7dc3734877886e5
65536 .xword 0x3a1c2cfa0cbace65
65537 .xword 0x615ddcb548897624
65538 .xword 0xe3881b6406a548fd
65539_t1_aes_fas_result:
65540 .xword 0xDEADBEEFDEADBEEF
65541 .xword 0xDEADBEEFDEADBEEF
65542 .xword 0xDEADBEEFDEADBEEF
65543 .xword 0xDEADBEEFDEADBEEF
65544 .xword 0xDEADBEEFDEADBEEF
65545 .xword 0xDEADBEEFDEADBEEF
65546 .xword 0xDEADBEEFDEADBEEF
65547 .xword 0xDEADBEEFDEADBEEF
65548 .xword 0xDEADBEEFDEADBEEF
65549 .xword 0xDEADBEEFDEADBEEF
65550 .xword 0xDEADBEEFDEADBEEF
65551 .xword 0xDEADBEEFDEADBEEF
65552 .xword 0xDEADBEEFDEADBEEF
65553 .xword 0xDEADBEEFDEADBEEF
65554 .xword 0xDEADBEEFDEADBEEF
65555 .xword 0xDEADBEEFDEADBEEF
65556 .xword 0xDEADBEEFDEADBEEF
65557 .xword 0xDEADBEEFDEADBEEF
65558 .xword 0xDEADBEEFDEADBEEF
65559 .xword 0xDEADBEEFDEADBEEF
65560 .xword 0xDEADBEEFDEADBEEF
65561 .xword 0xDEADBEEFDEADBEEF
65562 .xword 0xDEADBEEFDEADBEEF
65563_t1_des_key_array:
65564 .xword 0x0437b525b70e1f8e
65565 .xword 0x1a8cb2682768833c
65566 .xword 0xee5e4bfd7d702a28
65567 .xword 0x5629c0a728431e68
65568 .xword 0x361cc7901327386d
65569 .xword 0xb2ce3e7cd5f10391
65570 .xword 0xb807db2325114d38
65571 .xword 0x714dea3d1c0315e3
65572 .xword 0xda864a534b13d687
65573 .xword 0xfb57a21b2da3128e
65574 .xword 0x9813c2042baab4b0
65575 .xword 0x414282c1f4cef6f7
65576 .xword 0x0be5837d7df20edf
65577 .xword 0xbe474687c7c5df54
65578 .xword 0x996a244728f1f57b
65579 .xword 0xb3b0eab0ea8dc795
65580 .xword 0xd2ced95676dd7026
65581 .xword 0xe86d78a9e1c62e7a
65582 .xword 0x7985262ec443fc94
65583 .xword 0x1ae6d323a99f11da
65584 .xword 0x88abcc2b8b777213
65585 .xword 0xd5f64d73603d1142
65586 .xword 0x34ab60987054d709
65587 .xword 0x3ffd45d88619532c
65588 .xword 0x03bc0e3b03308da2
65589 .xword 0xd15cd8c83b2c0846
65590 .xword 0x0c1b65ed8b4ecf57
65591 .xword 0x4c444c9b6084a27e
65592 .xword 0x68c1ea799bce0276
65593 .xword 0xca47858a26483cfa
65594 .xword 0xb0f102e1263f340e
65595 .xword 0x1c16ba606af7fae1
65596 .xword 0x16779da687d30cea
65597 .xword 0xdd3f4ca63cfe1366
65598 .xword 0xa1845688332caef0
65599 .xword 0x9c0a05e4d23312f7
65600 .xword 0x8b1e0a1a086ebfaf
65601 .xword 0x82289d929d095e54
65602 .xword 0x8f4ee46c9a43e957
65603 .xword 0xdaa03a665db3daff
65604 .xword 0x85ce248dd8cf6259
65605 .xword 0x836e29fdcfec283a
65606 .xword 0x86b611bcfa45b33e
65607 .xword 0xdbb2ed97998808d7
65608 .xword 0x7e0b086933733a55
65609 .xword 0x2b72e2e103b4c837
65610 .xword 0xbbca7c3a3cdc5372
65611 .xword 0x0bd1097d12fb52ec
65612 .xword 0x35ebebb308905cc9
65613 .xword 0xe4d783da73d7389b
65614 .xword 0x5d71075b318fa61f
65615_t1_des_iv_array:
65616 .xword 0xd68b1560afd47344
65617 .xword 0xa8fb892f06259a9c
65618 .xword 0x9f41b938f74b4db3
65619 .xword 0xfec7e821a12ccc25
65620 .xword 0xa765c34effb78d21
65621 .xword 0xb0f244a5f2554206
65622 .xword 0xd31856688bc4fcc6
65623 .xword 0xe22b46cf993c4706
65624 .xword 0x516d9a88fae28bea
65625 .xword 0x02892077c0d48c2b
65626 .xword 0x399181cfec13b46b
65627 .xword 0x191b6e0006ce35a3
65628 .xword 0xbd34a19492382e83
65629 .xword 0xba69dfcbc1ecb3a9
65630 .xword 0xa08f4a742ccad6c4
65631 .xword 0xfe56b3b602f801b4
65632 .xword 0x85727463b1ad9c5a
65633 .xword 0x2e336e0cc62a30ed
65634 .xword 0x7648b13abe4d301c
65635 .xword 0x62ce90a70359cf68
65636 .xword 0x7a7da42da4652361
65637 .xword 0x1abc375c289a5692
65638 .xword 0x8219dc5f785d21e4
65639 .xword 0xb4157bed1d8b47f8
65640 .xword 0x2c09d5ef2273e67f
65641 .xword 0xe38e24d8a725af6c
65642 .xword 0x933e6f6527ad037c
65643 .xword 0xc87fc91820756d0f
65644 .xword 0x5a905662a2de04c4
65645 .xword 0x36e5d6f267423b48
65646 .xword 0x50f24d8273c02bc7
65647 .xword 0xac521f4cfd7b0592
65648 .xword 0xd5c97a5cf349ff96
65649 .xword 0xe1890bd3063f8b00
65650 .xword 0x7acec6675c3afcfb
65651 .xword 0x2b016da260b7e799
65652 .xword 0x7425931b09a3763d
65653 .xword 0x140a460ed1fbdf6f
65654 .xword 0x3cf0e935486b3679
65655 .xword 0xf3663da1c32e933e
65656 .xword 0x6c29a59283c65608
65657 .xword 0xda57667f10cb688b
65658 .xword 0x98f03269737c54a3
65659 .xword 0x4be662b212913b49
65660 .xword 0xf34ad5ae844ae2a0
65661_t1_des_alignment_array:
65662 .xword 0
65663 .xword 14
65664 .xword 9
65665 .xword 11
65666 .xword 6
65667 .xword 0
65668 .xword 6
65669 .xword 9
65670 .xword 2
65671 .xword 9
65672 .xword 0
65673 .xword 9
65674 .xword 11
65675 .xword 9
65676 .xword 8
65677 .xword 4
65678 .xword 6
65679 .xword 9
65680 .xword 15
65681 .xword 0
65682 .xword 3
65683 .xword 6
65684 .xword 11
65685 .xword 7
65686 .xword 6
65687 .xword 1
65688 .xword 9
65689 .xword 2
65690 .xword 2
65691 .xword 1
65692 .xword 11
65693 .xword 11
65694 .xword 12
65695 .xword 12
65696 .xword 5
65697 .xword 14
65698 .xword 10
65699 .xword 13
65700 .xword 4
65701 .xword 5
65702 .xword 13
65703 .xword 3
65704 .xword 12
65705 .xword 3
65706 .xword 3
65707 .xword 2
65708 .xword 11
65709 .xword 9
65710 .xword 0
65711 .xword 11
65712 .xword 13
65713 .xword 7
65714 .xword 13
65715 .xword 6
65716 .xword 13
65717 .xword 10
65718 .xword 15
65719 .xword 14
65720 .xword 2
65721 .xword 15
65722 .xword 5
65723 .xword 1
65724 .xword 5
65725 .xword 11
65726 .xword 12
65727 .xword 14
65728 .xword 12
65729 .xword 15
65730 .xword 2
65731 .xword 1
65732 .xword 6
65733 .xword 1
65734 .xword 10
65735 .xword 1
65736 .xword 6
65737 .xword 5
65738 .xword 0
65739 .xword 15
65740 .xword 5
65741 .xword 10
65742 .xword 9
65743 .xword 7
65744 .xword 13
65745 .xword 10
65746 .xword 15
65747 .xword 6
65748 .xword 0
65749 .xword 9
65750 .xword 6
65751 .xword 1
65752 .xword 7
65753 .xword 11
65754 .xword 9
65755 .xword 6
65756 .xword 4
65757 .xword 3
65758 .xword 15
65759 .xword 1
65760 .xword 12
65761 .xword 7
65762 .xword 3
65763 .xword 11
65764 .xword 7
65765 .xword 3
65766 .xword 5
65767_t1_des_src:
65768 .xword 0xe1c79b9a478bf5db
65769 .xword 0xcc1b10da5ff60bde
65770 .xword 0x1e8123cb6d3b23bd
65771 .xword 0x0a6585156744aaf9
65772 .xword 0x554b198ec9abbbb3
65773 .xword 0x8de41c7816f0faf8
65774 .xword 0x8ce0c3fc715826da
65775 .xword 0x5e42965f00bca79f
65776 .xword 0xdbd473bfb75a03b6
65777 .xword 0x98544bc46fadf1e7
65778 .xword 0xee6b357e68e2ee1f
65779 .xword 0x360f476d7eb89751
65780 .xword 0xeef6fc7459a3c38e
65781 .xword 0x6ed282a55e50b818
65782 .xword 0xb7aa464e0e86f2f1
65783 .xword 0xfce8cf63d158bf7c
65784 .xword 0xfbfcb419118024b0
65785 .xword 0x754b6f5489c30f2a
65786 .xword 0xd208cfeb1f2338e3
65787 .xword 0x485f248cba37d21f
65788 .xword 0xc9b4397536d14b32
65789 .xword 0x883350c10cc1db07
65790 .xword 0x3f11fb0abda9c549
65791 .xword 0x78b68e5093ec425a
65792 .xword 0xe4d434af58ee1aa7
65793 .xword 0x4313b15712ed61fd
65794 .xword 0xa4cdbb4c3536d056
65795 .xword 0x9b7188031eaf843b
65796 .xword 0xa686d4b834ae270a
65797 .xword 0x5bc26f1797116ff8
65798 .xword 0x4b545eb442fa97cb
65799 .xword 0xb54aac8bc8df6e7a
65800 .xword 0xea2af9e0f1f9e00b
65801 .xword 0x5059a068daa8669c
65802 .xword 0x2e33562aab68a730
65803 .xword 0x0dc5b07dc83eaf41
65804 .xword 0x02d6f3082ec97384
65805 .xword 0x6d5c84c529130d2f
65806 .xword 0xea13bbb23917f8c2
65807 .xword 0xd57d04575ef6f5cb
65808 .xword 0x6a58537a38692d5c
65809 .xword 0xef252328cbc7684a
65810 .xword 0xfd43c07ef7b33c12
65811 .xword 0x309c2f79cbb37240
65812 .xword 0x5e87b8ea3adc2b1c
65813 .xword 0xa8e95a32509ab3cb
65814 .xword 0x7367c9ee71949bc2
65815 .xword 0xc4fe13bd3714cf88
65816 .xword 0x7675c2951461e6f9
65817 .xword 0x9fe0fd51ea604cd4
65818 .xword 0xdc08fbb438868cfa
65819 .xword 0x89e1ed6d8fa9cde9
65820 .xword 0xa361c8e7bdbdedbd
65821 .xword 0x0bdaf5c6172d1065
65822 .xword 0xc755e6ba5d63315d
65823 .xword 0x651fb409c977b242
65824 .xword 0x7c94cf37078ca46c
65825 .xword 0xe510deed205831a1
65826 .xword 0xba736728b3999b15
65827 .xword 0x11d184be7c2b2e44
65828 .xword 0x964abff11d5d1b27
65829 .xword 0xc2488688da78f971
65830 .xword 0x236120fa8720f5d0
65831 .xword 0x48560efa1871c06a
65832 .xword 0xe490f24ba2604001
65833 .xword 0xfcb957a811f1c6f9
65834 .xword 0xe9d69d376390484e
65835 .xword 0x36f88b369d4fbd20
65836 .xword 0x125e65c84f0602d6
65837 .xword 0x1df269345b3f4808
65838 .xword 0xf0760c6aba30a0bc
65839 .xword 0x85f7e027e2ba784c
65840 .xword 0x314c1f88fb7692d4
65841 .xword 0x4f05276e28b257b9
65842 .xword 0x5eb4c5b44ccdfb98
65843 .xword 0x7a3f969fa09fc0c1
65844 .xword 0x2f7a71a2fe14e58c
65845 .xword 0x3e77ee91fa430097
65846 .xword 0x8943b319f559058c
65847 .xword 0x916605820d3e0a44
65848 .xword 0x7c99d58a03ca04fe
65849 .xword 0x43d858e0ac958a9a
65850 .xword 0xe41654f62e414d22
65851 .xword 0x0f211b46601f2125
65852 .xword 0x61b3a7bd5f587c31
65853 .xword 0x685bf7b286604f94
65854 .xword 0x47c810a67036e056
65855 .xword 0x571403de608fb353
65856 .xword 0xe3d82cbb0527db9b
65857 .xword 0x7ed6256117864bd2
65858 .xword 0xf777329f1f65b60b
65859 .xword 0x18dcd63780994427
65860 .xword 0x22264e0bcf0dec3d
65861 .xword 0x8a93fd763579d495
65862 .xword 0x79180aa89277c17b
65863 .xword 0xd30a9adba82ba0e0
65864 .xword 0xe52e7a1a87f33d03
65865 .xword 0xd7937434e1cde629
65866 .xword 0x66a4066cae38ff92
65867 .xword 0x08f14413cd019c28
65868 .xword 0x6e15538509b39282
65869 .xword 0x0789aedab7433169
65870 .xword 0xfa81e1bdf6b6830a
65871 .xword 0x9b1c67dc25168092
65872 .xword 0xc19b72a8ac6e6b36
65873 .xword 0x2bc086871a7b6de0
65874 .xword 0x9841369878d4cb7a
65875 .xword 0xddfd60fb39e2fee9
65876 .xword 0xfca0d33ab1efa30c
65877 .xword 0xa786aad8ff306260
65878 .xword 0x101666ca1fc9edc5
65879 .xword 0xe54b0c88fac41d63
65880 .xword 0x3c9b0da4873a7c39
65881 .xword 0x7f2cfe3387e23b77
65882 .xword 0x7653c751b4106187
65883 .xword 0x6a044ed449a8ccc4
65884 .xword 0x8ff977f84a2e5be0
65885 .xword 0x53ef29bc50619074
65886 .xword 0x7c6f4345cf09b145
65887 .xword 0x15dacacdc964a4d6
65888 .xword 0xd7a0df10641a31ea
65889 .xword 0x3814e7a5c45b6b62
65890 .xword 0xbb08f98896e6f2da
65891 .xword 0xdbe63e9f9601bd38
65892 .xword 0x695f93568a2e374e
65893 .xword 0x1c8c9210c1fd3f5a
65894 .xword 0xed3be76bd32c2fa3
65895 .xword 0x6e56e8e1f1e3184c
65896 .xword 0x7869fcd0804e4a8a
65897 .xword 0x7000a164c14ebb25
65898 .xword 0x204eae66349cea17
65899 .xword 0xc990d9cc7f19eb61
65900 .xword 0x50518cb41989825b
65901 .xword 0x4504937fee336907
65902 .xword 0xce8b13c3af95605a
65903 .xword 0xf8229aebd97af476
65904 .xword 0x2a5fcd8724fc6477
65905 .xword 0xe37dacbff4cbe60b
65906 .xword 0x1fda6d9994dce12f
65907 .xword 0x7a0513b6847c7691
65908 .xword 0xe96f7684d4474247
65909 .xword 0x1c5bf0fa6dba10e5
65910 .xword 0x72ad8a335767fcc1
65911 .xword 0xd1e43b46cea326e6
65912 .xword 0xe073081a967dd2cc
65913 .xword 0x2e64f964d21dec25
65914 .xword 0x4475512a16785af4
65915 .xword 0xebc625052d7de472
65916 .xword 0xb04a192701b61ecf
65917 .xword 0xb958709940b1c4b3
65918 .xword 0x948d0eb1d40ed507
65919 .xword 0xd1298d28342a5ffd
65920 .xword 0x78f72b857ee50f1b
65921 .xword 0x7ed0eba1d1d1d55e
65922 .xword 0x487f7f07f6428d37
65923 .xword 0x48cf3878b364e557
65924 .xword 0x3ee4f40543cc49b3
65925 .xword 0x9080b0d3e2184a48
65926 .xword 0xadf41b94ebdd7607
65927 .xword 0xe08e782ea87a4c38
65928 .xword 0xb25a229a2d0cea33
65929 .xword 0xa82b55c8f9df1bf7
65930 .xword 0x832b21624ab6b7a6
65931 .xword 0xfa53073ecdb6f938
65932 .xword 0x2fec8b0acf8eebfd
65933 .xword 0x684210a28e9ed803
65934 .xword 0x2b8011c36153eae5
65935 .xword 0x56837d1b52635522
65936 .xword 0xa6c5043a255dc28e
65937 .xword 0x27aa6a3d1e58e65a
65938 .xword 0xa4def5ef0313a3f2
65939 .xword 0xafd7b2e0ec09259f
65940 .xword 0x371a1c6053812108
65941 .xword 0xa333fd831c1e1b71
65942 .xword 0xc5d62a299b0a5b2d
65943 .xword 0x0ba5ea62ab391bb6
65944 .xword 0x26af945395aa0ae2
65945 .xword 0x8abeb3e9055e3ea7
65946 .xword 0xbf081d94a1f7ede1
65947 .xword 0xe6714c6daa19c1c6
65948 .xword 0xd8cae386c5ea8884
65949 .xword 0x2fd5d6882c3817e6
65950 .xword 0x163b648e79188def
65951 .xword 0x668c5a4b7c11a8d7
65952 .xword 0x846e524b8cdb9c21
65953 .xword 0x5cf65f098a996918
65954 .xword 0xe2cfe6542e79d062
65955 .xword 0x6285005afc7ed806
65956 .xword 0xa62f6b86aeb4859d
65957 .xword 0x2026664920ed5712
65958 .xword 0x96a7460d88eefb38
65959 .xword 0xe898b6ca14d56a92
65960 .xword 0x725e722b9e179a4b
65961 .xword 0x2309e3f1e4ddb48c
65962 .xword 0x78008e69df0bde6a
65963 .xword 0x5eadaf877ebf701e
65964 .xword 0x97bc87883ead1182
65965 .xword 0x37051265be72bcd9
65966 .xword 0x3069ee389d7e629b
65967 .xword 0x54bebd84fa6fd265
65968 .xword 0x5035cb2ab285bac7
65969 .xword 0x9180edcc6c5ba5ab
65970 .xword 0x6f9b62ef8281b493
65971 .xword 0x538bf69f6b8bdc96
65972 .xword 0xb989eee3dd1b8f85
65973 .xword 0x8a4d85d51198710a
65974 .xword 0x5e200f86740acc90
65975 .xword 0x3a71767b847d2b20
65976 .xword 0xf53546b251a63b81
65977 .xword 0xef859af2b87b09c5
65978 .xword 0xf27122d3ba509556
65979 .xword 0xec6f3dee7713dc5e
65980 .xword 0x06382923c8952e29
65981 .xword 0x1880f3bb24d694e5
65982 .xword 0xded41aa26b04b8ec
65983 .xword 0xc1a18d77734612b3
65984 .xword 0x5a606efe2af08618
65985 .xword 0xbbc80436689ac866
65986 .xword 0xabf79036956570cc
65987 .xword 0x15279cf1863ab374
65988 .xword 0xecf28a55004ab425
65989 .xword 0xefb100c1c585ef93
65990 .xword 0x60876be3a7a60b13
65991 .xword 0x71b3fe5441a2208b
65992 .xword 0xe1d5d7f6052459a3
65993 .xword 0x72960a17956325a4
65994 .xword 0x37451a8008171155
65995 .xword 0xa1ffa0411fb99fc7
65996 .xword 0xf5ecaf1a9178fd65
65997 .xword 0xaa49df2a728882f1
65998 .xword 0xf1f4510b623c982b
65999 .xword 0x5d35309a47784029
66000 .xword 0x5493ba630e4e0070
66001 .xword 0xb2a8680eb0fe9153
66002 .xword 0xc0a07695e5db2d46
66003 .xword 0x682e0cac6401182b
66004 .xword 0xbbc1f2d0b8a28463
66005 .xword 0x18d75ee22b56d499
66006 .xword 0x157e9eae422cbb68
66007 .xword 0x9ac5a6cb174183a2
66008 .xword 0x00cade1f469e4efe
66009 .xword 0xb79ff3d85dbfbcbf
66010 .xword 0xe22a210754ef1ddd
66011 .xword 0x250082943c99a261
66012 .xword 0x3b6e74994c9da407
66013 .xword 0x9b96e91574208228
66014 .xword 0xd0496da7d060eb41
66015 .xword 0xf8189969462c7347
66016 .xword 0x036b205899b74f28
66017 .xword 0x2e0bb669b3503034
66018 .xword 0xa7b97c3790f9a7a2
66019 .xword 0xb3de41904d17f7b4
66020 .xword 0x1e33a7582b3190c4
66021 .xword 0x9c9212620759b96c
66022 .xword 0xaa74e51215938886
66023 .xword 0x17256ba69a16a210
66024 .xword 0x4755d4d2e3bea7db
66025 .xword 0x2486eaa6e3eea2b5
66026 .xword 0xff9ff9e3c05c2389
66027 .xword 0x64a7793b9d2d2942
66028 .xword 0x25d6b6c2b91829ce
66029 .xword 0xc9e94fdadc1da10c
66030 .xword 0x98514faa90e0a635
66031 .xword 0x8e382158a28c944b
66032 .xword 0x173bb8f9c90036ff
66033 .xword 0xddae3959f8d72688
66034 .xword 0xe56e676181257252
66035 .xword 0x4f01b540759cdb9f
66036 .xword 0x08b72ddbdb95af70
66037 .xword 0x71baafe29c247954
66038 .xword 0xcdafb4cfcea0f31b
66039 .xword 0xc46d7558f51e0146
66040 .xword 0xf5a3134d4fe590c0
66041 .xword 0xdc04910f55a32ef7
66042 .xword 0xd349a48a3bdd4545
66043_t1_des_dest:
66044 .xword 0xDEADBEEFDEADBEEF
66045 .xword 0xDEADBEEFDEADBEEF
66046 .xword 0xDEADBEEFDEADBEEF
66047 .xword 0xDEADBEEFDEADBEEF
66048 .xword 0xDEADBEEFDEADBEEF
66049 .xword 0xDEADBEEFDEADBEEF
66050 .xword 0xDEADBEEFDEADBEEF
66051 .xword 0xDEADBEEFDEADBEEF
66052 .xword 0xDEADBEEFDEADBEEF
66053 .xword 0xDEADBEEFDEADBEEF
66054 .xword 0xDEADBEEFDEADBEEF
66055 .xword 0xDEADBEEFDEADBEEF
66056 .xword 0xDEADBEEFDEADBEEF
66057 .xword 0xDEADBEEFDEADBEEF
66058 .xword 0xDEADBEEFDEADBEEF
66059 .xword 0xDEADBEEFDEADBEEF
66060 .xword 0xDEADBEEFDEADBEEF
66061 .xword 0xDEADBEEFDEADBEEF
66062 .xword 0xDEADBEEFDEADBEEF
66063 .xword 0xDEADBEEFDEADBEEF
66064 .xword 0xDEADBEEFDEADBEEF
66065 .xword 0xDEADBEEFDEADBEEF
66066 .xword 0xDEADBEEFDEADBEEF
66067 .xword 0xDEADBEEFDEADBEEF
66068 .xword 0xDEADBEEFDEADBEEF
66069 .xword 0xDEADBEEFDEADBEEF
66070 .xword 0xDEADBEEFDEADBEEF
66071 .xword 0xDEADBEEFDEADBEEF
66072 .xword 0xDEADBEEFDEADBEEF
66073 .xword 0xDEADBEEFDEADBEEF
66074 .xword 0xDEADBEEFDEADBEEF
66075 .xword 0xDEADBEEFDEADBEEF
66076 .xword 0xDEADBEEFDEADBEEF
66077 .xword 0xDEADBEEFDEADBEEF
66078 .xword 0xDEADBEEFDEADBEEF
66079 .xword 0xDEADBEEFDEADBEEF
66080 .xword 0xDEADBEEFDEADBEEF
66081 .xword 0xDEADBEEFDEADBEEF
66082 .xword 0xDEADBEEFDEADBEEF
66083 .xword 0xDEADBEEFDEADBEEF
66084 .xword 0xDEADBEEFDEADBEEF
66085 .xword 0xDEADBEEFDEADBEEF
66086 .xword 0xDEADBEEFDEADBEEF
66087 .xword 0xDEADBEEFDEADBEEF
66088 .xword 0xDEADBEEFDEADBEEF
66089 .xword 0xDEADBEEFDEADBEEF
66090 .xword 0xDEADBEEFDEADBEEF
66091 .xword 0xDEADBEEFDEADBEEF
66092 .xword 0xDEADBEEFDEADBEEF
66093 .xword 0xDEADBEEFDEADBEEF
66094 .xword 0xDEADBEEFDEADBEEF
66095 .xword 0xDEADBEEFDEADBEEF
66096 .xword 0xDEADBEEFDEADBEEF
66097 .xword 0xDEADBEEFDEADBEEF
66098 .xword 0xDEADBEEFDEADBEEF
66099 .xword 0xDEADBEEFDEADBEEF
66100 .xword 0xDEADBEEFDEADBEEF
66101 .xword 0xDEADBEEFDEADBEEF
66102 .xword 0xDEADBEEFDEADBEEF
66103 .xword 0xDEADBEEFDEADBEEF
66104 .xword 0xDEADBEEFDEADBEEF
66105 .xword 0xDEADBEEFDEADBEEF
66106 .xword 0xDEADBEEFDEADBEEF
66107 .xword 0xDEADBEEFDEADBEEF
66108 .xword 0xDEADBEEFDEADBEEF
66109 .xword 0xDEADBEEFDEADBEEF
66110 .xword 0xDEADBEEFDEADBEEF
66111 .xword 0xDEADBEEFDEADBEEF
66112 .xword 0xDEADBEEFDEADBEEF
66113 .xword 0xDEADBEEFDEADBEEF
66114 .xword 0xDEADBEEFDEADBEEF
66115 .xword 0xDEADBEEFDEADBEEF
66116 .xword 0xDEADBEEFDEADBEEF
66117 .xword 0xDEADBEEFDEADBEEF
66118 .xword 0xDEADBEEFDEADBEEF
66119 .xword 0xDEADBEEFDEADBEEF
66120 .xword 0xDEADBEEFDEADBEEF
66121 .xword 0xDEADBEEFDEADBEEF
66122 .xword 0xDEADBEEFDEADBEEF
66123 .xword 0xDEADBEEFDEADBEEF
66124 .xword 0xDEADBEEFDEADBEEF
66125 .xword 0xDEADBEEFDEADBEEF
66126 .xword 0xDEADBEEFDEADBEEF
66127 .xword 0xDEADBEEFDEADBEEF
66128 .xword 0xDEADBEEFDEADBEEF
66129 .xword 0xDEADBEEFDEADBEEF
66130 .xword 0xDEADBEEFDEADBEEF
66131 .xword 0xDEADBEEFDEADBEEF
66132 .xword 0xDEADBEEFDEADBEEF
66133 .xword 0xDEADBEEFDEADBEEF
66134 .xword 0xDEADBEEFDEADBEEF
66135 .xword 0xDEADBEEFDEADBEEF
66136 .xword 0xDEADBEEFDEADBEEF
66137 .xword 0xDEADBEEFDEADBEEF
66138 .xword 0xDEADBEEFDEADBEEF
66139 .xword 0xDEADBEEFDEADBEEF
66140 .xword 0xDEADBEEFDEADBEEF
66141 .xword 0xDEADBEEFDEADBEEF
66142 .xword 0xDEADBEEFDEADBEEF
66143 .xword 0xDEADBEEFDEADBEEF
66144 .xword 0xDEADBEEFDEADBEEF
66145 .xword 0xDEADBEEFDEADBEEF
66146 .xword 0xDEADBEEFDEADBEEF
66147 .xword 0xDEADBEEFDEADBEEF
66148 .xword 0xDEADBEEFDEADBEEF
66149 .xword 0xDEADBEEFDEADBEEF
66150 .xword 0xDEADBEEFDEADBEEF
66151 .xword 0xDEADBEEFDEADBEEF
66152 .xword 0xDEADBEEFDEADBEEF
66153 .xword 0xDEADBEEFDEADBEEF
66154 .xword 0xDEADBEEFDEADBEEF
66155 .xword 0xDEADBEEFDEADBEEF
66156 .xword 0xDEADBEEFDEADBEEF
66157 .xword 0xDEADBEEFDEADBEEF
66158 .xword 0xDEADBEEFDEADBEEF
66159 .xword 0xDEADBEEFDEADBEEF
66160 .xword 0xDEADBEEFDEADBEEF
66161 .xword 0xDEADBEEFDEADBEEF
66162 .xword 0xDEADBEEFDEADBEEF
66163 .xword 0xDEADBEEFDEADBEEF
66164 .xword 0xDEADBEEFDEADBEEF
66165 .xword 0xDEADBEEFDEADBEEF
66166 .xword 0xDEADBEEFDEADBEEF
66167 .xword 0xDEADBEEFDEADBEEF
66168 .xword 0xDEADBEEFDEADBEEF
66169 .xword 0xDEADBEEFDEADBEEF
66170 .xword 0xDEADBEEFDEADBEEF
66171 .xword 0xDEADBEEFDEADBEEF
66172 .xword 0xDEADBEEFDEADBEEF
66173 .xword 0xDEADBEEFDEADBEEF
66174 .xword 0xDEADBEEFDEADBEEF
66175 .xword 0xDEADBEEFDEADBEEF
66176 .xword 0xDEADBEEFDEADBEEF
66177 .xword 0xDEADBEEFDEADBEEF
66178 .xword 0xDEADBEEFDEADBEEF
66179 .xword 0xDEADBEEFDEADBEEF
66180 .xword 0xDEADBEEFDEADBEEF
66181 .xword 0xDEADBEEFDEADBEEF
66182 .xword 0xDEADBEEFDEADBEEF
66183 .xword 0xDEADBEEFDEADBEEF
66184 .xword 0xDEADBEEFDEADBEEF
66185 .xword 0xDEADBEEFDEADBEEF
66186 .xword 0xDEADBEEFDEADBEEF
66187 .xword 0xDEADBEEFDEADBEEF
66188 .xword 0xDEADBEEFDEADBEEF
66189 .xword 0xDEADBEEFDEADBEEF
66190 .xword 0xDEADBEEFDEADBEEF
66191 .xword 0xDEADBEEFDEADBEEF
66192 .xword 0xDEADBEEFDEADBEEF
66193 .xword 0xDEADBEEFDEADBEEF
66194 .xword 0xDEADBEEFDEADBEEF
66195 .xword 0xDEADBEEFDEADBEEF
66196 .xword 0xDEADBEEFDEADBEEF
66197 .xword 0xDEADBEEFDEADBEEF
66198 .xword 0xDEADBEEFDEADBEEF
66199 .xword 0xDEADBEEFDEADBEEF
66200 .xword 0xDEADBEEFDEADBEEF
66201 .xword 0xDEADBEEFDEADBEEF
66202 .xword 0xDEADBEEFDEADBEEF
66203 .xword 0xDEADBEEFDEADBEEF
66204 .xword 0xDEADBEEFDEADBEEF
66205 .xword 0xDEADBEEFDEADBEEF
66206 .xword 0xDEADBEEFDEADBEEF
66207 .xword 0xDEADBEEFDEADBEEF
66208 .xword 0xDEADBEEFDEADBEEF
66209 .xword 0xDEADBEEFDEADBEEF
66210 .xword 0xDEADBEEFDEADBEEF
66211 .xword 0xDEADBEEFDEADBEEF
66212 .xword 0xDEADBEEFDEADBEEF
66213 .xword 0xDEADBEEFDEADBEEF
66214 .xword 0xDEADBEEFDEADBEEF
66215 .xword 0xDEADBEEFDEADBEEF
66216 .xword 0xDEADBEEFDEADBEEF
66217 .xword 0xDEADBEEFDEADBEEF
66218 .xword 0xDEADBEEFDEADBEEF
66219 .xword 0xDEADBEEFDEADBEEF
66220 .xword 0xDEADBEEFDEADBEEF
66221 .xword 0xDEADBEEFDEADBEEF
66222 .xword 0xDEADBEEFDEADBEEF
66223 .xword 0xDEADBEEFDEADBEEF
66224 .xword 0xDEADBEEFDEADBEEF
66225 .xword 0xDEADBEEFDEADBEEF
66226 .xword 0xDEADBEEFDEADBEEF
66227 .xword 0xDEADBEEFDEADBEEF
66228 .xword 0xDEADBEEFDEADBEEF
66229 .xword 0xDEADBEEFDEADBEEF
66230 .xword 0xDEADBEEFDEADBEEF
66231 .xword 0xDEADBEEFDEADBEEF
66232 .xword 0xDEADBEEFDEADBEEF
66233 .xword 0xDEADBEEFDEADBEEF
66234 .xword 0xDEADBEEFDEADBEEF
66235 .xword 0xDEADBEEFDEADBEEF
66236 .xword 0xDEADBEEFDEADBEEF
66237 .xword 0xDEADBEEFDEADBEEF
66238 .xword 0xDEADBEEFDEADBEEF
66239 .xword 0xDEADBEEFDEADBEEF
66240 .xword 0xDEADBEEFDEADBEEF
66241 .xword 0xDEADBEEFDEADBEEF
66242 .xword 0xDEADBEEFDEADBEEF
66243 .xword 0xDEADBEEFDEADBEEF
66244 .xword 0xDEADBEEFDEADBEEF
66245 .xword 0xDEADBEEFDEADBEEF
66246 .xword 0xDEADBEEFDEADBEEF
66247 .xword 0xDEADBEEFDEADBEEF
66248 .xword 0xDEADBEEFDEADBEEF
66249 .xword 0xDEADBEEFDEADBEEF
66250 .xword 0xDEADBEEFDEADBEEF
66251 .xword 0xDEADBEEFDEADBEEF
66252 .xword 0xDEADBEEFDEADBEEF
66253 .xword 0xDEADBEEFDEADBEEF
66254 .xword 0xDEADBEEFDEADBEEF
66255 .xword 0xDEADBEEFDEADBEEF
66256 .xword 0xDEADBEEFDEADBEEF
66257 .xword 0xDEADBEEFDEADBEEF
66258 .xword 0xDEADBEEFDEADBEEF
66259 .xword 0xDEADBEEFDEADBEEF
66260 .xword 0xDEADBEEFDEADBEEF
66261 .xword 0xDEADBEEFDEADBEEF
66262 .xword 0xDEADBEEFDEADBEEF
66263 .xword 0xDEADBEEFDEADBEEF
66264 .xword 0xDEADBEEFDEADBEEF
66265 .xword 0xDEADBEEFDEADBEEF
66266 .xword 0xDEADBEEFDEADBEEF
66267 .xword 0xDEADBEEFDEADBEEF
66268 .xword 0xDEADBEEFDEADBEEF
66269 .xword 0xDEADBEEFDEADBEEF
66270 .xword 0xDEADBEEFDEADBEEF
66271 .xword 0xDEADBEEFDEADBEEF
66272 .xword 0xDEADBEEFDEADBEEF
66273 .xword 0xDEADBEEFDEADBEEF
66274 .xword 0xDEADBEEFDEADBEEF
66275 .xword 0xDEADBEEFDEADBEEF
66276 .xword 0xDEADBEEFDEADBEEF
66277 .xword 0xDEADBEEFDEADBEEF
66278 .xword 0xDEADBEEFDEADBEEF
66279 .xword 0xDEADBEEFDEADBEEF
66280 .xword 0xDEADBEEFDEADBEEF
66281 .xword 0xDEADBEEFDEADBEEF
66282 .xword 0xDEADBEEFDEADBEEF
66283 .xword 0xDEADBEEFDEADBEEF
66284 .xword 0xDEADBEEFDEADBEEF
66285 .xword 0xDEADBEEFDEADBEEF
66286 .xword 0xDEADBEEFDEADBEEF
66287 .xword 0xDEADBEEFDEADBEEF
66288 .xword 0xDEADBEEFDEADBEEF
66289 .xword 0xDEADBEEFDEADBEEF
66290 .xword 0xDEADBEEFDEADBEEF
66291 .xword 0xDEADBEEFDEADBEEF
66292 .xword 0xDEADBEEFDEADBEEF
66293 .xword 0xDEADBEEFDEADBEEF
66294 .xword 0xDEADBEEFDEADBEEF
66295 .xword 0xDEADBEEFDEADBEEF
66296 .xword 0xDEADBEEFDEADBEEF
66297 .xword 0xDEADBEEFDEADBEEF
66298 .xword 0xDEADBEEFDEADBEEF
66299 .xword 0xDEADBEEFDEADBEEF
66300 .xword 0xDEADBEEFDEADBEEF
66301 .xword 0xDEADBEEFDEADBEEF
66302 .xword 0xDEADBEEFDEADBEEF
66303 .xword 0xDEADBEEFDEADBEEF
66304 .xword 0xDEADBEEFDEADBEEF
66305 .xword 0xDEADBEEFDEADBEEF
66306 .xword 0xDEADBEEFDEADBEEF
66307 .xword 0xDEADBEEFDEADBEEF
66308 .xword 0xDEADBEEFDEADBEEF
66309 .xword 0xDEADBEEFDEADBEEF
66310 .xword 0xDEADBEEFDEADBEEF
66311 .xword 0xDEADBEEFDEADBEEF
66312 .xword 0xDEADBEEFDEADBEEF
66313 .xword 0xDEADBEEFDEADBEEF
66314 .xword 0xDEADBEEFDEADBEEF
66315 .xword 0xDEADBEEFDEADBEEF
66316 .xword 0xDEADBEEFDEADBEEF
66317 .xword 0xDEADBEEFDEADBEEF
66318 .xword 0xDEADBEEFDEADBEEF
66319_t1_des_auth_key:
66320 .xword 0x96a9ede44b74e46d
66321 .xword 0xce4c1df706a7895b
66322 .xword 0xb7a0f8bfebf171e4
66323 .xword 0x2020026a96c8def4
66324 .xword 0xa8a121e46564b2f8
66325 .xword 0x83853b2f9bead90e
66326 .xword 0x1ee9cc1588ef9515
66327 .xword 0x4f363eca63f1826c
66328 .xword 0xd0f9f3f245249bec
66329 .xword 0xfd8e5dcf92ec4a8f
66330 .xword 0x601654541a2cdfb1
66331 .xword 0x381dc8614c93b039
66332 .xword 0x9656804a1ef7ee22
66333 .xword 0x6466d35ae32ac946
66334 .xword 0xcad93279e21dcd9f
66335 .xword 0x284027e4d448c56d
66336 .xword 0x171c0afde83a10ae
66337 .xword 0x342fed9c93a14e38
66338 .xword 0xa3b1ccaa85b2de6a
66339 .xword 0xb898315ec58cc75c
66340 .xword 0xaa4ce498c0947c43
66341 .xword 0x8e174e5bfcc96dae
66342 .xword 0x1a80d38b56e34dca
66343_t1_des_auth_iv:
66344 .xword 0x0d408dc3e41b2555
66345 .xword 0x65716eb681f27297
66346 .xword 0xcbedf86ee74bc90d
66347 .xword 0xf78d813a6baa23d4
66348 .xword 0x76e5859ae53dda57
66349 .xword 0xd77e5003cbb7b133
66350 .xword 0xd86e7c9f02009a7c
66351 .xword 0x891847b89d13c016
66352 .xword 0xe615d62fecbcc808
66353 .xword 0x3d4d04598a9f9156
66354 .xword 0xedd91c2b35afcd64
66355 .xword 0x29f1350cf4ee209c
66356 .xword 0x3cdc98ddad7ff3c8
66357 .xword 0xb6d2e8fd1416c70f
66358 .xword 0xae1c889adab0345c
66359 .xword 0xc0b4065e82ec5abc
66360 .xword 0x502fa48f9b0202b4
66361 .xword 0x29b3255fb45717d4
66362 .xword 0x1a0136eb33d6798d
66363 .xword 0xc20cdc8583fc3ee2
66364 .xword 0xaefb2ecae79d9522
66365 .xword 0xa287e08f12a16e37
66366 .xword 0xd8682748d183510d
66367_t1_des_fas_result:
66368 .xword 0xDEADBEEFDEADBEEF
66369 .xword 0xDEADBEEFDEADBEEF
66370 .xword 0xDEADBEEFDEADBEEF
66371 .xword 0xDEADBEEFDEADBEEF
66372 .xword 0xDEADBEEFDEADBEEF
66373 .xword 0xDEADBEEFDEADBEEF
66374 .xword 0xDEADBEEFDEADBEEF
66375 .xword 0xDEADBEEFDEADBEEF
66376 .xword 0xDEADBEEFDEADBEEF
66377 .xword 0xDEADBEEFDEADBEEF
66378 .xword 0xDEADBEEFDEADBEEF
66379 .xword 0xDEADBEEFDEADBEEF
66380 .xword 0xDEADBEEFDEADBEEF
66381 .xword 0xDEADBEEFDEADBEEF
66382 .xword 0xDEADBEEFDEADBEEF
66383 .xword 0xDEADBEEFDEADBEEF
66384 .xword 0xDEADBEEFDEADBEEF
66385 .xword 0xDEADBEEFDEADBEEF
66386 .xword 0xDEADBEEFDEADBEEF
66387 .xword 0xDEADBEEFDEADBEEF
66388 .xword 0xDEADBEEFDEADBEEF
66389 .xword 0xDEADBEEFDEADBEEF
66390 .xword 0xDEADBEEFDEADBEEF
66391_t1_copy_key_array:
66392 .xword 0xb532beeb3e84b092
66393 .xword 0xb18500f0885a1328
66394 .xword 0x24bb2d35bd6efcce
66395 .xword 0x856a146a47fd86cf
66396 .xword 0x3ad61c2aa3b9a4b2
66397 .xword 0xa53c6a50a630008e
66398 .xword 0xd17b4f611d36ba02
66399 .xword 0x498fa51d29e11fe4
66400 .xword 0x6ceb13ba0fbbd273
66401 .xword 0xf300a2537c5cbf54
66402 .xword 0x470075091ca2d15e
66403 .xword 0x9f08e35407bdfee4
66404 .xword 0x4d9cb2b2cdb63df6
66405 .xword 0xf85fad741bb2c125
66406 .xword 0xc7c675abb6c4d97c
66407 .xword 0x24893fa6cd86d1b1
66408 .xword 0x825daea7aed39c44
66409 .xword 0x7592a0f136ff77e9
66410 .xword 0x13594bad241266c5
66411 .xword 0xb86f11b14153325b
66412 .xword 0xc836833537ceb851
66413 .xword 0x4d0f7c0e0aa204bc
66414 .xword 0x22067224eda9a10b
66415 .xword 0x2ef9f48f6446ad7e
66416 .xword 0xf87834d67386e1ef
66417 .xword 0xbe655c6f5b252e10
66418 .xword 0xc34946941c138a58
66419 .xword 0x3a8cb6f8509375f4
66420 .xword 0x2b03a1137614eabf
66421 .xword 0x7fd8e4c88e1c67a9
66422 .xword 0x07e42c6e2ec682f9
66423 .xword 0x6afd1d24c1b7ba45
66424 .xword 0x04bd8ee6877ce818
66425 .xword 0xb7aea198d8a46118
66426 .xword 0xa46ec92535ce7bba
66427 .xword 0xcfc3ebd57d476302
66428 .xword 0xe9e262d964f9e7c2
66429 .xword 0x124fef01c6b9125e
66430 .xword 0x13c4baef39b3cca5
66431 .xword 0x6ce6d5dc06a02495
66432 .xword 0xe32b8cac52db0c5a
66433 .xword 0x01e427bed598d796
66434 .xword 0x88911bb555bca25e
66435 .xword 0x6ed6969912cb8976
66436 .xword 0x6cef338da8bdc8b3
66437 .xword 0x451fae09a09f81ca
66438 .xword 0xca41f7ea63d8b25b
66439 .xword 0xb6136bec0ebc27a7
66440 .xword 0xd2e5397f0b25839a
66441 .xword 0xb2b03d9f317e5976
66442 .xword 0xbce8333665331345
66443_t1_copy_iv_array:
66444 .xword 0xf266d2c3c089cf85
66445 .xword 0xff277369dba1e8c1
66446 .xword 0xf4b9012ccff71d36
66447 .xword 0xdd27a9ff19fc1013
66448 .xword 0x88fb634dd86120d9
66449 .xword 0x53872c39ae35fbe9
66450 .xword 0x0c517c17b152ec11
66451 .xword 0x150bd9db2092e31a
66452 .xword 0xe46794581d9314ab
66453 .xword 0xa54408f1067f20a2
66454 .xword 0x7d5d5e0e477a1bc3
66455 .xword 0x7e0768cc478321de
66456 .xword 0x05c0b6bb79d17b61
66457 .xword 0x6c68b00c7aa8a094
66458 .xword 0x4b094d9bde9b4811
66459 .xword 0x08bfb0cc2d598bd8
66460 .xword 0x6605ef47ecaa4a9b
66461 .xword 0x4eca5fc1014449e4
66462 .xword 0x908e01c3029316cc
66463 .xword 0x3e1bba6efe02a6b7
66464 .xword 0x70d3064e28afb848
66465 .xword 0xe0d7ee77d3700f80
66466 .xword 0x3231e5fe3666f042
66467 .xword 0x44326c5f2d27e5e6
66468 .xword 0x7068f7265ea99f00
66469 .xword 0x3767a077623d9c3e
66470 .xword 0x9650cda2cee0995f
66471 .xword 0xcd771edb60368ce6
66472 .xword 0x7f25279efe70f8f7
66473 .xword 0x32990ae7bd3e74a2
66474 .xword 0xe53f8c99e717278d
66475 .xword 0x36489e0ccd49199a
66476 .xword 0x71a5aea1ffe7ce9a
66477 .xword 0xaa33c3f35ef081fc
66478 .xword 0xc942c1f1c94d741d
66479 .xword 0xccc5fbf10c3b34d8
66480 .xword 0x9796085dac829ef4
66481 .xword 0x731cc042f40ad8ea
66482 .xword 0xe619cddf75b7a991
66483 .xword 0x9db42d9aedf415c9
66484 .xword 0x885ed92ffda79fd4
66485 .xword 0x9106f007e8c7aa0e
66486 .xword 0x10a9a77c1544c8ca
66487 .xword 0x0fec11acf51a59bc
66488 .xword 0x1e3a4a75b0d8f317
66489_t1_copy_alignment_array:
66490 .xword 9
66491 .xword 13
66492 .xword 13
66493 .xword 4
66494 .xword 9
66495 .xword 4
66496 .xword 10
66497 .xword 6
66498 .xword 11
66499 .xword 12
66500 .xword 6
66501 .xword 8
66502 .xword 5
66503 .xword 6
66504 .xword 2
66505 .xword 2
66506 .xword 3
66507 .xword 4
66508 .xword 3
66509 .xword 0
66510 .xword 14
66511 .xword 10
66512 .xword 0
66513 .xword 7
66514 .xword 1
66515 .xword 12
66516 .xword 2
66517 .xword 1
66518 .xword 10
66519 .xword 4
66520 .xword 2
66521 .xword 8
66522 .xword 3
66523 .xword 0
66524 .xword 2
66525 .xword 9
66526 .xword 13
66527 .xword 0
66528 .xword 12
66529 .xword 2
66530 .xword 5
66531 .xword 2
66532 .xword 8
66533 .xword 9
66534 .xword 9
66535 .xword 1
66536 .xword 15
66537 .xword 7
66538 .xword 4
66539 .xword 5
66540 .xword 13
66541 .xword 4
66542 .xword 8
66543 .xword 8
66544 .xword 13
66545 .xword 0
66546 .xword 6
66547 .xword 8
66548 .xword 13
66549 .xword 13
66550 .xword 12
66551 .xword 11
66552 .xword 0
66553 .xword 3
66554 .xword 11
66555 .xword 0
66556 .xword 4
66557 .xword 10
66558 .xword 10
66559 .xword 12
66560 .xword 2
66561 .xword 15
66562 .xword 12
66563 .xword 6
66564 .xword 13
66565 .xword 4
66566 .xword 6
66567 .xword 5
66568 .xword 10
66569 .xword 8
66570 .xword 14
66571 .xword 1
66572 .xword 8
66573 .xword 13
66574 .xword 9
66575 .xword 12
66576 .xword 9
66577 .xword 6
66578 .xword 3
66579 .xword 4
66580 .xword 8
66581 .xword 9
66582 .xword 6
66583 .xword 14
66584 .xword 9
66585 .xword 14
66586 .xword 9
66587 .xword 8
66588 .xword 5
66589 .xword 0
66590 .xword 15
66591 .xword 1
66592 .xword 7
66593 .xword 2
66594 .xword 10
66595_t1_copy_src:
66596 .xword 0xf0809be6f386b005
66597 .xword 0xcb98305b75fe7258
66598 .xword 0x8bdb2c1643d677c6
66599 .xword 0xbb3210dd0f7ed58d
66600 .xword 0x21c3de5d85944d2e
66601 .xword 0xec72f918d5c5a410
66602 .xword 0xea1b9455081a5792
66603 .xword 0x209cd62194277def
66604 .xword 0x10fc7967c4141cda
66605 .xword 0x0fc5985bed0b9bc5
66606 .xword 0xeb80fb2651bd1986
66607 .xword 0x37dfca739907b39e
66608 .xword 0x4745b7b3394f9ae3
66609 .xword 0xe9502f46fa707f35
66610 .xword 0x84c05b174dfc8204
66611 .xword 0x338632920b308988
66612 .xword 0x7d8c1b8d00e85c5d
66613 .xword 0x8399253611e7f5f6
66614 .xword 0xef27a65482308d5c
66615 .xword 0x6b7b92059aded4a8
66616 .xword 0x6b449de422c5e3dc
66617 .xword 0x19f103d3337c7f8e
66618 .xword 0x60a1ea4be6727cb5
66619 .xword 0x6e630546195e4f3b
66620 .xword 0x5d2a8ce2ccb9009a
66621 .xword 0xae236a0b01c70966
66622 .xword 0x401b62d75b65feb4
66623 .xword 0xfb542a5da24d2c16
66624 .xword 0x282956b52db499cc
66625 .xword 0xb259b790d6f2d81b
66626 .xword 0x9c527d4a72b0cd8e
66627 .xword 0x72857c2f40348fec
66628 .xword 0xb70f60eecab79ca3
66629 .xword 0x67ec1d9fb5d32563
66630 .xword 0x1fa89f35fc9b12ce
66631 .xword 0xf14c0f77f88cd595
66632 .xword 0x7b4a69dc83faf78c
66633 .xword 0xaccefc525eca922c
66634 .xword 0x5b3de75505c9e1a0
66635 .xword 0xbb14d3641c372538
66636 .xword 0x77b1f8d7ecc529ae
66637 .xword 0xf17945ee07988bd9
66638 .xword 0x04b5f3804cd8ad68
66639 .xword 0x1787d4823f210352
66640 .xword 0x1d84ad6633c9d63f
66641 .xword 0xfb6a2a8a99f65633
66642 .xword 0x077d2e9d4c7fe27a
66643 .xword 0xc1b3a9af585a997d
66644 .xword 0x3d43e42b2872d50b
66645 .xword 0xaeb689e2383c78c9
66646 .xword 0xf3a0248b0ed42729
66647 .xword 0xbcf254ddccc4f099
66648 .xword 0xdbd3516dd761f909
66649 .xword 0x1bc97389d0323baf
66650 .xword 0xc7c9bfe1372b9659
66651 .xword 0xfd50f83beef9a8df
66652 .xword 0xee06dbe41013e951
66653 .xword 0xc2023362a73f9c82
66654 .xword 0xb4c19e5b52c44209
66655 .xword 0xb6a4204821208ebd
66656 .xword 0xe9218ebd46e53806
66657 .xword 0xae23b95931d93684
66658 .xword 0x39b393724ad12ec7
66659 .xword 0x0bcdd78a2c0a9b83
66660 .xword 0x03eefefedd66adc5
66661 .xword 0xb404a05990e0bad8
66662 .xword 0x1ccddc5c405589a4
66663 .xword 0x480efa3e83b4789b
66664 .xword 0x64109e63d0b74232
66665 .xword 0xd6076aaf4a8093f8
66666 .xword 0xae06d30217521970
66667 .xword 0x3664c9f43335943e
66668 .xword 0xf1726e7922cc3d19
66669 .xword 0x94af43d600baf0f6
66670 .xword 0xe4722bba2b3b8f47
66671 .xword 0x5a2bc9bbe2ddb6c9
66672 .xword 0x4fd34e92de897ba9
66673 .xword 0x04c25d4eb96df280
66674 .xword 0xc4a1d64deb25ab81
66675 .xword 0xd8c319a1981484a1
66676 .xword 0x6dd925ec66c078cc
66677 .xword 0xb6a1baaeafea7eb4
66678 .xword 0x48d7c6e96c8d9ca0
66679 .xword 0x3d4f78d2d9b0f819
66680 .xword 0xf1d1fe6528569b6b
66681 .xword 0x09430f593bfff03d
66682 .xword 0x38e36330f8d21b87
66683 .xword 0x49a3f10cd7f4bbbf
66684 .xword 0x03fb0b0b192cf7b0
66685 .xword 0x31da9b1b99e134b1
66686 .xword 0xedbd99b08f473200
66687 .xword 0x38d43b1ee0e7ceb8
66688 .xword 0x1f38cb883d5daca8
66689 .xword 0xa41a7f711db910e8
66690 .xword 0x1f98029d9677f66d
66691 .xword 0x13f2440a2d31c9f5
66692 .xword 0x5fbd4c4513eb0adb
66693 .xword 0x874360d06ee4741a
66694 .xword 0xa1a66b4a4b259657
66695 .xword 0x24b0b530df1326b3
66696 .xword 0x6a634adb2f122730
66697 .xword 0xf960dd0159a422e0
66698 .xword 0x594ea35ba71fee7d
66699 .xword 0xf8747c7f50d763a3
66700 .xword 0x19c68571d5277584
66701 .xword 0xd78cef59c328aa60
66702 .xword 0xa5e7d3cc6a241d0b
66703 .xword 0x6afd91fa3ed861a2
66704 .xword 0xd75183879222083f
66705 .xword 0x88361f393c60696c
66706 .xword 0xdd52882d39024ee7
66707 .xword 0x4c4406caa3f149e0
66708 .xword 0x43009812398e4cb6
66709 .xword 0x862eb3222206767a
66710 .xword 0x6e3ec3eca0d31b96
66711 .xword 0x8d76f04c03d88363
66712 .xword 0xfc1f870fe47861fd
66713 .xword 0x5ed1fdb41d01f473
66714 .xword 0xde8f11ccbedc20cd
66715 .xword 0x4654ff9e1caf1b49
66716 .xword 0xaba0928edada0305
66717 .xword 0xff3a4e87a2022ab8
66718 .xword 0x93248d53d63f6ca5
66719 .xword 0x61b5cb103e71d6d4
66720 .xword 0x2524c45a162fc128
66721 .xword 0x6c450cf7a869da30
66722 .xword 0x74e37b7059acbf50
66723 .xword 0x3ecf695f68f08a7e
66724 .xword 0x22e1a733cbc5a7ce
66725 .xword 0xa9c0e287d54a0976
66726 .xword 0xd532297a88401782
66727 .xword 0x069955b8250dfeee
66728 .xword 0x4894b11999b6aa73
66729 .xword 0xad146f3adaad3368
66730 .xword 0x42cc1769a14eb04f
66731 .xword 0xb3014763dc6fccf6
66732 .xword 0x73cded6b8f4dee41
66733 .xword 0xc873f530ef4eb5a6
66734 .xword 0x076cc8afa5cb0a9e
66735 .xword 0xc006351ff2eed979
66736 .xword 0x8f8b6eb4b3e8ad81
66737 .xword 0xdf4c2ee5d2e34091
66738 .xword 0xbc7091ff837e72d6
66739 .xword 0x1649bc23111b32c6
66740 .xword 0x0e5601129e72233b
66741 .xword 0xba1dc645d3e0b4a0
66742 .xword 0xdf93b874dc97fcc2
66743 .xword 0xafcb925a3b4b3be0
66744 .xword 0x4ff412ef89dc0dbb
66745 .xword 0x190dbe356b6e2b33
66746 .xword 0xc1d69fd11ea0bc14
66747 .xword 0x850284e027599289
66748 .xword 0x2187a8bf38a498a9
66749 .xword 0xdb7f241ce387f40c
66750 .xword 0xcf16ee3e2fced7d9
66751 .xword 0xf4cfcd22bf2b7bac
66752 .xword 0x329076ad7b2e316a
66753 .xword 0x6459eda77beedabf
66754 .xword 0x2a13c76af63cd666
66755 .xword 0x43b9aeb6f6be72aa
66756 .xword 0x36451c9ee0cab138
66757 .xword 0xf4384da5e4c88fd1
66758 .xword 0x6cba597369cdec19
66759 .xword 0xdd838200910d75c8
66760 .xword 0x01670b012f5d38e7
66761 .xword 0x78fbd34a077371d0
66762 .xword 0x9d960483d9b93c0f
66763 .xword 0x24b510bf254da8f4
66764 .xword 0x1f037921b6368e21
66765 .xword 0x7f2fed92431105f7
66766 .xword 0x6f83ea1ddd01f4cb
66767 .xword 0xaec732bc96af30ec
66768 .xword 0x163ad936f6f1c893
66769 .xword 0x0dbc13be6da36015
66770 .xword 0xbabd7e6dd1a3f3cf
66771 .xword 0x591bae07f391f6a3
66772 .xword 0x62a27251f1be43aa
66773 .xword 0x1b0c876df92a1f1a
66774 .xword 0xa0a902c7daf48e52
66775 .xword 0xbb9d0ff8ac637cf7
66776 .xword 0x52bbc5aaed15b016
66777 .xword 0xc62e6ee3cac61234
66778 .xword 0xf17afe2f24815989
66779 .xword 0x32924efdd2d6d4e2
66780 .xword 0x9423fcdd7ec8d43d
66781 .xword 0xfc1f4b1230a747ab
66782 .xword 0x0b6cb1c8cecdbb19
66783 .xword 0x1be9e7a60bbd9b68
66784 .xword 0x34a0a37c10455b71
66785 .xword 0x5dcb121aabe4dc97
66786 .xword 0x4f906fbc465c1870
66787 .xword 0x34aafc55585142de
66788 .xword 0x5fb32d8a44e3c3af
66789 .xword 0xf69ea86813a12f18
66790 .xword 0x90d953073b941453
66791 .xword 0x4c943767243e1db0
66792 .xword 0x3fabcfdc90e6aeed
66793 .xword 0x8a2075550a8cbf6e
66794 .xword 0xb1c300610d3b4a4d
66795 .xword 0x0c8aa7ffa10d6179
66796 .xword 0x4609370a01296359
66797 .xword 0x113dc37e8f170a33
66798 .xword 0x83b9be49960da798
66799 .xword 0x8816b2e83286c777
66800 .xword 0xaf936f7a518415b5
66801 .xword 0x79e34768588190dd
66802 .xword 0xa8f90e8aa7fc9b2c
66803 .xword 0x7b90fcbb689224d1
66804 .xword 0x6684e01361013f17
66805 .xword 0xb20ea25a8f17d1aa
66806 .xword 0x6d69dc1fafa61417
66807 .xword 0xaabb7ba3f31c955b
66808 .xword 0x58c5dd4676052ea7
66809 .xword 0xbc9411a0c55b2f57
66810 .xword 0xfdf48612966de436
66811 .xword 0xb41e5d36e02ca396
66812 .xword 0x5c1a001bd10af44b
66813 .xword 0xfeaf9a0154a47c85
66814 .xword 0xec1d62f36d2d29cd
66815 .xword 0xa55d80f81f8531e7
66816 .xword 0x8741c32f1d85b5c7
66817 .xword 0xa3771558ed56671e
66818 .xword 0x861dde1d5a2b08e3
66819 .xword 0xa967de8075afb7ca
66820 .xword 0x7c74f26b79b86856
66821 .xword 0xa3db755054550438
66822 .xword 0x6ca6827dd310f3a4
66823 .xword 0xe4d3fea46d1a6b4d
66824 .xword 0xf07af321e7073ed7
66825 .xword 0x1d3d864a4aabf408
66826 .xword 0xbb77b8236847538d
66827 .xword 0x9a1f0f5704ed765c
66828 .xword 0x1bd008c6b1930c65
66829 .xword 0xb345dc97e6411641
66830 .xword 0x2232fb5a7ae3ed40
66831 .xword 0xde262ec79c92ae9c
66832 .xword 0xe310f0de34147dc6
66833 .xword 0xf462b4e8307b9ce4
66834 .xword 0xe4e5ef42939d3b3d
66835 .xword 0xf78aae9082bc758a
66836 .xword 0xfd7a0fa0162e2a3e
66837 .xword 0xb4d229ba1ecea859
66838 .xword 0x9746c1449e24d151
66839 .xword 0xb34c952ff70f3676
66840 .xword 0xe5a878b6d3729160
66841 .xword 0x09a930163a744087
66842 .xword 0x8fa924881111b441
66843 .xword 0x2bbe76bb87312c60
66844 .xword 0xfe6193711809d636
66845 .xword 0x281819a419cbb4c8
66846 .xword 0xa1a8a562b9e2b79e
66847 .xword 0xfa7f5615aed413a2
66848 .xword 0xf441bef386570c72
66849 .xword 0x4d97cedbfd530c8c
66850 .xword 0xdb620fc58ff4bbb5
66851 .xword 0x422bad5977687196
66852 .xword 0xa2547cc4b9004deb
66853 .xword 0x2926f566f31bcd00
66854 .xword 0xadde4c905d057442
66855 .xword 0x86bcc82c4b42bcf4
66856 .xword 0x4e6e2a3c9bd8b54c
66857 .xword 0xd5c3e4aaf58a36d6
66858 .xword 0x5809c2e8278100c1
66859 .xword 0xc6f919c9ee1f9699
66860 .xword 0xabe3f6724d01a252
66861 .xword 0x6655915464d2e8d2
66862 .xword 0x391a00fd718d404c
66863 .xword 0x14c40fc1d561d05c
66864 .xword 0x0afe0937068dab38
66865 .xword 0xb4ac726b370bc40e
66866 .xword 0xb33d41c9158be8ab
66867 .xword 0xa6b375133b197c57
66868 .xword 0x455d26849e4d5b06
66869 .xword 0x357112a834391527
66870 .xword 0x0b17cb5e72e87fb5
66871_t1_copy_dest:
66872 .xword 0xDEADBEEFDEADBEEF
66873 .xword 0xDEADBEEFDEADBEEF
66874 .xword 0xDEADBEEFDEADBEEF
66875 .xword 0xDEADBEEFDEADBEEF
66876 .xword 0xDEADBEEFDEADBEEF
66877 .xword 0xDEADBEEFDEADBEEF
66878 .xword 0xDEADBEEFDEADBEEF
66879 .xword 0xDEADBEEFDEADBEEF
66880 .xword 0xDEADBEEFDEADBEEF
66881 .xword 0xDEADBEEFDEADBEEF
66882 .xword 0xDEADBEEFDEADBEEF
66883 .xword 0xDEADBEEFDEADBEEF
66884 .xword 0xDEADBEEFDEADBEEF
66885 .xword 0xDEADBEEFDEADBEEF
66886 .xword 0xDEADBEEFDEADBEEF
66887 .xword 0xDEADBEEFDEADBEEF
66888 .xword 0xDEADBEEFDEADBEEF
66889 .xword 0xDEADBEEFDEADBEEF
66890 .xword 0xDEADBEEFDEADBEEF
66891 .xword 0xDEADBEEFDEADBEEF
66892 .xword 0xDEADBEEFDEADBEEF
66893 .xword 0xDEADBEEFDEADBEEF
66894 .xword 0xDEADBEEFDEADBEEF
66895 .xword 0xDEADBEEFDEADBEEF
66896 .xword 0xDEADBEEFDEADBEEF
66897 .xword 0xDEADBEEFDEADBEEF
66898 .xword 0xDEADBEEFDEADBEEF
66899 .xword 0xDEADBEEFDEADBEEF
66900 .xword 0xDEADBEEFDEADBEEF
66901 .xword 0xDEADBEEFDEADBEEF
66902 .xword 0xDEADBEEFDEADBEEF
66903 .xword 0xDEADBEEFDEADBEEF
66904 .xword 0xDEADBEEFDEADBEEF
66905 .xword 0xDEADBEEFDEADBEEF
66906 .xword 0xDEADBEEFDEADBEEF
66907 .xword 0xDEADBEEFDEADBEEF
66908 .xword 0xDEADBEEFDEADBEEF
66909 .xword 0xDEADBEEFDEADBEEF
66910 .xword 0xDEADBEEFDEADBEEF
66911 .xword 0xDEADBEEFDEADBEEF
66912 .xword 0xDEADBEEFDEADBEEF
66913 .xword 0xDEADBEEFDEADBEEF
66914 .xword 0xDEADBEEFDEADBEEF
66915 .xword 0xDEADBEEFDEADBEEF
66916 .xword 0xDEADBEEFDEADBEEF
66917 .xword 0xDEADBEEFDEADBEEF
66918 .xword 0xDEADBEEFDEADBEEF
66919 .xword 0xDEADBEEFDEADBEEF
66920 .xword 0xDEADBEEFDEADBEEF
66921 .xword 0xDEADBEEFDEADBEEF
66922 .xword 0xDEADBEEFDEADBEEF
66923 .xword 0xDEADBEEFDEADBEEF
66924 .xword 0xDEADBEEFDEADBEEF
66925 .xword 0xDEADBEEFDEADBEEF
66926 .xword 0xDEADBEEFDEADBEEF
66927 .xword 0xDEADBEEFDEADBEEF
66928 .xword 0xDEADBEEFDEADBEEF
66929 .xword 0xDEADBEEFDEADBEEF
66930 .xword 0xDEADBEEFDEADBEEF
66931 .xword 0xDEADBEEFDEADBEEF
66932 .xword 0xDEADBEEFDEADBEEF
66933 .xword 0xDEADBEEFDEADBEEF
66934 .xword 0xDEADBEEFDEADBEEF
66935 .xword 0xDEADBEEFDEADBEEF
66936 .xword 0xDEADBEEFDEADBEEF
66937 .xword 0xDEADBEEFDEADBEEF
66938 .xword 0xDEADBEEFDEADBEEF
66939 .xword 0xDEADBEEFDEADBEEF
66940 .xword 0xDEADBEEFDEADBEEF
66941 .xword 0xDEADBEEFDEADBEEF
66942 .xword 0xDEADBEEFDEADBEEF
66943 .xword 0xDEADBEEFDEADBEEF
66944 .xword 0xDEADBEEFDEADBEEF
66945 .xword 0xDEADBEEFDEADBEEF
66946 .xword 0xDEADBEEFDEADBEEF
66947 .xword 0xDEADBEEFDEADBEEF
66948 .xword 0xDEADBEEFDEADBEEF
66949 .xword 0xDEADBEEFDEADBEEF
66950 .xword 0xDEADBEEFDEADBEEF
66951 .xword 0xDEADBEEFDEADBEEF
66952 .xword 0xDEADBEEFDEADBEEF
66953 .xword 0xDEADBEEFDEADBEEF
66954 .xword 0xDEADBEEFDEADBEEF
66955 .xword 0xDEADBEEFDEADBEEF
66956 .xword 0xDEADBEEFDEADBEEF
66957 .xword 0xDEADBEEFDEADBEEF
66958 .xword 0xDEADBEEFDEADBEEF
66959 .xword 0xDEADBEEFDEADBEEF
66960 .xword 0xDEADBEEFDEADBEEF
66961 .xword 0xDEADBEEFDEADBEEF
66962 .xword 0xDEADBEEFDEADBEEF
66963 .xword 0xDEADBEEFDEADBEEF
66964 .xword 0xDEADBEEFDEADBEEF
66965 .xword 0xDEADBEEFDEADBEEF
66966 .xword 0xDEADBEEFDEADBEEF
66967 .xword 0xDEADBEEFDEADBEEF
66968 .xword 0xDEADBEEFDEADBEEF
66969 .xword 0xDEADBEEFDEADBEEF
66970 .xword 0xDEADBEEFDEADBEEF
66971 .xword 0xDEADBEEFDEADBEEF
66972 .xword 0xDEADBEEFDEADBEEF
66973 .xword 0xDEADBEEFDEADBEEF
66974 .xword 0xDEADBEEFDEADBEEF
66975 .xword 0xDEADBEEFDEADBEEF
66976 .xword 0xDEADBEEFDEADBEEF
66977 .xword 0xDEADBEEFDEADBEEF
66978 .xword 0xDEADBEEFDEADBEEF
66979 .xword 0xDEADBEEFDEADBEEF
66980 .xword 0xDEADBEEFDEADBEEF
66981 .xword 0xDEADBEEFDEADBEEF
66982 .xword 0xDEADBEEFDEADBEEF
66983 .xword 0xDEADBEEFDEADBEEF
66984 .xword 0xDEADBEEFDEADBEEF
66985 .xword 0xDEADBEEFDEADBEEF
66986 .xword 0xDEADBEEFDEADBEEF
66987 .xword 0xDEADBEEFDEADBEEF
66988 .xword 0xDEADBEEFDEADBEEF
66989 .xword 0xDEADBEEFDEADBEEF
66990 .xword 0xDEADBEEFDEADBEEF
66991 .xword 0xDEADBEEFDEADBEEF
66992 .xword 0xDEADBEEFDEADBEEF
66993 .xword 0xDEADBEEFDEADBEEF
66994 .xword 0xDEADBEEFDEADBEEF
66995 .xword 0xDEADBEEFDEADBEEF
66996 .xword 0xDEADBEEFDEADBEEF
66997 .xword 0xDEADBEEFDEADBEEF
66998 .xword 0xDEADBEEFDEADBEEF
66999 .xword 0xDEADBEEFDEADBEEF
67000 .xword 0xDEADBEEFDEADBEEF
67001 .xword 0xDEADBEEFDEADBEEF
67002 .xword 0xDEADBEEFDEADBEEF
67003 .xword 0xDEADBEEFDEADBEEF
67004 .xword 0xDEADBEEFDEADBEEF
67005 .xword 0xDEADBEEFDEADBEEF
67006 .xword 0xDEADBEEFDEADBEEF
67007 .xword 0xDEADBEEFDEADBEEF
67008 .xword 0xDEADBEEFDEADBEEF
67009 .xword 0xDEADBEEFDEADBEEF
67010 .xword 0xDEADBEEFDEADBEEF
67011 .xword 0xDEADBEEFDEADBEEF
67012 .xword 0xDEADBEEFDEADBEEF
67013 .xword 0xDEADBEEFDEADBEEF
67014 .xword 0xDEADBEEFDEADBEEF
67015 .xword 0xDEADBEEFDEADBEEF
67016 .xword 0xDEADBEEFDEADBEEF
67017 .xword 0xDEADBEEFDEADBEEF
67018 .xword 0xDEADBEEFDEADBEEF
67019 .xword 0xDEADBEEFDEADBEEF
67020 .xword 0xDEADBEEFDEADBEEF
67021 .xword 0xDEADBEEFDEADBEEF
67022 .xword 0xDEADBEEFDEADBEEF
67023 .xword 0xDEADBEEFDEADBEEF
67024 .xword 0xDEADBEEFDEADBEEF
67025 .xword 0xDEADBEEFDEADBEEF
67026 .xword 0xDEADBEEFDEADBEEF
67027 .xword 0xDEADBEEFDEADBEEF
67028 .xword 0xDEADBEEFDEADBEEF
67029 .xword 0xDEADBEEFDEADBEEF
67030 .xword 0xDEADBEEFDEADBEEF
67031 .xword 0xDEADBEEFDEADBEEF
67032 .xword 0xDEADBEEFDEADBEEF
67033 .xword 0xDEADBEEFDEADBEEF
67034 .xword 0xDEADBEEFDEADBEEF
67035 .xword 0xDEADBEEFDEADBEEF
67036 .xword 0xDEADBEEFDEADBEEF
67037 .xword 0xDEADBEEFDEADBEEF
67038 .xword 0xDEADBEEFDEADBEEF
67039 .xword 0xDEADBEEFDEADBEEF
67040 .xword 0xDEADBEEFDEADBEEF
67041 .xword 0xDEADBEEFDEADBEEF
67042 .xword 0xDEADBEEFDEADBEEF
67043 .xword 0xDEADBEEFDEADBEEF
67044 .xword 0xDEADBEEFDEADBEEF
67045 .xword 0xDEADBEEFDEADBEEF
67046 .xword 0xDEADBEEFDEADBEEF
67047 .xword 0xDEADBEEFDEADBEEF
67048 .xword 0xDEADBEEFDEADBEEF
67049 .xword 0xDEADBEEFDEADBEEF
67050 .xword 0xDEADBEEFDEADBEEF
67051 .xword 0xDEADBEEFDEADBEEF
67052 .xword 0xDEADBEEFDEADBEEF
67053 .xword 0xDEADBEEFDEADBEEF
67054 .xword 0xDEADBEEFDEADBEEF
67055 .xword 0xDEADBEEFDEADBEEF
67056 .xword 0xDEADBEEFDEADBEEF
67057 .xword 0xDEADBEEFDEADBEEF
67058 .xword 0xDEADBEEFDEADBEEF
67059 .xword 0xDEADBEEFDEADBEEF
67060 .xword 0xDEADBEEFDEADBEEF
67061 .xword 0xDEADBEEFDEADBEEF
67062 .xword 0xDEADBEEFDEADBEEF
67063 .xword 0xDEADBEEFDEADBEEF
67064 .xword 0xDEADBEEFDEADBEEF
67065 .xword 0xDEADBEEFDEADBEEF
67066 .xword 0xDEADBEEFDEADBEEF
67067 .xword 0xDEADBEEFDEADBEEF
67068 .xword 0xDEADBEEFDEADBEEF
67069 .xword 0xDEADBEEFDEADBEEF
67070 .xword 0xDEADBEEFDEADBEEF
67071 .xword 0xDEADBEEFDEADBEEF
67072 .xword 0xDEADBEEFDEADBEEF
67073 .xword 0xDEADBEEFDEADBEEF
67074 .xword 0xDEADBEEFDEADBEEF
67075 .xword 0xDEADBEEFDEADBEEF
67076 .xword 0xDEADBEEFDEADBEEF
67077 .xword 0xDEADBEEFDEADBEEF
67078 .xword 0xDEADBEEFDEADBEEF
67079 .xword 0xDEADBEEFDEADBEEF
67080 .xword 0xDEADBEEFDEADBEEF
67081 .xword 0xDEADBEEFDEADBEEF
67082 .xword 0xDEADBEEFDEADBEEF
67083 .xword 0xDEADBEEFDEADBEEF
67084 .xword 0xDEADBEEFDEADBEEF
67085 .xword 0xDEADBEEFDEADBEEF
67086 .xword 0xDEADBEEFDEADBEEF
67087 .xword 0xDEADBEEFDEADBEEF
67088 .xword 0xDEADBEEFDEADBEEF
67089 .xword 0xDEADBEEFDEADBEEF
67090 .xword 0xDEADBEEFDEADBEEF
67091 .xword 0xDEADBEEFDEADBEEF
67092 .xword 0xDEADBEEFDEADBEEF
67093 .xword 0xDEADBEEFDEADBEEF
67094 .xword 0xDEADBEEFDEADBEEF
67095 .xword 0xDEADBEEFDEADBEEF
67096 .xword 0xDEADBEEFDEADBEEF
67097 .xword 0xDEADBEEFDEADBEEF
67098 .xword 0xDEADBEEFDEADBEEF
67099 .xword 0xDEADBEEFDEADBEEF
67100 .xword 0xDEADBEEFDEADBEEF
67101 .xword 0xDEADBEEFDEADBEEF
67102 .xword 0xDEADBEEFDEADBEEF
67103 .xword 0xDEADBEEFDEADBEEF
67104 .xword 0xDEADBEEFDEADBEEF
67105 .xword 0xDEADBEEFDEADBEEF
67106 .xword 0xDEADBEEFDEADBEEF
67107 .xword 0xDEADBEEFDEADBEEF
67108 .xword 0xDEADBEEFDEADBEEF
67109 .xword 0xDEADBEEFDEADBEEF
67110 .xword 0xDEADBEEFDEADBEEF
67111 .xword 0xDEADBEEFDEADBEEF
67112 .xword 0xDEADBEEFDEADBEEF
67113 .xword 0xDEADBEEFDEADBEEF
67114 .xword 0xDEADBEEFDEADBEEF
67115 .xword 0xDEADBEEFDEADBEEF
67116 .xword 0xDEADBEEFDEADBEEF
67117 .xword 0xDEADBEEFDEADBEEF
67118 .xword 0xDEADBEEFDEADBEEF
67119 .xword 0xDEADBEEFDEADBEEF
67120 .xword 0xDEADBEEFDEADBEEF
67121 .xword 0xDEADBEEFDEADBEEF
67122 .xword 0xDEADBEEFDEADBEEF
67123 .xword 0xDEADBEEFDEADBEEF
67124 .xword 0xDEADBEEFDEADBEEF
67125 .xword 0xDEADBEEFDEADBEEF
67126 .xword 0xDEADBEEFDEADBEEF
67127 .xword 0xDEADBEEFDEADBEEF
67128 .xword 0xDEADBEEFDEADBEEF
67129 .xword 0xDEADBEEFDEADBEEF
67130 .xword 0xDEADBEEFDEADBEEF
67131 .xword 0xDEADBEEFDEADBEEF
67132 .xword 0xDEADBEEFDEADBEEF
67133 .xword 0xDEADBEEFDEADBEEF
67134 .xword 0xDEADBEEFDEADBEEF
67135 .xword 0xDEADBEEFDEADBEEF
67136 .xword 0xDEADBEEFDEADBEEF
67137 .xword 0xDEADBEEFDEADBEEF
67138 .xword 0xDEADBEEFDEADBEEF
67139 .xword 0xDEADBEEFDEADBEEF
67140 .xword 0xDEADBEEFDEADBEEF
67141 .xword 0xDEADBEEFDEADBEEF
67142 .xword 0xDEADBEEFDEADBEEF
67143 .xword 0xDEADBEEFDEADBEEF
67144 .xword 0xDEADBEEFDEADBEEF
67145 .xword 0xDEADBEEFDEADBEEF
67146 .xword 0xDEADBEEFDEADBEEF
67147_t1_copy_auth_key:
67148 .xword 0x441fbccfecabc73a
67149 .xword 0x0b202a5df41e2af0
67150 .xword 0x457b2c045935c6c0
67151 .xword 0x628868a2f3e2d3f8
67152 .xword 0x4fc5ddd74127681c
67153 .xword 0x2a9279252ce1a22a
67154 .xword 0x65c706a9b46fa894
67155 .xword 0x45e9212d2b71f72d
67156 .xword 0xc293d4eec2410f1c
67157 .xword 0x1c07a6934e64732f
67158 .xword 0x4cff1bfd5671c1a6
67159 .xword 0x741cba5d36b4e385
67160 .xword 0x9a902223ee12dfb4
67161 .xword 0xdeefbc6ab4b47762
67162 .xword 0x05e8b11542b00592
67163 .xword 0x1763477490a63375
67164 .xword 0xd1d3f593b2f4da7d
67165 .xword 0x0553012b55e0548a
67166 .xword 0x230e7bc742dd847d
67167 .xword 0x833f99194f10b267
67168 .xword 0x6c1d7aa0b121470e
67169 .xword 0x754f8bc8560c1714
67170 .xword 0x541105228bc00998
67171_t1_copy_auth_iv:
67172 .xword 0x8eb33424788d7a30
67173 .xword 0xe0d3bcdcc1d8b368
67174 .xword 0x7fcc30bd382442ba
67175 .xword 0xd46f1b3c0264589c
67176 .xword 0xe3a76a71fbb31156
67177 .xword 0x7e9682b2a0344994
67178 .xword 0x81ff5d70340e65c7
67179 .xword 0x47ab8859724e4ed2
67180 .xword 0x651f625d2b23abfd
67181 .xword 0x7b26499c395d061f
67182 .xword 0xb7ad269047a7e947
67183 .xword 0x10bb31fb34d41e26
67184 .xword 0x7537b4a144753d79
67185 .xword 0x4d1444b687fdc88f
67186 .xword 0x1636c468e8d7ade7
67187 .xword 0xc7bdc4c0523d3e54
67188 .xword 0x6d60b28a479ab88a
67189 .xword 0x50c7731e1da09412
67190 .xword 0xf84fdf745c30b707
67191 .xword 0xbe7c7b064ba7457a
67192 .xword 0x805cff5ccb531fe4
67193 .xword 0x737d37ad213dda63
67194 .xword 0x8208b114eb958bfd
67195_t1_copy_fas_result:
67196 .xword 0xDEADBEEFDEADBEEF
67197 .xword 0xDEADBEEFDEADBEEF
67198 .xword 0xDEADBEEFDEADBEEF
67199 .xword 0xDEADBEEFDEADBEEF
67200 .xword 0xDEADBEEFDEADBEEF
67201 .xword 0xDEADBEEFDEADBEEF
67202 .xword 0xDEADBEEFDEADBEEF
67203 .xword 0xDEADBEEFDEADBEEF
67204 .xword 0xDEADBEEFDEADBEEF
67205 .xword 0xDEADBEEFDEADBEEF
67206 .xword 0xDEADBEEFDEADBEEF
67207 .xword 0xDEADBEEFDEADBEEF
67208 .xword 0xDEADBEEFDEADBEEF
67209 .xword 0xDEADBEEFDEADBEEF
67210 .xword 0xDEADBEEFDEADBEEF
67211 .xword 0xDEADBEEFDEADBEEF
67212 .xword 0xDEADBEEFDEADBEEF
67213 .xword 0xDEADBEEFDEADBEEF
67214 .xword 0xDEADBEEFDEADBEEF
67215 .xword 0xDEADBEEFDEADBEEF
67216 .xword 0xDEADBEEFDEADBEEF
67217 .xword 0xDEADBEEFDEADBEEF
67218 .xword 0xDEADBEEFDEADBEEF
67219_t1_crc_key_array:
67220 .xword 0x1616bd6b753b1bfa
67221 .xword 0xa9886b36fd6d5f98
67222 .xword 0x5c47cb7ee91cd566
67223 .xword 0x7547f9d45fc1ff71
67224 .xword 0x93b8d644db5b7521
67225 .xword 0xddc2f5ab35c96393
67226 .xword 0x54de6ed89d22fab3
67227 .xword 0xbd4981e5b0ed3f5c
67228 .xword 0xa9d614b19287fa7b
67229 .xword 0x29a6a37d2567c0fc
67230 .xword 0x866af172434b8405
67231 .xword 0x33cf85c997296337
67232 .xword 0x12c8d0a81f13593d
67233 .xword 0x1ead5cf5656e9d01
67234 .xword 0x9bcd053f6fe3eff2
67235 .xword 0x484f26f6d5324738
67236 .xword 0x04ac1d8d258e6f94
67237 .xword 0x8fd5549dcb006378
67238 .xword 0x92803b2839d2725c
67239 .xword 0x3caa28fe94deed69
67240 .xword 0x76ec3e7c9360dd84
67241 .xword 0x36851880a9fbb5e3
67242 .xword 0x88c56383cb0c7931
67243 .xword 0x8bf2df672ff5965a
67244 .xword 0xa08c4edc2e96faab
67245 .xword 0x62d71d8a1cee3027
67246 .xword 0xf5ae4d9b951cc60e
67247 .xword 0x7cc55fd9fc59c00e
67248 .xword 0x90c0ae4d12813c47
67249 .xword 0x73d776be60d51070
67250 .xword 0x06019399f882aab4
67251 .xword 0x4351d9f93a29c263
67252 .xword 0x157654b5aa0fa7b8
67253 .xword 0x0ded850188a6c45a
67254 .xword 0x5ad16db16845db11
67255 .xword 0xb1ab36d7ae5f5df3
67256 .xword 0xe368dda1869be7aa
67257 .xword 0x5e36daa2e36e92b9
67258 .xword 0x110556ebb77e6da2
67259 .xword 0x3bb9d0513eae31fd
67260 .xword 0x96b6dbe853b42ba5
67261 .xword 0xe2a9b017d48b20e3
67262 .xword 0xef566c56c66576db
67263 .xword 0x8b1ef251c3f5952c
67264 .xword 0xca6181cd0a4c0e6d
67265 .xword 0xb43dc2ae62e61a26
67266 .xword 0xff208fcaf3b449ef
67267 .xword 0xd767702e0d344ad1
67268 .xword 0x4c6e11295bde292f
67269 .xword 0x0319979957be5494
67270 .xword 0xdddfb43457cbaa2a
67271_t1_crc_iv_array:
67272 .xword 0x463113cac0086062
67273 .xword 0xf97f79782dd5103d
67274 .xword 0x5d4bce31b4bcc38f
67275 .xword 0x80a0ef6c4f16d634
67276 .xword 0x6331b9e0d3901c53
67277 .xword 0xbb2f45de6359be1e
67278 .xword 0xb463a3a760ff8c53
67279 .xword 0x3c2540ba4de3d6fd
67280 .xword 0xa21fd314bc8a9d32
67281 .xword 0x72108e3bd04cde62
67282 .xword 0xe523326e49bc06eb
67283 .xword 0x19f6dad6e9c90065
67284 .xword 0x255c4a1294151f65
67285 .xword 0xacc57c8a0d03cf03
67286 .xword 0x6c4472c21811757c
67287 .xword 0x96a2eba686428b11
67288 .xword 0xf0231ab38f728aaa
67289 .xword 0x4060fe8860881f6f
67290 .xword 0x14e20c4021438714
67291 .xword 0xb32efa5a4b7edf7d
67292 .xword 0x5107904da0e4a938
67293 .xword 0xb71ebf154599c4d6
67294 .xword 0xd7f021504939afc8
67295 .xword 0xea37b0eb38a38094
67296 .xword 0x0fa54541b8dfd9e2
67297 .xword 0x5e2fa6c1c74b50c2
67298 .xword 0xb22011789a24dff7
67299 .xword 0x1a5c73534c621792
67300 .xword 0x97faf4bdb96ce518
67301 .xword 0x67b5b3e23f134eb2
67302 .xword 0x7e7451a7db299bb0
67303 .xword 0x1bd8dc926b99008e
67304 .xword 0x4c9ea563a02f171b
67305 .xword 0x985d22226af691a2
67306 .xword 0xd43038e8f5edf22d
67307 .xword 0xde049e02684e5f19
67308 .xword 0x156e43a604bbd6b5
67309 .xword 0xac679c9b3870088f
67310 .xword 0x108bb0fcf4d04d4d
67311 .xword 0x9c67c3782b6ece07
67312 .xword 0xfc5aedc10e1b58c5
67313 .xword 0x14cbbdfbc4f0b66a
67314 .xword 0xe525f01196422084
67315 .xword 0x24a3a273be018a9a
67316 .xword 0x3894f559cb6a3994
67317_t1_crc_alignment_array:
67318 .xword 0
67319 .xword 5
67320 .xword 9
67321 .xword 14
67322 .xword 9
67323 .xword 5
67324 .xword 3
67325 .xword 11
67326 .xword 14
67327 .xword 8
67328 .xword 8
67329 .xword 15
67330 .xword 1
67331 .xword 4
67332 .xword 6
67333 .xword 15
67334 .xword 10
67335 .xword 9
67336 .xword 13
67337 .xword 15
67338 .xword 6
67339 .xword 5
67340 .xword 15
67341 .xword 7
67342 .xword 14
67343 .xword 6
67344 .xword 7
67345 .xword 5
67346 .xword 5
67347 .xword 11
67348 .xword 7
67349 .xword 8
67350 .xword 0
67351 .xword 3
67352 .xword 11
67353 .xword 6
67354 .xword 14
67355 .xword 15
67356 .xword 0
67357 .xword 10
67358 .xword 10
67359 .xword 12
67360 .xword 15
67361 .xword 13
67362 .xword 0
67363 .xword 15
67364 .xword 0
67365 .xword 15
67366 .xword 9
67367 .xword 5
67368 .xword 14
67369 .xword 10
67370 .xword 10
67371 .xword 2
67372 .xword 4
67373 .xword 7
67374 .xword 14
67375 .xword 15
67376 .xword 15
67377 .xword 5
67378 .xword 3
67379 .xword 2
67380 .xword 14
67381 .xword 0
67382 .xword 7
67383 .xword 3
67384 .xword 1
67385 .xword 4
67386 .xword 1
67387 .xword 6
67388 .xword 4
67389 .xword 3
67390 .xword 12
67391 .xword 5
67392 .xword 14
67393 .xword 5
67394 .xword 6
67395 .xword 4
67396 .xword 2
67397 .xword 12
67398 .xword 13
67399 .xword 6
67400 .xword 8
67401 .xword 8
67402 .xword 1
67403 .xword 5
67404 .xword 7
67405 .xword 7
67406 .xword 3
67407 .xword 0
67408 .xword 5
67409 .xword 8
67410 .xword 5
67411 .xword 11
67412 .xword 9
67413 .xword 0
67414 .xword 8
67415 .xword 8
67416 .xword 7
67417 .xword 2
67418 .xword 8
67419 .xword 14
67420 .xword 14
67421 .xword 6
67422 .xword 0
67423_t1_crc_src:
67424 .xword 0xfa9dd24556ebd714
67425 .xword 0x793d9b0a3b1ab9dc
67426 .xword 0x58d1ae531f853f5a
67427 .xword 0xfc40154314e64df5
67428 .xword 0x64bb4e24490d4d78
67429 .xword 0x557d0af374504ecc
67430 .xword 0x8609d53ccf640a10
67431 .xword 0x5c63c18db0858dfb
67432 .xword 0xb5ddd824a6c20195
67433 .xword 0xd61e1428eae99886
67434 .xword 0x84c90e6edc57c91b
67435 .xword 0x3fed825c7149fead
67436 .xword 0xfc8aef4155e58648
67437 .xword 0x43534bb3618db789
67438 .xword 0xc81eb94b998a9f95
67439 .xword 0x357c9eef3618398a
67440 .xword 0x0c54a7496b9ac548
67441 .xword 0x4ce3ae5287fd90bd
67442 .xword 0xf9e36f2e3c5541d5
67443 .xword 0xdcdcffcd88605153
67444 .xword 0xf63356507b0ffc31
67445 .xword 0x85e3411b46aaa84d
67446 .xword 0xb5a153c1183e43dc
67447 .xword 0xb4744f5c56715e04
67448 .xword 0x9df3e8fb3fd78e82
67449 .xword 0xce15e715ff5a96e7
67450 .xword 0x49e9ccedcfae3e7a
67451 .xword 0xb82189684ac9cc09
67452 .xword 0x35368957a09aac50
67453 .xword 0x32f023f7b7b2e9e7
67454 .xword 0xa3d8b7e75f7e6b51
67455 .xword 0xff3a5585894a9075
67456 .xword 0x8351a174ecb1f650
67457 .xword 0x96fbca2bf60f9770
67458 .xword 0x649b5edf740c1bbd
67459 .xword 0x5b725b6a7e173fc9
67460 .xword 0x670dd2899c366134
67461 .xword 0xc291e1bcca4e941b
67462 .xword 0xb0ec8b97bbde51da
67463 .xword 0xcf85bd741fc4e235
67464 .xword 0xf53d2bda4e5a644e
67465 .xword 0xc038c174d60443bd
67466 .xword 0xfd258709bfaee79d
67467 .xword 0xdf09e3b046d77a6c
67468 .xword 0xebd9067db96aad64
67469 .xword 0x71320525d35307dc
67470 .xword 0x69ee350fb1db8eeb
67471 .xword 0xde2f8227d10c9346
67472 .xword 0x756fe3d8efbfe914
67473 .xword 0xe55260bf912b9443
67474 .xword 0x0238c4b67efc2036
67475 .xword 0xcefb4c74da216a31
67476 .xword 0x1f8ba39347ad64f8
67477 .xword 0x376be16a1d7d6ab2
67478 .xword 0xedfe7b65e0da9a10
67479 .xword 0x43dfe8ef25c37a38
67480 .xword 0xf3bcd03e088f6a01
67481 .xword 0x916ea7ed210d88bf
67482 .xword 0xd40b1e4a587ec3c1
67483 .xword 0x71986a959c593b0a
67484 .xword 0x0f8cc1a58ec19751
67485 .xword 0x67c7d4deb5d23a8c
67486 .xword 0x187761131ecaf39b
67487 .xword 0xb86a034c3dec0bdd
67488 .xword 0x7c20aaf0c3052d2b
67489 .xword 0x99b44255f1f93030
67490 .xword 0x833bbf7090438b27
67491 .xword 0x0288a68e1606ef1b
67492 .xword 0xa2fbd75b14137298
67493 .xword 0x6d40e3f595cc1be8
67494 .xword 0x0ac86d6482510e8b
67495 .xword 0xadb6baa04cc13ec2
67496 .xword 0xf32b07d4adb2bbe2
67497 .xword 0x8141a722e41e967e
67498 .xword 0xfd2c771663e74b0f
67499 .xword 0x9ef96ec1f07d684e
67500 .xword 0xfed278e7a679a701
67501 .xword 0x2dadc762a33ec501
67502 .xword 0xccdc7e64d4188c51
67503 .xword 0xdc42600cce11bdf1
67504 .xword 0xbff784c635127032
67505 .xword 0x1d977dcf87c4f888
67506 .xword 0x04b297d53308302f
67507 .xword 0x2e554d3b1089e6d3
67508 .xword 0x05edd92d9e94a2c1
67509 .xword 0x6e646248653b59fb
67510 .xword 0xfc7f6c83a6705fc0
67511 .xword 0xe4bed132f84d29cc
67512 .xword 0xd144195e4f2d38a2
67513 .xword 0x5e25de16b4dc2639
67514 .xword 0x09463842282a8420
67515 .xword 0x748606e113b87f69
67516 .xword 0x179efe73e6a08e2e
67517 .xword 0x56cf8a4ac454fa50
67518 .xword 0x4066cf4c02efc993
67519 .xword 0x9813635b50fa2c10
67520 .xword 0x38979d786dd337ac
67521 .xword 0x6b2d8a82fdb39523
67522 .xword 0xaa4ce5ce0f64f631
67523 .xword 0xd9992487600f636f
67524 .xword 0x8c6e257f605e8d7e
67525 .xword 0x16e0958e16cabbd5
67526 .xword 0xc5c3b7265e728fbf
67527 .xword 0xccf83928af9c1612
67528 .xword 0x348832d812e1c4c0
67529 .xword 0x510680b0f7a68adc
67530 .xword 0x49900ec100161083
67531 .xword 0xdf013fe4f508e1da
67532 .xword 0x0320e6c9264a1169
67533 .xword 0x00f60093b8343b37
67534 .xword 0xdb24aac75c3153aa
67535 .xword 0x959270e105664b2e
67536 .xword 0x361f3cc5860cafb3
67537 .xword 0x9eba6320028078f5
67538 .xword 0x9e83153c32ed4125
67539 .xword 0xcef6cb0702369f6b
67540 .xword 0xa95d826d31442b8e
67541 .xword 0x2243e4412e940db4
67542 .xword 0xad4b3984dbcab2ea
67543 .xword 0xf62a703b67940bf3
67544 .xword 0x0103d09fd26e8f3d
67545 .xword 0xa39df55923dfa406
67546 .xword 0xbcda5c6d44a84c9f
67547 .xword 0xd38ee9e7362237b7
67548 .xword 0x0e0a90b79af08bce
67549 .xword 0xe5e537d55cebfba6
67550 .xword 0x957093e1df29d485
67551 .xword 0xa9c5086d902d99e7
67552 .xword 0x39b94b4df25d9e14
67553 .xword 0x749dad6e9d063112
67554 .xword 0x3286f1d7f2b3b191
67555 .xword 0xebd47705bf52be99
67556 .xword 0x82bf845f536d1653
67557 .xword 0x57b17674d6a99b27
67558 .xword 0x0837d1ac4070006a
67559 .xword 0xb4ae47fcb0b4605e
67560 .xword 0x1e20ee6178c929f6
67561 .xword 0x654d929a58e6d9ab
67562 .xword 0xd5b23f4e7de8a132
67563 .xword 0x28c86316d3336227
67564 .xword 0x33924177b59599bc
67565 .xword 0xee9ae109c7bb32bb
67566 .xword 0xeb57705e25a44534
67567 .xword 0x18a5b9b3cc7db32a
67568 .xword 0xa64557360ebb84b5
67569 .xword 0x340694359c8fbac0
67570 .xword 0x2b53b26901836071
67571 .xword 0x232bfe203c42ab5b
67572 .xword 0x88f89270803b44bb
67573 .xword 0xdc41cbf87051d33c
67574 .xword 0x7e20f33d38e822e2
67575 .xword 0x7cb3a123fe3c1b97
67576 .xword 0xf71cc8bba363f723
67577 .xword 0x1690c9abe3c1d32c
67578 .xword 0x54ea581be126f781
67579 .xword 0xa9d086c4da0260be
67580 .xword 0xbd51920af26d4764
67581 .xword 0x64983d644931f91e
67582 .xword 0xc66552003d4ed0d6
67583 .xword 0x55d0b7f8818c3274
67584 .xword 0x5e929c01c96b8522
67585 .xword 0x5d20a2fb50316890
67586 .xword 0xf0f9f8496fcf290a
67587 .xword 0x502db4987ecd88df
67588 .xword 0x2aac8ecf9f6400ff
67589 .xword 0xd01fedc979f93d16
67590 .xword 0x554e9f052decfdd0
67591 .xword 0x5e8a3b4b677be008
67592 .xword 0x9c674dfe2145b436
67593 .xword 0x26d60192e0a1e253
67594 .xword 0x85dc7a9c3a8f3243
67595 .xword 0x609eb6042c3289f5
67596 .xword 0xf6ad45542bcec5ab
67597 .xword 0x030762597c244518
67598 .xword 0xbd18f6e0fdbad2a8
67599 .xword 0xe1acdbfb89ff52a8
67600 .xword 0xfca4763f20171cb9
67601 .xword 0xcb11eb514997b96d
67602 .xword 0x871120c34d1065a5
67603 .xword 0x0a2a24502ee5c6e9
67604 .xword 0x64c434b4eef8b332
67605 .xword 0xb1625e23bd1ff162
67606 .xword 0x9157a7ceee2c2fc2
67607 .xword 0xdf7d641748002792
67608 .xword 0x37ea79fca831cad8
67609 .xword 0x5651912c5013ba52
67610 .xword 0x40f8b1d521352109
67611 .xword 0xc14dbb0b2deaec24
67612 .xword 0x1d3f60bf89957ef0
67613 .xword 0xe4db21fddb076fdc
67614 .xword 0x75c9c8ef25e73408
67615 .xword 0x13a772b9e76c8545
67616 .xword 0xece13675c4d0facb
67617 .xword 0xea4967643ed80400
67618 .xword 0x7103dad61c42ce5f
67619 .xword 0x2e991989ccb39b62
67620 .xword 0x38ac79137afdf46a
67621 .xword 0x7104c8484bbd998a
67622 .xword 0x5403b4ba18e76e22
67623 .xword 0x7a22d3b41fce5292
67624 .xword 0xb17b806ae2478eab
67625 .xword 0xe982309cf6aecf2d
67626 .xword 0x5312911638e44266
67627 .xword 0x30271ad459d12873
67628 .xword 0x1ffa1bfd4cc2bfa3
67629 .xword 0xe4e8f2dda6455d23
67630 .xword 0xf8b76b326b199545
67631 .xword 0xba5046645859661d
67632 .xword 0xd533daa25c788e7e
67633 .xword 0x20c8b9b277e33768
67634 .xword 0x6e4b4e30970e4188
67635 .xword 0x5df39b201e397a9b
67636 .xword 0xe909cedbe885fc4b
67637 .xword 0x5fe285863fc90513
67638 .xword 0xbd78ddb281e64ce9
67639 .xword 0x47ba3621e4c936f6
67640 .xword 0x87f87d3c8bdca7e9
67641 .xword 0x177d0fddd33ba9ba
67642 .xword 0xc47c83c59eb0f630
67643 .xword 0x991b9f855ffd2a67
67644 .xword 0x5775371e63a9dea4
67645 .xword 0x191334d238fd379d
67646 .xword 0xc61e85e4b7c31c99
67647 .xword 0xaa4f95de74856876
67648 .xword 0xd73d572d65e0697c
67649 .xword 0x78588c567670bb1f
67650 .xword 0x447f7feeb1c577d7
67651 .xword 0x9d4c4a2e313727d8
67652 .xword 0x63b7dfa64205be98
67653 .xword 0x89c819d4363acffe
67654 .xword 0xc0c589d0331a54cb
67655 .xword 0xfd8b7dd904c02644
67656 .xword 0x1073c298957092c2
67657 .xword 0xb3bd2a2c25444b44
67658 .xword 0x50a05c6c033b1dc2
67659 .xword 0xb4b6dca7a64564f7
67660 .xword 0x53cbfc31518c32ab
67661 .xword 0x649ff237ce14def2
67662 .xword 0xe70cc1b421f2df5a
67663 .xword 0x6099ff99e5a393cf
67664 .xword 0x6b9c5336ce2ce30b
67665 .xword 0xaeaf143305e2276b
67666 .xword 0xbb0023fd158b3862
67667 .xword 0xd8f94ece69d7e868
67668 .xword 0x854d4721ef89ba09
67669 .xword 0x4b16bbfa16e78190
67670 .xword 0xb67c92e04e52aed9
67671 .xword 0xd6d1b4af29bc3cfb
67672 .xword 0xfb47be65d11b2992
67673 .xword 0x893b4e41b0ec89b0
67674 .xword 0xc60570b5e165ebb9
67675 .xword 0x992ab7310e8ea513
67676 .xword 0xb5d0e09e9f575ad8
67677 .xword 0xe1299f8ffa333c38
67678 .xword 0xe2e74f1f55ee6099
67679 .xword 0xd3cd1e289e7d1b5a
67680 .xword 0x91fb594f89ebaa4a
67681 .xword 0xc6adc28318dde3ae
67682 .xword 0xfc7074d120cb4d16
67683 .xword 0xddb175abd0f23b16
67684 .xword 0x4a34f959090553af
67685 .xword 0x6b965b05f3bcbee7
67686 .xword 0xb72e8955ef558e6a
67687 .xword 0x660cc2309b9b483e
67688 .xword 0xaf9f1eaadcfa77b4
67689 .xword 0xab035bea40effaac
67690 .xword 0xb31d0ed9267a9441
67691 .xword 0xbf4f184398d4dfc1
67692 .xword 0x3d27d3cfcf11a1bd
67693 .xword 0x0b857eabc627247d
67694 .xword 0x9887bd3a82bf3546
67695 .xword 0x933ca7528d6cf27a
67696 .xword 0x220514215634122f
67697 .xword 0x29021682af0573e1
67698 .xword 0x17a602e0fbfdb427
67699_t1_crc_dest:
67700 .xword 0xDEADBEEFDEADBEEF
67701 .xword 0xDEADBEEFDEADBEEF
67702 .xword 0xDEADBEEFDEADBEEF
67703 .xword 0xDEADBEEFDEADBEEF
67704 .xword 0xDEADBEEFDEADBEEF
67705 .xword 0xDEADBEEFDEADBEEF
67706 .xword 0xDEADBEEFDEADBEEF
67707 .xword 0xDEADBEEFDEADBEEF
67708 .xword 0xDEADBEEFDEADBEEF
67709 .xword 0xDEADBEEFDEADBEEF
67710 .xword 0xDEADBEEFDEADBEEF
67711 .xword 0xDEADBEEFDEADBEEF
67712 .xword 0xDEADBEEFDEADBEEF
67713 .xword 0xDEADBEEFDEADBEEF
67714 .xword 0xDEADBEEFDEADBEEF
67715 .xword 0xDEADBEEFDEADBEEF
67716 .xword 0xDEADBEEFDEADBEEF
67717 .xword 0xDEADBEEFDEADBEEF
67718 .xword 0xDEADBEEFDEADBEEF
67719 .xword 0xDEADBEEFDEADBEEF
67720 .xword 0xDEADBEEFDEADBEEF
67721 .xword 0xDEADBEEFDEADBEEF
67722 .xword 0xDEADBEEFDEADBEEF
67723 .xword 0xDEADBEEFDEADBEEF
67724 .xword 0xDEADBEEFDEADBEEF
67725 .xword 0xDEADBEEFDEADBEEF
67726 .xword 0xDEADBEEFDEADBEEF
67727 .xword 0xDEADBEEFDEADBEEF
67728 .xword 0xDEADBEEFDEADBEEF
67729 .xword 0xDEADBEEFDEADBEEF
67730 .xword 0xDEADBEEFDEADBEEF
67731 .xword 0xDEADBEEFDEADBEEF
67732 .xword 0xDEADBEEFDEADBEEF
67733 .xword 0xDEADBEEFDEADBEEF
67734 .xword 0xDEADBEEFDEADBEEF
67735 .xword 0xDEADBEEFDEADBEEF
67736 .xword 0xDEADBEEFDEADBEEF
67737 .xword 0xDEADBEEFDEADBEEF
67738 .xword 0xDEADBEEFDEADBEEF
67739 .xword 0xDEADBEEFDEADBEEF
67740 .xword 0xDEADBEEFDEADBEEF
67741 .xword 0xDEADBEEFDEADBEEF
67742 .xword 0xDEADBEEFDEADBEEF
67743 .xword 0xDEADBEEFDEADBEEF
67744 .xword 0xDEADBEEFDEADBEEF
67745 .xword 0xDEADBEEFDEADBEEF
67746 .xword 0xDEADBEEFDEADBEEF
67747 .xword 0xDEADBEEFDEADBEEF
67748 .xword 0xDEADBEEFDEADBEEF
67749 .xword 0xDEADBEEFDEADBEEF
67750 .xword 0xDEADBEEFDEADBEEF
67751 .xword 0xDEADBEEFDEADBEEF
67752 .xword 0xDEADBEEFDEADBEEF
67753 .xword 0xDEADBEEFDEADBEEF
67754 .xword 0xDEADBEEFDEADBEEF
67755 .xword 0xDEADBEEFDEADBEEF
67756 .xword 0xDEADBEEFDEADBEEF
67757 .xword 0xDEADBEEFDEADBEEF
67758 .xword 0xDEADBEEFDEADBEEF
67759 .xword 0xDEADBEEFDEADBEEF
67760 .xword 0xDEADBEEFDEADBEEF
67761 .xword 0xDEADBEEFDEADBEEF
67762 .xword 0xDEADBEEFDEADBEEF
67763 .xword 0xDEADBEEFDEADBEEF
67764 .xword 0xDEADBEEFDEADBEEF
67765 .xword 0xDEADBEEFDEADBEEF
67766 .xword 0xDEADBEEFDEADBEEF
67767 .xword 0xDEADBEEFDEADBEEF
67768 .xword 0xDEADBEEFDEADBEEF
67769 .xword 0xDEADBEEFDEADBEEF
67770 .xword 0xDEADBEEFDEADBEEF
67771 .xword 0xDEADBEEFDEADBEEF
67772 .xword 0xDEADBEEFDEADBEEF
67773 .xword 0xDEADBEEFDEADBEEF
67774 .xword 0xDEADBEEFDEADBEEF
67775 .xword 0xDEADBEEFDEADBEEF
67776 .xword 0xDEADBEEFDEADBEEF
67777 .xword 0xDEADBEEFDEADBEEF
67778 .xword 0xDEADBEEFDEADBEEF
67779 .xword 0xDEADBEEFDEADBEEF
67780 .xword 0xDEADBEEFDEADBEEF
67781 .xword 0xDEADBEEFDEADBEEF
67782 .xword 0xDEADBEEFDEADBEEF
67783 .xword 0xDEADBEEFDEADBEEF
67784 .xword 0xDEADBEEFDEADBEEF
67785 .xword 0xDEADBEEFDEADBEEF
67786 .xword 0xDEADBEEFDEADBEEF
67787 .xword 0xDEADBEEFDEADBEEF
67788 .xword 0xDEADBEEFDEADBEEF
67789 .xword 0xDEADBEEFDEADBEEF
67790 .xword 0xDEADBEEFDEADBEEF
67791 .xword 0xDEADBEEFDEADBEEF
67792 .xword 0xDEADBEEFDEADBEEF
67793 .xword 0xDEADBEEFDEADBEEF
67794 .xword 0xDEADBEEFDEADBEEF
67795 .xword 0xDEADBEEFDEADBEEF
67796 .xword 0xDEADBEEFDEADBEEF
67797 .xword 0xDEADBEEFDEADBEEF
67798 .xword 0xDEADBEEFDEADBEEF
67799 .xword 0xDEADBEEFDEADBEEF
67800 .xword 0xDEADBEEFDEADBEEF
67801 .xword 0xDEADBEEFDEADBEEF
67802 .xword 0xDEADBEEFDEADBEEF
67803 .xword 0xDEADBEEFDEADBEEF
67804 .xword 0xDEADBEEFDEADBEEF
67805 .xword 0xDEADBEEFDEADBEEF
67806 .xword 0xDEADBEEFDEADBEEF
67807 .xword 0xDEADBEEFDEADBEEF
67808 .xword 0xDEADBEEFDEADBEEF
67809 .xword 0xDEADBEEFDEADBEEF
67810 .xword 0xDEADBEEFDEADBEEF
67811 .xword 0xDEADBEEFDEADBEEF
67812 .xword 0xDEADBEEFDEADBEEF
67813 .xword 0xDEADBEEFDEADBEEF
67814 .xword 0xDEADBEEFDEADBEEF
67815 .xword 0xDEADBEEFDEADBEEF
67816 .xword 0xDEADBEEFDEADBEEF
67817 .xword 0xDEADBEEFDEADBEEF
67818 .xword 0xDEADBEEFDEADBEEF
67819 .xword 0xDEADBEEFDEADBEEF
67820 .xword 0xDEADBEEFDEADBEEF
67821 .xword 0xDEADBEEFDEADBEEF
67822 .xword 0xDEADBEEFDEADBEEF
67823 .xword 0xDEADBEEFDEADBEEF
67824 .xword 0xDEADBEEFDEADBEEF
67825 .xword 0xDEADBEEFDEADBEEF
67826 .xword 0xDEADBEEFDEADBEEF
67827 .xword 0xDEADBEEFDEADBEEF
67828 .xword 0xDEADBEEFDEADBEEF
67829 .xword 0xDEADBEEFDEADBEEF
67830 .xword 0xDEADBEEFDEADBEEF
67831 .xword 0xDEADBEEFDEADBEEF
67832 .xword 0xDEADBEEFDEADBEEF
67833 .xword 0xDEADBEEFDEADBEEF
67834 .xword 0xDEADBEEFDEADBEEF
67835 .xword 0xDEADBEEFDEADBEEF
67836 .xword 0xDEADBEEFDEADBEEF
67837 .xword 0xDEADBEEFDEADBEEF
67838 .xword 0xDEADBEEFDEADBEEF
67839 .xword 0xDEADBEEFDEADBEEF
67840 .xword 0xDEADBEEFDEADBEEF
67841 .xword 0xDEADBEEFDEADBEEF
67842 .xword 0xDEADBEEFDEADBEEF
67843 .xword 0xDEADBEEFDEADBEEF
67844 .xword 0xDEADBEEFDEADBEEF
67845 .xword 0xDEADBEEFDEADBEEF
67846 .xword 0xDEADBEEFDEADBEEF
67847 .xword 0xDEADBEEFDEADBEEF
67848 .xword 0xDEADBEEFDEADBEEF
67849 .xword 0xDEADBEEFDEADBEEF
67850 .xword 0xDEADBEEFDEADBEEF
67851 .xword 0xDEADBEEFDEADBEEF
67852 .xword 0xDEADBEEFDEADBEEF
67853 .xword 0xDEADBEEFDEADBEEF
67854 .xword 0xDEADBEEFDEADBEEF
67855 .xword 0xDEADBEEFDEADBEEF
67856 .xword 0xDEADBEEFDEADBEEF
67857 .xword 0xDEADBEEFDEADBEEF
67858 .xword 0xDEADBEEFDEADBEEF
67859 .xword 0xDEADBEEFDEADBEEF
67860 .xword 0xDEADBEEFDEADBEEF
67861 .xword 0xDEADBEEFDEADBEEF
67862 .xword 0xDEADBEEFDEADBEEF
67863 .xword 0xDEADBEEFDEADBEEF
67864 .xword 0xDEADBEEFDEADBEEF
67865 .xword 0xDEADBEEFDEADBEEF
67866 .xword 0xDEADBEEFDEADBEEF
67867 .xword 0xDEADBEEFDEADBEEF
67868 .xword 0xDEADBEEFDEADBEEF
67869 .xword 0xDEADBEEFDEADBEEF
67870 .xword 0xDEADBEEFDEADBEEF
67871 .xword 0xDEADBEEFDEADBEEF
67872 .xword 0xDEADBEEFDEADBEEF
67873 .xword 0xDEADBEEFDEADBEEF
67874 .xword 0xDEADBEEFDEADBEEF
67875 .xword 0xDEADBEEFDEADBEEF
67876 .xword 0xDEADBEEFDEADBEEF
67877 .xword 0xDEADBEEFDEADBEEF
67878 .xword 0xDEADBEEFDEADBEEF
67879 .xword 0xDEADBEEFDEADBEEF
67880 .xword 0xDEADBEEFDEADBEEF
67881 .xword 0xDEADBEEFDEADBEEF
67882 .xword 0xDEADBEEFDEADBEEF
67883 .xword 0xDEADBEEFDEADBEEF
67884 .xword 0xDEADBEEFDEADBEEF
67885 .xword 0xDEADBEEFDEADBEEF
67886 .xword 0xDEADBEEFDEADBEEF
67887 .xword 0xDEADBEEFDEADBEEF
67888 .xword 0xDEADBEEFDEADBEEF
67889 .xword 0xDEADBEEFDEADBEEF
67890 .xword 0xDEADBEEFDEADBEEF
67891 .xword 0xDEADBEEFDEADBEEF
67892 .xword 0xDEADBEEFDEADBEEF
67893 .xword 0xDEADBEEFDEADBEEF
67894 .xword 0xDEADBEEFDEADBEEF
67895 .xword 0xDEADBEEFDEADBEEF
67896 .xword 0xDEADBEEFDEADBEEF
67897 .xword 0xDEADBEEFDEADBEEF
67898 .xword 0xDEADBEEFDEADBEEF
67899 .xword 0xDEADBEEFDEADBEEF
67900 .xword 0xDEADBEEFDEADBEEF
67901 .xword 0xDEADBEEFDEADBEEF
67902 .xword 0xDEADBEEFDEADBEEF
67903 .xword 0xDEADBEEFDEADBEEF
67904 .xword 0xDEADBEEFDEADBEEF
67905 .xword 0xDEADBEEFDEADBEEF
67906 .xword 0xDEADBEEFDEADBEEF
67907 .xword 0xDEADBEEFDEADBEEF
67908 .xword 0xDEADBEEFDEADBEEF
67909 .xword 0xDEADBEEFDEADBEEF
67910 .xword 0xDEADBEEFDEADBEEF
67911 .xword 0xDEADBEEFDEADBEEF
67912 .xword 0xDEADBEEFDEADBEEF
67913 .xword 0xDEADBEEFDEADBEEF
67914 .xword 0xDEADBEEFDEADBEEF
67915 .xword 0xDEADBEEFDEADBEEF
67916 .xword 0xDEADBEEFDEADBEEF
67917 .xword 0xDEADBEEFDEADBEEF
67918 .xword 0xDEADBEEFDEADBEEF
67919 .xword 0xDEADBEEFDEADBEEF
67920 .xword 0xDEADBEEFDEADBEEF
67921 .xword 0xDEADBEEFDEADBEEF
67922 .xword 0xDEADBEEFDEADBEEF
67923 .xword 0xDEADBEEFDEADBEEF
67924 .xword 0xDEADBEEFDEADBEEF
67925 .xword 0xDEADBEEFDEADBEEF
67926 .xword 0xDEADBEEFDEADBEEF
67927 .xword 0xDEADBEEFDEADBEEF
67928 .xword 0xDEADBEEFDEADBEEF
67929 .xword 0xDEADBEEFDEADBEEF
67930 .xword 0xDEADBEEFDEADBEEF
67931 .xword 0xDEADBEEFDEADBEEF
67932 .xword 0xDEADBEEFDEADBEEF
67933 .xword 0xDEADBEEFDEADBEEF
67934 .xword 0xDEADBEEFDEADBEEF
67935 .xword 0xDEADBEEFDEADBEEF
67936 .xword 0xDEADBEEFDEADBEEF
67937 .xword 0xDEADBEEFDEADBEEF
67938 .xword 0xDEADBEEFDEADBEEF
67939 .xword 0xDEADBEEFDEADBEEF
67940 .xword 0xDEADBEEFDEADBEEF
67941 .xword 0xDEADBEEFDEADBEEF
67942 .xword 0xDEADBEEFDEADBEEF
67943 .xword 0xDEADBEEFDEADBEEF
67944 .xword 0xDEADBEEFDEADBEEF
67945 .xword 0xDEADBEEFDEADBEEF
67946 .xword 0xDEADBEEFDEADBEEF
67947 .xword 0xDEADBEEFDEADBEEF
67948 .xword 0xDEADBEEFDEADBEEF
67949 .xword 0xDEADBEEFDEADBEEF
67950 .xword 0xDEADBEEFDEADBEEF
67951 .xword 0xDEADBEEFDEADBEEF
67952 .xword 0xDEADBEEFDEADBEEF
67953 .xword 0xDEADBEEFDEADBEEF
67954 .xword 0xDEADBEEFDEADBEEF
67955 .xword 0xDEADBEEFDEADBEEF
67956 .xword 0xDEADBEEFDEADBEEF
67957 .xword 0xDEADBEEFDEADBEEF
67958 .xword 0xDEADBEEFDEADBEEF
67959 .xword 0xDEADBEEFDEADBEEF
67960 .xword 0xDEADBEEFDEADBEEF
67961 .xword 0xDEADBEEFDEADBEEF
67962 .xword 0xDEADBEEFDEADBEEF
67963 .xword 0xDEADBEEFDEADBEEF
67964 .xword 0xDEADBEEFDEADBEEF
67965 .xword 0xDEADBEEFDEADBEEF
67966 .xword 0xDEADBEEFDEADBEEF
67967 .xword 0xDEADBEEFDEADBEEF
67968 .xword 0xDEADBEEFDEADBEEF
67969 .xword 0xDEADBEEFDEADBEEF
67970 .xword 0xDEADBEEFDEADBEEF
67971 .xword 0xDEADBEEFDEADBEEF
67972 .xword 0xDEADBEEFDEADBEEF
67973 .xword 0xDEADBEEFDEADBEEF
67974 .xword 0xDEADBEEFDEADBEEF
67975_t1_crc_auth_key:
67976 .xword 0x1f03036c81b5c301
67977 .xword 0xbb7c9362272021eb
67978 .xword 0x732ef5c05063c57c
67979 .xword 0x1d042c98757b67a9
67980 .xword 0x60501923553fbd5c
67981 .xword 0xb75d3dca397432f6
67982 .xword 0xb7fc72b1bddc3e17
67983 .xword 0xe50f62bbd291a4c1
67984 .xword 0xef6bd5b90aaadadb
67985 .xword 0xcb0b692d1233dd66
67986 .xword 0x45b73c9aa73b283a
67987 .xword 0x5a755bbd9ef0e1b4
67988 .xword 0xf406ba6e08359d48
67989 .xword 0xfb444ec95046addf
67990 .xword 0x6d9ad432f8698803
67991 .xword 0xf0de82d881d483c3
67992 .xword 0xee99d95ac9b59673
67993 .xword 0x6fbf8543a984b0d2
67994 .xword 0xa71319aa2f6166bb
67995 .xword 0xb202067be9ee30db
67996 .xword 0xb3211a36f372a335
67997 .xword 0xe12513f378d62be3
67998 .xword 0x95d858d3b6400f57
67999_t1_crc_auth_iv:
68000 .xword 0x1dabacdfa5051658
68001 .xword 0xaebdf7b2e5a3cb92
68002 .xword 0x16769a936133238d
68003 .xword 0x42ee779388289dc8
68004 .xword 0x9108d3708e320e28
68005 .xword 0x07813fe2ca7083b8
68006 .xword 0xcccdea0f4ab4cc97
68007 .xword 0x48183491663b5e6a
68008 .xword 0x40a04ad1cefdcd63
68009 .xword 0xbdcb39f9e85d3b0f
68010 .xword 0x9fe7a293effd506b
68011 .xword 0x874dd07c115965a0
68012 .xword 0xc36f9c4f1006a255
68013 .xword 0xbb33f5593518d7d9
68014 .xword 0x2b5f056a6bac414a
68015 .xword 0x20e506ddad983b0e
68016 .xword 0xcc9f188b45e70ca8
68017 .xword 0x5d21f7a32bc27252
68018 .xword 0xd5e7f5449d2cbeb6
68019 .xword 0xbbb44ee31e7735b1
68020 .xword 0xc76d524103926808
68021 .xword 0x993419e2485ca79b
68022 .xword 0x143e1ab52936ed5d
68023_t1_crc_fas_result:
68024 .xword 0xDEADBEEFDEADBEEF
68025 .xword 0xDEADBEEFDEADBEEF
68026 .xword 0xDEADBEEFDEADBEEF
68027 .xword 0xDEADBEEFDEADBEEF
68028 .xword 0xDEADBEEFDEADBEEF
68029 .xword 0xDEADBEEFDEADBEEF
68030 .xword 0xDEADBEEFDEADBEEF
68031 .xword 0xDEADBEEFDEADBEEF
68032 .xword 0xDEADBEEFDEADBEEF
68033 .xword 0xDEADBEEFDEADBEEF
68034 .xword 0xDEADBEEFDEADBEEF
68035 .xword 0xDEADBEEFDEADBEEF
68036 .xword 0xDEADBEEFDEADBEEF
68037 .xword 0xDEADBEEFDEADBEEF
68038 .xword 0xDEADBEEFDEADBEEF
68039 .xword 0xDEADBEEFDEADBEEF
68040 .xword 0xDEADBEEFDEADBEEF
68041 .xword 0xDEADBEEFDEADBEEF
68042 .xword 0xDEADBEEFDEADBEEF
68043 .xword 0xDEADBEEFDEADBEEF
68044 .xword 0xDEADBEEFDEADBEEF
68045 .xword 0xDEADBEEFDEADBEEF
68046 .xword 0xDEADBEEFDEADBEEF
68047_t1_hash_key_array:
68048 .xword 0x46a89ce94e60ef51
68049 .xword 0x1cdcac7ce9851b0c
68050 .xword 0x107c04f88cc5e0ec
68051 .xword 0x55439be2a0a97503
68052 .xword 0x5e2d4001173e32cb
68053 .xword 0xa7bb694bc9e89ece
68054 .xword 0x3809a4397a076e1f
68055 .xword 0xe62ca59c50c12350
68056 .xword 0x295ac2dadf7142be
68057 .xword 0xec1af83701e40aa3
68058 .xword 0x0fbb0ff465e6bd45
68059 .xword 0xf1fdbe76b041d34a
68060 .xword 0x50b0f7b2b3b3d364
68061 .xword 0x86a931a0ecd91d47
68062 .xword 0xefff93233a956f7b
68063 .xword 0x01fc55594897123d
68064 .xword 0x4e747b35209b1018
68065 .xword 0xb2bb32cf8e7054b8
68066 .xword 0x3f8358de073ccf74
68067 .xword 0x777cfc1165888c05
68068 .xword 0x0b2feb13ad6d9e49
68069 .xword 0x26905489645ce46f
68070 .xword 0x18c0e53d8edc08da
68071 .xword 0x97445d4ab78102d0
68072 .xword 0x620ddb77fda321d3
68073 .xword 0x2c6151d56a30bea9
68074 .xword 0x290568d571042ec1
68075 .xword 0x98faefb03a4556b2
68076 .xword 0x9ada5f353e29b664
68077 .xword 0xb620b43e607aa80c
68078 .xword 0x74b6131ba48a0f98
68079 .xword 0x3b1654e297f11909
68080 .xword 0xda79a4824159c193
68081 .xword 0x55a92e9c1b2f32db
68082 .xword 0x5eeedd4d7f1623c5
68083 .xword 0xf7d4be44e90358be
68084 .xword 0x63c6a605610d5c3e
68085 .xword 0xf4445a51e9827fa4
68086 .xword 0x39b0c011951195ad
68087 .xword 0xbd5445820d178ade
68088 .xword 0x5a4342c3c56bbccd
68089 .xword 0xc3e9e116e91e6b6a
68090 .xword 0x32e1b5621695454c
68091 .xword 0x1c0f5ef2c4e748e4
68092 .xword 0x5510d73dcd6d9139
68093 .xword 0x6be897ce559e5178
68094 .xword 0xf0b961b2f6d14ce7
68095 .xword 0x460ad9c440914c42
68096 .xword 0x0b5ef4faef0f4a60
68097 .xword 0x203a52dbf9d7e25b
68098 .xword 0x8ca2fb3e5650bf2c
68099_t1_hash_iv_array:
68100 .xword 0x72b2a62008cf480c
68101 .xword 0x052b3f708e6e232b
68102 .xword 0xd33cad0a8fa3b0d4
68103 .xword 0x9f4e890b644f02c9
68104 .xword 0x9b7d2fa47d906236
68105 .xword 0xe21656ba795b9b15
68106 .xword 0x01c78ba55b3d070d
68107 .xword 0x41edfc6325003c79
68108 .xword 0xb6e5c02fe7cfac24
68109 .xword 0x4c275da5d404e97c
68110 .xword 0x17e3fc47f86f3bcb
68111 .xword 0x01c879880fb2414c
68112 .xword 0xd3dc2d74ed5f1f75
68113 .xword 0x22af2990b586b7f5
68114 .xword 0xb2b6a9c9cf931984
68115 .xword 0x4c547b22757d37dd
68116 .xword 0xe45cd2b900d62500
68117 .xword 0x65b4cf57d8238365
68118 .xword 0xc476c3e1f06bcf49
68119 .xword 0x4929c1e824c1edd4
68120 .xword 0xf5aeb4b5e8d59985
68121 .xword 0x4f0e360d806923a2
68122 .xword 0x099c7a60711095c2
68123 .xword 0x01c3469a8afe42da
68124 .xword 0x935cbd8400687937
68125 .xword 0xd264019e593f0f44
68126 .xword 0x337f1a0373a4264a
68127 .xword 0xceb35ef7d64507bf
68128 .xword 0x7e967ab32683713f
68129 .xword 0x95baaf7860924c08
68130 .xword 0x55b579f7ca9c00b0
68131 .xword 0xa62a49faea1b4bf7
68132 .xword 0x7da0c8229b90b0f4
68133 .xword 0xd294fd353f484368
68134 .xword 0x38ce416da0e28c70
68135 .xword 0xc581c7aced431d0e
68136 .xword 0xe511c4ca47279008
68137 .xword 0xbbb25cee0a4a2a77
68138 .xword 0x0173e08b4a3c9a38
68139 .xword 0xb3b126b8dbd02741
68140 .xword 0x61304add3a08efdb
68141 .xword 0x28dd84d09686c977
68142 .xword 0x322129e4b37bcec8
68143 .xword 0x22585ee872ad22b1
68144 .xword 0x74969cf272e35607
68145_t1_hash_alignment_array:
68146 .xword 6
68147 .xword 7
68148 .xword 15
68149 .xword 6
68150 .xword 4
68151 .xword 7
68152 .xword 2
68153 .xword 9
68154 .xword 13
68155 .xword 1
68156 .xword 0
68157 .xword 5
68158 .xword 12
68159 .xword 8
68160 .xword 0
68161 .xword 15
68162 .xword 10
68163 .xword 8
68164 .xword 10
68165 .xword 1
68166 .xword 8
68167 .xword 9
68168 .xword 12
68169 .xword 9
68170 .xword 9
68171 .xword 9
68172 .xword 12
68173 .xword 7
68174 .xword 8
68175 .xword 1
68176 .xword 11
68177 .xword 5
68178 .xword 15
68179 .xword 8
68180 .xword 15
68181 .xword 12
68182 .xword 14
68183 .xword 1
68184 .xword 8
68185 .xword 2
68186 .xword 3
68187 .xword 8
68188 .xword 6
68189 .xword 10
68190 .xword 11
68191 .xword 8
68192 .xword 15
68193 .xword 1
68194 .xword 11
68195 .xword 11
68196 .xword 0
68197 .xword 9
68198 .xword 6
68199 .xword 3
68200 .xword 7
68201 .xword 13
68202 .xword 8
68203 .xword 9
68204 .xword 15
68205 .xword 15
68206 .xword 12
68207 .xword 13
68208 .xword 10
68209 .xword 1
68210 .xword 3
68211 .xword 13
68212 .xword 14
68213 .xword 2
68214 .xword 6
68215 .xword 1
68216 .xword 8
68217 .xword 1
68218 .xword 0
68219 .xword 7
68220 .xword 3
68221 .xword 13
68222 .xword 10
68223 .xword 10
68224 .xword 10
68225 .xword 7
68226 .xword 3
68227 .xword 0
68228 .xword 0
68229 .xword 4
68230 .xword 8
68231 .xword 4
68232 .xword 11
68233 .xword 5
68234 .xword 7
68235 .xword 11
68236 .xword 10
68237 .xword 5
68238 .xword 15
68239 .xword 6
68240 .xword 11
68241 .xword 3
68242 .xword 3
68243 .xword 11
68244 .xword 11
68245 .xword 11
68246 .xword 4
68247 .xword 0
68248 .xword 15
68249 .xword 0
68250 .xword 1
68251_t1_hash_src:
68252 .xword 0x23b5d9fef438fe84
68253 .xword 0xe090573317578f45
68254 .xword 0xf430d6619cf0625f
68255 .xword 0xd07cea256465d2e9
68256 .xword 0x74f573003bbd1726
68257 .xword 0xd8ad2d1a6e21b585
68258 .xword 0xf1d5a85501b0b4b2
68259 .xword 0x2df6e3cced30256d
68260 .xword 0x73e0325597768641
68261 .xword 0xe2bddb28eeaa4baa
68262 .xword 0x96844db005c66c6b
68263 .xword 0xbe608a38267bb9a3
68264 .xword 0xd385d9cc6b41836e
68265 .xword 0x92c6f219c50ffda8
68266 .xword 0xba08e30a53312578
68267 .xword 0x7a7ab56f6c197faa
68268 .xword 0xfaa9992482f82378
68269 .xword 0x3f9f4d5bfca9801b
68270 .xword 0x5660de48e623fb21
68271 .xword 0x079de2eea22994c0
68272 .xword 0xf9fd47973d5475b2
68273 .xword 0x2197e1e578f5a440
68274 .xword 0x0e562219d7046aba
68275 .xword 0xd6bfd33296e41b9a
68276 .xword 0x30a8548024262345
68277 .xword 0xc2a34637805377df
68278 .xword 0x316becf9cf38d0a0
68279 .xword 0xcb8d4342717c34d2
68280 .xword 0x396d2653dcbae5ac
68281 .xword 0x06473c72a34e8f0d
68282 .xword 0xee95bbc88fd28765
68283 .xword 0x1fa889024f56ee05
68284 .xword 0x9bed9bebbfb1327b
68285 .xword 0xb455610ba08b3b39
68286 .xword 0x2176205100b218ea
68287 .xword 0x79b1ff1069519851
68288 .xword 0xafd0dc3862cfc923
68289 .xword 0x49ff7b50cc36fc5b
68290 .xword 0x6895dc086c8d92cf
68291 .xword 0x2a5e64f53931e93f
68292 .xword 0x1738db04fe77712e
68293 .xword 0xe38fd3da3b6bd867
68294 .xword 0x58684597cd098925
68295 .xword 0x0ceff59dbc01b1d8
68296 .xword 0x3c8ed7489b9c7ab9
68297 .xword 0x46155119b80d4719
68298 .xword 0xf9446cf64063a227
68299 .xword 0x7ec5abea0e77ab4f
68300 .xword 0xe0b22c3c507e71c8
68301 .xword 0x553bc1a87ea6d1f8
68302 .xword 0x2e7d54639488877a
68303 .xword 0x4e55420928dbd5df
68304 .xword 0x9f15b8f17f5e7321
68305 .xword 0xad966c6125c9b18d
68306 .xword 0xaf3e6291552bcc05
68307 .xword 0x3f75aace13916ef9
68308 .xword 0x1b377a7927a713c3
68309 .xword 0xf8491373d20c299b
68310 .xword 0x86851bcab347bf76
68311 .xword 0xf0042f8b851bb682
68312 .xword 0x4a8184f291cc8ff6
68313 .xword 0x6f6ddd2ee17bc3a1
68314 .xword 0xddf9f78640247802
68315 .xword 0x0a03c5d5d6f1f730
68316 .xword 0x91860376869e4a7e
68317 .xword 0xc38363b8ecce9a3f
68318 .xword 0xeb31b7c2b8c0f329
68319 .xword 0x2de25f5d180957f3
68320 .xword 0xed976bc4c64960a3
68321 .xword 0x79fadbb189e82542
68322 .xword 0x9eedc5163ae2ce43
68323 .xword 0x957baf143d0283c1
68324 .xword 0xee7bfb092d1aa11e
68325 .xword 0xbcea86470a4732fa
68326 .xword 0x2dd511970a872c71
68327 .xword 0x387ad6a95a2306ea
68328 .xword 0x9477d7b2245178a5
68329 .xword 0x227dec2be0aeb3f5
68330 .xword 0xf4b444f1eefe2524
68331 .xword 0x228da2b4c71eb55f
68332 .xword 0xddebe86711c4b3c9
68333 .xword 0x4c37e782bd81906a
68334 .xword 0x94cc3ffefa43998b
68335 .xword 0xe2416e378e250d1d
68336 .xword 0xb58998070f0943df
68337 .xword 0x1bdd418fb66d7709
68338 .xword 0xb4dda4896d7f9ecd
68339 .xword 0x36b237c6071b5661
68340 .xword 0x97171756774346ec
68341 .xword 0x32545fba898931bc
68342 .xword 0xb749b31eff58bd17
68343 .xword 0xa9a15ee2c6b6a6b6
68344 .xword 0x64379ec7ebfa3daf
68345 .xword 0x52bcff4871fd83c1
68346 .xword 0xb79d48959de8ea08
68347 .xword 0x1c7e058107fb3c3c
68348 .xword 0xdf76ab0093634594
68349 .xword 0x2bb8fbd341bd6732
68350 .xword 0x041c7cc041ff2a1c
68351 .xword 0x5e0e901fcad82357
68352 .xword 0xac7f0f8272ea6037
68353 .xword 0x56f314a39771112a
68354 .xword 0xca8e7fd61eec68cb
68355 .xword 0xac51ef90fbd4e21f
68356 .xword 0xbb4dadc95cd6a683
68357 .xword 0xca0130299e782e0b
68358 .xword 0xdf9c1ab63a30d4a6
68359 .xword 0xfc78285a5662e2d6
68360 .xword 0x73668274327b8035
68361 .xword 0x82a95f5a9c15eadd
68362 .xword 0xc2a1b66662613492
68363 .xword 0x66cf50ff0822276e
68364 .xword 0xa93ca269140dba73
68365 .xword 0x582a936bf5137bc1
68366 .xword 0x0e7a0cd96545f4c5
68367 .xword 0x26b12eebb42987cb
68368 .xword 0xf1a5279e00dd2307
68369 .xword 0xfc116ab23b322d94
68370 .xword 0x3190229c8cd6b513
68371 .xword 0x397b28b247b8bc75
68372 .xword 0xc85087e4244f8388
68373 .xword 0x354478b3cf1bd1c0
68374 .xword 0x00f660841ffa6a3f
68375 .xword 0x915b40ed99211400
68376 .xword 0xd3f233d11af2d6ed
68377 .xword 0x26d937f6397d00be
68378 .xword 0x2d904eab8d7b952c
68379 .xword 0x317a7fa297bd0aa4
68380 .xword 0x866110f86dc80995
68381 .xword 0x254a9df5439931de
68382 .xword 0xf1576184be8ecc36
68383 .xword 0x30df4a0e685fce3b
68384 .xword 0x16a6a0e3bebf35d7
68385 .xword 0x90598b6f22ccdefe
68386 .xword 0xdd3689c24941999b
68387 .xword 0xc21804d73806a664
68388 .xword 0x7779fc39b2800804
68389 .xword 0xd45e9d964527bb4b
68390 .xword 0x11af9a88a04ec640
68391 .xword 0x691f04d258ab1b19
68392 .xword 0x136e20dada2f6b4b
68393 .xword 0xe292f1e226e798a5
68394 .xword 0x66149ce5c51aef74
68395 .xword 0xd65a04ef3db06e66
68396 .xword 0x3fb72106636668f6
68397 .xword 0xbed75e328fb6e4f2
68398 .xword 0xe03b759c0499b7b6
68399 .xword 0x3d6348ab605508c6
68400 .xword 0x70640b99aef5aba3
68401 .xword 0x2d47d6e6af8ad5e0
68402 .xword 0x835c2b447b472dda
68403 .xword 0xdedd2ea7907f1529
68404 .xword 0x70f1ed1231cf713f
68405 .xword 0x29eb6de11d78c090
68406 .xword 0xf1d9e235e5fd78d1
68407 .xword 0x0aeac3fc5c75b4fe
68408 .xword 0x30e5d7470c1cc2e6
68409 .xword 0x5c518b0851486933
68410 .xword 0x2ecf452aafcd4944
68411 .xword 0x353b326bbc605f7b
68412 .xword 0x06ed0eaeaf9866a7
68413 .xword 0x01388548afb63276
68414 .xword 0xd6392771da34accf
68415 .xword 0x58e8127b5a6507f0
68416 .xword 0x2958c1caf53aea59
68417 .xword 0x09071cadface1fe2
68418 .xword 0x369e13b445592979
68419 .xword 0x73ec1b33c6f38bf6
68420 .xword 0xb945f8a5b2dedcd2
68421 .xword 0x3871d249f4b8af34
68422 .xword 0xb23fc03f6ffb7a2f
68423 .xword 0x8b7451dbbcb4f977
68424 .xword 0x7ee5d66a870c316a
68425 .xword 0xa6768acc2198fc82
68426 .xword 0xaabf476550fa07fd
68427 .xword 0x3724290a2dc4f894
68428 .xword 0x8603fc6fe3a82647
68429 .xword 0x31ed93658ce87e22
68430 .xword 0x1ead37ecaa4186ab
68431 .xword 0x8140b8502f6bae06
68432 .xword 0xd009a09d772af7e0
68433 .xword 0x1687cb12681bf5dd
68434 .xword 0xdfea6762b65ad7ca
68435 .xword 0x21d601662def241f
68436 .xword 0x1848921f02514d82
68437 .xword 0x1760b8f707561e05
68438 .xword 0x4a77961760d4d817
68439 .xword 0x63037bfcab5d8a0e
68440 .xword 0xca5adfc1ac0cbc58
68441 .xword 0x1210c301c068bae2
68442 .xword 0xfee23cb0cf99ca56
68443 .xword 0xddd75715f8fbcae2
68444 .xword 0x07aec6518063df2c
68445 .xword 0xf5e22b07b84013c5
68446 .xword 0x121dfdc7f0e38c59
68447 .xword 0x86f816244eaaba60
68448 .xword 0xbe16915470ce5d3f
68449 .xword 0x3ed35f2a7cce0561
68450 .xword 0x8591357e326f4a0f
68451 .xword 0x66bfd29f3035e20d
68452 .xword 0x802f492fa42b0a85
68453 .xword 0x03be38333c6e7993
68454 .xword 0x0e3c88bfcdaa9839
68455 .xword 0x223f23e491b5e4a0
68456 .xword 0xbfe17266957e3bf4
68457 .xword 0x2780d6499416ac34
68458 .xword 0x64f3c12a1b93652f
68459 .xword 0x51594d826c38338b
68460 .xword 0x45720077b3bfbda8
68461 .xword 0xd16ed6179f84fed8
68462 .xword 0xa979fbe400a0a58d
68463 .xword 0x88a5c6fd9bf347ca
68464 .xword 0xece31671d11c3dd8
68465 .xword 0xfa0c62c71d3855fb
68466 .xword 0x0b54562052da3b30
68467 .xword 0xef2911a93130568c
68468 .xword 0x3b0967ccc90e5d83
68469 .xword 0x092aab56a90b2fec
68470 .xword 0xa82f8634c8ab6f99
68471 .xword 0xb330b89819dac416
68472 .xword 0xca0e36ba7cd4e730
68473 .xword 0x5a7cfa7c0d96a752
68474 .xword 0xedabad691c6f5dc0
68475 .xword 0xe5e767b5cd169e6e
68476 .xword 0x81f0d0012d9aa887
68477 .xword 0x3ce042e2fc6ed53a
68478 .xword 0x4bcec8ecb7bece39
68479 .xword 0x7b9e343dbcfc4b07
68480 .xword 0xfb1e6738b87017e2
68481 .xword 0x48aae0d3a50f4e51
68482 .xword 0xf5312f9f780e3bf0
68483 .xword 0xf1c27d0b2e9de39b
68484 .xword 0xe51b29c83b933e9d
68485 .xword 0x900e770e432dafe0
68486 .xword 0xf92074c8b7e60dd0
68487 .xword 0x4bfd779a1539f703
68488 .xword 0xfb9aef2fbdc2ef38
68489 .xword 0x9ac419452aad0c5a
68490 .xword 0xe9c623723c2eafae
68491 .xword 0x33d7c23da0ad23be
68492 .xword 0xa11ae113ca7fdad2
68493 .xword 0xb3c035a5a85167ea
68494 .xword 0x1afb0372f04a5fbc
68495 .xword 0x66624390389adb82
68496 .xword 0xf03d40a295a1585c
68497 .xword 0x96c350c84d9336a1
68498 .xword 0xe0a6e40ee4b7cfa6
68499 .xword 0xaedfa7dd8e5ca73c
68500 .xword 0x6cc1a000ceb88463
68501 .xword 0xd72ff27808862cae
68502 .xword 0xb50dda73e6470412
68503 .xword 0xefda337fbac08fcf
68504 .xword 0xcba6c2a225ca7615
68505 .xword 0x17277d95142166e6
68506 .xword 0xd58d6d87e772494a
68507 .xword 0xd011a47874852dd9
68508 .xword 0x107f5c571bf3dc07
68509 .xword 0xcdee8fc881bc8b0d
68510 .xword 0xf93a813edca47436
68511 .xword 0x4318881608284000
68512 .xword 0x9586b6c5e1aa98d3
68513 .xword 0x91fdfa10993952a9
68514 .xword 0x32a251ed8e80decb
68515 .xword 0xf89a0eb158906d88
68516 .xword 0x048ac6a5819de728
68517 .xword 0x30f71900eb164a4e
68518 .xword 0x89648eb3f2918e87
68519 .xword 0xfc70a46bdf58996e
68520 .xword 0x228ee315bbda258c
68521 .xword 0xfc22a2e279cdfff1
68522 .xword 0x8db387c8c311084e
68523 .xword 0x34d364b8a81e3000
68524 .xword 0xeca8cec32b3e8dcb
68525 .xword 0xce97ed383a6a08ab
68526 .xword 0x74b6843c4ffa4c2d
68527_t1_hash_dest:
68528 .xword 0xDEADBEEFDEADBEEF
68529 .xword 0xDEADBEEFDEADBEEF
68530 .xword 0xDEADBEEFDEADBEEF
68531 .xword 0xDEADBEEFDEADBEEF
68532 .xword 0xDEADBEEFDEADBEEF
68533 .xword 0xDEADBEEFDEADBEEF
68534 .xword 0xDEADBEEFDEADBEEF
68535 .xword 0xDEADBEEFDEADBEEF
68536 .xword 0xDEADBEEFDEADBEEF
68537 .xword 0xDEADBEEFDEADBEEF
68538 .xword 0xDEADBEEFDEADBEEF
68539 .xword 0xDEADBEEFDEADBEEF
68540 .xword 0xDEADBEEFDEADBEEF
68541 .xword 0xDEADBEEFDEADBEEF
68542 .xword 0xDEADBEEFDEADBEEF
68543 .xword 0xDEADBEEFDEADBEEF
68544 .xword 0xDEADBEEFDEADBEEF
68545 .xword 0xDEADBEEFDEADBEEF
68546 .xword 0xDEADBEEFDEADBEEF
68547 .xword 0xDEADBEEFDEADBEEF
68548 .xword 0xDEADBEEFDEADBEEF
68549 .xword 0xDEADBEEFDEADBEEF
68550 .xword 0xDEADBEEFDEADBEEF
68551 .xword 0xDEADBEEFDEADBEEF
68552 .xword 0xDEADBEEFDEADBEEF
68553 .xword 0xDEADBEEFDEADBEEF
68554 .xword 0xDEADBEEFDEADBEEF
68555 .xword 0xDEADBEEFDEADBEEF
68556 .xword 0xDEADBEEFDEADBEEF
68557 .xword 0xDEADBEEFDEADBEEF
68558 .xword 0xDEADBEEFDEADBEEF
68559 .xword 0xDEADBEEFDEADBEEF
68560 .xword 0xDEADBEEFDEADBEEF
68561 .xword 0xDEADBEEFDEADBEEF
68562 .xword 0xDEADBEEFDEADBEEF
68563 .xword 0xDEADBEEFDEADBEEF
68564 .xword 0xDEADBEEFDEADBEEF
68565 .xword 0xDEADBEEFDEADBEEF
68566 .xword 0xDEADBEEFDEADBEEF
68567 .xword 0xDEADBEEFDEADBEEF
68568 .xword 0xDEADBEEFDEADBEEF
68569 .xword 0xDEADBEEFDEADBEEF
68570 .xword 0xDEADBEEFDEADBEEF
68571 .xword 0xDEADBEEFDEADBEEF
68572 .xword 0xDEADBEEFDEADBEEF
68573 .xword 0xDEADBEEFDEADBEEF
68574 .xword 0xDEADBEEFDEADBEEF
68575 .xword 0xDEADBEEFDEADBEEF
68576 .xword 0xDEADBEEFDEADBEEF
68577 .xword 0xDEADBEEFDEADBEEF
68578 .xword 0xDEADBEEFDEADBEEF
68579 .xword 0xDEADBEEFDEADBEEF
68580 .xword 0xDEADBEEFDEADBEEF
68581 .xword 0xDEADBEEFDEADBEEF
68582 .xword 0xDEADBEEFDEADBEEF
68583 .xword 0xDEADBEEFDEADBEEF
68584 .xword 0xDEADBEEFDEADBEEF
68585 .xword 0xDEADBEEFDEADBEEF
68586 .xword 0xDEADBEEFDEADBEEF
68587 .xword 0xDEADBEEFDEADBEEF
68588 .xword 0xDEADBEEFDEADBEEF
68589 .xword 0xDEADBEEFDEADBEEF
68590 .xword 0xDEADBEEFDEADBEEF
68591 .xword 0xDEADBEEFDEADBEEF
68592 .xword 0xDEADBEEFDEADBEEF
68593 .xword 0xDEADBEEFDEADBEEF
68594 .xword 0xDEADBEEFDEADBEEF
68595 .xword 0xDEADBEEFDEADBEEF
68596 .xword 0xDEADBEEFDEADBEEF
68597 .xword 0xDEADBEEFDEADBEEF
68598 .xword 0xDEADBEEFDEADBEEF
68599 .xword 0xDEADBEEFDEADBEEF
68600 .xword 0xDEADBEEFDEADBEEF
68601 .xword 0xDEADBEEFDEADBEEF
68602 .xword 0xDEADBEEFDEADBEEF
68603 .xword 0xDEADBEEFDEADBEEF
68604 .xword 0xDEADBEEFDEADBEEF
68605 .xword 0xDEADBEEFDEADBEEF
68606 .xword 0xDEADBEEFDEADBEEF
68607 .xword 0xDEADBEEFDEADBEEF
68608 .xword 0xDEADBEEFDEADBEEF
68609 .xword 0xDEADBEEFDEADBEEF
68610 .xword 0xDEADBEEFDEADBEEF
68611 .xword 0xDEADBEEFDEADBEEF
68612 .xword 0xDEADBEEFDEADBEEF
68613 .xword 0xDEADBEEFDEADBEEF
68614 .xword 0xDEADBEEFDEADBEEF
68615 .xword 0xDEADBEEFDEADBEEF
68616 .xword 0xDEADBEEFDEADBEEF
68617 .xword 0xDEADBEEFDEADBEEF
68618 .xword 0xDEADBEEFDEADBEEF
68619 .xword 0xDEADBEEFDEADBEEF
68620 .xword 0xDEADBEEFDEADBEEF
68621 .xword 0xDEADBEEFDEADBEEF
68622 .xword 0xDEADBEEFDEADBEEF
68623 .xword 0xDEADBEEFDEADBEEF
68624 .xword 0xDEADBEEFDEADBEEF
68625 .xword 0xDEADBEEFDEADBEEF
68626 .xword 0xDEADBEEFDEADBEEF
68627 .xword 0xDEADBEEFDEADBEEF
68628 .xword 0xDEADBEEFDEADBEEF
68629 .xword 0xDEADBEEFDEADBEEF
68630 .xword 0xDEADBEEFDEADBEEF
68631 .xword 0xDEADBEEFDEADBEEF
68632 .xword 0xDEADBEEFDEADBEEF
68633 .xword 0xDEADBEEFDEADBEEF
68634 .xword 0xDEADBEEFDEADBEEF
68635 .xword 0xDEADBEEFDEADBEEF
68636 .xword 0xDEADBEEFDEADBEEF
68637 .xword 0xDEADBEEFDEADBEEF
68638 .xword 0xDEADBEEFDEADBEEF
68639 .xword 0xDEADBEEFDEADBEEF
68640 .xword 0xDEADBEEFDEADBEEF
68641 .xword 0xDEADBEEFDEADBEEF
68642 .xword 0xDEADBEEFDEADBEEF
68643 .xword 0xDEADBEEFDEADBEEF
68644 .xword 0xDEADBEEFDEADBEEF
68645 .xword 0xDEADBEEFDEADBEEF
68646 .xword 0xDEADBEEFDEADBEEF
68647 .xword 0xDEADBEEFDEADBEEF
68648 .xword 0xDEADBEEFDEADBEEF
68649 .xword 0xDEADBEEFDEADBEEF
68650 .xword 0xDEADBEEFDEADBEEF
68651 .xword 0xDEADBEEFDEADBEEF
68652 .xword 0xDEADBEEFDEADBEEF
68653 .xword 0xDEADBEEFDEADBEEF
68654 .xword 0xDEADBEEFDEADBEEF
68655 .xword 0xDEADBEEFDEADBEEF
68656 .xword 0xDEADBEEFDEADBEEF
68657 .xword 0xDEADBEEFDEADBEEF
68658 .xword 0xDEADBEEFDEADBEEF
68659 .xword 0xDEADBEEFDEADBEEF
68660 .xword 0xDEADBEEFDEADBEEF
68661 .xword 0xDEADBEEFDEADBEEF
68662 .xword 0xDEADBEEFDEADBEEF
68663 .xword 0xDEADBEEFDEADBEEF
68664 .xword 0xDEADBEEFDEADBEEF
68665 .xword 0xDEADBEEFDEADBEEF
68666 .xword 0xDEADBEEFDEADBEEF
68667 .xword 0xDEADBEEFDEADBEEF
68668 .xword 0xDEADBEEFDEADBEEF
68669 .xword 0xDEADBEEFDEADBEEF
68670 .xword 0xDEADBEEFDEADBEEF
68671 .xword 0xDEADBEEFDEADBEEF
68672 .xword 0xDEADBEEFDEADBEEF
68673 .xword 0xDEADBEEFDEADBEEF
68674 .xword 0xDEADBEEFDEADBEEF
68675 .xword 0xDEADBEEFDEADBEEF
68676 .xword 0xDEADBEEFDEADBEEF
68677 .xword 0xDEADBEEFDEADBEEF
68678 .xword 0xDEADBEEFDEADBEEF
68679 .xword 0xDEADBEEFDEADBEEF
68680 .xword 0xDEADBEEFDEADBEEF
68681 .xword 0xDEADBEEFDEADBEEF
68682 .xword 0xDEADBEEFDEADBEEF
68683 .xword 0xDEADBEEFDEADBEEF
68684 .xword 0xDEADBEEFDEADBEEF
68685 .xword 0xDEADBEEFDEADBEEF
68686 .xword 0xDEADBEEFDEADBEEF
68687 .xword 0xDEADBEEFDEADBEEF
68688 .xword 0xDEADBEEFDEADBEEF
68689 .xword 0xDEADBEEFDEADBEEF
68690 .xword 0xDEADBEEFDEADBEEF
68691 .xword 0xDEADBEEFDEADBEEF
68692 .xword 0xDEADBEEFDEADBEEF
68693 .xword 0xDEADBEEFDEADBEEF
68694 .xword 0xDEADBEEFDEADBEEF
68695 .xword 0xDEADBEEFDEADBEEF
68696 .xword 0xDEADBEEFDEADBEEF
68697 .xword 0xDEADBEEFDEADBEEF
68698 .xword 0xDEADBEEFDEADBEEF
68699 .xword 0xDEADBEEFDEADBEEF
68700 .xword 0xDEADBEEFDEADBEEF
68701 .xword 0xDEADBEEFDEADBEEF
68702 .xword 0xDEADBEEFDEADBEEF
68703 .xword 0xDEADBEEFDEADBEEF
68704 .xword 0xDEADBEEFDEADBEEF
68705 .xword 0xDEADBEEFDEADBEEF
68706 .xword 0xDEADBEEFDEADBEEF
68707 .xword 0xDEADBEEFDEADBEEF
68708 .xword 0xDEADBEEFDEADBEEF
68709 .xword 0xDEADBEEFDEADBEEF
68710 .xword 0xDEADBEEFDEADBEEF
68711 .xword 0xDEADBEEFDEADBEEF
68712 .xword 0xDEADBEEFDEADBEEF
68713 .xword 0xDEADBEEFDEADBEEF
68714 .xword 0xDEADBEEFDEADBEEF
68715 .xword 0xDEADBEEFDEADBEEF
68716 .xword 0xDEADBEEFDEADBEEF
68717 .xword 0xDEADBEEFDEADBEEF
68718 .xword 0xDEADBEEFDEADBEEF
68719 .xword 0xDEADBEEFDEADBEEF
68720 .xword 0xDEADBEEFDEADBEEF
68721 .xword 0xDEADBEEFDEADBEEF
68722 .xword 0xDEADBEEFDEADBEEF
68723 .xword 0xDEADBEEFDEADBEEF
68724 .xword 0xDEADBEEFDEADBEEF
68725 .xword 0xDEADBEEFDEADBEEF
68726 .xword 0xDEADBEEFDEADBEEF
68727 .xword 0xDEADBEEFDEADBEEF
68728 .xword 0xDEADBEEFDEADBEEF
68729 .xword 0xDEADBEEFDEADBEEF
68730 .xword 0xDEADBEEFDEADBEEF
68731 .xword 0xDEADBEEFDEADBEEF
68732 .xword 0xDEADBEEFDEADBEEF
68733 .xword 0xDEADBEEFDEADBEEF
68734 .xword 0xDEADBEEFDEADBEEF
68735 .xword 0xDEADBEEFDEADBEEF
68736 .xword 0xDEADBEEFDEADBEEF
68737 .xword 0xDEADBEEFDEADBEEF
68738 .xword 0xDEADBEEFDEADBEEF
68739 .xword 0xDEADBEEFDEADBEEF
68740 .xword 0xDEADBEEFDEADBEEF
68741 .xword 0xDEADBEEFDEADBEEF
68742 .xword 0xDEADBEEFDEADBEEF
68743 .xword 0xDEADBEEFDEADBEEF
68744 .xword 0xDEADBEEFDEADBEEF
68745 .xword 0xDEADBEEFDEADBEEF
68746 .xword 0xDEADBEEFDEADBEEF
68747 .xword 0xDEADBEEFDEADBEEF
68748 .xword 0xDEADBEEFDEADBEEF
68749 .xword 0xDEADBEEFDEADBEEF
68750 .xword 0xDEADBEEFDEADBEEF
68751 .xword 0xDEADBEEFDEADBEEF
68752 .xword 0xDEADBEEFDEADBEEF
68753 .xword 0xDEADBEEFDEADBEEF
68754 .xword 0xDEADBEEFDEADBEEF
68755 .xword 0xDEADBEEFDEADBEEF
68756 .xword 0xDEADBEEFDEADBEEF
68757 .xword 0xDEADBEEFDEADBEEF
68758 .xword 0xDEADBEEFDEADBEEF
68759 .xword 0xDEADBEEFDEADBEEF
68760 .xword 0xDEADBEEFDEADBEEF
68761 .xword 0xDEADBEEFDEADBEEF
68762 .xword 0xDEADBEEFDEADBEEF
68763 .xword 0xDEADBEEFDEADBEEF
68764 .xword 0xDEADBEEFDEADBEEF
68765 .xword 0xDEADBEEFDEADBEEF
68766 .xword 0xDEADBEEFDEADBEEF
68767 .xword 0xDEADBEEFDEADBEEF
68768 .xword 0xDEADBEEFDEADBEEF
68769 .xword 0xDEADBEEFDEADBEEF
68770 .xword 0xDEADBEEFDEADBEEF
68771 .xword 0xDEADBEEFDEADBEEF
68772 .xword 0xDEADBEEFDEADBEEF
68773 .xword 0xDEADBEEFDEADBEEF
68774 .xword 0xDEADBEEFDEADBEEF
68775 .xword 0xDEADBEEFDEADBEEF
68776 .xword 0xDEADBEEFDEADBEEF
68777 .xword 0xDEADBEEFDEADBEEF
68778 .xword 0xDEADBEEFDEADBEEF
68779 .xword 0xDEADBEEFDEADBEEF
68780 .xword 0xDEADBEEFDEADBEEF
68781 .xword 0xDEADBEEFDEADBEEF
68782 .xword 0xDEADBEEFDEADBEEF
68783 .xword 0xDEADBEEFDEADBEEF
68784 .xword 0xDEADBEEFDEADBEEF
68785 .xword 0xDEADBEEFDEADBEEF
68786 .xword 0xDEADBEEFDEADBEEF
68787 .xword 0xDEADBEEFDEADBEEF
68788 .xword 0xDEADBEEFDEADBEEF
68789 .xword 0xDEADBEEFDEADBEEF
68790 .xword 0xDEADBEEFDEADBEEF
68791 .xword 0xDEADBEEFDEADBEEF
68792 .xword 0xDEADBEEFDEADBEEF
68793 .xword 0xDEADBEEFDEADBEEF
68794 .xword 0xDEADBEEFDEADBEEF
68795 .xword 0xDEADBEEFDEADBEEF
68796 .xword 0xDEADBEEFDEADBEEF
68797 .xword 0xDEADBEEFDEADBEEF
68798 .xword 0xDEADBEEFDEADBEEF
68799 .xword 0xDEADBEEFDEADBEEF
68800 .xword 0xDEADBEEFDEADBEEF
68801 .xword 0xDEADBEEFDEADBEEF
68802 .xword 0xDEADBEEFDEADBEEF
68803_t1_hash_auth_key:
68804 .xword 0x10051eb98eb9644c
68805 .xword 0x630bff9505ebb32e
68806 .xword 0x3109e01f6eb6c0c0
68807 .xword 0x37900f439a812c46
68808 .xword 0x24295a8e9ab924e1
68809 .xword 0xf9c2b4be196e4bff
68810 .xword 0x81e509bdb1136a73
68811 .xword 0x8c6ee3b85716929a
68812 .xword 0x0de9bb4e685f7bb3
68813 .xword 0x613ca8d92b4d5393
68814 .xword 0x9604ccd7254246c9
68815 .xword 0xb03182247f2da42e
68816 .xword 0x967ff7ac2c2c04ed
68817 .xword 0x77e223bbbaa1082f
68818 .xword 0x530d0c3aa277a677
68819 .xword 0xd00facc8f2bd0b94
68820 .xword 0xec1dd38d3faccecf
68821 .xword 0x651026838128d582
68822 .xword 0xdecc6e9d81021861
68823 .xword 0xdfc0cc0a3922b09a
68824 .xword 0x78ae3e5833f2d6fc
68825 .xword 0xe1e220e3f0e76cbd
68826 .xword 0x08b88f3f6badc732
68827_t1_hash_auth_iv:
68828 .xword 0x1754db67e0cdcc13
68829 .xword 0xf02e6b24bf9077c9
68830 .xword 0xe4fbed37d9af6a12
68831 .xword 0x5f508ae3c70c68a1
68832 .xword 0x5234cd624a21881e
68833 .xword 0xe06c670b0bdb0aab
68834 .xword 0xaac727a05c695cbe
68835 .xword 0x094b7ee7fe91378c
68836 .xword 0x34b4586694375da8
68837 .xword 0x1b466b1454acd353
68838 .xword 0x1a5d09e892f605f1
68839 .xword 0xcae02a681bb24aaf
68840 .xword 0xde8e7c32a9708071
68841 .xword 0x8f0e5232f384da73
68842 .xword 0xbc74da0e25f5123b
68843 .xword 0x40be575412c2f222
68844 .xword 0x4fdb038f532f8be3
68845 .xword 0x16f2f1473f0307bc
68846 .xword 0x17802338059f62e8
68847 .xword 0x0955dd47f4383aa9
68848 .xword 0x9d38d265a4a584b8
68849 .xword 0xde4aa905f6671345
68850 .xword 0xc1161b80007c6b13
68851_t1_hash_fas_result:
68852 .xword 0xDEADBEEFDEADBEEF
68853 .xword 0xDEADBEEFDEADBEEF
68854 .xword 0xDEADBEEFDEADBEEF
68855 .xword 0xDEADBEEFDEADBEEF
68856 .xword 0xDEADBEEFDEADBEEF
68857 .xword 0xDEADBEEFDEADBEEF
68858 .xword 0xDEADBEEFDEADBEEF
68859 .xword 0xDEADBEEFDEADBEEF
68860 .xword 0xDEADBEEFDEADBEEF
68861 .xword 0xDEADBEEFDEADBEEF
68862 .xword 0xDEADBEEFDEADBEEF
68863 .xword 0xDEADBEEFDEADBEEF
68864 .xword 0xDEADBEEFDEADBEEF
68865 .xword 0xDEADBEEFDEADBEEF
68866 .xword 0xDEADBEEFDEADBEEF
68867 .xword 0xDEADBEEFDEADBEEF
68868 .xword 0xDEADBEEFDEADBEEF
68869 .xword 0xDEADBEEFDEADBEEF
68870 .xword 0xDEADBEEFDEADBEEF
68871 .xword 0xDEADBEEFDEADBEEF
68872 .xword 0xDEADBEEFDEADBEEF
68873 .xword 0xDEADBEEFDEADBEEF
68874 .xword 0xDEADBEEFDEADBEEF
68875_t1_hmac_key_array:
68876 .xword 0xf3a3bb2f52e93b3e
68877 .xword 0x128db4abe6dcfa0e
68878 .xword 0x79d58ab7c870b613
68879 .xword 0x0b45bdcd1d8e7306
68880 .xword 0x76937687ea90e215
68881 .xword 0x8b5a888f117c0247
68882 .xword 0x1fc561cab9b4bd3a
68883 .xword 0xb62b936012c772f5
68884 .xword 0xad5db83aa3a12cab
68885 .xword 0x1f3d11f9a82df325
68886 .xword 0x3b1db71b30697070
68887 .xword 0x5e2e9118aad5d8ad
68888 .xword 0x552821183d16d1ac
68889 .xword 0x746a4cf5e21cdf75
68890 .xword 0x60acbd440a76b933
68891 .xword 0xa3b04daf7627b6cc
68892 .xword 0x13f1ac87abbf0799
68893 .xword 0x385ac7f76a7adeb9
68894 .xword 0x149948d516e23375
68895 .xword 0x69db994ce919e56c
68896 .xword 0x3659277b14fc296f
68897 .xword 0xfe73189abe99e289
68898 .xword 0x81e8e3b0daafd290
68899 .xword 0x863cc4ee54c684ac
68900 .xword 0x6d23fa0298ec377d
68901 .xword 0x2947a47b42dfa0b7
68902 .xword 0xa795d9bb4cdeaba6
68903 .xword 0xea8363444cc9c76f
68904 .xword 0x672b473c4589b168
68905 .xword 0x8616c886c1976cd5
68906 .xword 0xad311cabbe2967a1
68907 .xword 0xbc998ca599506806
68908 .xword 0xa117202eccba87a1
68909 .xword 0xf84e1ef4871171ea
68910 .xword 0x19684df565aff0cb
68911 .xword 0xe2e665db538acc95
68912 .xword 0xc1bbdc910a48d1ec
68913 .xword 0x54656b03ff4923f5
68914 .xword 0xe33a59386f6bb461
68915 .xword 0xd231826a030efaa7
68916 .xword 0x14b758d33c8c4d67
68917 .xword 0xb9b25cde6650f07f
68918 .xword 0x9bc9756c0af3a1f9
68919 .xword 0xd5b72b5ae3150ba9
68920 .xword 0xd7462882a18f24b0
68921 .xword 0x04b15fc5b9784b68
68922 .xword 0x65d4d8a094c238ec
68923 .xword 0xe9ee9953c2b0a9d4
68924 .xword 0x5da0b20d95254ce8
68925 .xword 0x60cd2e0971d73b3f
68926 .xword 0xe6d81342a70346d3
68927_t1_hmac_iv_array:
68928 .xword 0xc608ba041849e338
68929 .xword 0x235eb1e65f2e2139
68930 .xword 0xe6a5ad177b8b1a5f
68931 .xword 0xce03a608a83759bd
68932 .xword 0x07691cd16e3b6084
68933 .xword 0xfe342b6277894fa6
68934 .xword 0xb3f7878af92c18ea
68935 .xword 0x8df5548d6796f706
68936 .xword 0x8dc768c1283fc13f
68937 .xword 0x52cb8aa1f71272eb
68938 .xword 0xae35df40903916d1
68939 .xword 0x49c021f3ac4d0e9c
68940 .xword 0xcb96c0f62534342f
68941 .xword 0xbbd808399459edbb
68942 .xword 0x1eb98217287abf58
68943 .xword 0x85ac60171942afc4
68944 .xword 0xbc0d9c99649a4f7c
68945 .xword 0xf886bed75b5e4d35
68946 .xword 0x8eb5d4ab84d8213c
68947 .xword 0xd977f0934add7f3f
68948 .xword 0xa3e8a87e8a570b7a
68949 .xword 0xa5ba806815ba759b
68950 .xword 0x6d280b036f125d0d
68951 .xword 0x9d5218ed760455e8
68952 .xword 0x0691808c57951dbd
68953 .xword 0x825ca326d475a5e9
68954 .xword 0x6c1e4866d0cdc15a
68955 .xword 0x27804281c74a99da
68956 .xword 0xb200ea7f7cfaea2b
68957 .xword 0x9397b7fed0180045
68958 .xword 0xbdb5c905fe105782
68959 .xword 0xa8339d3e50687818
68960 .xword 0xb2aeee2016a8dad1
68961 .xword 0x43080d2843e808e3
68962 .xword 0xa4b776490880be69
68963 .xword 0x4ad64c96d5bf4938
68964 .xword 0xc72113d703cdfb12
68965 .xword 0x7f81da4d998f11ce
68966 .xword 0x213fced40617fe20
68967 .xword 0x8eb56925e3cbd336
68968 .xword 0x7b7ab20e363b8856
68969 .xword 0x050204eed1034d18
68970 .xword 0x42433592b323755e
68971 .xword 0x0542f7d62fc8afe8
68972 .xword 0xe3a88bead52f6cda
68973_t1_hmac_alignment_array:
68974 .xword 10
68975 .xword 13
68976 .xword 13
68977 .xword 1
68978 .xword 14
68979 .xword 13
68980 .xword 14
68981 .xword 5
68982 .xword 6
68983 .xword 9
68984 .xword 15
68985 .xword 9
68986 .xword 8
68987 .xword 15
68988 .xword 15
68989 .xword 11
68990 .xword 8
68991 .xword 0
68992 .xword 10
68993 .xword 6
68994 .xword 11
68995 .xword 0
68996 .xword 14
68997 .xword 15
68998 .xword 3
68999 .xword 10
69000 .xword 9
69001 .xword 12
69002 .xword 10
69003 .xword 10
69004 .xword 1
69005 .xword 10
69006 .xword 1
69007 .xword 6
69008 .xword 9
69009 .xword 2
69010 .xword 0
69011 .xword 7
69012 .xword 10
69013 .xword 11
69014 .xword 0
69015 .xword 12
69016 .xword 2
69017 .xword 4
69018 .xword 5
69019 .xword 7
69020 .xword 5
69021 .xword 10
69022 .xword 0
69023 .xword 4
69024 .xword 6
69025 .xword 9
69026 .xword 9
69027 .xword 10
69028 .xword 5
69029 .xword 5
69030 .xword 10
69031 .xword 13
69032 .xword 10
69033 .xword 0
69034 .xword 8
69035 .xword 14
69036 .xword 1
69037 .xword 10
69038 .xword 5
69039 .xword 14
69040 .xword 14
69041 .xword 12
69042 .xword 5
69043 .xword 9
69044 .xword 12
69045 .xword 11
69046 .xword 11
69047 .xword 6
69048 .xword 10
69049 .xword 15
69050 .xword 9
69051 .xword 14
69052 .xword 0
69053 .xword 8
69054 .xword 4
69055 .xword 14
69056 .xword 14
69057 .xword 2
69058 .xword 8
69059 .xword 8
69060 .xword 15
69061 .xword 0
69062 .xword 9
69063 .xword 7
69064 .xword 6
69065 .xword 7
69066 .xword 8
69067 .xword 13
69068 .xword 9
69069 .xword 0
69070 .xword 0
69071 .xword 9
69072 .xword 12
69073 .xword 4
69074 .xword 0
69075 .xword 10
69076 .xword 2
69077 .xword 3
69078 .xword 6
69079_t1_hmac_src:
69080 .xword 0xe8101688528e03b2
69081 .xword 0xe5e1a89ed18e528a
69082 .xword 0xc5a7ec4d24bc8da7
69083 .xword 0xda6f47a4f19747c6
69084 .xword 0xba62ef0e9aff36ad
69085 .xword 0xd42db9eaed2db46e
69086 .xword 0x4df8ffa0f8452e7d
69087 .xword 0xc05838e675baa52b
69088 .xword 0x2a85a4f92fe2cfa2
69089 .xword 0x83bff57c856064a4
69090 .xword 0x832e88460781cb6e
69091 .xword 0xcbabea4b77186fd1
69092 .xword 0x37b5aa0aea2bd22c
69093 .xword 0xc17db3c5d6716bfe
69094 .xword 0xe250a99832ba6f32
69095 .xword 0x1d994b6bf6ed644a
69096 .xword 0x650e6cb0441cdfd4
69097 .xword 0x1b57d5939a21cd59
69098 .xword 0x583056b0de95c256
69099 .xword 0xb26f30242ce43dd1
69100 .xword 0x93ad6b9d8acaa1a0
69101 .xword 0xab0682b0e3848753
69102 .xword 0xdf62e6293c8044e4
69103 .xword 0x586f1006025e4d56
69104 .xword 0x1bd9aadefddb3d2c
69105 .xword 0x97b681ef9f91fe83
69106 .xword 0x45e2c5bbdda0b842
69107 .xword 0x00bc40eed68f1a48
69108 .xword 0x649ec4de22ebd948
69109 .xword 0x8c4114519c49dcca
69110 .xword 0xd48bf24256f62c01
69111 .xword 0x441c6368a5a4aebb
69112 .xword 0xed02d9c29804f8e4
69113 .xword 0x53773786ec5edcde
69114 .xword 0x102c475d0589d2d6
69115 .xword 0x1f5989bc0ef3ce1e
69116 .xword 0x30b8136de08c63c3
69117 .xword 0xe3d81a3c3e6e8f6f
69118 .xword 0x4ccaa9825707f976
69119 .xword 0x264e745b7f9c7bb2
69120 .xword 0x289baf17732d5842
69121 .xword 0x76916939723d33b7
69122 .xword 0x1a746dd688240966
69123 .xword 0x75421f976925112b
69124 .xword 0x2e330009609dc56e
69125 .xword 0xda7b476a98ae0f92
69126 .xword 0x136af74e1e92b897
69127 .xword 0xea6d6c6bacd19004
69128 .xword 0x4df83fc6cfb2e520
69129 .xword 0x779c7dcb238d0f22
69130 .xword 0x32e85483ad219c98
69131 .xword 0x07f4e4883224218e
69132 .xword 0x842465f5f767a059
69133 .xword 0x2b6e80b220855491
69134 .xword 0x38039201ce54befc
69135 .xword 0x1195f9fa6a46a8ac
69136 .xword 0x7b96b95f701b058d
69137 .xword 0xba65a5525e8232e6
69138 .xword 0x75b03bdc3921b950
69139 .xword 0xa142ec366ce71c81
69140 .xword 0x05b00bc132c2e18c
69141 .xword 0x98574d4a072536ce
69142 .xword 0xcac72f17641af29b
69143 .xword 0x973e739ae66b6207
69144 .xword 0xc3ee372373e2d785
69145 .xword 0x4744285e69a0c0b5
69146 .xword 0xa6fe3462f8bc4edb
69147 .xword 0x4bf6e4b871ced330
69148 .xword 0x27b943bbb9045fe7
69149 .xword 0x6c5751b094011a4e
69150 .xword 0x677009c83cd0efbc
69151 .xword 0x891ecea6ae49869f
69152 .xword 0x5ce22f60aee38f75
69153 .xword 0x1bf8d95c45842ac1
69154 .xword 0x71df628fdf34b116
69155 .xword 0x0174cac9e01588aa
69156 .xword 0xb3f0918bf324c6f3
69157 .xword 0xe95e7f35d130d367
69158 .xword 0x1b597a8ba3fa0c1b
69159 .xword 0xc4de2953fd162acc
69160 .xword 0xf92ef86289ed64a8
69161 .xword 0xe1e4020dd9c98a01
69162 .xword 0x9e68e5b37bb2b09d
69163 .xword 0x7a8a4fc52023002e
69164 .xword 0x9b8f9dd2c6b10a1b
69165 .xword 0x62773ac9f6256c93
69166 .xword 0x1e7bbd4e3d73db83
69167 .xword 0xe976eeff7cc17908
69168 .xword 0xcde2f39b2b1f85a1
69169 .xword 0x7bffe2e055bfe773
69170 .xword 0xda6f8f371c090b7a
69171 .xword 0xe09a80c9bb9f78df
69172 .xword 0x9fb44ddc5d1b41ad
69173 .xword 0x13aef1482228cd97
69174 .xword 0xab4194f0ae8886b5
69175 .xword 0x9aabcf2d094afe61
69176 .xword 0xb6a3dce672b2f84e
69177 .xword 0x3dfcb2e51ebd35e0
69178 .xword 0x97afe178f1e5e2c8
69179 .xword 0x4f725589886fc659
69180 .xword 0x4da2c9f550d52d2e
69181 .xword 0x90397103aad324e9
69182 .xword 0x5bf0949fbcae4778
69183 .xword 0x7e66687a9e3d6c24
69184 .xword 0x4faa1d76ec62ce47
69185 .xword 0xb1ed07263f1471f9
69186 .xword 0x309bb7c23a3c0a9b
69187 .xword 0x2afa01b977d92f97
69188 .xword 0x5ffafab2ad5abd17
69189 .xword 0x57c2a9be866e3664
69190 .xword 0x175877f5555256e4
69191 .xword 0x90ae75595755fce5
69192 .xword 0x50a61e320f443332
69193 .xword 0xaca04aaa51a771af
69194 .xword 0x41678e74836b4751
69195 .xword 0xa6e7d6f1a4bebd80
69196 .xword 0x1a86f3532256243b
69197 .xword 0xb36233c2537e6f36
69198 .xword 0x0d56040f9a690046
69199 .xword 0xd8ed9dbbf8d41b78
69200 .xword 0x311611ba60265c1b
69201 .xword 0x6a1074dd3e005945
69202 .xword 0xd6ec20da9ab819ff
69203 .xword 0xf49828b19d6c3aae
69204 .xword 0xba533dad9c485847
69205 .xword 0xbdba01765a416fa6
69206 .xword 0x1b95a759d04a0439
69207 .xword 0x9a6bd8d43bc1e3aa
69208 .xword 0xa8acd8f7d3ea6c5c
69209 .xword 0x6071b61cd6a9ccc4
69210 .xword 0xe03c3a789094b322
69211 .xword 0x2b6eb8776ee83dc0
69212 .xword 0xc543009756d46103
69213 .xword 0x3d57923192adc5cb
69214 .xword 0x9c978b2ddcc675fa
69215 .xword 0x2369b59ad3efdfb4
69216 .xword 0x6efc8a52ebb3b01c
69217 .xword 0x4a6f6bcb535fbc3e
69218 .xword 0xfb6359ea0753529f
69219 .xword 0x60bb45fd75bf26c0
69220 .xword 0x3fad57eedad869f7
69221 .xword 0xb3971b2e6e3d5038
69222 .xword 0xe6f79723f720ce72
69223 .xword 0xe86711d9428d8ab1
69224 .xword 0xd4957b2ef260e169
69225 .xword 0x39dbb100506afd64
69226 .xword 0xd763009cbb104e94
69227 .xword 0x0a92ac244400d56f
69228 .xword 0x082b6bf09bb2bfee
69229 .xword 0x4fec0d61e0bc51ae
69230 .xword 0x210dbdbca525d7ad
69231 .xword 0x4415bdde03ae6bea
69232 .xword 0xa97795025582e00e
69233 .xword 0x30171e54c986612a
69234 .xword 0x6db4bd7c2c08ed88
69235 .xword 0xc01171897d0c6396
69236 .xword 0x59354f54d0d6be6b
69237 .xword 0xcf0a70199400f823
69238 .xword 0xa3766666825f6681
69239 .xword 0x834d1e745fbacec6
69240 .xword 0xb18304aaa5213eb5
69241 .xword 0xfb5092cbef7c001d
69242 .xword 0xff01857b60a2eaa1
69243 .xword 0x80d9cde5d8c1c433
69244 .xword 0x9ce30deede06f92c
69245 .xword 0xe3d9187cfd8a810f
69246 .xword 0x8d0e4ce0d94b24ec
69247 .xword 0xc36e3748b456832c
69248 .xword 0x4105250f3573e77d
69249 .xword 0x40a8e8d2b4be8cdf
69250 .xword 0x52f670e1ca18f5e1
69251 .xword 0xfd5d40a0d814fc19
69252 .xword 0x000cd9203cacd164
69253 .xword 0x6af9927092a38237
69254 .xword 0xf17f06ff76d33a78
69255 .xword 0xe9534d4c53553d22
69256 .xword 0x5e28f6dba895c3d2
69257 .xword 0x965c0327ff1973b5
69258 .xword 0x3151ecc7fb5974af
69259 .xword 0x3735f41e01e8096d
69260 .xword 0x2db262f94a4ed671
69261 .xword 0x18cecf318f28cc85
69262 .xword 0xcecc0f0645035173
69263 .xword 0x53b9dff57fa7e5a1
69264 .xword 0x71f5542ad3fb2cbd
69265 .xword 0x966c74eb6ecf67a1
69266 .xword 0xff1175d84dee840f
69267 .xword 0x0be487ed21943d50
69268 .xword 0x09dad9f648bdba77
69269 .xword 0x5b45bfc7965a3065
69270 .xword 0x54e97c05a3779ca3
69271 .xword 0x58c6b7b7929a9b65
69272 .xword 0xf00354b5b3f772b7
69273 .xword 0x547377740c086edd
69274 .xword 0x1126412b20d3998d
69275 .xword 0xc2f7ac4d22b249a7
69276 .xword 0xdc090202b5a706d3
69277 .xword 0x4260886a613fb97b
69278 .xword 0x57194076e84720d1
69279 .xword 0x15bd66f11372487f
69280 .xword 0x15a92c848b2e80b7
69281 .xword 0x61a2e7a13b869f15
69282 .xword 0x3888da648812ef27
69283 .xword 0xaec8e66af1d2ddb4
69284 .xword 0xb87cf21f6b14ce3d
69285 .xword 0x0b6f7d2d3c289378
69286 .xword 0x0808e5d95a50e9c0
69287 .xword 0xc59d8504f2154a99
69288 .xword 0x02a4cca7cd622960
69289 .xword 0xbed2e5e0899073fd
69290 .xword 0xe617cc43fa88cd97
69291 .xword 0xfd41f447a3870e80
69292 .xword 0x0b9fd1d75e571a4f
69293 .xword 0x05f20747f819c925
69294 .xword 0x1def104f808f203d
69295 .xword 0x0ed9557b902f0405
69296 .xword 0xb84ad2b7e2c686cd
69297 .xword 0x55a00121f17362f1
69298 .xword 0xb4a31a55c819635c
69299 .xword 0x99d375e497d76dfb
69300 .xword 0x0abe028dfe73d835
69301 .xword 0x3208524d5fbe70c3
69302 .xword 0xf17fdd8838521388
69303 .xword 0xc74805057ec74cad
69304 .xword 0xcb750d3f8e1b4532
69305 .xword 0xb025316947cfed33
69306 .xword 0xa93b7293fed16e6c
69307 .xword 0x95ec6d0e307d55e0
69308 .xword 0xde94128b8aec61b6
69309 .xword 0x861a4194d6ea2c70
69310 .xword 0xdf6385b04bd9e1c2
69311 .xword 0xc2993ad34cbcedeb
69312 .xword 0xcd60f9e2b618b188
69313 .xword 0xa2a360f8c84a96bf
69314 .xword 0xf072a0566e5e1e3e
69315 .xword 0x7f80dcb45818916d
69316 .xword 0x8c98a0a2011e1939
69317 .xword 0x2dc16c176aacd156
69318 .xword 0x1af150a2c4c5e03d
69319 .xword 0x8bd832d54f56e76e
69320 .xword 0x248864f4225f4cbf
69321 .xword 0x03a24868734ef0bf
69322 .xword 0xc11d736a4abe310c
69323 .xword 0xfe72cabd5a2810a5
69324 .xword 0x6f1fa4168cfa1341
69325 .xword 0x6014c48f83706159
69326 .xword 0x53d3fd410a25924a
69327 .xword 0xcc482b2d4ec84374
69328 .xword 0x470a6d89426a1af8
69329 .xword 0x3a24a6c328ea7ec7
69330 .xword 0x959a6edd0b102774
69331 .xword 0xf31a1d49a9f2a5b5
69332 .xword 0x65f16e6f56304a32
69333 .xword 0xb277ed3b4c7939c2
69334 .xword 0x5bee6fdb8546f8d9
69335 .xword 0x28ae92a4c97e29f6
69336 .xword 0xd8817ded74253456
69337 .xword 0xe1c6049eb7327132
69338 .xword 0x5d182dd4647e02c0
69339 .xword 0xcae6bfb2acc99631
69340 .xword 0xf44d5ed9c6cfac99
69341 .xword 0xf8abc060a471b477
69342 .xword 0xaf69571347781bea
69343 .xword 0xca0a73e96777e7e4
69344 .xword 0x3acdbfa74f63d89a
69345 .xword 0xddda61a6446637c2
69346 .xword 0x52c33f4263d1b278
69347 .xword 0xc455610ac6044aba
69348 .xword 0xc221555c500f1614
69349 .xword 0x5e5c55421da85bef
69350 .xword 0xc0de4449949a00be
69351 .xword 0xa33081498da2648c
69352 .xword 0xde66d194d6c91072
69353 .xword 0x2767058a734096d8
69354 .xword 0xebabf019f4be63ad
69355_t1_hmac_dest:
69356 .xword 0xDEADBEEFDEADBEEF
69357 .xword 0xDEADBEEFDEADBEEF
69358 .xword 0xDEADBEEFDEADBEEF
69359 .xword 0xDEADBEEFDEADBEEF
69360 .xword 0xDEADBEEFDEADBEEF
69361 .xword 0xDEADBEEFDEADBEEF
69362 .xword 0xDEADBEEFDEADBEEF
69363 .xword 0xDEADBEEFDEADBEEF
69364 .xword 0xDEADBEEFDEADBEEF
69365 .xword 0xDEADBEEFDEADBEEF
69366 .xword 0xDEADBEEFDEADBEEF
69367 .xword 0xDEADBEEFDEADBEEF
69368 .xword 0xDEADBEEFDEADBEEF
69369 .xword 0xDEADBEEFDEADBEEF
69370 .xword 0xDEADBEEFDEADBEEF
69371 .xword 0xDEADBEEFDEADBEEF
69372 .xword 0xDEADBEEFDEADBEEF
69373 .xword 0xDEADBEEFDEADBEEF
69374 .xword 0xDEADBEEFDEADBEEF
69375 .xword 0xDEADBEEFDEADBEEF
69376 .xword 0xDEADBEEFDEADBEEF
69377 .xword 0xDEADBEEFDEADBEEF
69378 .xword 0xDEADBEEFDEADBEEF
69379 .xword 0xDEADBEEFDEADBEEF
69380 .xword 0xDEADBEEFDEADBEEF
69381 .xword 0xDEADBEEFDEADBEEF
69382 .xword 0xDEADBEEFDEADBEEF
69383 .xword 0xDEADBEEFDEADBEEF
69384 .xword 0xDEADBEEFDEADBEEF
69385 .xword 0xDEADBEEFDEADBEEF
69386 .xword 0xDEADBEEFDEADBEEF
69387 .xword 0xDEADBEEFDEADBEEF
69388 .xword 0xDEADBEEFDEADBEEF
69389 .xword 0xDEADBEEFDEADBEEF
69390 .xword 0xDEADBEEFDEADBEEF
69391 .xword 0xDEADBEEFDEADBEEF
69392 .xword 0xDEADBEEFDEADBEEF
69393 .xword 0xDEADBEEFDEADBEEF
69394 .xword 0xDEADBEEFDEADBEEF
69395 .xword 0xDEADBEEFDEADBEEF
69396 .xword 0xDEADBEEFDEADBEEF
69397 .xword 0xDEADBEEFDEADBEEF
69398 .xword 0xDEADBEEFDEADBEEF
69399 .xword 0xDEADBEEFDEADBEEF
69400 .xword 0xDEADBEEFDEADBEEF
69401 .xword 0xDEADBEEFDEADBEEF
69402 .xword 0xDEADBEEFDEADBEEF
69403 .xword 0xDEADBEEFDEADBEEF
69404 .xword 0xDEADBEEFDEADBEEF
69405 .xword 0xDEADBEEFDEADBEEF
69406 .xword 0xDEADBEEFDEADBEEF
69407 .xword 0xDEADBEEFDEADBEEF
69408 .xword 0xDEADBEEFDEADBEEF
69409 .xword 0xDEADBEEFDEADBEEF
69410 .xword 0xDEADBEEFDEADBEEF
69411 .xword 0xDEADBEEFDEADBEEF
69412 .xword 0xDEADBEEFDEADBEEF
69413 .xword 0xDEADBEEFDEADBEEF
69414 .xword 0xDEADBEEFDEADBEEF
69415 .xword 0xDEADBEEFDEADBEEF
69416 .xword 0xDEADBEEFDEADBEEF
69417 .xword 0xDEADBEEFDEADBEEF
69418 .xword 0xDEADBEEFDEADBEEF
69419 .xword 0xDEADBEEFDEADBEEF
69420 .xword 0xDEADBEEFDEADBEEF
69421 .xword 0xDEADBEEFDEADBEEF
69422 .xword 0xDEADBEEFDEADBEEF
69423 .xword 0xDEADBEEFDEADBEEF
69424 .xword 0xDEADBEEFDEADBEEF
69425 .xword 0xDEADBEEFDEADBEEF
69426 .xword 0xDEADBEEFDEADBEEF
69427 .xword 0xDEADBEEFDEADBEEF
69428 .xword 0xDEADBEEFDEADBEEF
69429 .xword 0xDEADBEEFDEADBEEF
69430 .xword 0xDEADBEEFDEADBEEF
69431 .xword 0xDEADBEEFDEADBEEF
69432 .xword 0xDEADBEEFDEADBEEF
69433 .xword 0xDEADBEEFDEADBEEF
69434 .xword 0xDEADBEEFDEADBEEF
69435 .xword 0xDEADBEEFDEADBEEF
69436 .xword 0xDEADBEEFDEADBEEF
69437 .xword 0xDEADBEEFDEADBEEF
69438 .xword 0xDEADBEEFDEADBEEF
69439 .xword 0xDEADBEEFDEADBEEF
69440 .xword 0xDEADBEEFDEADBEEF
69441 .xword 0xDEADBEEFDEADBEEF
69442 .xword 0xDEADBEEFDEADBEEF
69443 .xword 0xDEADBEEFDEADBEEF
69444 .xword 0xDEADBEEFDEADBEEF
69445 .xword 0xDEADBEEFDEADBEEF
69446 .xword 0xDEADBEEFDEADBEEF
69447 .xword 0xDEADBEEFDEADBEEF
69448 .xword 0xDEADBEEFDEADBEEF
69449 .xword 0xDEADBEEFDEADBEEF
69450 .xword 0xDEADBEEFDEADBEEF
69451 .xword 0xDEADBEEFDEADBEEF
69452 .xword 0xDEADBEEFDEADBEEF
69453 .xword 0xDEADBEEFDEADBEEF
69454 .xword 0xDEADBEEFDEADBEEF
69455 .xword 0xDEADBEEFDEADBEEF
69456 .xword 0xDEADBEEFDEADBEEF
69457 .xword 0xDEADBEEFDEADBEEF
69458 .xword 0xDEADBEEFDEADBEEF
69459 .xword 0xDEADBEEFDEADBEEF
69460 .xword 0xDEADBEEFDEADBEEF
69461 .xword 0xDEADBEEFDEADBEEF
69462 .xword 0xDEADBEEFDEADBEEF
69463 .xword 0xDEADBEEFDEADBEEF
69464 .xword 0xDEADBEEFDEADBEEF
69465 .xword 0xDEADBEEFDEADBEEF
69466 .xword 0xDEADBEEFDEADBEEF
69467 .xword 0xDEADBEEFDEADBEEF
69468 .xword 0xDEADBEEFDEADBEEF
69469 .xword 0xDEADBEEFDEADBEEF
69470 .xword 0xDEADBEEFDEADBEEF
69471 .xword 0xDEADBEEFDEADBEEF
69472 .xword 0xDEADBEEFDEADBEEF
69473 .xword 0xDEADBEEFDEADBEEF
69474 .xword 0xDEADBEEFDEADBEEF
69475 .xword 0xDEADBEEFDEADBEEF
69476 .xword 0xDEADBEEFDEADBEEF
69477 .xword 0xDEADBEEFDEADBEEF
69478 .xword 0xDEADBEEFDEADBEEF
69479 .xword 0xDEADBEEFDEADBEEF
69480 .xword 0xDEADBEEFDEADBEEF
69481 .xword 0xDEADBEEFDEADBEEF
69482 .xword 0xDEADBEEFDEADBEEF
69483 .xword 0xDEADBEEFDEADBEEF
69484 .xword 0xDEADBEEFDEADBEEF
69485 .xword 0xDEADBEEFDEADBEEF
69486 .xword 0xDEADBEEFDEADBEEF
69487 .xword 0xDEADBEEFDEADBEEF
69488 .xword 0xDEADBEEFDEADBEEF
69489 .xword 0xDEADBEEFDEADBEEF
69490 .xword 0xDEADBEEFDEADBEEF
69491 .xword 0xDEADBEEFDEADBEEF
69492 .xword 0xDEADBEEFDEADBEEF
69493 .xword 0xDEADBEEFDEADBEEF
69494 .xword 0xDEADBEEFDEADBEEF
69495 .xword 0xDEADBEEFDEADBEEF
69496 .xword 0xDEADBEEFDEADBEEF
69497 .xword 0xDEADBEEFDEADBEEF
69498 .xword 0xDEADBEEFDEADBEEF
69499 .xword 0xDEADBEEFDEADBEEF
69500 .xword 0xDEADBEEFDEADBEEF
69501 .xword 0xDEADBEEFDEADBEEF
69502 .xword 0xDEADBEEFDEADBEEF
69503 .xword 0xDEADBEEFDEADBEEF
69504 .xword 0xDEADBEEFDEADBEEF
69505 .xword 0xDEADBEEFDEADBEEF
69506 .xword 0xDEADBEEFDEADBEEF
69507 .xword 0xDEADBEEFDEADBEEF
69508 .xword 0xDEADBEEFDEADBEEF
69509 .xword 0xDEADBEEFDEADBEEF
69510 .xword 0xDEADBEEFDEADBEEF
69511 .xword 0xDEADBEEFDEADBEEF
69512 .xword 0xDEADBEEFDEADBEEF
69513 .xword 0xDEADBEEFDEADBEEF
69514 .xword 0xDEADBEEFDEADBEEF
69515 .xword 0xDEADBEEFDEADBEEF
69516 .xword 0xDEADBEEFDEADBEEF
69517 .xword 0xDEADBEEFDEADBEEF
69518 .xword 0xDEADBEEFDEADBEEF
69519 .xword 0xDEADBEEFDEADBEEF
69520 .xword 0xDEADBEEFDEADBEEF
69521 .xword 0xDEADBEEFDEADBEEF
69522 .xword 0xDEADBEEFDEADBEEF
69523 .xword 0xDEADBEEFDEADBEEF
69524 .xword 0xDEADBEEFDEADBEEF
69525 .xword 0xDEADBEEFDEADBEEF
69526 .xword 0xDEADBEEFDEADBEEF
69527 .xword 0xDEADBEEFDEADBEEF
69528 .xword 0xDEADBEEFDEADBEEF
69529 .xword 0xDEADBEEFDEADBEEF
69530 .xword 0xDEADBEEFDEADBEEF
69531 .xword 0xDEADBEEFDEADBEEF
69532 .xword 0xDEADBEEFDEADBEEF
69533 .xword 0xDEADBEEFDEADBEEF
69534 .xword 0xDEADBEEFDEADBEEF
69535 .xword 0xDEADBEEFDEADBEEF
69536 .xword 0xDEADBEEFDEADBEEF
69537 .xword 0xDEADBEEFDEADBEEF
69538 .xword 0xDEADBEEFDEADBEEF
69539 .xword 0xDEADBEEFDEADBEEF
69540 .xword 0xDEADBEEFDEADBEEF
69541 .xword 0xDEADBEEFDEADBEEF
69542 .xword 0xDEADBEEFDEADBEEF
69543 .xword 0xDEADBEEFDEADBEEF
69544 .xword 0xDEADBEEFDEADBEEF
69545 .xword 0xDEADBEEFDEADBEEF
69546 .xword 0xDEADBEEFDEADBEEF
69547 .xword 0xDEADBEEFDEADBEEF
69548 .xword 0xDEADBEEFDEADBEEF
69549 .xword 0xDEADBEEFDEADBEEF
69550 .xword 0xDEADBEEFDEADBEEF
69551 .xword 0xDEADBEEFDEADBEEF
69552 .xword 0xDEADBEEFDEADBEEF
69553 .xword 0xDEADBEEFDEADBEEF
69554 .xword 0xDEADBEEFDEADBEEF
69555 .xword 0xDEADBEEFDEADBEEF
69556 .xword 0xDEADBEEFDEADBEEF
69557 .xword 0xDEADBEEFDEADBEEF
69558 .xword 0xDEADBEEFDEADBEEF
69559 .xword 0xDEADBEEFDEADBEEF
69560 .xword 0xDEADBEEFDEADBEEF
69561 .xword 0xDEADBEEFDEADBEEF
69562 .xword 0xDEADBEEFDEADBEEF
69563 .xword 0xDEADBEEFDEADBEEF
69564 .xword 0xDEADBEEFDEADBEEF
69565 .xword 0xDEADBEEFDEADBEEF
69566 .xword 0xDEADBEEFDEADBEEF
69567 .xword 0xDEADBEEFDEADBEEF
69568 .xword 0xDEADBEEFDEADBEEF
69569 .xword 0xDEADBEEFDEADBEEF
69570 .xword 0xDEADBEEFDEADBEEF
69571 .xword 0xDEADBEEFDEADBEEF
69572 .xword 0xDEADBEEFDEADBEEF
69573 .xword 0xDEADBEEFDEADBEEF
69574 .xword 0xDEADBEEFDEADBEEF
69575 .xword 0xDEADBEEFDEADBEEF
69576 .xword 0xDEADBEEFDEADBEEF
69577 .xword 0xDEADBEEFDEADBEEF
69578 .xword 0xDEADBEEFDEADBEEF
69579 .xword 0xDEADBEEFDEADBEEF
69580 .xword 0xDEADBEEFDEADBEEF
69581 .xword 0xDEADBEEFDEADBEEF
69582 .xword 0xDEADBEEFDEADBEEF
69583 .xword 0xDEADBEEFDEADBEEF
69584 .xword 0xDEADBEEFDEADBEEF
69585 .xword 0xDEADBEEFDEADBEEF
69586 .xword 0xDEADBEEFDEADBEEF
69587 .xword 0xDEADBEEFDEADBEEF
69588 .xword 0xDEADBEEFDEADBEEF
69589 .xword 0xDEADBEEFDEADBEEF
69590 .xword 0xDEADBEEFDEADBEEF
69591 .xword 0xDEADBEEFDEADBEEF
69592 .xword 0xDEADBEEFDEADBEEF
69593 .xword 0xDEADBEEFDEADBEEF
69594 .xword 0xDEADBEEFDEADBEEF
69595 .xword 0xDEADBEEFDEADBEEF
69596 .xword 0xDEADBEEFDEADBEEF
69597 .xword 0xDEADBEEFDEADBEEF
69598 .xword 0xDEADBEEFDEADBEEF
69599 .xword 0xDEADBEEFDEADBEEF
69600 .xword 0xDEADBEEFDEADBEEF
69601 .xword 0xDEADBEEFDEADBEEF
69602 .xword 0xDEADBEEFDEADBEEF
69603 .xword 0xDEADBEEFDEADBEEF
69604 .xword 0xDEADBEEFDEADBEEF
69605 .xword 0xDEADBEEFDEADBEEF
69606 .xword 0xDEADBEEFDEADBEEF
69607 .xword 0xDEADBEEFDEADBEEF
69608 .xword 0xDEADBEEFDEADBEEF
69609 .xword 0xDEADBEEFDEADBEEF
69610 .xword 0xDEADBEEFDEADBEEF
69611 .xword 0xDEADBEEFDEADBEEF
69612 .xword 0xDEADBEEFDEADBEEF
69613 .xword 0xDEADBEEFDEADBEEF
69614 .xword 0xDEADBEEFDEADBEEF
69615 .xword 0xDEADBEEFDEADBEEF
69616 .xword 0xDEADBEEFDEADBEEF
69617 .xword 0xDEADBEEFDEADBEEF
69618 .xword 0xDEADBEEFDEADBEEF
69619 .xword 0xDEADBEEFDEADBEEF
69620 .xword 0xDEADBEEFDEADBEEF
69621 .xword 0xDEADBEEFDEADBEEF
69622 .xword 0xDEADBEEFDEADBEEF
69623 .xword 0xDEADBEEFDEADBEEF
69624 .xword 0xDEADBEEFDEADBEEF
69625 .xword 0xDEADBEEFDEADBEEF
69626 .xword 0xDEADBEEFDEADBEEF
69627 .xword 0xDEADBEEFDEADBEEF
69628 .xword 0xDEADBEEFDEADBEEF
69629 .xword 0xDEADBEEFDEADBEEF
69630 .xword 0xDEADBEEFDEADBEEF
69631_t1_hmac_auth_key:
69632 .xword 0xfbe8b07180f1d928
69633 .xword 0xc05a1670d100870b
69634 .xword 0xad5aa1cc691ba98d
69635 .xword 0x8f72bebbc7703e21
69636 .xword 0xa25aabd9513836c0
69637 .xword 0x8773e34bf3ba7263
69638 .xword 0x22d0e1161aaf771b
69639 .xword 0x6b86b218adedbc52
69640 .xword 0x5e56b01e8ad48347
69641 .xword 0xd5198baae7381032
69642 .xword 0xa0f7b7b8f89fff2d
69643 .xword 0x5543381ee1b93557
69644 .xword 0xefac570ea1ed5551
69645 .xword 0x7d42706a5584f6d9
69646 .xword 0xfb1cfe54b907ef68
69647 .xword 0x3ce0645ee074aa29
69648 .xword 0x3d62778c30442fe7
69649 .xword 0x046eba35689e1d5e
69650 .xword 0x3a35bc2d98c59a6b
69651 .xword 0xb5ea56c6ed78bde9
69652 .xword 0xde3415d680d358f2
69653 .xword 0x3988a2b189959207
69654 .xword 0x2bd491d745b83edc
69655_t1_hmac_auth_iv:
69656 .xword 0x02cf525a3d26061f
69657 .xword 0xf79cc9e78308caac
69658 .xword 0xab6effdae15bd516
69659 .xword 0x1d61291f6d681cfd
69660 .xword 0x40ea72a9f5528f7e
69661 .xword 0xc7a1ef8733bc5fd3
69662 .xword 0xcfe2ef4de1e834f9
69663 .xword 0x277a6dbad35894ab
69664 .xword 0xa53d39634a41f7a6
69665 .xword 0xf1ecf55761e837b6
69666 .xword 0x1db3b666b08396a9
69667 .xword 0x3923cdc275c5f940
69668 .xword 0xe0c73d7177d2490d
69669 .xword 0x48ebad0d83321e7f
69670 .xword 0x92ca32a3713630a6
69671 .xword 0x54ae398198e526e2
69672 .xword 0x5cd6c13331c92c78
69673 .xword 0xe2a26d63374ada8d
69674 .xword 0x684a255a4d72f0ec
69675 .xword 0xe603569a287b6209
69676 .xword 0xd0bb5a55af596ac7
69677 .xword 0x9dbc99d80b42758f
69678 .xword 0xf4afd8ac5a994ca5
69679_t1_hmac_fas_result:
69680 .xword 0xDEADBEEFDEADBEEF
69681 .xword 0xDEADBEEFDEADBEEF
69682 .xword 0xDEADBEEFDEADBEEF
69683 .xword 0xDEADBEEFDEADBEEF
69684 .xword 0xDEADBEEFDEADBEEF
69685 .xword 0xDEADBEEFDEADBEEF
69686 .xword 0xDEADBEEFDEADBEEF
69687 .xword 0xDEADBEEFDEADBEEF
69688 .xword 0xDEADBEEFDEADBEEF
69689 .xword 0xDEADBEEFDEADBEEF
69690 .xword 0xDEADBEEFDEADBEEF
69691 .xword 0xDEADBEEFDEADBEEF
69692 .xword 0xDEADBEEFDEADBEEF
69693 .xword 0xDEADBEEFDEADBEEF
69694 .xword 0xDEADBEEFDEADBEEF
69695 .xword 0xDEADBEEFDEADBEEF
69696 .xword 0xDEADBEEFDEADBEEF
69697 .xword 0xDEADBEEFDEADBEEF
69698 .xword 0xDEADBEEFDEADBEEF
69699 .xword 0xDEADBEEFDEADBEEF
69700 .xword 0xDEADBEEFDEADBEEF
69701 .xword 0xDEADBEEFDEADBEEF
69702 .xword 0xDEADBEEFDEADBEEF
69703_t1_rc4_key_array:
69704 .xword 0xf0d56b9a0e437b4a
69705 .xword 0x4effa5307f4ca0bd
69706 .xword 0xce5e20c6cf1f9db9
69707 .xword 0xe625d9757edd564f
69708 .xword 0x32e71df0fb3d956e
69709 .xword 0x8311a31a2e70ab63
69710 .xword 0xe189d44d5866b2e5
69711 .xword 0x35f7744a9b0b1fe2
69712 .xword 0x9d4037aecb2e5e26
69713 .xword 0xef0c89a3264e4db8
69714 .xword 0xefe773ea8ac93809
69715 .xword 0xa3919e18ba279416
69716 .xword 0xf54d90b6542c689d
69717 .xword 0x978b0ee8822a2c81
69718 .xword 0x975f3af92802e0b6
69719 .xword 0xc2467d21103b63f6
69720 .xword 0x03c1ede5103fa791
69721 .xword 0xd2d4c18b8bcf0c28
69722 .xword 0xbdd00bb9d165335f
69723 .xword 0xbb64f2403486b565
69724 .xword 0xb808a06978655076
69725 .xword 0x6bd554957cada151
69726 .xword 0xf28f16b6d3c4564c
69727 .xword 0x7840e57f8c4f7e3b
69728 .xword 0x75858dd0bacf028b
69729 .xword 0xb8fbd2c32fc9946f
69730 .xword 0x32094a38684b4b52
69731 .xword 0x6838b567413b4f82
69732 .xword 0x9cbe9075d1b9d6d9
69733 .xword 0xdef5a92b6fac7e63
69734 .xword 0x9d46c28576dc815b
69735 .xword 0x9726a43af37c3bcf
69736 .xword 0x23d99202ed6712de
69737 .xword 0x0cec28ee30bb640c
69738 .xword 0x96c68981ae45c2f9
69739 .xword 0x271e9e02b1cfa320
69740 .xword 0xfa54eeecd2264c84
69741 .xword 0xbb747f864bacbf0f
69742 .xword 0xf189c8504f146bc8
69743 .xword 0x7c0815c9660dfeb6
69744 .xword 0xdc037a268a9f997d
69745 .xword 0x866aaa2f4e1d5f21
69746 .xword 0x27cb8abb3ac26f1f
69747 .xword 0x6608aa95cda2dd18
69748 .xword 0x026dc7e3342522a7
69749 .xword 0x5a11f7726393e1e5
69750 .xword 0x93b4f6c67c30122b
69751 .xword 0x0123d18f39de1c44
69752 .xword 0xb1962817e4f31635
69753 .xword 0x56b1ea1f4e57d800
69754 .xword 0xe2d399b3db7a67bf
69755_t1_rc4_iv_array:
69756 .xword 0x1b9a0ddb2ba83127
69757 .xword 0xbdc666b5fc50b90c
69758 .xword 0x5476b89480c2d20c
69759 .xword 0x7e025bfb1d8cde18
69760 .xword 0x8ed7c6ece831fde3
69761 .xword 0x3e3cf1999e97f995
69762 .xword 0x96a058b880aa55fe
69763 .xword 0x3216eafe48c38e97
69764 .xword 0xe6dd6bd336c2a781
69765 .xword 0x85cc5781ab6fb4d8
69766 .xword 0x549912f6afcfc6f5
69767 .xword 0x6c2dfdfc24ae148e
69768 .xword 0xba09e879458198ca
69769 .xword 0x11077a894f5805f3
69770 .xword 0x7aef0677d2199323
69771 .xword 0xcc06b9b085ac4a8d
69772 .xword 0x89d1546eccc9a499
69773 .xword 0x69121cf8b69b3409
69774 .xword 0x3d1376debdfa8be4
69775 .xword 0xe1bcd75d914b21d6
69776 .xword 0x87c2abe851d1cd1a
69777 .xword 0x91caf6ec6903b664
69778 .xword 0x8e94a1470c098e53
69779 .xword 0xca02e74c8806e62c
69780 .xword 0x817e6f3993dba128
69781 .xword 0x1315ff6f3b375bb4
69782 .xword 0xc2859d396357ca35
69783 .xword 0x8d0757578dbe8eb0
69784 .xword 0xa8fcdc62aacf7bc3
69785 .xword 0x63d10533554b6ff9
69786 .xword 0x270af8e8f16a73bc
69787 .xword 0xc668006a257c38b3
69788 .xword 0x782ede764d5926f5
69789 .xword 0x941cd470cdd7d2a0
69790 .xword 0x264825906523193f
69791 .xword 0x1482310a2cba9722
69792 .xword 0x791de8e651070ccc
69793 .xword 0x48ed8f1e03bff3a2
69794 .xword 0xf5c93f28aad6f4a7
69795 .xword 0xe063d24ebc7531b6
69796 .xword 0x9522c18597b9da38
69797 .xword 0x39d22a1ae4e1092f
69798 .xword 0x43d0148583259c81
69799 .xword 0x747aa416e3435d92
69800 .xword 0xc0b989768e6d047b
69801_t1_rc4_alignment_array:
69802 .xword 13
69803 .xword 15
69804 .xword 1
69805 .xword 2
69806 .xword 6
69807 .xword 1
69808 .xword 11
69809 .xword 13
69810 .xword 8
69811 .xword 6
69812 .xword 15
69813 .xword 7
69814 .xword 11
69815 .xword 2
69816 .xword 8
69817 .xword 5
69818 .xword 0
69819 .xword 12
69820 .xword 8
69821 .xword 13
69822 .xword 2
69823 .xword 13
69824 .xword 15
69825 .xword 14
69826 .xword 8
69827 .xword 10
69828 .xword 3
69829 .xword 14
69830 .xword 2
69831 .xword 7
69832 .xword 12
69833 .xword 13
69834 .xword 10
69835 .xword 6
69836 .xword 9
69837 .xword 4
69838 .xword 3
69839 .xword 11
69840 .xword 4
69841 .xword 6
69842 .xword 4
69843 .xword 9
69844 .xword 15
69845 .xword 12
69846 .xword 14
69847 .xword 6
69848 .xword 1
69849 .xword 13
69850 .xword 12
69851 .xword 2
69852 .xword 4
69853 .xword 10
69854 .xword 7
69855 .xword 14
69856 .xword 12
69857 .xword 15
69858 .xword 11
69859 .xword 9
69860 .xword 5
69861 .xword 5
69862 .xword 1
69863 .xword 1
69864 .xword 4
69865 .xword 5
69866 .xword 8
69867 .xword 4
69868 .xword 11
69869 .xword 10
69870 .xword 12
69871 .xword 4
69872 .xword 6
69873 .xword 11
69874 .xword 3
69875 .xword 12
69876 .xword 12
69877 .xword 1
69878 .xword 13
69879 .xword 15
69880 .xword 6
69881 .xword 5
69882 .xword 11
69883 .xword 12
69884 .xword 7
69885 .xword 10
69886 .xword 5
69887 .xword 14
69888 .xword 2
69889 .xword 15
69890 .xword 3
69891 .xword 8
69892 .xword 8
69893 .xword 2
69894 .xword 6
69895 .xword 11
69896 .xword 15
69897 .xword 7
69898 .xword 15
69899 .xword 2
69900 .xword 2
69901 .xword 15
69902 .xword 2
69903 .xword 9
69904 .xword 10
69905 .xword 6
69906 .xword 4
69907_t1_rc4_src:
69908 .xword 0x5d99358528d75923
69909 .xword 0x55817f9bd76c2100
69910 .xword 0x5af0bbc961bc17df
69911 .xword 0x73ce99b7376fa0e6
69912 .xword 0x0a35b50d8127da2a
69913 .xword 0xd4305978e67c3dec
69914 .xword 0x6ce45daee9476908
69915 .xword 0x18d50c346dcd725c
69916 .xword 0x8cf6e8c8663c1131
69917 .xword 0x53c477f3e12903ef
69918 .xword 0x99a758417346b45a
69919 .xword 0x06b12fdecd5862c4
69920 .xword 0x158473a5db86c340
69921 .xword 0xa3e522a988097bce
69922 .xword 0xa02ad4bfa6a05257
69923 .xword 0x80c24ebcc17547ce
69924 .xword 0xc5546e2e55f9033a
69925 .xword 0x79ded16ff04b6000
69926 .xword 0x78b29b67797d5302
69927 .xword 0x8a84e0994ec75375
69928 .xword 0xdd980799fed84bcb
69929 .xword 0xe57fbec253f7a673
69930 .xword 0xa03bdbe1257024b9
69931 .xword 0x55fae9fc46e73d63
69932 .xword 0x79823e9234040a12
69933 .xword 0xa43ba9eef0522247
69934 .xword 0x7804f26206a560d7
69935 .xword 0x339bb2b1e4fb82ff
69936 .xword 0x0405d64226f40349
69937 .xword 0x205dacc6bb900d9a
69938 .xword 0x1f9e0851f31c4965
69939 .xword 0x85fd04f9278bbae2
69940 .xword 0xa060ccb9b2f8069b
69941 .xword 0xc6fa4bd660fc6fd4
69942 .xword 0x25498dfeaaa81478
69943 .xword 0xf50fc66628baca88
69944 .xword 0x5648190b76e91da3
69945 .xword 0x7a8d2f25f8b6cd49
69946 .xword 0x63e3076471aac7af
69947 .xword 0x477d58e42918a146
69948 .xword 0xcbce7cedfdd6baa2
69949 .xword 0xa668da89070c4970
69950 .xword 0xd98793cde326818c
69951 .xword 0x362fec2ff72baceb
69952 .xword 0x2ed678090a20cbed
69953 .xword 0x7bba809759754e43
69954 .xword 0x2c54a91b6d68937d
69955 .xword 0x8dd91b723b9c0d62
69956 .xword 0x189057865079a67c
69957 .xword 0xd1801090cff99b38
69958 .xword 0x6af66308efd4be40
69959 .xword 0xbef4b8a841e7bb76
69960 .xword 0x5b387f03f36100ef
69961 .xword 0x7fd753257f00819a
69962 .xword 0x478451a6db08ba44
69963 .xword 0xec22df3db7f2e295
69964 .xword 0xf8fb9f091850be6c
69965 .xword 0x1ff67995c9894cb1
69966 .xword 0x3032fe1dced96721
69967 .xword 0x06004582e5270ed1
69968 .xword 0xf67ad9ef1fb42900
69969 .xword 0x1c9f6baf6af3620d
69970 .xword 0x03d244e4fb1dae3b
69971 .xword 0x594aa0cda788e0df
69972 .xword 0xa4e948e4cbd42e43
69973 .xword 0x3feb95305c74431a
69974 .xword 0xa304763983216096
69975 .xword 0x392b884711c00059
69976 .xword 0xf4c1599c0b5780fd
69977 .xword 0x52944a6ce486a86b
69978 .xword 0xf52a5d82e7a300ea
69979 .xword 0x2f3fdb37b2c45ddf
69980 .xword 0xdef73ab1fa23cc16
69981 .xword 0xb4c3a98ee53b1d26
69982 .xword 0x5d400502a1d42f1b
69983 .xword 0x810ffcdd8f300733
69984 .xword 0xcf08d9f678c762a3
69985 .xword 0x7e3456f2c525655f
69986 .xword 0xed7932d9ddf6ba9d
69987 .xword 0xed9089af98e551c5
69988 .xword 0xfff8c8284a6b75e7
69989 .xword 0xd97f7af5935fae7c
69990 .xword 0x45a5dac6157b86e4
69991 .xword 0xc5633709f682f0a9
69992 .xword 0xfaa437ce8c05057f
69993 .xword 0x7b9adf3cc7702c68
69994 .xword 0xa4a18b767e585262
69995 .xword 0x0852d21be61d1d3a
69996 .xword 0xa1cdd014705d48c9
69997 .xword 0x8c78219905c44960
69998 .xword 0x616f45613f889e43
69999 .xword 0xdcb31864bb4454d3
70000 .xword 0xc0d73cc4d3fbcde0
70001 .xword 0x3a1633910ea34c5a
70002 .xword 0xb97ce0af5448ed75
70003 .xword 0xa9a37de601d7d9a6
70004 .xword 0x18a7ea3f8cde2a8d
70005 .xword 0xda19cfb6c2a7edd7
70006 .xword 0x64d72224edd22032
70007 .xword 0xc9a7f7e4bace7218
70008 .xword 0x51b9f5e9c807f392
70009 .xword 0x36b389e32575f95f
70010 .xword 0xf346d7218a638991
70011 .xword 0xc6d9a79e07a657b5
70012 .xword 0xaecaffa03226712c
70013 .xword 0x1d11d8a1c33f3bc7
70014 .xword 0x147df7305f2533f7
70015 .xword 0x69690b65de98d3a5
70016 .xword 0x04d5b9d1270f2c72
70017 .xword 0x3aacac43876f617d
70018 .xword 0x91b873645201c03f
70019 .xword 0xd8a25a3af063d4e2
70020 .xword 0xec675d203e56f5b9
70021 .xword 0x0a2eeca9e24892bc
70022 .xword 0xe067cc2e3b2a4530
70023 .xword 0x5191a22284876e6a
70024 .xword 0x8f6aed9ed31b0a75
70025 .xword 0x719dfdb93edb811f
70026 .xword 0x004b3684eb42625e
70027 .xword 0xab2417b657c03a9a
70028 .xword 0xc7d846e24801abf6
70029 .xword 0x3814a84cc04afa8e
70030 .xword 0x23031c27b0a4f62e
70031 .xword 0x62d77463f36fea1b
70032 .xword 0xdd4aa0e91d463133
70033 .xword 0x3f8585aab76d0314
70034 .xword 0x3a682ba5f15aa920
70035 .xword 0x46d2ea4b40357ed8
70036 .xword 0x2c01aed8d0662e2a
70037 .xword 0x2c9b07398869b593
70038 .xword 0x6e4b3643b5f571bb
70039 .xword 0xc452ee007ced8261
70040 .xword 0x0711038b18ff8d6c
70041 .xword 0xeee3733a473da034
70042 .xword 0xd2b6fa99425d4478
70043 .xword 0x008df028015c91cc
70044 .xword 0xe7fcbb0374b9e346
70045 .xword 0x11214671f809d272
70046 .xword 0x8209077d227ef639
70047 .xword 0xb9e3759e3f1ddbe9
70048 .xword 0xdb4e8630ff0e00d7
70049 .xword 0xe9c14c25940ea33f
70050 .xword 0x122cde4700e40592
70051 .xword 0xf3757487552694e4
70052 .xword 0x30ecd84f80e085cf
70053 .xword 0xf7bfbcfb6cecb425
70054 .xword 0xb08263ef65650f43
70055 .xword 0x18d09498bce6b431
70056 .xword 0x3ab04905fd125ad2
70057 .xword 0xa48e0710db2303cf
70058 .xword 0x4aeea73debbdb0e2
70059 .xword 0xa30592212a778489
70060 .xword 0x58ffddc28e13e13a
70061 .xword 0x98ad71883d7e74e8
70062 .xword 0xb1dd70e70f583bba
70063 .xword 0x956a84c03eeb3ad3
70064 .xword 0x8c0f658f8a204d82
70065 .xword 0x230837fc82542213
70066 .xword 0x6ab2122c2af87f67
70067 .xword 0x060dad7c0ff68548
70068 .xword 0xba560fb393a8f930
70069 .xword 0x68997771329cbf07
70070 .xword 0xfe8b1ae48dee4c0a
70071 .xword 0xca7c8c6d7c3ce2b0
70072 .xword 0xb1e220e9629076c2
70073 .xword 0x0e2d163510ddd8da
70074 .xword 0xae1dd1af61ba0939
70075 .xword 0x201cf590cbd78fc7
70076 .xword 0x3f607cad156ad812
70077 .xword 0xa48edb1a130bfb5f
70078 .xword 0xa867c91346bbf103
70079 .xword 0x6a31f437e68db4f2
70080 .xword 0xead8d8fcdb575494
70081 .xword 0x0d86e75df64b69e8
70082 .xword 0xc466d1e79c9964ec
70083 .xword 0x88abb9194c2f8535
70084 .xword 0xacfe5f788015b702
70085 .xword 0x41e42dc0e909de41
70086 .xword 0x069cc64019a22301
70087 .xword 0x5fb38306be0287ca
70088 .xword 0x38b4be8abac2c1fe
70089 .xword 0x905e6d7108450cf5
70090 .xword 0x4793a860da4650ba
70091 .xword 0x673757d1ae1e9a67
70092 .xword 0x527aedd81ddaa420
70093 .xword 0x62ea56d10170f108
70094 .xword 0xf303232d7af16521
70095 .xword 0x0f3c9510edf077b0
70096 .xword 0x54567c7b636ef4e1
70097 .xword 0x66ea8f8d23fa9891
70098 .xword 0xe77e8d4a2437dfa6
70099 .xword 0x78dfe4329a8ca0f6
70100 .xword 0xa2e95b713e3bb3d0
70101 .xword 0x0ab62436c9c275eb
70102 .xword 0xcc93b94275e83c63
70103 .xword 0x4dc57283b36a6165
70104 .xword 0xb4beb199c396e46c
70105 .xword 0xd9abaf764a8e16bf
70106 .xword 0x05ccc4f227538305
70107 .xword 0x78a8a88d1abab840
70108 .xword 0xb1e844f9d2bec9a6
70109 .xword 0x0378c8c7d64c0045
70110 .xword 0xd95770a1060292bd
70111 .xword 0x1b558a8b85f6448c
70112 .xword 0xfab619f4f9fd35c6
70113 .xword 0x1303fe575e7cc99e
70114 .xword 0xb3c13ffb8741adc4
70115 .xword 0x5a478bbab3196f88
70116 .xword 0x24c1ecd99d616f12
70117 .xword 0xdc704d03294c1546
70118 .xword 0x80bd1f18793f0586
70119 .xword 0xdfcbc8fe837a5a9d
70120 .xword 0xa4c812ab8379fda0
70121 .xword 0xd06be6935234cefe
70122 .xword 0xfa511dde3f31f010
70123 .xword 0x1e2fdbbbd6994b9c
70124 .xword 0xdf6f21108bff5d15
70125 .xword 0xa12eafacbd96efd5
70126 .xword 0x74854c0f9e795c74
70127 .xword 0x41eedd9f3545f583
70128 .xword 0x40bb87495e71074e
70129 .xword 0x84c353c86d7d3733
70130 .xword 0xeedd98dbc089d85c
70131 .xword 0x9bb797a5937d3021
70132 .xword 0x357027a1ebe49a31
70133 .xword 0xe0d0a7baacbbb227
70134 .xword 0x00938c8ea28dffa3
70135 .xword 0xd0bd2b59dd1ce9d2
70136 .xword 0x707ad9deba16b04e
70137 .xword 0xda54b5e523ecc62d
70138 .xword 0x7ec3686ed36c1137
70139 .xword 0x20f14af153c5e2b6
70140 .xword 0xc9e9ef7032ce9d21
70141 .xword 0x1d3ceb69f565e744
70142 .xword 0x5df78f9b26357f79
70143 .xword 0x98092d1771b82daa
70144 .xword 0x72722c75dac7acbb
70145 .xword 0x4e11ec1b36d98590
70146 .xword 0x659ff10e7234ae00
70147 .xword 0x075165ebe4a1877b
70148 .xword 0xd860b165951b5c83
70149 .xword 0x284c6082f7e24816
70150 .xword 0x239832589a8c2818
70151 .xword 0x25dc28df93dec067
70152 .xword 0xfb0247c4dfed7f2a
70153 .xword 0x1ae9d99551393c0d
70154 .xword 0xed62321e67b7e90c
70155 .xword 0x27bc4477b71d2f6a
70156 .xword 0xf4e69d1a3ea04410
70157 .xword 0x89248be3090f3301
70158 .xword 0x4bb97cc6b16b7d63
70159 .xword 0xab95c9426d9ef767
70160 .xword 0xfa26b47ca9fd497d
70161 .xword 0x44e45cb59019552f
70162 .xword 0x44f28ccf697b4aa7
70163 .xword 0x94e5cdf18371db05
70164 .xword 0x3a01f536b2d89056
70165 .xword 0x92751ad3e7cd999e
70166 .xword 0xb23690757c8cdf4e
70167 .xword 0x4981c28eb8d0f069
70168 .xword 0x05a2c4ac10e71550
70169 .xword 0x8c095cb2c4116970
70170 .xword 0xc02e2c55c34361c2
70171 .xword 0xe234befc6ad2c9ed
70172 .xword 0x7968b25c20f0b74b
70173 .xword 0x7edff1385e737682
70174 .xword 0x2bb1a685649159d9
70175 .xword 0x2a3ca65fc3125ec4
70176 .xword 0x5c55703473aa3ec9
70177 .xword 0xa305df422fedb866
70178 .xword 0xeae43b97312a1737
70179 .xword 0x928160c1367797cb
70180 .xword 0xde9c15bcc1f96878
70181 .xword 0x63fd1720a80ab018
70182 .xword 0x399e3119480589de
70183_t1_rc4_dest:
70184 .xword 0xDEADBEEFDEADBEEF
70185 .xword 0xDEADBEEFDEADBEEF
70186 .xword 0xDEADBEEFDEADBEEF
70187 .xword 0xDEADBEEFDEADBEEF
70188 .xword 0xDEADBEEFDEADBEEF
70189 .xword 0xDEADBEEFDEADBEEF
70190 .xword 0xDEADBEEFDEADBEEF
70191 .xword 0xDEADBEEFDEADBEEF
70192 .xword 0xDEADBEEFDEADBEEF
70193 .xword 0xDEADBEEFDEADBEEF
70194 .xword 0xDEADBEEFDEADBEEF
70195 .xword 0xDEADBEEFDEADBEEF
70196 .xword 0xDEADBEEFDEADBEEF
70197 .xword 0xDEADBEEFDEADBEEF
70198 .xword 0xDEADBEEFDEADBEEF
70199 .xword 0xDEADBEEFDEADBEEF
70200 .xword 0xDEADBEEFDEADBEEF
70201 .xword 0xDEADBEEFDEADBEEF
70202 .xword 0xDEADBEEFDEADBEEF
70203 .xword 0xDEADBEEFDEADBEEF
70204 .xword 0xDEADBEEFDEADBEEF
70205 .xword 0xDEADBEEFDEADBEEF
70206 .xword 0xDEADBEEFDEADBEEF
70207 .xword 0xDEADBEEFDEADBEEF
70208 .xword 0xDEADBEEFDEADBEEF
70209 .xword 0xDEADBEEFDEADBEEF
70210 .xword 0xDEADBEEFDEADBEEF
70211 .xword 0xDEADBEEFDEADBEEF
70212 .xword 0xDEADBEEFDEADBEEF
70213 .xword 0xDEADBEEFDEADBEEF
70214 .xword 0xDEADBEEFDEADBEEF
70215 .xword 0xDEADBEEFDEADBEEF
70216 .xword 0xDEADBEEFDEADBEEF
70217 .xword 0xDEADBEEFDEADBEEF
70218 .xword 0xDEADBEEFDEADBEEF
70219 .xword 0xDEADBEEFDEADBEEF
70220 .xword 0xDEADBEEFDEADBEEF
70221 .xword 0xDEADBEEFDEADBEEF
70222 .xword 0xDEADBEEFDEADBEEF
70223 .xword 0xDEADBEEFDEADBEEF
70224 .xword 0xDEADBEEFDEADBEEF
70225 .xword 0xDEADBEEFDEADBEEF
70226 .xword 0xDEADBEEFDEADBEEF
70227 .xword 0xDEADBEEFDEADBEEF
70228 .xword 0xDEADBEEFDEADBEEF
70229 .xword 0xDEADBEEFDEADBEEF
70230 .xword 0xDEADBEEFDEADBEEF
70231 .xword 0xDEADBEEFDEADBEEF
70232 .xword 0xDEADBEEFDEADBEEF
70233 .xword 0xDEADBEEFDEADBEEF
70234 .xword 0xDEADBEEFDEADBEEF
70235 .xword 0xDEADBEEFDEADBEEF
70236 .xword 0xDEADBEEFDEADBEEF
70237 .xword 0xDEADBEEFDEADBEEF
70238 .xword 0xDEADBEEFDEADBEEF
70239 .xword 0xDEADBEEFDEADBEEF
70240 .xword 0xDEADBEEFDEADBEEF
70241 .xword 0xDEADBEEFDEADBEEF
70242 .xword 0xDEADBEEFDEADBEEF
70243 .xword 0xDEADBEEFDEADBEEF
70244 .xword 0xDEADBEEFDEADBEEF
70245 .xword 0xDEADBEEFDEADBEEF
70246 .xword 0xDEADBEEFDEADBEEF
70247 .xword 0xDEADBEEFDEADBEEF
70248 .xword 0xDEADBEEFDEADBEEF
70249 .xword 0xDEADBEEFDEADBEEF
70250 .xword 0xDEADBEEFDEADBEEF
70251 .xword 0xDEADBEEFDEADBEEF
70252 .xword 0xDEADBEEFDEADBEEF
70253 .xword 0xDEADBEEFDEADBEEF
70254 .xword 0xDEADBEEFDEADBEEF
70255 .xword 0xDEADBEEFDEADBEEF
70256 .xword 0xDEADBEEFDEADBEEF
70257 .xword 0xDEADBEEFDEADBEEF
70258 .xword 0xDEADBEEFDEADBEEF
70259 .xword 0xDEADBEEFDEADBEEF
70260 .xword 0xDEADBEEFDEADBEEF
70261 .xword 0xDEADBEEFDEADBEEF
70262 .xword 0xDEADBEEFDEADBEEF
70263 .xword 0xDEADBEEFDEADBEEF
70264 .xword 0xDEADBEEFDEADBEEF
70265 .xword 0xDEADBEEFDEADBEEF
70266 .xword 0xDEADBEEFDEADBEEF
70267 .xword 0xDEADBEEFDEADBEEF
70268 .xword 0xDEADBEEFDEADBEEF
70269 .xword 0xDEADBEEFDEADBEEF
70270 .xword 0xDEADBEEFDEADBEEF
70271 .xword 0xDEADBEEFDEADBEEF
70272 .xword 0xDEADBEEFDEADBEEF
70273 .xword 0xDEADBEEFDEADBEEF
70274 .xword 0xDEADBEEFDEADBEEF
70275 .xword 0xDEADBEEFDEADBEEF
70276 .xword 0xDEADBEEFDEADBEEF
70277 .xword 0xDEADBEEFDEADBEEF
70278 .xword 0xDEADBEEFDEADBEEF
70279 .xword 0xDEADBEEFDEADBEEF
70280 .xword 0xDEADBEEFDEADBEEF
70281 .xword 0xDEADBEEFDEADBEEF
70282 .xword 0xDEADBEEFDEADBEEF
70283 .xword 0xDEADBEEFDEADBEEF
70284 .xword 0xDEADBEEFDEADBEEF
70285 .xword 0xDEADBEEFDEADBEEF
70286 .xword 0xDEADBEEFDEADBEEF
70287 .xword 0xDEADBEEFDEADBEEF
70288 .xword 0xDEADBEEFDEADBEEF
70289 .xword 0xDEADBEEFDEADBEEF
70290 .xword 0xDEADBEEFDEADBEEF
70291 .xword 0xDEADBEEFDEADBEEF
70292 .xword 0xDEADBEEFDEADBEEF
70293 .xword 0xDEADBEEFDEADBEEF
70294 .xword 0xDEADBEEFDEADBEEF
70295 .xword 0xDEADBEEFDEADBEEF
70296 .xword 0xDEADBEEFDEADBEEF
70297 .xword 0xDEADBEEFDEADBEEF
70298 .xword 0xDEADBEEFDEADBEEF
70299 .xword 0xDEADBEEFDEADBEEF
70300 .xword 0xDEADBEEFDEADBEEF
70301 .xword 0xDEADBEEFDEADBEEF
70302 .xword 0xDEADBEEFDEADBEEF
70303 .xword 0xDEADBEEFDEADBEEF
70304 .xword 0xDEADBEEFDEADBEEF
70305 .xword 0xDEADBEEFDEADBEEF
70306 .xword 0xDEADBEEFDEADBEEF
70307 .xword 0xDEADBEEFDEADBEEF
70308 .xword 0xDEADBEEFDEADBEEF
70309 .xword 0xDEADBEEFDEADBEEF
70310 .xword 0xDEADBEEFDEADBEEF
70311 .xword 0xDEADBEEFDEADBEEF
70312 .xword 0xDEADBEEFDEADBEEF
70313 .xword 0xDEADBEEFDEADBEEF
70314 .xword 0xDEADBEEFDEADBEEF
70315 .xword 0xDEADBEEFDEADBEEF
70316 .xword 0xDEADBEEFDEADBEEF
70317 .xword 0xDEADBEEFDEADBEEF
70318 .xword 0xDEADBEEFDEADBEEF
70319 .xword 0xDEADBEEFDEADBEEF
70320 .xword 0xDEADBEEFDEADBEEF
70321 .xword 0xDEADBEEFDEADBEEF
70322 .xword 0xDEADBEEFDEADBEEF
70323 .xword 0xDEADBEEFDEADBEEF
70324 .xword 0xDEADBEEFDEADBEEF
70325 .xword 0xDEADBEEFDEADBEEF
70326 .xword 0xDEADBEEFDEADBEEF
70327 .xword 0xDEADBEEFDEADBEEF
70328 .xword 0xDEADBEEFDEADBEEF
70329 .xword 0xDEADBEEFDEADBEEF
70330 .xword 0xDEADBEEFDEADBEEF
70331 .xword 0xDEADBEEFDEADBEEF
70332 .xword 0xDEADBEEFDEADBEEF
70333 .xword 0xDEADBEEFDEADBEEF
70334 .xword 0xDEADBEEFDEADBEEF
70335 .xword 0xDEADBEEFDEADBEEF
70336 .xword 0xDEADBEEFDEADBEEF
70337 .xword 0xDEADBEEFDEADBEEF
70338 .xword 0xDEADBEEFDEADBEEF
70339 .xword 0xDEADBEEFDEADBEEF
70340 .xword 0xDEADBEEFDEADBEEF
70341 .xword 0xDEADBEEFDEADBEEF
70342 .xword 0xDEADBEEFDEADBEEF
70343 .xword 0xDEADBEEFDEADBEEF
70344 .xword 0xDEADBEEFDEADBEEF
70345 .xword 0xDEADBEEFDEADBEEF
70346 .xword 0xDEADBEEFDEADBEEF
70347 .xword 0xDEADBEEFDEADBEEF
70348 .xword 0xDEADBEEFDEADBEEF
70349 .xword 0xDEADBEEFDEADBEEF
70350 .xword 0xDEADBEEFDEADBEEF
70351 .xword 0xDEADBEEFDEADBEEF
70352 .xword 0xDEADBEEFDEADBEEF
70353 .xword 0xDEADBEEFDEADBEEF
70354 .xword 0xDEADBEEFDEADBEEF
70355 .xword 0xDEADBEEFDEADBEEF
70356 .xword 0xDEADBEEFDEADBEEF
70357 .xword 0xDEADBEEFDEADBEEF
70358 .xword 0xDEADBEEFDEADBEEF
70359 .xword 0xDEADBEEFDEADBEEF
70360 .xword 0xDEADBEEFDEADBEEF
70361 .xword 0xDEADBEEFDEADBEEF
70362 .xword 0xDEADBEEFDEADBEEF
70363 .xword 0xDEADBEEFDEADBEEF
70364 .xword 0xDEADBEEFDEADBEEF
70365 .xword 0xDEADBEEFDEADBEEF
70366 .xword 0xDEADBEEFDEADBEEF
70367 .xword 0xDEADBEEFDEADBEEF
70368 .xword 0xDEADBEEFDEADBEEF
70369 .xword 0xDEADBEEFDEADBEEF
70370 .xword 0xDEADBEEFDEADBEEF
70371 .xword 0xDEADBEEFDEADBEEF
70372 .xword 0xDEADBEEFDEADBEEF
70373 .xword 0xDEADBEEFDEADBEEF
70374 .xword 0xDEADBEEFDEADBEEF
70375 .xword 0xDEADBEEFDEADBEEF
70376 .xword 0xDEADBEEFDEADBEEF
70377 .xword 0xDEADBEEFDEADBEEF
70378 .xword 0xDEADBEEFDEADBEEF
70379 .xword 0xDEADBEEFDEADBEEF
70380 .xword 0xDEADBEEFDEADBEEF
70381 .xword 0xDEADBEEFDEADBEEF
70382 .xword 0xDEADBEEFDEADBEEF
70383 .xword 0xDEADBEEFDEADBEEF
70384 .xword 0xDEADBEEFDEADBEEF
70385 .xword 0xDEADBEEFDEADBEEF
70386 .xword 0xDEADBEEFDEADBEEF
70387 .xword 0xDEADBEEFDEADBEEF
70388 .xword 0xDEADBEEFDEADBEEF
70389 .xword 0xDEADBEEFDEADBEEF
70390 .xword 0xDEADBEEFDEADBEEF
70391 .xword 0xDEADBEEFDEADBEEF
70392 .xword 0xDEADBEEFDEADBEEF
70393 .xword 0xDEADBEEFDEADBEEF
70394 .xword 0xDEADBEEFDEADBEEF
70395 .xword 0xDEADBEEFDEADBEEF
70396 .xword 0xDEADBEEFDEADBEEF
70397 .xword 0xDEADBEEFDEADBEEF
70398 .xword 0xDEADBEEFDEADBEEF
70399 .xword 0xDEADBEEFDEADBEEF
70400 .xword 0xDEADBEEFDEADBEEF
70401 .xword 0xDEADBEEFDEADBEEF
70402 .xword 0xDEADBEEFDEADBEEF
70403 .xword 0xDEADBEEFDEADBEEF
70404 .xword 0xDEADBEEFDEADBEEF
70405 .xword 0xDEADBEEFDEADBEEF
70406 .xword 0xDEADBEEFDEADBEEF
70407 .xword 0xDEADBEEFDEADBEEF
70408 .xword 0xDEADBEEFDEADBEEF
70409 .xword 0xDEADBEEFDEADBEEF
70410 .xword 0xDEADBEEFDEADBEEF
70411 .xword 0xDEADBEEFDEADBEEF
70412 .xword 0xDEADBEEFDEADBEEF
70413 .xword 0xDEADBEEFDEADBEEF
70414 .xword 0xDEADBEEFDEADBEEF
70415 .xword 0xDEADBEEFDEADBEEF
70416 .xword 0xDEADBEEFDEADBEEF
70417 .xword 0xDEADBEEFDEADBEEF
70418 .xword 0xDEADBEEFDEADBEEF
70419 .xword 0xDEADBEEFDEADBEEF
70420 .xword 0xDEADBEEFDEADBEEF
70421 .xword 0xDEADBEEFDEADBEEF
70422 .xword 0xDEADBEEFDEADBEEF
70423 .xword 0xDEADBEEFDEADBEEF
70424 .xword 0xDEADBEEFDEADBEEF
70425 .xword 0xDEADBEEFDEADBEEF
70426 .xword 0xDEADBEEFDEADBEEF
70427 .xword 0xDEADBEEFDEADBEEF
70428 .xword 0xDEADBEEFDEADBEEF
70429 .xword 0xDEADBEEFDEADBEEF
70430 .xword 0xDEADBEEFDEADBEEF
70431 .xword 0xDEADBEEFDEADBEEF
70432 .xword 0xDEADBEEFDEADBEEF
70433 .xword 0xDEADBEEFDEADBEEF
70434 .xword 0xDEADBEEFDEADBEEF
70435 .xword 0xDEADBEEFDEADBEEF
70436 .xword 0xDEADBEEFDEADBEEF
70437 .xword 0xDEADBEEFDEADBEEF
70438 .xword 0xDEADBEEFDEADBEEF
70439 .xword 0xDEADBEEFDEADBEEF
70440 .xword 0xDEADBEEFDEADBEEF
70441 .xword 0xDEADBEEFDEADBEEF
70442 .xword 0xDEADBEEFDEADBEEF
70443 .xword 0xDEADBEEFDEADBEEF
70444 .xword 0xDEADBEEFDEADBEEF
70445 .xword 0xDEADBEEFDEADBEEF
70446 .xword 0xDEADBEEFDEADBEEF
70447 .xword 0xDEADBEEFDEADBEEF
70448 .xword 0xDEADBEEFDEADBEEF
70449 .xword 0xDEADBEEFDEADBEEF
70450 .xword 0xDEADBEEFDEADBEEF
70451 .xword 0xDEADBEEFDEADBEEF
70452 .xword 0xDEADBEEFDEADBEEF
70453 .xword 0xDEADBEEFDEADBEEF
70454 .xword 0xDEADBEEFDEADBEEF
70455 .xword 0xDEADBEEFDEADBEEF
70456 .xword 0xDEADBEEFDEADBEEF
70457 .xword 0xDEADBEEFDEADBEEF
70458 .xword 0xDEADBEEFDEADBEEF
70459_t1_rc4_auth_key:
70460 .xword 0xc54aa5e880b87031
70461 .xword 0x3b2aef9e6ce3ce10
70462 .xword 0x0ae72f4a02b48af7
70463 .xword 0xe486a354368d620e
70464 .xword 0x0252d11beaf151b6
70465 .xword 0xf7be3fd01ba1dd9c
70466 .xword 0x29695e6f73167ce3
70467 .xword 0x577d16b5771220f0
70468 .xword 0x61ec548a3cb1e16b
70469 .xword 0x077b061471e41ad4
70470 .xword 0x1e83b73ec25108c7
70471 .xword 0x83c9d082687e2ce0
70472 .xword 0xbd2d93f64267b3be
70473 .xword 0xc1c123f00bcc50f1
70474 .xword 0x1a511172766a963f
70475 .xword 0xd2586617fff80b7a
70476 .xword 0x19727ea25cdb215c
70477 .xword 0xc9b0c33971d57856
70478 .xword 0xc38646c2bdeb992e
70479 .xword 0xe4edcc62ea93ed77
70480 .xword 0xdc6e50d7161ca5a8
70481 .xword 0x3c3a80338ebb09e3
70482 .xword 0xd30ecdae90477af8
70483_t1_rc4_auth_iv:
70484 .xword 0x294de2bd638bcc85
70485 .xword 0x008d295c00289962
70486 .xword 0x68e603fe8241f86b
70487 .xword 0x420452f1a453f839
70488 .xword 0xb1556bef5f4bffc5
70489 .xword 0x21296ef65d2d3df9
70490 .xword 0xa1100b9b62212d91
70491 .xword 0x83df8857f5037248
70492 .xword 0x9e465e5e627924e8
70493 .xword 0x9d58f784618baeb8
70494 .xword 0xb51918ddadc0a70b
70495 .xword 0x1638f25bd9a1d7be
70496 .xword 0xa5293f33bc4edeef
70497 .xword 0x2ef6045706fe4a63
70498 .xword 0x11f83caffc5bbc97
70499 .xword 0x8e5bbb7dcba468ee
70500 .xword 0xd1eb1968168c156d
70501 .xword 0x6f12221fc7c44a75
70502 .xword 0x7d38b813244f62f4
70503 .xword 0x61b4e450485560e8
70504 .xword 0x30d1e510ace47fa2
70505 .xword 0x85bed84b3c955981
70506 .xword 0x49752e9ac594f462
70507_t1_rc4_fas_result:
70508 .xword 0xDEADBEEFDEADBEEF
70509 .xword 0xDEADBEEFDEADBEEF
70510 .xword 0xDEADBEEFDEADBEEF
70511 .xword 0xDEADBEEFDEADBEEF
70512 .xword 0xDEADBEEFDEADBEEF
70513 .xword 0xDEADBEEFDEADBEEF
70514 .xword 0xDEADBEEFDEADBEEF
70515 .xword 0xDEADBEEFDEADBEEF
70516 .xword 0xDEADBEEFDEADBEEF
70517 .xword 0xDEADBEEFDEADBEEF
70518 .xword 0xDEADBEEFDEADBEEF
70519 .xword 0xDEADBEEFDEADBEEF
70520 .xword 0xDEADBEEFDEADBEEF
70521 .xword 0xDEADBEEFDEADBEEF
70522 .xword 0xDEADBEEFDEADBEEF
70523 .xword 0xDEADBEEFDEADBEEF
70524 .xword 0xDEADBEEFDEADBEEF
70525 .xword 0xDEADBEEFDEADBEEF
70526 .xword 0xDEADBEEFDEADBEEF
70527 .xword 0xDEADBEEFDEADBEEF
70528 .xword 0xDEADBEEFDEADBEEF
70529 .xword 0xDEADBEEFDEADBEEF
70530 .xword 0xDEADBEEFDEADBEEF
70531_t1_sslkey_key_array:
70532 .xword 0x787e6acb878400d4
70533 .xword 0x50ccbdafb779bc69
70534 .xword 0xcc9ce6ef9cad1c17
70535 .xword 0x7454107dcb625f49
70536 .xword 0x92e0daee64cdd900
70537 .xword 0xe8beb398799c24a6
70538 .xword 0x343cae3a91ff6439
70539 .xword 0x7aa2dcd1778e5d29
70540 .xword 0x1a24e2a71eabe0d4
70541 .xword 0xe74225ea66afb746
70542 .xword 0x234628686e7d4a20
70543 .xword 0x532c7ed355e00a4b
70544 .xword 0x7f10390e48c70c9d
70545 .xword 0x425b12899f1094f0
70546 .xword 0x6462b02629af278b
70547 .xword 0x1095e1dc72de4f00
70548 .xword 0x9fb221559a71467a
70549 .xword 0x7d4d04eb8a0569cd
70550 .xword 0xabca6386cc2ca013
70551 .xword 0x2ee6d0ee0154644a
70552 .xword 0xcda9d649421823b3
70553 .xword 0x65593f559ceb682c
70554 .xword 0x5f6fa09f80d91d80
70555 .xword 0x615b0808bed35693
70556 .xword 0x7e48937e89dfe448
70557 .xword 0xc441a9f76fb28183
70558 .xword 0xfb804721238da6cb
70559 .xword 0x6a66cd5cef8be905
70560 .xword 0x60a0cc2c70bd9130
70561 .xword 0x108000a33717c10a
70562 .xword 0xdcaa6ea329d2e695
70563 .xword 0xf24133cab7467d83
70564 .xword 0x49a58a3cf750730f
70565 .xword 0x2ee43ed0cf6389e7
70566 .xword 0x43287644081f1191
70567 .xword 0x355a54200c7f1b66
70568 .xword 0x8ac96c9ebf9dc6b0
70569 .xword 0x05f7d80f7f00b935
70570 .xword 0x7b2d3286602e3b72
70571 .xword 0x49b70d569229617f
70572 .xword 0xa419614e8d8e7432
70573 .xword 0x3dd13cbd3da6ef60
70574 .xword 0xee827a73a53eac61
70575 .xword 0x8c19d3482acc5f6b
70576 .xword 0x3631a6ea17769bdd
70577 .xword 0x632797a8c4103601
70578 .xword 0xa0328535750ae252
70579 .xword 0x164f7bdd7c3f2938
70580 .xword 0xe1226a858f85ac86
70581 .xword 0x347d447d74075cef
70582 .xword 0xffc2798924c4a3de
70583_t1_sslkey_iv_array:
70584 .xword 0x9237f53ad1cdae4f
70585 .xword 0xc73a9811757cf208
70586 .xword 0xc7144d8024171591
70587 .xword 0x9f252cb80cad51c5
70588 .xword 0xe44edf4c11c04901
70589 .xword 0x79dea09dcf6fbc4d
70590 .xword 0xb5873c60834190e4
70591 .xword 0x065d99cd723f2efd
70592 .xword 0x0a0ab6fbe99b64c7
70593 .xword 0xbd6503a557562998
70594 .xword 0xb9c2162afcfb6f9b
70595 .xword 0x8379509b25659134
70596 .xword 0x7424ee78af5b079c
70597 .xword 0x89dac428fa4a22f0
70598 .xword 0x6990542569daa172
70599 .xword 0xa38af2d2f839517f
70600 .xword 0x85c984d46e053516
70601 .xword 0x3f223ef4aad52495
70602 .xword 0xb16f27401127f5e8
70603 .xword 0x5dcc994ef41f3460
70604 .xword 0xe4d883b339b03db4
70605 .xword 0x16478f8fbebdf5d1
70606 .xword 0xedab977f555d14bd
70607 .xword 0xacd9bfbd5451c7e4
70608 .xword 0x7bdda16d839dfde5
70609 .xword 0x498842a09258aa76
70610 .xword 0xe5ba4b4c2db3f3f1
70611 .xword 0xe241a08f2721d8d3
70612 .xword 0x280779761281a41a
70613 .xword 0x8d4f5124009028dc
70614 .xword 0x3a7440903d50e98d
70615 .xword 0xbebd04f61836079e
70616 .xword 0x94d50ffbe75fde07
70617 .xword 0x7f61ffa87e0e4223
70618 .xword 0x7a886167414f9634
70619 .xword 0x71f32b34650c9da0
70620 .xword 0xc51530b274fd9f63
70621 .xword 0x849450e28ddf3159
70622 .xword 0xc13b72edbf2214e2
70623 .xword 0xa07fbe0e88796e1a
70624 .xword 0x6d0e81680f6d5269
70625 .xword 0x0bfaf811428fa8bb
70626 .xword 0x5a829ee6971630c9
70627 .xword 0xaaf94981db377506
70628 .xword 0x07b6d15773e0710d
70629_t1_sslkey_alignment_array:
70630 .xword 0
70631 .xword 0
70632 .xword 0
70633 .xword 0
70634 .xword 0
70635 .xword 0
70636 .xword 0
70637 .xword 0
70638 .xword 0
70639 .xword 0
70640 .xword 0
70641 .xword 0
70642 .xword 0
70643 .xword 0
70644 .xword 0
70645 .xword 0
70646 .xword 0
70647 .xword 0
70648 .xword 0
70649 .xword 0
70650 .xword 0
70651 .xword 0
70652 .xword 0
70653 .xword 0
70654 .xword 0
70655 .xword 0
70656 .xword 0
70657 .xword 0
70658 .xword 0
70659 .xword 0
70660 .xword 0
70661 .xword 0
70662 .xword 0
70663 .xword 0
70664 .xword 0
70665 .xword 0
70666 .xword 0
70667 .xword 0
70668 .xword 0
70669 .xword 0
70670 .xword 0
70671 .xword 0
70672 .xword 0
70673 .xword 0
70674 .xword 0
70675 .xword 0
70676 .xword 0
70677 .xword 0
70678 .xword 0
70679 .xword 0
70680 .xword 0
70681 .xword 0
70682 .xword 0
70683 .xword 0
70684 .xword 0
70685 .xword 0
70686 .xword 0
70687 .xword 0
70688 .xword 0
70689 .xword 0
70690 .xword 0
70691 .xword 0
70692 .xword 0
70693 .xword 0
70694 .xword 0
70695 .xword 0
70696 .xword 0
70697 .xword 0
70698 .xword 0
70699 .xword 0
70700 .xword 0
70701 .xword 0
70702 .xword 0
70703 .xword 0
70704 .xword 0
70705 .xword 0
70706 .xword 0
70707 .xword 0
70708 .xword 0
70709 .xword 0
70710 .xword 0
70711 .xword 0
70712 .xword 0
70713 .xword 0
70714 .xword 0
70715 .xword 0
70716 .xword 0
70717 .xword 0
70718 .xword 0
70719 .xword 0
70720 .xword 0
70721 .xword 0
70722 .xword 0
70723 .xword 0
70724 .xword 0
70725 .xword 0
70726 .xword 0
70727 .xword 0
70728 .xword 0
70729 .xword 0
70730 .xword 0
70731 .xword 0
70732 .xword 0
70733 .xword 0
70734 .xword 0
70735_t1_sslkey_src:
70736 .xword 0xda3eba39238fd713
70737 .xword 0xa7416e2b55939748
70738 .xword 0x7e39fa902bb20f80
70739 .xword 0x5318dede10abb050
70740 .xword 0x3aab996cbffff2f9
70741 .xword 0xd42d258aef72e7f9
70742 .xword 0xae00e37c9007e38e
70743 .xword 0xa309e8195ec3da4b
70744 .xword 0x55650157cefc08af
70745 .xword 0x34ded1c4562c1249
70746 .xword 0xd9a1c729fd690c12
70747 .xword 0x5402f9bb21a2f046
70748 .xword 0x90ede5d64eebbb6f
70749 .xword 0xc3c7b638131d550f
70750 .xword 0xcdd4971c623d01e3
70751 .xword 0xfd9d477accf897cd
70752 .xword 0x097247cf5a572f69
70753 .xword 0x1b7e0baa86c01ecf
70754 .xword 0xb220b0b2efcaf327
70755 .xword 0xaa77e584c5a879d7
70756 .xword 0xfea0ef5bc15ebdfc
70757 .xword 0xb421a6f78fe3ba81
70758 .xword 0x0bbd4d22c312339c
70759 .xword 0x507f6d8e6cc6760b
70760 .xword 0x167c8698bc5646ac
70761 .xword 0xeab86e98cc22f30a
70762 .xword 0x03784365cd586d17
70763 .xword 0x46b6ea1fa7db7b6c
70764 .xword 0x85826394c83bc6ba
70765 .xword 0x131c1d2fc5d60a91
70766 .xword 0x97ea64fc25df25a6
70767 .xword 0x046ca3cca6efda05
70768 .xword 0x3f6ca3fbae7fb613
70769 .xword 0x84a8e36a675c9b08
70770 .xword 0xdcce3395dce22ef9
70771 .xword 0xe208c5dbaf85aa5d
70772 .xword 0xe0a1135f0dd29acd
70773 .xword 0xf10a6c460e99ae90
70774 .xword 0x7d90a5006e6d28c3
70775 .xword 0x56e502c4bdfa2c73
70776 .xword 0xb611d9e8f557f615
70777 .xword 0x45c28509e7b99ef8
70778 .xword 0xb38f17c7391b2432
70779 .xword 0x2e6a03989583e342
70780 .xword 0x37d1140bb11a0958
70781 .xword 0x3e44d5e0d3e3b513
70782 .xword 0x099fc4bab807985c
70783 .xword 0xcee4ae177c57c36f
70784 .xword 0xcd0ad414286d7b44
70785 .xword 0x49df6fe901544b22
70786 .xword 0x5ec791f1b0edcad1
70787 .xword 0x895be17aecd18cd9
70788 .xword 0xfd3581406e046d39
70789 .xword 0xaeb713074a550a78
70790 .xword 0x489cdd3b0c4315ba
70791 .xword 0x5a0d3cb31bdc79f0
70792 .xword 0xac2385ae4a63df44
70793 .xword 0xd2ce7110b38b3d63
70794 .xword 0x61a52b3b6819791b
70795 .xword 0xdd137b6651ace556
70796 .xword 0x83fc41c016e785ee
70797 .xword 0x09529473888fe03c
70798 .xword 0xdbeaefc268d89671
70799 .xword 0x06f04beff0c3e548
70800 .xword 0x1272c5f8e6157101
70801 .xword 0x6695d2a402d90f01
70802 .xword 0x4c8a4f47dee3c58b
70803 .xword 0x9da3d2e9ce530c61
70804 .xword 0xfd7ca995acb4ffad
70805 .xword 0xb3c03c052b2a1b67
70806 .xword 0x5153ad55c9a0d5d2
70807 .xword 0xc715058489acd3b4
70808 .xword 0xa4dda8bc7c690d9b
70809 .xword 0x3d879cbe923959dd
70810 .xword 0xd404b0296d8ee25d
70811 .xword 0xb7ac9f411b5be7c3
70812 .xword 0x6966b809685ebe55
70813 .xword 0x5bd6472f7003139f
70814 .xword 0xf5788061cddd9cdc
70815 .xword 0x6a8a521035951c0e
70816 .xword 0x6149c9c6b28e0822
70817 .xword 0x5cbff04823bef29a
70818 .xword 0x573584be34ac1897
70819 .xword 0xed696c70e456c483
70820 .xword 0xfc331f7f1843e904
70821 .xword 0x8bb19a07c4391da4
70822 .xword 0x00819ff6cd2fcd85
70823 .xword 0xa4647551c90cb073
70824 .xword 0x1b7a193f8b75c3fa
70825 .xword 0xc6a666db69bcb99e
70826 .xword 0xb29cf436561e8ded
70827 .xword 0x138e50adc8157ec1
70828 .xword 0x69d7a25f3d523d7e
70829 .xword 0x9e1d1c7a46a626aa
70830 .xword 0x9b2a57c691c54de2
70831 .xword 0x673b7af690778afd
70832 .xword 0x71d2a3120a422759
70833 .xword 0x2f981124e47e488f
70834 .xword 0x5e9c2e5b98b4bb6b
70835 .xword 0x5ccfd5bd2648cd6a
70836 .xword 0x8c5c1a8c61fb204e
70837 .xword 0xe280fd5cbece3ce4
70838 .xword 0x8d1ff8a1515647fb
70839 .xword 0xc1e93629a9dc1587
70840 .xword 0xbba2d69f911445c5
70841 .xword 0x2c7edc55f853ff02
70842 .xword 0xc28195fa3494e84f
70843 .xword 0x843b3e83da72b954
70844 .xword 0xa6f7c54641d938f1
70845 .xword 0x1bc57feae33ee517
70846 .xword 0x7d16519f4c21bab0
70847 .xword 0x02b95718f46a37d5
70848 .xword 0xd992074eee2fc0ee
70849 .xword 0xcbffcf9b4ec0b332
70850 .xword 0x37ab3b6511d5839d
70851 .xword 0x27fd787a9f362aa2
70852 .xword 0xc055378cf8e849c5
70853 .xword 0x71c2630f985a9a2c
70854 .xword 0x80aa6eb46b55ec85
70855 .xword 0x5a331502ca22f3c9
70856 .xword 0x8dbaa84ff3ffa2ee
70857 .xword 0x0fa0997c77749175
70858 .xword 0x05db877d4c181fc3
70859 .xword 0x1f666db3b0673e97
70860 .xword 0x372a0f8a9c83331d
70861 .xword 0x20f157d1c15556fe
70862 .xword 0xd4883d3803a84afd
70863 .xword 0xdedc31088ec7a988
70864 .xword 0x315df31c7df9af76
70865 .xword 0x8cdb1a34b6ec8cf5
70866 .xword 0x0871193ad91bd28b
70867 .xword 0x55337d84d939fb01
70868 .xword 0xfded5334a96a2658
70869 .xword 0x1c29f480632e6712
70870 .xword 0xbb9d6be8d229e442
70871 .xword 0x5d99e6414945e96c
70872 .xword 0x90e56ab119b457f6
70873 .xword 0x77adab03153be20a
70874 .xword 0xceabb5b037b511df
70875 .xword 0x4ddf3abc987119d9
70876 .xword 0xfb34d5069c4e3a2c
70877 .xword 0xae1606dcfc178c12
70878 .xword 0xdd90ab67618f746d
70879 .xword 0x62b3ceb94e75401f
70880 .xword 0xcd6ad477a44ce387
70881 .xword 0x2cf33f079a09c071
70882 .xword 0x04c5df89f38e234b
70883 .xword 0xe89aaecccd03d54a
70884 .xword 0x13c897189803c327
70885 .xword 0x79b42d047a015307
70886 .xword 0x419a51eddc8a430e
70887 .xword 0xf87220b30a964e92
70888 .xword 0xab8a0401277754af
70889 .xword 0x4ce799ab9e9469dd
70890 .xword 0x7ba3ba5447dac4e0
70891 .xword 0x5edf58301cf35398
70892 .xword 0x6b9771642e4b4c7a
70893 .xword 0xdb387b30290b250c
70894 .xword 0xcf08c8bf725d0ee1
70895 .xword 0xe903a44456974d97
70896 .xword 0xb1f27d1b95e72592
70897 .xword 0x2e4c2b073dce096a
70898 .xword 0x5806a478b3b2941a
70899 .xword 0x30c54e1ff5c5355e
70900 .xword 0x6b3b2ccba598df88
70901 .xword 0xeb00327beb41f918
70902 .xword 0x64b6c4f9f1b00fc5
70903 .xword 0x976ce6aec07910c7
70904 .xword 0xc0ad750306dafaac
70905 .xword 0xe7bc0ebfcc60d801
70906 .xword 0xad16c76fe538c068
70907 .xword 0x5d9fcbded8449ced
70908 .xword 0xc8ededddb0a9dcea
70909 .xword 0x362d0840424b2efa
70910 .xword 0xadaa96139bd5d71c
70911 .xword 0xa7276b9447bb9ea7
70912 .xword 0x87140f44f561d8b7
70913 .xword 0xb3882337251de16b
70914 .xword 0x9e4cbfc5c2c3270b
70915 .xword 0xbe2e57b98129e5ae
70916 .xword 0x927cca0fc27cd25f
70917 .xword 0x5d5866d012f55a91
70918 .xword 0x26401189c04239df
70919 .xword 0x4d09242f1ef3e3c2
70920 .xword 0xfd67322885133f3b
70921 .xword 0xe1e81dd704d4a304
70922 .xword 0x380d72c3172c7680
70923 .xword 0x3b417f57941529df
70924 .xword 0x508e457f3e26aa6c
70925 .xword 0xee11b741b6fca6d7
70926 .xword 0x49becc83e5b87c6c
70927 .xword 0xb1b50896cb6b0c30
70928 .xword 0xec9e64a4d12a584e
70929 .xword 0xeb79348141e67121
70930 .xword 0x94aa977388564f3a
70931 .xword 0x0c0945ab15d0a328
70932 .xword 0x6e52c355b0738880
70933 .xword 0x8e2a55d1fbe155ac
70934 .xword 0xe7d66f8132878b0f
70935 .xword 0xa8a339c1ad2eb296
70936 .xword 0x323a4d6da22dd3e1
70937 .xword 0x4891f4d3996fd545
70938 .xword 0x408ffd368b6964ad
70939 .xword 0x381d40671fbc8aca
70940 .xword 0xe987872ccec80efa
70941 .xword 0x9fe6cd3129b96cb5
70942 .xword 0x987833f62649c11c
70943 .xword 0x55f6b3880f837f4c
70944 .xword 0x10239bf22f3956c9
70945 .xword 0x0a4eb55cb446b5c9
70946 .xword 0xec79b0606b0ece6e
70947 .xword 0x04fc3cbfc2fe7ee6
70948 .xword 0x62b0e634d23fe4d7
70949 .xword 0xd9b64332767e7e06
70950 .xword 0xdb6f88eeceacb2c7
70951 .xword 0x0fce860b6c9c2ed3
70952 .xword 0x453655fa65e2927b
70953 .xword 0x52e601862d21beb0
70954 .xword 0x94ac55c8fe6a3506
70955 .xword 0xfcc8cc6e3324d0f4
70956 .xword 0x02b60b13b7534e1e
70957 .xword 0xc138db107fea9ee0
70958 .xword 0x53040b96b7024557
70959 .xword 0x97ac5811f16f549e
70960 .xword 0xa808fb9289c686cd
70961 .xword 0x71a9e6db628fc2b2
70962 .xword 0x36f008ba9751f347
70963 .xword 0x54eaa55a6ba395c9
70964 .xword 0x621a4be185ff2e52
70965 .xword 0x19442d9cce52c5dc
70966 .xword 0x4dd793cf847e1fef
70967 .xword 0x03462e686b397ffe
70968 .xword 0x8dde1c0356879b52
70969 .xword 0x9fea68355ee39367
70970 .xword 0x276cd1844c5c868a
70971 .xword 0x1296d15aa5886f32
70972 .xword 0xc7e8330274305edd
70973 .xword 0x850996e0ceb03655
70974 .xword 0xe5fb03a0fb9dc050
70975 .xword 0x1e779bed347a4a7b
70976 .xword 0x69ab960bb192f6b9
70977 .xword 0x2a3548a3e3cf3c41
70978 .xword 0x306b6313a6196c24
70979 .xword 0x19315e02d64ee1b6
70980 .xword 0xa6b5d22b59686ff6
70981 .xword 0xdad493fefd7f5e35
70982 .xword 0x9a9dc7640cdf1d6e
70983 .xword 0xb528e77065b25829
70984 .xword 0x22b04dcc94a46acc
70985 .xword 0x9df28f1f4e23d2bd
70986 .xword 0x3a48a208bab4565a
70987 .xword 0x5b8a723a26e9adef
70988 .xword 0x0029b2eafe5c8a7e
70989 .xword 0xd9b3933c897d186f
70990 .xword 0xa0ea04a6a23ed231
70991 .xword 0xf0f40b6415d13390
70992 .xword 0x700f33ac7f2164bc
70993 .xword 0x4487c23f07605e14
70994 .xword 0x4c7cd125221729d4
70995 .xword 0xb3059f4022792cc0
70996 .xword 0x02aadcc0353a7fef
70997 .xword 0x28d53d32977c925d
70998 .xword 0x50f5c4a31e316e72
70999 .xword 0xcb2fb51b849d6a8e
71000 .xword 0xdf07d48383bdb7b1
71001 .xword 0xdf99740e22331737
71002 .xword 0x962e610e8eee4bd6
71003 .xword 0xa107d63c7e1df097
71004 .xword 0x41ac8984f8e0cfa1
71005 .xword 0xefdb4b286f544bde
71006 .xword 0x19dec9f2216b0fdf
71007 .xword 0x83073621cc08adbd
71008 .xword 0xc752100a238a044d
71009 .xword 0x19e2a7375fc1e29c
71010 .xword 0x531a46b14a19d7f0
71011_t1_sslkey_dest:
71012 .xword 0xDEADBEEFDEADBEEF
71013 .xword 0xDEADBEEFDEADBEEF
71014 .xword 0xDEADBEEFDEADBEEF
71015 .xword 0xDEADBEEFDEADBEEF
71016 .xword 0xDEADBEEFDEADBEEF
71017 .xword 0xDEADBEEFDEADBEEF
71018 .xword 0xDEADBEEFDEADBEEF
71019 .xword 0xDEADBEEFDEADBEEF
71020 .xword 0xDEADBEEFDEADBEEF
71021 .xword 0xDEADBEEFDEADBEEF
71022 .xword 0xDEADBEEFDEADBEEF
71023 .xword 0xDEADBEEFDEADBEEF
71024 .xword 0xDEADBEEFDEADBEEF
71025 .xword 0xDEADBEEFDEADBEEF
71026 .xword 0xDEADBEEFDEADBEEF
71027 .xword 0xDEADBEEFDEADBEEF
71028 .xword 0xDEADBEEFDEADBEEF
71029 .xword 0xDEADBEEFDEADBEEF
71030 .xword 0xDEADBEEFDEADBEEF
71031 .xword 0xDEADBEEFDEADBEEF
71032 .xword 0xDEADBEEFDEADBEEF
71033 .xword 0xDEADBEEFDEADBEEF
71034 .xword 0xDEADBEEFDEADBEEF
71035 .xword 0xDEADBEEFDEADBEEF
71036 .xword 0xDEADBEEFDEADBEEF
71037 .xword 0xDEADBEEFDEADBEEF
71038 .xword 0xDEADBEEFDEADBEEF
71039 .xword 0xDEADBEEFDEADBEEF
71040 .xword 0xDEADBEEFDEADBEEF
71041 .xword 0xDEADBEEFDEADBEEF
71042 .xword 0xDEADBEEFDEADBEEF
71043 .xword 0xDEADBEEFDEADBEEF
71044 .xword 0xDEADBEEFDEADBEEF
71045 .xword 0xDEADBEEFDEADBEEF
71046 .xword 0xDEADBEEFDEADBEEF
71047 .xword 0xDEADBEEFDEADBEEF
71048 .xword 0xDEADBEEFDEADBEEF
71049 .xword 0xDEADBEEFDEADBEEF
71050 .xword 0xDEADBEEFDEADBEEF
71051 .xword 0xDEADBEEFDEADBEEF
71052 .xword 0xDEADBEEFDEADBEEF
71053 .xword 0xDEADBEEFDEADBEEF
71054 .xword 0xDEADBEEFDEADBEEF
71055 .xword 0xDEADBEEFDEADBEEF
71056 .xword 0xDEADBEEFDEADBEEF
71057 .xword 0xDEADBEEFDEADBEEF
71058 .xword 0xDEADBEEFDEADBEEF
71059 .xword 0xDEADBEEFDEADBEEF
71060 .xword 0xDEADBEEFDEADBEEF
71061 .xword 0xDEADBEEFDEADBEEF
71062 .xword 0xDEADBEEFDEADBEEF
71063 .xword 0xDEADBEEFDEADBEEF
71064 .xword 0xDEADBEEFDEADBEEF
71065 .xword 0xDEADBEEFDEADBEEF
71066 .xword 0xDEADBEEFDEADBEEF
71067 .xword 0xDEADBEEFDEADBEEF
71068 .xword 0xDEADBEEFDEADBEEF
71069 .xword 0xDEADBEEFDEADBEEF
71070 .xword 0xDEADBEEFDEADBEEF
71071 .xword 0xDEADBEEFDEADBEEF
71072 .xword 0xDEADBEEFDEADBEEF
71073 .xword 0xDEADBEEFDEADBEEF
71074 .xword 0xDEADBEEFDEADBEEF
71075 .xword 0xDEADBEEFDEADBEEF
71076 .xword 0xDEADBEEFDEADBEEF
71077 .xword 0xDEADBEEFDEADBEEF
71078 .xword 0xDEADBEEFDEADBEEF
71079 .xword 0xDEADBEEFDEADBEEF
71080 .xword 0xDEADBEEFDEADBEEF
71081 .xword 0xDEADBEEFDEADBEEF
71082 .xword 0xDEADBEEFDEADBEEF
71083 .xword 0xDEADBEEFDEADBEEF
71084 .xword 0xDEADBEEFDEADBEEF
71085 .xword 0xDEADBEEFDEADBEEF
71086 .xword 0xDEADBEEFDEADBEEF
71087 .xword 0xDEADBEEFDEADBEEF
71088 .xword 0xDEADBEEFDEADBEEF
71089 .xword 0xDEADBEEFDEADBEEF
71090 .xword 0xDEADBEEFDEADBEEF
71091 .xword 0xDEADBEEFDEADBEEF
71092 .xword 0xDEADBEEFDEADBEEF
71093 .xword 0xDEADBEEFDEADBEEF
71094 .xword 0xDEADBEEFDEADBEEF
71095 .xword 0xDEADBEEFDEADBEEF
71096 .xword 0xDEADBEEFDEADBEEF
71097 .xword 0xDEADBEEFDEADBEEF
71098 .xword 0xDEADBEEFDEADBEEF
71099 .xword 0xDEADBEEFDEADBEEF
71100 .xword 0xDEADBEEFDEADBEEF
71101 .xword 0xDEADBEEFDEADBEEF
71102 .xword 0xDEADBEEFDEADBEEF
71103 .xword 0xDEADBEEFDEADBEEF
71104 .xword 0xDEADBEEFDEADBEEF
71105 .xword 0xDEADBEEFDEADBEEF
71106 .xword 0xDEADBEEFDEADBEEF
71107 .xword 0xDEADBEEFDEADBEEF
71108 .xword 0xDEADBEEFDEADBEEF
71109 .xword 0xDEADBEEFDEADBEEF
71110 .xword 0xDEADBEEFDEADBEEF
71111 .xword 0xDEADBEEFDEADBEEF
71112 .xword 0xDEADBEEFDEADBEEF
71113 .xword 0xDEADBEEFDEADBEEF
71114 .xword 0xDEADBEEFDEADBEEF
71115 .xword 0xDEADBEEFDEADBEEF
71116 .xword 0xDEADBEEFDEADBEEF
71117 .xword 0xDEADBEEFDEADBEEF
71118 .xword 0xDEADBEEFDEADBEEF
71119 .xword 0xDEADBEEFDEADBEEF
71120 .xword 0xDEADBEEFDEADBEEF
71121 .xword 0xDEADBEEFDEADBEEF
71122 .xword 0xDEADBEEFDEADBEEF
71123 .xword 0xDEADBEEFDEADBEEF
71124 .xword 0xDEADBEEFDEADBEEF
71125 .xword 0xDEADBEEFDEADBEEF
71126 .xword 0xDEADBEEFDEADBEEF
71127 .xword 0xDEADBEEFDEADBEEF
71128 .xword 0xDEADBEEFDEADBEEF
71129 .xword 0xDEADBEEFDEADBEEF
71130 .xword 0xDEADBEEFDEADBEEF
71131 .xword 0xDEADBEEFDEADBEEF
71132 .xword 0xDEADBEEFDEADBEEF
71133 .xword 0xDEADBEEFDEADBEEF
71134 .xword 0xDEADBEEFDEADBEEF
71135 .xword 0xDEADBEEFDEADBEEF
71136 .xword 0xDEADBEEFDEADBEEF
71137 .xword 0xDEADBEEFDEADBEEF
71138 .xword 0xDEADBEEFDEADBEEF
71139 .xword 0xDEADBEEFDEADBEEF
71140 .xword 0xDEADBEEFDEADBEEF
71141 .xword 0xDEADBEEFDEADBEEF
71142 .xword 0xDEADBEEFDEADBEEF
71143 .xword 0xDEADBEEFDEADBEEF
71144 .xword 0xDEADBEEFDEADBEEF
71145 .xword 0xDEADBEEFDEADBEEF
71146 .xword 0xDEADBEEFDEADBEEF
71147 .xword 0xDEADBEEFDEADBEEF
71148 .xword 0xDEADBEEFDEADBEEF
71149 .xword 0xDEADBEEFDEADBEEF
71150 .xword 0xDEADBEEFDEADBEEF
71151 .xword 0xDEADBEEFDEADBEEF
71152 .xword 0xDEADBEEFDEADBEEF
71153 .xword 0xDEADBEEFDEADBEEF
71154 .xword 0xDEADBEEFDEADBEEF
71155 .xword 0xDEADBEEFDEADBEEF
71156 .xword 0xDEADBEEFDEADBEEF
71157 .xword 0xDEADBEEFDEADBEEF
71158 .xword 0xDEADBEEFDEADBEEF
71159 .xword 0xDEADBEEFDEADBEEF
71160 .xword 0xDEADBEEFDEADBEEF
71161 .xword 0xDEADBEEFDEADBEEF
71162 .xword 0xDEADBEEFDEADBEEF
71163 .xword 0xDEADBEEFDEADBEEF
71164 .xword 0xDEADBEEFDEADBEEF
71165 .xword 0xDEADBEEFDEADBEEF
71166 .xword 0xDEADBEEFDEADBEEF
71167 .xword 0xDEADBEEFDEADBEEF
71168 .xword 0xDEADBEEFDEADBEEF
71169 .xword 0xDEADBEEFDEADBEEF
71170 .xword 0xDEADBEEFDEADBEEF
71171 .xword 0xDEADBEEFDEADBEEF
71172 .xword 0xDEADBEEFDEADBEEF
71173 .xword 0xDEADBEEFDEADBEEF
71174 .xword 0xDEADBEEFDEADBEEF
71175 .xword 0xDEADBEEFDEADBEEF
71176 .xword 0xDEADBEEFDEADBEEF
71177 .xword 0xDEADBEEFDEADBEEF
71178 .xword 0xDEADBEEFDEADBEEF
71179 .xword 0xDEADBEEFDEADBEEF
71180 .xword 0xDEADBEEFDEADBEEF
71181 .xword 0xDEADBEEFDEADBEEF
71182 .xword 0xDEADBEEFDEADBEEF
71183 .xword 0xDEADBEEFDEADBEEF
71184 .xword 0xDEADBEEFDEADBEEF
71185 .xword 0xDEADBEEFDEADBEEF
71186 .xword 0xDEADBEEFDEADBEEF
71187 .xword 0xDEADBEEFDEADBEEF
71188 .xword 0xDEADBEEFDEADBEEF
71189 .xword 0xDEADBEEFDEADBEEF
71190 .xword 0xDEADBEEFDEADBEEF
71191 .xword 0xDEADBEEFDEADBEEF
71192 .xword 0xDEADBEEFDEADBEEF
71193 .xword 0xDEADBEEFDEADBEEF
71194 .xword 0xDEADBEEFDEADBEEF
71195 .xword 0xDEADBEEFDEADBEEF
71196 .xword 0xDEADBEEFDEADBEEF
71197 .xword 0xDEADBEEFDEADBEEF
71198 .xword 0xDEADBEEFDEADBEEF
71199 .xword 0xDEADBEEFDEADBEEF
71200 .xword 0xDEADBEEFDEADBEEF
71201 .xword 0xDEADBEEFDEADBEEF
71202 .xword 0xDEADBEEFDEADBEEF
71203 .xword 0xDEADBEEFDEADBEEF
71204 .xword 0xDEADBEEFDEADBEEF
71205 .xword 0xDEADBEEFDEADBEEF
71206 .xword 0xDEADBEEFDEADBEEF
71207 .xword 0xDEADBEEFDEADBEEF
71208 .xword 0xDEADBEEFDEADBEEF
71209 .xword 0xDEADBEEFDEADBEEF
71210 .xword 0xDEADBEEFDEADBEEF
71211 .xword 0xDEADBEEFDEADBEEF
71212 .xword 0xDEADBEEFDEADBEEF
71213 .xword 0xDEADBEEFDEADBEEF
71214 .xword 0xDEADBEEFDEADBEEF
71215 .xword 0xDEADBEEFDEADBEEF
71216 .xword 0xDEADBEEFDEADBEEF
71217 .xword 0xDEADBEEFDEADBEEF
71218 .xword 0xDEADBEEFDEADBEEF
71219 .xword 0xDEADBEEFDEADBEEF
71220 .xword 0xDEADBEEFDEADBEEF
71221 .xword 0xDEADBEEFDEADBEEF
71222 .xword 0xDEADBEEFDEADBEEF
71223 .xword 0xDEADBEEFDEADBEEF
71224 .xword 0xDEADBEEFDEADBEEF
71225 .xword 0xDEADBEEFDEADBEEF
71226 .xword 0xDEADBEEFDEADBEEF
71227 .xword 0xDEADBEEFDEADBEEF
71228 .xword 0xDEADBEEFDEADBEEF
71229 .xword 0xDEADBEEFDEADBEEF
71230 .xword 0xDEADBEEFDEADBEEF
71231 .xword 0xDEADBEEFDEADBEEF
71232 .xword 0xDEADBEEFDEADBEEF
71233 .xword 0xDEADBEEFDEADBEEF
71234 .xword 0xDEADBEEFDEADBEEF
71235 .xword 0xDEADBEEFDEADBEEF
71236 .xword 0xDEADBEEFDEADBEEF
71237 .xword 0xDEADBEEFDEADBEEF
71238 .xword 0xDEADBEEFDEADBEEF
71239 .xword 0xDEADBEEFDEADBEEF
71240 .xword 0xDEADBEEFDEADBEEF
71241 .xword 0xDEADBEEFDEADBEEF
71242 .xword 0xDEADBEEFDEADBEEF
71243 .xword 0xDEADBEEFDEADBEEF
71244 .xword 0xDEADBEEFDEADBEEF
71245 .xword 0xDEADBEEFDEADBEEF
71246 .xword 0xDEADBEEFDEADBEEF
71247 .xword 0xDEADBEEFDEADBEEF
71248 .xword 0xDEADBEEFDEADBEEF
71249 .xword 0xDEADBEEFDEADBEEF
71250 .xword 0xDEADBEEFDEADBEEF
71251 .xword 0xDEADBEEFDEADBEEF
71252 .xword 0xDEADBEEFDEADBEEF
71253 .xword 0xDEADBEEFDEADBEEF
71254 .xword 0xDEADBEEFDEADBEEF
71255 .xword 0xDEADBEEFDEADBEEF
71256 .xword 0xDEADBEEFDEADBEEF
71257 .xword 0xDEADBEEFDEADBEEF
71258 .xword 0xDEADBEEFDEADBEEF
71259 .xword 0xDEADBEEFDEADBEEF
71260 .xword 0xDEADBEEFDEADBEEF
71261 .xword 0xDEADBEEFDEADBEEF
71262 .xword 0xDEADBEEFDEADBEEF
71263 .xword 0xDEADBEEFDEADBEEF
71264 .xword 0xDEADBEEFDEADBEEF
71265 .xword 0xDEADBEEFDEADBEEF
71266 .xword 0xDEADBEEFDEADBEEF
71267 .xword 0xDEADBEEFDEADBEEF
71268 .xword 0xDEADBEEFDEADBEEF
71269 .xword 0xDEADBEEFDEADBEEF
71270 .xword 0xDEADBEEFDEADBEEF
71271 .xword 0xDEADBEEFDEADBEEF
71272 .xword 0xDEADBEEFDEADBEEF
71273 .xword 0xDEADBEEFDEADBEEF
71274 .xword 0xDEADBEEFDEADBEEF
71275 .xword 0xDEADBEEFDEADBEEF
71276 .xword 0xDEADBEEFDEADBEEF
71277 .xword 0xDEADBEEFDEADBEEF
71278 .xword 0xDEADBEEFDEADBEEF
71279 .xword 0xDEADBEEFDEADBEEF
71280 .xword 0xDEADBEEFDEADBEEF
71281 .xword 0xDEADBEEFDEADBEEF
71282 .xword 0xDEADBEEFDEADBEEF
71283 .xword 0xDEADBEEFDEADBEEF
71284 .xword 0xDEADBEEFDEADBEEF
71285 .xword 0xDEADBEEFDEADBEEF
71286 .xword 0xDEADBEEFDEADBEEF
71287_t1_sslkey_auth_key:
71288 .xword 0xe9a0610f50c2df2c
71289 .xword 0x89e0461ba24c868a
71290 .xword 0x7b5bac5e7344fb6c
71291 .xword 0xbc62a37bf55da2a3
71292 .xword 0xf9379e47d2615cfe
71293 .xword 0x4e4b7293a6688ba6
71294 .xword 0x17e3a94ac5133b7e
71295 .xword 0x93e60821c234d8b7
71296 .xword 0xae8bc2c4961bfc0a
71297 .xword 0xb669e775701e0b75
71298 .xword 0x8037af939258823b
71299 .xword 0xe4a27290336c2c55
71300 .xword 0x0efb68dbc425ba78
71301 .xword 0x14d8c76e80ca58be
71302 .xword 0xf30e1f20ea8a7b63
71303 .xword 0x1a50356f0d3c4e15
71304 .xword 0x1ce7fccf20e171f6
71305 .xword 0xc6740814f43fbb69
71306 .xword 0x974f2efe44a54090
71307 .xword 0x5d3ed3344e19e57c
71308 .xword 0x50868504a39a65f9
71309 .xword 0x9988dcfb092ac2e7
71310 .xword 0x383082ae252a5e8d
71311_t1_sslkey_auth_iv:
71312 .xword 0x2d78e235f294ee1e
71313 .xword 0xdd46c8f6dcde2308
71314 .xword 0xb4304d6c38e7faf7
71315 .xword 0x1493713d45c610b1
71316 .xword 0xe1c51eeb6bc98f8a
71317 .xword 0xdb9583fd16768efb
71318 .xword 0xdbe9ae3aa15728dd
71319 .xword 0x47c1bb708be9b072
71320 .xword 0x18d8f2acf693e92c
71321 .xword 0x6719228a8169f40e
71322 .xword 0xfbf420164ca517c4
71323 .xword 0xfa51c620b9335866
71324 .xword 0x5016c1cfbe64bd89
71325 .xword 0xefc7cb10fa0b5db6
71326 .xword 0xab05ec994dea2fe3
71327 .xword 0x6efb6e057dd1babf
71328 .xword 0x57afc169298b785f
71329 .xword 0x0f46a619ae0b34e1
71330 .xword 0x1a1549dbe7e45e42
71331 .xword 0x7dde0129a884c611
71332 .xword 0xbc17d27bc3427cb4
71333 .xword 0xa290e8268731ee98
71334 .xword 0xd8d4cb6b7d018eda
71335_t1_sslkey_fas_result:
71336 .xword 0xDEADBEEFDEADBEEF
71337 .xword 0xDEADBEEFDEADBEEF
71338 .xword 0xDEADBEEFDEADBEEF
71339 .xword 0xDEADBEEFDEADBEEF
71340 .xword 0xDEADBEEFDEADBEEF
71341 .xword 0xDEADBEEFDEADBEEF
71342 .xword 0xDEADBEEFDEADBEEF
71343 .xword 0xDEADBEEFDEADBEEF
71344 .xword 0xDEADBEEFDEADBEEF
71345 .xword 0xDEADBEEFDEADBEEF
71346 .xword 0xDEADBEEFDEADBEEF
71347 .xword 0xDEADBEEFDEADBEEF
71348 .xword 0xDEADBEEFDEADBEEF
71349 .xword 0xDEADBEEFDEADBEEF
71350 .xword 0xDEADBEEFDEADBEEF
71351 .xword 0xDEADBEEFDEADBEEF
71352 .xword 0xDEADBEEFDEADBEEF
71353 .xword 0xDEADBEEFDEADBEEF
71354 .xword 0xDEADBEEFDEADBEEF
71355 .xword 0xDEADBEEFDEADBEEF
71356 .xword 0xDEADBEEFDEADBEEF
71357 .xword 0xDEADBEEFDEADBEEF
71358 .xword 0xDEADBEEFDEADBEEF
71359_t1_aes_toc:
71360 .xword _t1_aes_cwd_array
71361 .xword _t1_aes_src
71362 .xword _t1_aes_auth_key
71363 .xword _t1_aes_auth_iv
71364 .xword _t1_aes_fas_result
71365 .xword _t1_aes_key_array
71366 .xword _t1_aes_iv_array
71367 .xword _t1_aes_dest
71368 .xword _t1_aes_alignment_array
71369_t1_des_toc:
71370 .xword _t1_des_cwd_array
71371 .xword _t1_des_src
71372 .xword _t1_des_auth_key
71373 .xword _t1_des_auth_iv
71374 .xword _t1_des_fas_result
71375 .xword _t1_des_key_array
71376 .xword _t1_des_iv_array
71377 .xword _t1_des_dest
71378 .xword _t1_des_alignment_array
71379_t1_copy_toc:
71380 .xword _t1_copy_cwd_array
71381 .xword _t1_copy_src
71382 .xword _t1_copy_auth_key
71383 .xword _t1_copy_auth_iv
71384 .xword _t1_copy_fas_result
71385 .xword _t1_copy_key_array
71386 .xword _t1_copy_iv_array
71387 .xword _t1_copy_dest
71388 .xword _t1_copy_alignment_array
71389_t1_crc_toc:
71390 .xword _t1_crc_cwd_array
71391 .xword _t1_crc_src
71392 .xword _t1_crc_auth_key
71393 .xword _t1_crc_auth_iv
71394 .xword _t1_crc_fas_result
71395 .xword _t1_crc_key_array
71396 .xword _t1_crc_iv_array
71397 .xword _t1_crc_dest
71398 .xword _t1_crc_alignment_array
71399_t1_hash_toc:
71400 .xword _t1_hash_cwd_array
71401 .xword _t1_hash_src
71402 .xword _t1_hash_auth_key
71403 .xword _t1_hash_auth_iv
71404 .xword _t1_hash_fas_result
71405 .xword _t1_hash_key_array
71406 .xword _t1_hash_iv_array
71407 .xword _t1_hash_dest
71408 .xword _t1_hash_alignment_array
71409_t1_hmac_toc:
71410 .xword _t1_hmac_cwd_array
71411 .xword _t1_hmac_src
71412 .xword _t1_hmac_auth_key
71413 .xword _t1_hmac_auth_iv
71414 .xword _t1_hmac_fas_result
71415 .xword _t1_hmac_key_array
71416 .xword _t1_hmac_iv_array
71417 .xword _t1_hmac_dest
71418 .xword _t1_hmac_alignment_array
71419_t1_rc4_toc:
71420 .xword _t1_rc4_cwd_array
71421 .xword _t1_rc4_src
71422 .xword _t1_rc4_auth_key
71423 .xword _t1_rc4_auth_iv
71424 .xword _t1_rc4_fas_result
71425 .xword _t1_rc4_key_array
71426 .xword _t1_rc4_iv_array
71427 .xword _t1_rc4_dest
71428 .xword _t1_rc4_alignment_array
71429_t1_sslkey_toc:
71430 .xword _t1_sslkey_cwd_array
71431 .xword _t1_sslkey_src
71432 .xword _t1_sslkey_auth_key
71433 .xword _t1_sslkey_auth_iv
71434 .xword _t1_sslkey_fas_result
71435 .xword _t1_sslkey_key_array
71436 .xword _t1_sslkey_iv_array
71437 .xword _t1_sslkey_dest
71438 .xword _t1_sslkey_alignment_array
71439_t1_table_of_context7:
71440 .xword _t1_aes_toc
71441 .xword _t1_des_toc
71442 .xword _t1_copy_toc
71443 .xword _t1_crc_toc
71444 .xword _t1_hash_toc
71445 .xword _t1_hmac_toc
71446 .xword _t1_rc4_toc
71447 .xword _t1_sslkey_toc
71448
71449!# CWQ data area, set aside 512 CW's worth
71450!# 512*8*8 = 32KB
71451.align 32*1024
71452_t1_cwq_base7:
71453 .xword 0xAAAAAAAAAAAAAAA
71454 .xword 0xAAAAAAAAAAAAAAA
71455 .xword 0xAAAAAAAAAAAAAAA
71456 .xword 0xAAAAAAAAAAAAAAA
71457 .xword 0xAAAAAAAAAAAAAAA
71458 .xword 0xAAAAAAAAAAAAAAA
71459 .xword 0xAAAAAAAAAAAAAAA
71460 .xword 0xAAAAAAAAAAAAAAA
71461.align 32*1024
71462_t1_cwq_last7:
71463
71464SECTION .T_MAX_DATA DATA_VA=0x10000000
71465attr_data {
71466 Name = .T_MAX_DATA
71467 hypervisor
71468}
71469.data
71470_t2_user_data_start:
71471_t2_scratch_area:
71472
71473.align 8
71474.global _t2_ma_operands
71475_t2_ma_operands:
71476.xword 0x7439010ac9208146
71477.xword 0xaa258f245a2cc868
71478.xword 0x01f23e4edf8780db
71479.xword 0x233af45dcc4b1939
71480.xword 0x5ee08410f8b56614
71481.xword 0x34bd4fb98332de82
71482.xword 0xc5cf508f755acbdc
71483.xword 0xef8ab00a790140d2
71484.xword 0x0b4283fdb2aba516
71485.xword 0x658e21b6741f731e
71486.xword 0x0f139de762ce2475
71487.xword 0x0c6a0a79dcf2d054
71488.xword 0x432d21db4c6bb705
71489.xword 0x72843d3b4c268b73
71490.xword 0xca332ac7215ac7a9
71491.xword 0xe87145f00eceb914
71492.xword 0x04fc62d3a145d185
71493.xword 0xb125a691b6ad2774
71494.xword 0x966af954ac9a4cbf
71495.xword 0x80c2b1ef63fc48d3
71496.xword 0x4a85b3ff6d17e7d5
71497.xword 0x906d415b1d366b0c
71498.xword 0x0f0fa94462393fd2
71499.xword 0x944eb887d48f482c
71500.xword 0xd770c775a3921e09
71501.xword 0x826070cd4dde2fa2
71502.xword 0xe71369d9963c084f
71503.xword 0x26edb302921a53dd
71504.xword 0xf2a8a0c41de15caa
71505.xword 0x5f92b05c2db51852
71506.xword 0x8498237b6136fd9b
71507.xword 0x9efa1ca4ef8dd16e
71508.xword 0x3c140701dd77d80f
71509.xword 0x7b28e6ea2e6c11a6
71510.xword 0xa910b1a777df7231
71511.xword 0xaa17c6a37f43d40d
71512.xword 0xe7f7018d6257874e
71513.xword 0x77e0c6d4bec2d8e2
71514.xword 0x8797f3b2a4f5aa78
71515.xword 0x897bc715424a306b
71516.xword 0x3a9b467e8434ed6e
71517.xword 0xc8ebe8f635158dd6
71518.xword 0x1af50e9e8c90ad81
71519.xword 0x6e47b8e98138f6e9
71520.xword 0xa2537a3b9483337b
71521.xword 0x93c8285ae2f3e3d7
71522.xword 0xa3eb24e4ab7eaeb2
71523.xword 0xcebbf834df65a5f7
71524.xword 0x0ad67440529db6fa
71525.xword 0xc01fa706175fc0c6
71526.xword 0xf4b408ace7b614a9
71527.xword 0xa6307e9fc829d32c
71528.xword 0xe98afc41e5cc26d8
71529.xword 0xf36cbff429a7986d
71530.xword 0x4359558c6fdf6b70
71531.xword 0x1174c99e3965aa6c
71532.xword 0xf347f994acc74b21
71533.xword 0x58b9630c58d747c6
71534.xword 0x01dc3626ad586aea
71535.xword 0x079c1c469467568b
71536.xword 0x3c461aadb9f0e7be
71537.xword 0xb1f68d089e86ed00
71538.xword 0x480671b1bf5f6599
71539.xword 0xbbd354670d10ca72
71540.xword 0x8c197d732f2ebdfc
71541.xword 0x8676a1d9e7b2457a
71542.xword 0x6809378d671c8139
71543.xword 0xffdc420e2be87313
71544.xword 0xb5b02eb12438dcd2
71545.xword 0x25412e4ae1160ab4
71546.xword 0x1e1022110b0b3a6d
71547.xword 0x2bbadfaaeaef84f9
71548.xword 0xf7d2ac9c9c05b6ee
71549.xword 0xc203e0c84b2e29ce
71550.xword 0x124579286d226e1a
71551.xword 0x559b8f4ef1f245bf
71552.xword 0x633d47c7e49d326e
71553.xword 0xdaa08a4a5153cf3e
71554.xword 0x825fe5115252da00
71555.xword 0xc5daf916a2d35dd9
71556.xword 0xc045d9cd766db8f7
71557.xword 0x964551c1d337d40b
71558.xword 0x9600606de3326ad4
71559.xword 0xeead92770e8da3c6
71560.xword 0xac44420777ba2744
71561.xword 0xa1123943807a4053
71562.xword 0xb149dc73bf58dc28
71563.xword 0x8409b6165ab3553a
71564.xword 0x90bee976259cb9b0
71565.xword 0xc7d73774621999e4
71566.xword 0x8ab7c28062c8fb43
71567.xword 0x59a0e26b450c0bf8
71568.xword 0x70ed4242d1863f10
71569.xword 0x4d5509047a6961c9
71570.xword 0xe7f0c93372999ec9
71571.xword 0xc523ae27e233f35e
71572.xword 0x443d40ba6e63e55a
71573.xword 0x2a2805ddb937ee1b
71574.xword 0x305fed6e0c68b0a9
71575.xword 0xe724b8ea687dfb88
71576.xword 0x5e8681893519e6b5
71577.xword 0x46a934c94ce5a386
71578.xword 0x6fd08487c194da15
71579.xword 0xaf8eacb0938e30b0
71580.xword 0x02fa28d9549fa1c4
71581.xword 0x28a8ec121e00ab44
71582.xword 0xab8b8c6a78e751e5
71583.xword 0xc5cc1a9bcab8ba50
71584.xword 0x2df1356a8190843d
71585.xword 0x048dffdc55b82843
71586.xword 0x19dbcc92441dacef
71587.xword 0xb335984ef1659e3b
71588.xword 0x41b646fecf0fa1f1
71589.xword 0x5fa1da7a6a3fd9eb
71590.xword 0x329e203200d7fcc6
71591.xword 0x0fb91888c104c4e4
71592.xword 0x9e32558213edd23d
71593.xword 0x8d0355e2cdb5844c
71594.xword 0x831850fbdb275470
71595.xword 0xc82aea5d7eeaeb54
71596.xword 0x4ea007152cd0f1e5
71597.xword 0x1c4706bde8a2e87b
71598.xword 0x25a6ba2ce1705cc5
71599.xword 0x8f49c454d7abecf4
71600.xword 0x4ce9efdbb107229f
71601.xword 0x1c51e5d74528b01e
71602.xword 0x550262f630cb08ea
71603.xword 0x66e055f9c7891899
71604.xword 0x57d7a487b0c6513a
71605.xword 0xe454b4092468cacb
71606.xword 0x035933030d51abc9
71607.xword 0x556d31f1924cd1c2
71608.xword 0xcc3f6e63d90324a7
71609.xword 0xffb1003a07eb721d
71610.xword 0x1997c372808804f9
71611.xword 0x4a99cacfa5e9f08d
71612.xword 0xd4d3a4650f4ef62d
71613.xword 0x7b34209e9e594b93
71614.xword 0x7c16b2214b455c2c
71615.xword 0xeea92561b7bfee4a
71616.xword 0x779e3ac8635d6ac3
71617.xword 0x3f6a2393cd69bf34
71618.xword 0x29cd30ff9d61dad5
71619.xword 0x9df06b6620602e69
71620.xword 0x673447bec999dd90
71621.xword 0x291e0917dcebac94
71622.xword 0x35ed6732c92546fd
71623.xword 0x3eca80e0cc8fe257
71624.xword 0xfee3951626aa0bbe
71625.xword 0x2059fa6cd0d566df
71626.xword 0xb4c960bd8be7b40e
71627.xword 0x5a49f17efdfef031
71628.xword 0xf9fd2362d3409fa9
71629.xword 0x6d38181b0fada17c
71630.xword 0xebf592a8082825f6
71631.xword 0x5d208be098234540
71632.xword 0x83878d483fadf833
71633.xword 0xdbbcc1e2600466e4
71634.xword 0x6fe6de377e44ab8d
71635.xword 0xf13446f664eaaaf7
71636.xword 0x03f44ca8b83e94aa
71637.xword 0x5b03c9b6ceb28586
71638.xword 0xb186ff11a1a245b9
71639.xword 0xac3cce9c9b604b31
71640
71641.align 8
71642.global _t2_ma_operations
71643_t2_ma_operations:
71644.xword 0
71645.xword 0x00020058 | (6 << 18) !ma_ctl_Ld (0)
71646
71647.xword 0
71648.xword 0x0002012a | (6 << 18) !ma_ctl_St (0)
71649
71650.xword 0x80604020
71651.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (0)
71652
71653.xword 0x356a
71654.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (0)
71655
71656.xword 0x0000006000408020
71657.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
71658
71659.xword 0x6a35
71660.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
71661
71662.xword 0x78285050
71663.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
71664
71665.xword 0x80604020
71666.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
71667
71668.xword 0x00287850
71669.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (0)
71670
71671.xword 0x00287850
71672.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (0)
71673
71674.xword 0x78285050
71675.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (0)
71676
71677.xword 0x64500000003c0000
71678.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
71679
71680.xword 0x7766000000554422
71681.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
71682
71683.xword 0x706200000054462a
71684.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
71685
71686.xword 0x784d005800423721
71687.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
71688
71689.xword 0x804d006000504020
71690.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
71691
71692.xword 0x0000006000408020
71693.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
71694
71695.xword 0
71696.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (0)
71697
71698.xword 0
71699.xword 0x0002007b | (6 << 18) !ma_ctl_Ld (1)
71700
71701.xword 0
71702.xword 0x00020181 | (6 << 18) !ma_ctl_St (1)
71703
71704.xword 0x80604020
71705.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (1)
71706
71707.xword 0x356a
71708.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (1)
71709
71710.xword 0x0000006000408020
71711.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
71712
71713.xword 0x6a35
71714.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
71715
71716.xword 0x78285050
71717.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
71718
71719.xword 0x80604020
71720.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
71721
71722.xword 0x00287850
71723.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
71724
71725.xword 0x00287850
71726.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
71727
71728.xword 0x78285050
71729.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (1)
71730
71731.xword 0x64500000003c0000
71732.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
71733
71734.xword 0x7766000000554422
71735.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
71736
71737.xword 0x706200000054462a
71738.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
71739
71740.xword 0x784d005800423721
71741.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
71742
71743.xword 0x804d006000504020
71744.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
71745
71746.xword 0x0000006000408020
71747.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
71748
71749.xword 0
71750.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (1)
71751
71752.xword 0
71753.xword 0x0002009e | (6 << 18) !ma_ctl_Ld (2)
71754
71755.xword 0
71756.xword 0x00020120 | (6 << 18) !ma_ctl_St (2)
71757
71758.xword 0x80604020
71759.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (2)
71760
71761.xword 0x356a
71762.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (2)
71763
71764.xword 0x0000006000408020
71765.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
71766
71767.xword 0x6a35
71768.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
71769
71770.xword 0x78285050
71771.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
71772
71773.xword 0x80604020
71774.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
71775
71776.xword 0x00287850
71777.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (2)
71778
71779.xword 0x00287850
71780.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (2)
71781
71782.xword 0x78285050
71783.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (2)
71784
71785.xword 0x64500000003c0000
71786.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
71787
71788.xword 0x7766000000554422
71789.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
71790
71791.xword 0x706200000054462a
71792.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
71793
71794.xword 0x784d005800423721
71795.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
71796
71797.xword 0x804d006000504020
71798.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
71799
71800.xword 0x0000006000408020
71801.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
71802
71803.xword 0
71804.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (2)
71805
71806.xword 0
71807.xword 0x0002006d | (6 << 18) !ma_ctl_Ld (3)
71808
71809.xword 0
71810.xword 0x0002018d | (6 << 18) !ma_ctl_St (3)
71811
71812.xword 0x80604020
71813.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (3)
71814
71815.xword 0x356a
71816.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (3)
71817
71818.xword 0x0000006000408020
71819.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
71820
71821.xword 0x6a35
71822.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
71823
71824.xword 0x78285050
71825.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
71826
71827.xword 0x80604020
71828.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
71829
71830.xword 0x00287850
71831.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (3)
71832
71833.xword 0x00287850
71834.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (3)
71835
71836.xword 0x78285050
71837.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (3)
71838
71839.xword 0x64500000003c0000
71840.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
71841
71842.xword 0x7766000000554422
71843.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
71844
71845.xword 0x706200000054462a
71846.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
71847
71848.xword 0x784d005800423721
71849.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
71850
71851.xword 0x804d006000504020
71852.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
71853
71854.xword 0x0000006000408020
71855.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
71856
71857.xword 0
71858.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (3)
71859
71860.xword 0
71861.xword 0x00020089 | (6 << 18) !ma_ctl_Ld (4)
71862
71863.xword 0
71864.xword 0x0002018f | (6 << 18) !ma_ctl_St (4)
71865
71866.xword 0x80604020
71867.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (4)
71868
71869.xword 0x356a
71870.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (4)
71871
71872.xword 0x0000006000408020
71873.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
71874
71875.xword 0x6a35
71876.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
71877
71878.xword 0x78285050
71879.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
71880
71881.xword 0x80604020
71882.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
71883
71884.xword 0x00287850
71885.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (4)
71886
71887.xword 0x00287850
71888.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (4)
71889
71890.xword 0x78285050
71891.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (4)
71892
71893.xword 0x64500000003c0000
71894.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
71895
71896.xword 0x7766000000554422
71897.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
71898
71899.xword 0x706200000054462a
71900.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
71901
71902.xword 0x784d005800423721
71903.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
71904
71905.xword 0x804d006000504020
71906.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
71907
71908.xword 0x0000006000408020
71909.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
71910
71911.xword 0
71912.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (4)
71913
71914.xword 0
71915.xword 0x00020061 | (6 << 18) !ma_ctl_Ld (5)
71916
71917.xword 0
71918.xword 0x00020156 | (6 << 18) !ma_ctl_St (5)
71919
71920.xword 0x80604020
71921.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (5)
71922
71923.xword 0x356a
71924.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (5)
71925
71926.xword 0x0000006000408020
71927.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
71928
71929.xword 0x6a35
71930.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
71931
71932.xword 0x78285050
71933.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
71934
71935.xword 0x80604020
71936.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
71937
71938.xword 0x00287850
71939.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (5)
71940
71941.xword 0x00287850
71942.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (5)
71943
71944.xword 0x78285050
71945.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
71946
71947.xword 0x64500000003c0000
71948.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
71949
71950.xword 0x7766000000554422
71951.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
71952
71953.xword 0x706200000054462a
71954.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
71955
71956.xword 0x784d005800423721
71957.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
71958
71959.xword 0x804d006000504020
71960.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
71961
71962.xword 0x0000006000408020
71963.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
71964
71965.xword 0
71966.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (5)
71967
71968.xword 0
71969.xword 0x00020088 | (6 << 18) !ma_ctl_Ld (6)
71970
71971.xword 0
71972.xword 0x0002013d | (6 << 18) !ma_ctl_St (6)
71973
71974.xword 0x80604020
71975.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (6)
71976
71977.xword 0x356a
71978.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (6)
71979
71980.xword 0x0000006000408020
71981.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
71982
71983.xword 0x6a35
71984.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
71985
71986.xword 0x78285050
71987.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
71988
71989.xword 0x80604020
71990.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
71991
71992.xword 0x00287850
71993.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (6)
71994
71995.xword 0x00287850
71996.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (6)
71997
71998.xword 0x78285050
71999.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (6)
72000
72001.xword 0x64500000003c0000
72002.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
72003
72004.xword 0x7766000000554422
72005.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
72006
72007.xword 0x706200000054462a
72008.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
72009
72010.xword 0x784d005800423721
72011.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
72012
72013.xword 0x804d006000504020
72014.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
72015
72016.xword 0x0000006000408020
72017.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
72018
72019.xword 0
72020.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (6)
72021
72022.xword 0
72023.xword 0x0002006a | (6 << 18) !ma_ctl_Ld (7)
72024
72025.xword 0
72026.xword 0x00020155 | (6 << 18) !ma_ctl_St (7)
72027
72028.xword 0x80604020
72029.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (7)
72030
72031.xword 0x356a
72032.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (7)
72033
72034.xword 0x0000006000408020
72035.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
72036
72037.xword 0x6a35
72038.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
72039
72040.xword 0x78285050
72041.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
72042
72043.xword 0x80604020
72044.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
72045
72046.xword 0x00287850
72047.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (7)
72048
72049.xword 0x00287850
72050.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (7)
72051
72052.xword 0x78285050
72053.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (7)
72054
72055.xword 0x64500000003c0000
72056.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
72057
72058.xword 0x7766000000554422
72059.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
72060
72061.xword 0x706200000054462a
72062.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
72063
72064.xword 0x784d005800423721
72065.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
72066
72067.xword 0x804d006000504020
72068.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
72069
72070.xword 0x0000006000408020
72071.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
72072
72073.xword 0
72074.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (7)
72075
72076.xword 0
72077.xword 0x0002007f | (6 << 18) !ma_ctl_Ld (8)
72078
72079.xword 0
72080.xword 0x00020129 | (6 << 18) !ma_ctl_St (8)
72081
72082.xword 0x80604020
72083.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (8)
72084
72085.xword 0x356a
72086.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (8)
72087
72088.xword 0x0000006000408020
72089.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
72090
72091.xword 0x6a35
72092.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
72093
72094.xword 0x78285050
72095.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
72096
72097.xword 0x80604020
72098.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
72099
72100.xword 0x00287850
72101.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (8)
72102
72103.xword 0x00287850
72104.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (8)
72105
72106.xword 0x78285050
72107.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (8)
72108
72109.xword 0x64500000003c0000
72110.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
72111
72112.xword 0x7766000000554422
72113.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
72114
72115.xword 0x706200000054462a
72116.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
72117
72118.xword 0x784d005800423721
72119.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
72120
72121.xword 0x804d006000504020
72122.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
72123
72124.xword 0x0000006000408020
72125.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
72126
72127.xword 0
72128.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (8)
72129
72130.xword 0
72131.xword 0x00020085 | (6 << 18) !ma_ctl_Ld (9)
72132
72133.xword 0
72134.xword 0x00020152 | (6 << 18) !ma_ctl_St (9)
72135
72136.xword 0x80604020
72137.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (9)
72138
72139.xword 0x356a
72140.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
72141
72142.xword 0x0000006000408020
72143.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
72144
72145.xword 0x6a35
72146.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
72147
72148.xword 0x78285050
72149.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
72150
72151.xword 0x80604020
72152.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
72153
72154.xword 0x00287850
72155.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (9)
72156
72157.xword 0x00287850
72158.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (9)
72159
72160.xword 0x78285050
72161.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (9)
72162
72163.xword 0x64500000003c0000
72164.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
72165
72166.xword 0x7766000000554422
72167.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
72168
72169.xword 0x706200000054462a
72170.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
72171
72172.xword 0x784d005800423721
72173.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
72174
72175.xword 0x804d006000504020
72176.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
72177
72178.xword 0x0000006000408020
72179.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
72180
72181.xword 0
72182.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (9)
72183
72184.xword 0
72185.xword 0x00020067 | (6 << 18) !ma_ctl_Ld (10)
72186
72187.xword 0
72188.xword 0x00020128 | (6 << 18) !ma_ctl_St (10)
72189
72190.xword 0x80604020
72191.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (10)
72192
72193.xword 0x356a
72194.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (10)
72195
72196.xword 0x0000006000408020
72197.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
72198
72199.xword 0x6a35
72200.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
72201
72202.xword 0x78285050
72203.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
72204
72205.xword 0x80604020
72206.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
72207
72208.xword 0x00287850
72209.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (10)
72210
72211.xword 0x00287850
72212.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (10)
72213
72214.xword 0x78285050
72215.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
72216
72217.xword 0x64500000003c0000
72218.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
72219
72220.xword 0x7766000000554422
72221.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
72222
72223.xword 0x706200000054462a
72224.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
72225
72226.xword 0x784d005800423721
72227.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
72228
72229.xword 0x804d006000504020
72230.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
72231
72232.xword 0x0000006000408020
72233.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
72234
72235.xword 0
72236.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (10)
72237
72238.xword 0
72239.xword 0x0002006f | (6 << 18) !ma_ctl_Ld (11)
72240
72241.xword 0
72242.xword 0x0002014f | (6 << 18) !ma_ctl_St (11)
72243
72244.xword 0x80604020
72245.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (11)
72246
72247.xword 0x356a
72248.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (11)
72249
72250.xword 0x0000006000408020
72251.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
72252
72253.xword 0x6a35
72254.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
72255
72256.xword 0x78285050
72257.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
72258
72259.xword 0x80604020
72260.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
72261
72262.xword 0x00287850
72263.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (11)
72264
72265.xword 0x00287850
72266.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (11)
72267
72268.xword 0x78285050
72269.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (11)
72270
72271.xword 0x64500000003c0000
72272.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
72273
72274.xword 0x7766000000554422
72275.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
72276
72277.xword 0x706200000054462a
72278.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
72279
72280.xword 0x784d005800423721
72281.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
72282
72283.xword 0x804d006000504020
72284.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
72285
72286.xword 0x0000006000408020
72287.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
72288
72289.xword 0
72290.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (11)
72291
72292.xword 0
72293.xword 0x00020042 | (6 << 18) !ma_ctl_Ld (12)
72294
72295.xword 0
72296.xword 0x00020150 | (6 << 18) !ma_ctl_St (12)
72297
72298.xword 0x80604020
72299.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (12)
72300
72301.xword 0x356a
72302.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (12)
72303
72304.xword 0x0000006000408020
72305.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
72306
72307.xword 0x6a35
72308.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
72309
72310.xword 0x78285050
72311.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
72312
72313.xword 0x80604020
72314.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
72315
72316.xword 0x00287850
72317.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (12)
72318
72319.xword 0x00287850
72320.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (12)
72321
72322.xword 0x78285050
72323.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (12)
72324
72325.xword 0x64500000003c0000
72326.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
72327
72328.xword 0x7766000000554422
72329.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
72330
72331.xword 0x706200000054462a
72332.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
72333
72334.xword 0x784d005800423721
72335.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
72336
72337.xword 0x804d006000504020
72338.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
72339
72340.xword 0x0000006000408020
72341.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
72342
72343.xword 0
72344.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (12)
72345
72346.xword 0
72347.xword 0x00020031 | (6 << 18) !ma_ctl_Ld (13)
72348
72349.xword 0
72350.xword 0x00020139 | (6 << 18) !ma_ctl_St (13)
72351
72352.xword 0x80604020
72353.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (13)
72354
72355.xword 0x356a
72356.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (13)
72357
72358.xword 0x0000006000408020
72359.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
72360
72361.xword 0x6a35
72362.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
72363
72364.xword 0x78285050
72365.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
72366
72367.xword 0x80604020
72368.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
72369
72370.xword 0x00287850
72371.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (13)
72372
72373.xword 0x00287850
72374.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (13)
72375
72376.xword 0x78285050
72377.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (13)
72378
72379.xword 0x64500000003c0000
72380.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
72381
72382.xword 0x7766000000554422
72383.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
72384
72385.xword 0x706200000054462a
72386.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
72387
72388.xword 0x784d005800423721
72389.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
72390
72391.xword 0x804d006000504020
72392.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
72393
72394.xword 0x0000006000408020
72395.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
72396
72397.xword 0
72398.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (13)
72399
72400.xword 0
72401.xword 0x00020022 | (6 << 18) !ma_ctl_Ld (14)
72402
72403.xword 0
72404.xword 0x0002015b | (6 << 18) !ma_ctl_St (14)
72405
72406.xword 0x80604020
72407.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (14)
72408
72409.xword 0x356a
72410.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (14)
72411
72412.xword 0x0000006000408020
72413.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
72414
72415.xword 0x6a35
72416.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
72417
72418.xword 0x78285050
72419.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
72420
72421.xword 0x80604020
72422.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
72423
72424.xword 0x00287850
72425.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (14)
72426
72427.xword 0x00287850
72428.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (14)
72429
72430.xword 0x78285050
72431.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (14)
72432
72433.xword 0x64500000003c0000
72434.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
72435
72436.xword 0x7766000000554422
72437.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
72438
72439.xword 0x706200000054462a
72440.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
72441
72442.xword 0x784d005800423721
72443.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
72444
72445.xword 0x804d006000504020
72446.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
72447
72448.xword 0x0000006000408020
72449.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
72450
72451.xword 0
72452.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (14)
72453
72454.xword 0
72455.xword 0x0002005e | (6 << 18) !ma_ctl_Ld (15)
72456
72457.xword 0
72458.xword 0x00020130 | (6 << 18) !ma_ctl_St (15)
72459
72460.xword 0x80604020
72461.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (15)
72462
72463.xword 0x356a
72464.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (15)
72465
72466.xword 0x0000006000408020
72467.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
72468
72469.xword 0x6a35
72470.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
72471
72472.xword 0x78285050
72473.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
72474
72475.xword 0x80604020
72476.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
72477
72478.xword 0x00287850
72479.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (15)
72480
72481.xword 0x00287850
72482.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (15)
72483
72484.xword 0x78285050
72485.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (15)
72486
72487.xword 0x64500000003c0000
72488.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
72489
72490.xword 0x7766000000554422
72491.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
72492
72493.xword 0x706200000054462a
72494.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
72495
72496.xword 0x784d005800423721
72497.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
72498
72499.xword 0x804d006000504020
72500.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
72501
72502.xword 0x0000006000408020
72503.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
72504
72505.xword 0
72506.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (15)
72507
72508.xword 0
72509.xword 0x00020029 | (6 << 18) !ma_ctl_Ld (16)
72510
72511.xword 0
72512.xword 0x00020157 | (6 << 18) !ma_ctl_St (16)
72513
72514.xword 0x80604020
72515.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (16)
72516
72517.xword 0x356a
72518.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (16)
72519
72520.xword 0x0000006000408020
72521.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
72522
72523.xword 0x6a35
72524.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
72525
72526.xword 0x78285050
72527.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
72528
72529.xword 0x80604020
72530.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
72531
72532.xword 0x00287850
72533.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (16)
72534
72535.xword 0x00287850
72536.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (16)
72537
72538.xword 0x78285050
72539.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (16)
72540
72541.xword 0x64500000003c0000
72542.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
72543
72544.xword 0x7766000000554422
72545.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
72546
72547.xword 0x706200000054462a
72548.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
72549
72550.xword 0x784d005800423721
72551.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
72552
72553.xword 0x804d006000504020
72554.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
72555
72556.xword 0x0000006000408020
72557.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
72558
72559.xword 0
72560.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (16)
72561
72562.xword 0
72563.xword 0x00020060 | (6 << 18) !ma_ctl_Ld (17)
72564
72565.xword 0
72566.xword 0x00020151 | (6 << 18) !ma_ctl_St (17)
72567
72568.xword 0x80604020
72569.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (17)
72570
72571.xword 0x356a
72572.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (17)
72573
72574.xword 0x0000006000408020
72575.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
72576
72577.xword 0x6a35
72578.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
72579
72580.xword 0x78285050
72581.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
72582
72583.xword 0x80604020
72584.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
72585
72586.xword 0x00287850
72587.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (17)
72588
72589.xword 0x00287850
72590.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (17)
72591
72592.xword 0x78285050
72593.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (17)
72594
72595.xword 0x64500000003c0000
72596.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
72597
72598.xword 0x7766000000554422
72599.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
72600
72601.xword 0x706200000054462a
72602.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
72603
72604.xword 0x784d005800423721
72605.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
72606
72607.xword 0x804d006000504020
72608.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
72609
72610.xword 0x0000006000408020
72611.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
72612
72613.xword 0
72614.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (17)
72615
72616.xword 0
72617.xword 0x00020061 | (6 << 18) !ma_ctl_Ld (18)
72618
72619.xword 0
72620.xword 0x0002013c | (6 << 18) !ma_ctl_St (18)
72621
72622.xword 0x80604020
72623.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (18)
72624
72625.xword 0x356a
72626.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (18)
72627
72628.xword 0x0000006000408020
72629.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
72630
72631.xword 0x6a35
72632.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
72633
72634.xword 0x78285050
72635.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
72636
72637.xword 0x80604020
72638.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
72639
72640.xword 0x00287850
72641.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (18)
72642
72643.xword 0x00287850
72644.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (18)
72645
72646.xword 0x78285050
72647.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (18)
72648
72649.xword 0x64500000003c0000
72650.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
72651
72652.xword 0x7766000000554422
72653.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
72654
72655.xword 0x706200000054462a
72656.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
72657
72658.xword 0x784d005800423721
72659.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
72660
72661.xword 0x804d006000504020
72662.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
72663
72664.xword 0x0000006000408020
72665.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
72666
72667.xword 0
72668.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (18)
72669
72670.xword 0
72671.xword 0x00020065 | (6 << 18) !ma_ctl_Ld (19)
72672
72673.xword 0
72674.xword 0x0002014d | (6 << 18) !ma_ctl_St (19)
72675
72676.xword 0x80604020
72677.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (19)
72678
72679.xword 0x356a
72680.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (19)
72681
72682.xword 0x0000006000408020
72683.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
72684
72685.xword 0x6a35
72686.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
72687
72688.xword 0x78285050
72689.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
72690
72691.xword 0x80604020
72692.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
72693
72694.xword 0x00287850
72695.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (19)
72696
72697.xword 0x00287850
72698.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (19)
72699
72700.xword 0x78285050
72701.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
72702
72703.xword 0x64500000003c0000
72704.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
72705
72706.xword 0x7766000000554422
72707.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
72708
72709.xword 0x706200000054462a
72710.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
72711
72712.xword 0x784d005800423721
72713.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
72714
72715.xword 0x804d006000504020
72716.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
72717
72718.xword 0x0000006000408020
72719.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
72720
72721.xword 0
72722.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (19)
72723
72724.xword 0
72725.xword 0x00020044 | (6 << 18) !ma_ctl_Ld (20)
72726
72727.xword 0
72728.xword 0x00020138 | (6 << 18) !ma_ctl_St (20)
72729
72730.xword 0x80604020
72731.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (20)
72732
72733.xword 0x356a
72734.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (20)
72735
72736.xword 0x0000006000408020
72737.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
72738
72739.xword 0x6a35
72740.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
72741
72742.xword 0x78285050
72743.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
72744
72745.xword 0x80604020
72746.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
72747
72748.xword 0x00287850
72749.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (20)
72750
72751.xword 0x00287850
72752.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (20)
72753
72754.xword 0x78285050
72755.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (20)
72756
72757.xword 0x64500000003c0000
72758.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
72759
72760.xword 0x7766000000554422
72761.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
72762
72763.xword 0x706200000054462a
72764.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
72765
72766.xword 0x784d005800423721
72767.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
72768
72769.xword 0x804d006000504020
72770.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
72771
72772.xword 0x0000006000408020
72773.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
72774
72775.xword 0
72776.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (20)
72777
72778.xword 0
72779.xword 0x0002009b | (6 << 18) !ma_ctl_Ld (21)
72780
72781.xword 0
72782.xword 0x00020145 | (6 << 18) !ma_ctl_St (21)
72783
72784.xword 0x80604020
72785.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (21)
72786
72787.xword 0x356a
72788.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (21)
72789
72790.xword 0x0000006000408020
72791.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
72792
72793.xword 0x6a35
72794.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
72795
72796.xword 0x78285050
72797.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
72798
72799.xword 0x80604020
72800.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
72801
72802.xword 0x00287850
72803.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (21)
72804
72805.xword 0x00287850
72806.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (21)
72807
72808.xword 0x78285050
72809.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (21)
72810
72811.xword 0x64500000003c0000
72812.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
72813
72814.xword 0x7766000000554422
72815.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
72816
72817.xword 0x706200000054462a
72818.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
72819
72820.xword 0x784d005800423721
72821.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
72822
72823.xword 0x804d006000504020
72824.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
72825
72826.xword 0x0000006000408020
72827.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
72828
72829.xword 0
72830.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (21)
72831
72832.xword 0
72833.xword 0x00020098 | (6 << 18) !ma_ctl_Ld (22)
72834
72835.xword 0
72836.xword 0x0002016a | (6 << 18) !ma_ctl_St (22)
72837
72838.xword 0x80604020
72839.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (22)
72840
72841.xword 0x356a
72842.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (22)
72843
72844.xword 0x0000006000408020
72845.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
72846
72847.xword 0x6a35
72848.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
72849
72850.xword 0x78285050
72851.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
72852
72853.xword 0x80604020
72854.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
72855
72856.xword 0x00287850
72857.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (22)
72858
72859.xword 0x00287850
72860.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (22)
72861
72862.xword 0x78285050
72863.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (22)
72864
72865.xword 0x64500000003c0000
72866.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
72867
72868.xword 0x7766000000554422
72869.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
72870
72871.xword 0x706200000054462a
72872.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
72873
72874.xword 0x784d005800423721
72875.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
72876
72877.xword 0x804d006000504020
72878.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
72879
72880.xword 0x0000006000408020
72881.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
72882
72883.xword 0
72884.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (22)
72885
72886.xword 0
72887.xword 0x00020048 | (6 << 18) !ma_ctl_Ld (23)
72888
72889.xword 0
72890.xword 0x0002017a | (6 << 18) !ma_ctl_St (23)
72891
72892.xword 0x80604020
72893.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (23)
72894
72895.xword 0x356a
72896.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (23)
72897
72898.xword 0x0000006000408020
72899.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
72900
72901.xword 0x6a35
72902.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
72903
72904.xword 0x78285050
72905.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
72906
72907.xword 0x80604020
72908.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
72909
72910.xword 0x00287850
72911.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (23)
72912
72913.xword 0x00287850
72914.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (23)
72915
72916.xword 0x78285050
72917.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (23)
72918
72919.xword 0x64500000003c0000
72920.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
72921
72922.xword 0x7766000000554422
72923.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
72924
72925.xword 0x706200000054462a
72926.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
72927
72928.xword 0x784d005800423721
72929.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
72930
72931.xword 0x804d006000504020
72932.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
72933
72934.xword 0x0000006000408020
72935.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
72936
72937.xword 0
72938.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (23)
72939
72940.xword 0
72941.xword 0x00020050 | (6 << 18) !ma_ctl_Ld (24)
72942
72943.xword 0
72944.xword 0x0002014f | (6 << 18) !ma_ctl_St (24)
72945
72946.xword 0x80604020
72947.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (24)
72948
72949.xword 0x356a
72950.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (24)
72951
72952.xword 0x0000006000408020
72953.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
72954
72955.xword 0x6a35
72956.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
72957
72958.xword 0x78285050
72959.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
72960
72961.xword 0x80604020
72962.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
72963
72964.xword 0x00287850
72965.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (24)
72966
72967.xword 0x00287850
72968.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (24)
72969
72970.xword 0x78285050
72971.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (24)
72972
72973.xword 0x64500000003c0000
72974.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
72975
72976.xword 0x7766000000554422
72977.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
72978
72979.xword 0x706200000054462a
72980.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
72981
72982.xword 0x784d005800423721
72983.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
72984
72985.xword 0x804d006000504020
72986.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
72987
72988.xword 0x0000006000408020
72989.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
72990
72991.xword 0
72992.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (24)
72993
72994.xword 0
72995.xword 0x00020045 | (6 << 18) !ma_ctl_Ld (25)
72996
72997.xword 0
72998.xword 0x0002014c | (6 << 18) !ma_ctl_St (25)
72999
73000.xword 0x80604020
73001.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (25)
73002
73003.xword 0x356a
73004.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (25)
73005
73006.xword 0x0000006000408020
73007.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
73008
73009.xword 0x6a35
73010.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
73011
73012.xword 0x78285050
73013.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
73014
73015.xword 0x80604020
73016.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
73017
73018.xword 0x00287850
73019.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (25)
73020
73021.xword 0x00287850
73022.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (25)
73023
73024.xword 0x78285050
73025.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (25)
73026
73027.xword 0x64500000003c0000
73028.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
73029
73030.xword 0x7766000000554422
73031.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
73032
73033.xword 0x706200000054462a
73034.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
73035
73036.xword 0x784d005800423721
73037.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
73038
73039.xword 0x804d006000504020
73040.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
73041
73042.xword 0x0000006000408020
73043.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
73044
73045.xword 0
73046.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (25)
73047
73048.xword 0
73049.xword 0x00020099 | (6 << 18) !ma_ctl_Ld (26)
73050
73051.xword 0
73052.xword 0x00020140 | (6 << 18) !ma_ctl_St (26)
73053
73054.xword 0x80604020
73055.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (26)
73056
73057.xword 0x356a
73058.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (26)
73059
73060.xword 0x0000006000408020
73061.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
73062
73063.xword 0x6a35
73064.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
73065
73066.xword 0x78285050
73067.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
73068
73069.xword 0x80604020
73070.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
73071
73072.xword 0x00287850
73073.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (26)
73074
73075.xword 0x00287850
73076.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (26)
73077
73078.xword 0x78285050
73079.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (26)
73080
73081.xword 0x64500000003c0000
73082.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
73083
73084.xword 0x7766000000554422
73085.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
73086
73087.xword 0x706200000054462a
73088.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
73089
73090.xword 0x784d005800423721
73091.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
73092
73093.xword 0x804d006000504020
73094.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
73095
73096.xword 0x0000006000408020
73097.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
73098
73099.xword 0
73100.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (26)
73101
73102.xword 0
73103.xword 0x00020097 | (6 << 18) !ma_ctl_Ld (27)
73104
73105.xword 0
73106.xword 0x0002015a | (6 << 18) !ma_ctl_St (27)
73107
73108.xword 0x80604020
73109.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (27)
73110
73111.xword 0x356a
73112.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (27)
73113
73114.xword 0x0000006000408020
73115.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
73116
73117.xword 0x6a35
73118.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
73119
73120.xword 0x78285050
73121.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
73122
73123.xword 0x80604020
73124.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
73125
73126.xword 0x00287850
73127.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (27)
73128
73129.xword 0x00287850
73130.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (27)
73131
73132.xword 0x78285050
73133.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (27)
73134
73135.xword 0x64500000003c0000
73136.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
73137
73138.xword 0x7766000000554422
73139.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
73140
73141.xword 0x706200000054462a
73142.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
73143
73144.xword 0x784d005800423721
73145.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
73146
73147.xword 0x804d006000504020
73148.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
73149
73150.xword 0x0000006000408020
73151.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
73152
73153.xword 0
73154.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (27)
73155
73156.xword 0
73157.xword 0x00020043 | (6 << 18) !ma_ctl_Ld (28)
73158
73159.xword 0
73160.xword 0x00020136 | (6 << 18) !ma_ctl_St (28)
73161
73162.xword 0x80604020
73163.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (28)
73164
73165.xword 0x356a
73166.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (28)
73167
73168.xword 0x0000006000408020
73169.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
73170
73171.xword 0x6a35
73172.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
73173
73174.xword 0x78285050
73175.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
73176
73177.xword 0x80604020
73178.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
73179
73180.xword 0x00287850
73181.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (28)
73182
73183.xword 0x00287850
73184.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (28)
73185
73186.xword 0x78285050
73187.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (28)
73188
73189.xword 0x64500000003c0000
73190.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
73191
73192.xword 0x7766000000554422
73193.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
73194
73195.xword 0x706200000054462a
73196.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
73197
73198.xword 0x784d005800423721
73199.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
73200
73201.xword 0x804d006000504020
73202.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
73203
73204.xword 0x0000006000408020
73205.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
73206
73207.xword 0
73208.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (28)
73209
73210.xword 0
73211.xword 0x00020062 | (6 << 18) !ma_ctl_Ld (29)
73212
73213.xword 0
73214.xword 0x00020145 | (6 << 18) !ma_ctl_St (29)
73215
73216.xword 0x80604020
73217.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (29)
73218
73219.xword 0x356a
73220.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (29)
73221
73222.xword 0x0000006000408020
73223.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
73224
73225.xword 0x6a35
73226.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
73227
73228.xword 0x78285050
73229.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
73230
73231.xword 0x80604020
73232.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
73233
73234.xword 0x00287850
73235.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (29)
73236
73237.xword 0x00287850
73238.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (29)
73239
73240.xword 0x78285050
73241.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (29)
73242
73243.xword 0x64500000003c0000
73244.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
73245
73246.xword 0x7766000000554422
73247.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
73248
73249.xword 0x706200000054462a
73250.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
73251
73252.xword 0x784d005800423721
73253.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
73254
73255.xword 0x804d006000504020
73256.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
73257
73258.xword 0x0000006000408020
73259.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
73260
73261.xword 0
73262.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (29)
73263
73264.xword 0
73265.xword 0x0002007b | (6 << 18) !ma_ctl_Ld (30)
73266
73267.xword 0
73268.xword 0x0002018b | (6 << 18) !ma_ctl_St (30)
73269
73270.xword 0x80604020
73271.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (30)
73272
73273.xword 0x356a
73274.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (30)
73275
73276.xword 0x0000006000408020
73277.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
73278
73279.xword 0x6a35
73280.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
73281
73282.xword 0x78285050
73283.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
73284
73285.xword 0x80604020
73286.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
73287
73288.xword 0x00287850
73289.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (30)
73290
73291.xword 0x00287850
73292.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (30)
73293
73294.xword 0x78285050
73295.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (30)
73296
73297.xword 0x64500000003c0000
73298.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
73299
73300.xword 0x7766000000554422
73301.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
73302
73303.xword 0x706200000054462a
73304.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
73305
73306.xword 0x784d005800423721
73307.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
73308
73309.xword 0x804d006000504020
73310.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
73311
73312.xword 0x0000006000408020
73313.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
73314
73315.xword 0
73316.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (30)
73317
73318.xword 0
73319.xword 0x00020067 | (6 << 18) !ma_ctl_Ld (31)
73320
73321.xword 0
73322.xword 0x00020156 | (6 << 18) !ma_ctl_St (31)
73323
73324.xword 0x80604020
73325.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (31)
73326
73327.xword 0x356a
73328.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (31)
73329
73330.xword 0x0000006000408020
73331.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
73332
73333.xword 0x6a35
73334.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
73335
73336.xword 0x78285050
73337.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
73338
73339.xword 0x80604020
73340.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
73341
73342.xword 0x00287850
73343.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (31)
73344
73345.xword 0x00287850
73346.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (31)
73347
73348.xword 0x78285050
73349.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (31)
73350
73351.xword 0x64500000003c0000
73352.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
73353
73354.xword 0x7766000000554422
73355.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
73356
73357.xword 0x706200000054462a
73358.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
73359
73360.xword 0x784d005800423721
73361.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
73362
73363.xword 0x804d006000504020
73364.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
73365
73366.xword 0x0000006000408020
73367.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
73368
73369.xword 0
73370.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (31)
73371
73372.xword 0
73373.xword 0x0002005f | (6 << 18) !ma_ctl_Ld (32)
73374
73375.xword 0
73376.xword 0x0002012c | (6 << 18) !ma_ctl_St (32)
73377
73378.xword 0x80604020
73379.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (32)
73380
73381.xword 0x356a
73382.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (32)
73383
73384.xword 0x0000006000408020
73385.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
73386
73387.xword 0x6a35
73388.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
73389
73390.xword 0x78285050
73391.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
73392
73393.xword 0x80604020
73394.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
73395
73396.xword 0x00287850
73397.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (32)
73398
73399.xword 0x00287850
73400.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (32)
73401
73402.xword 0x78285050
73403.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (32)
73404
73405.xword 0x64500000003c0000
73406.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
73407
73408.xword 0x7766000000554422
73409.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
73410
73411.xword 0x706200000054462a
73412.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
73413
73414.xword 0x784d005800423721
73415.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
73416
73417.xword 0x804d006000504020
73418.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
73419
73420.xword 0x0000006000408020
73421.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
73422
73423.xword 0
73424.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (32)
73425
73426.xword 0
73427.xword 0x0002002d | (6 << 18) !ma_ctl_Ld (33)
73428
73429.xword 0
73430.xword 0x0002018b | (6 << 18) !ma_ctl_St (33)
73431
73432.xword 0x80604020
73433.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (33)
73434
73435.xword 0x356a
73436.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (33)
73437
73438.xword 0x0000006000408020
73439.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
73440
73441.xword 0x6a35
73442.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
73443
73444.xword 0x78285050
73445.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
73446
73447.xword 0x80604020
73448.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
73449
73450.xword 0x00287850
73451.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (33)
73452
73453.xword 0x00287850
73454.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (33)
73455
73456.xword 0x78285050
73457.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (33)
73458
73459.xword 0x64500000003c0000
73460.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
73461
73462.xword 0x7766000000554422
73463.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
73464
73465.xword 0x706200000054462a
73466.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
73467
73468.xword 0x784d005800423721
73469.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
73470
73471.xword 0x804d006000504020
73472.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
73473
73474.xword 0x0000006000408020
73475.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
73476
73477.xword 0
73478.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (33)
73479
73480.xword 0
73481.xword 0x0002004a | (6 << 18) !ma_ctl_Ld (34)
73482
73483.xword 0
73484.xword 0x0002011e | (6 << 18) !ma_ctl_St (34)
73485
73486.xword 0x80604020
73487.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (34)
73488
73489.xword 0x356a
73490.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (34)
73491
73492.xword 0x0000006000408020
73493.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
73494
73495.xword 0x6a35
73496.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
73497
73498.xword 0x78285050
73499.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
73500
73501.xword 0x80604020
73502.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
73503
73504.xword 0x00287850
73505.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (34)
73506
73507.xword 0x00287850
73508.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (34)
73509
73510.xword 0x78285050
73511.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (34)
73512
73513.xword 0x64500000003c0000
73514.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
73515
73516.xword 0x7766000000554422
73517.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
73518
73519.xword 0x706200000054462a
73520.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
73521
73522.xword 0x784d005800423721
73523.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
73524
73525.xword 0x804d006000504020
73526.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
73527
73528.xword 0x0000006000408020
73529.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
73530
73531.xword 0
73532.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (34)
73533
73534.xword 0
73535.xword 0x0002007a | (6 << 18) !ma_ctl_Ld (35)
73536
73537.xword 0
73538.xword 0x0002015a | (6 << 18) !ma_ctl_St (35)
73539
73540.xword 0x80604020
73541.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (35)
73542
73543.xword 0x356a
73544.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (35)
73545
73546.xword 0x0000006000408020
73547.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
73548
73549.xword 0x6a35
73550.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
73551
73552.xword 0x78285050
73553.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
73554
73555.xword 0x80604020
73556.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
73557
73558.xword 0x00287850
73559.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (35)
73560
73561.xword 0x00287850
73562.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (35)
73563
73564.xword 0x78285050
73565.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (35)
73566
73567.xword 0x64500000003c0000
73568.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
73569
73570.xword 0x7766000000554422
73571.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
73572
73573.xword 0x706200000054462a
73574.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
73575
73576.xword 0x784d005800423721
73577.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
73578
73579.xword 0x804d006000504020
73580.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
73581
73582.xword 0x0000006000408020
73583.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
73584
73585.xword 0
73586.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (35)
73587
73588.xword 0
73589.xword 0x0002006b | (6 << 18) !ma_ctl_Ld (36)
73590
73591.xword 0
73592.xword 0x00020124 | (6 << 18) !ma_ctl_St (36)
73593
73594.xword 0x80604020
73595.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (36)
73596
73597.xword 0x356a
73598.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (36)
73599
73600.xword 0x0000006000408020
73601.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
73602
73603.xword 0x6a35
73604.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
73605
73606.xword 0x78285050
73607.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
73608
73609.xword 0x80604020
73610.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
73611
73612.xword 0x00287850
73613.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (36)
73614
73615.xword 0x00287850
73616.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (36)
73617
73618.xword 0x78285050
73619.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (36)
73620
73621.xword 0x64500000003c0000
73622.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
73623
73624.xword 0x7766000000554422
73625.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
73626
73627.xword 0x706200000054462a
73628.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
73629
73630.xword 0x784d005800423721
73631.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
73632
73633.xword 0x804d006000504020
73634.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
73635
73636.xword 0x0000006000408020
73637.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
73638
73639.xword 0
73640.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (36)
73641
73642.xword 0
73643.xword 0x00020046 | (6 << 18) !ma_ctl_Ld (37)
73644
73645.xword 0
73646.xword 0x00020181 | (6 << 18) !ma_ctl_St (37)
73647
73648.xword 0x80604020
73649.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (37)
73650
73651.xword 0x356a
73652.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (37)
73653
73654.xword 0x0000006000408020
73655.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
73656
73657.xword 0x6a35
73658.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
73659
73660.xword 0x78285050
73661.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
73662
73663.xword 0x80604020
73664.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
73665
73666.xword 0x00287850
73667.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (37)
73668
73669.xword 0x00287850
73670.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (37)
73671
73672.xword 0x78285050
73673.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (37)
73674
73675.xword 0x64500000003c0000
73676.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
73677
73678.xword 0x7766000000554422
73679.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
73680
73681.xword 0x706200000054462a
73682.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
73683
73684.xword 0x784d005800423721
73685.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
73686
73687.xword 0x804d006000504020
73688.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
73689
73690.xword 0x0000006000408020
73691.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
73692
73693.xword 0
73694.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (37)
73695
73696.xword 0
73697.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (38)
73698
73699.xword 0
73700.xword 0x00020149 | (6 << 18) !ma_ctl_St (38)
73701
73702.xword 0x80604020
73703.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (38)
73704
73705.xword 0x356a
73706.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (38)
73707
73708.xword 0x0000006000408020
73709.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
73710
73711.xword 0x6a35
73712.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
73713
73714.xword 0x78285050
73715.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
73716
73717.xword 0x80604020
73718.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
73719
73720.xword 0x00287850
73721.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (38)
73722
73723.xword 0x00287850
73724.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (38)
73725
73726.xword 0x78285050
73727.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (38)
73728
73729.xword 0x64500000003c0000
73730.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
73731
73732.xword 0x7766000000554422
73733.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
73734
73735.xword 0x706200000054462a
73736.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
73737
73738.xword 0x784d005800423721
73739.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
73740
73741.xword 0x804d006000504020
73742.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
73743
73744.xword 0x0000006000408020
73745.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
73746
73747.xword 0
73748.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (38)
73749
73750.xword 0
73751.xword 0x0002004d | (6 << 18) !ma_ctl_Ld (39)
73752
73753.xword 0
73754.xword 0x00020128 | (6 << 18) !ma_ctl_St (39)
73755
73756.xword 0x80604020
73757.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (39)
73758
73759.xword 0x356a
73760.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (39)
73761
73762.xword 0x0000006000408020
73763.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
73764
73765.xword 0x6a35
73766.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
73767
73768.xword 0x78285050
73769.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
73770
73771.xword 0x80604020
73772.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
73773
73774.xword 0x00287850
73775.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (39)
73776
73777.xword 0x00287850
73778.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (39)
73779
73780.xword 0x78285050
73781.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
73782
73783.xword 0x64500000003c0000
73784.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
73785
73786.xword 0x7766000000554422
73787.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
73788
73789.xword 0x706200000054462a
73790.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
73791
73792.xword 0x784d005800423721
73793.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
73794
73795.xword 0x804d006000504020
73796.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
73797
73798.xword 0x0000006000408020
73799.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
73800
73801.xword 0
73802.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (39)
73803
73804.xword 0
73805.xword 0x0002006a | (6 << 18) !ma_ctl_Ld (40)
73806
73807.xword 0
73808.xword 0x00020121 | (6 << 18) !ma_ctl_St (40)
73809
73810.xword 0x80604020
73811.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (40)
73812
73813.xword 0x356a
73814.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (40)
73815
73816.xword 0x0000006000408020
73817.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
73818
73819.xword 0x6a35
73820.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
73821
73822.xword 0x78285050
73823.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
73824
73825.xword 0x80604020
73826.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
73827
73828.xword 0x00287850
73829.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (40)
73830
73831.xword 0x00287850
73832.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (40)
73833
73834.xword 0x78285050
73835.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (40)
73836
73837.xword 0x64500000003c0000
73838.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
73839
73840.xword 0x7766000000554422
73841.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
73842
73843.xword 0x706200000054462a
73844.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
73845
73846.xword 0x784d005800423721
73847.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
73848
73849.xword 0x804d006000504020
73850.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
73851
73852.xword 0x0000006000408020
73853.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
73854
73855.xword 0
73856.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (40)
73857
73858.xword 0
73859.xword 0x00020089 | (6 << 18) !ma_ctl_Ld (41)
73860
73861.xword 0
73862.xword 0x00020141 | (6 << 18) !ma_ctl_St (41)
73863
73864.xword 0x80604020
73865.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (41)
73866
73867.xword 0x356a
73868.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (41)
73869
73870.xword 0x0000006000408020
73871.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
73872
73873.xword 0x6a35
73874.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
73875
73876.xword 0x78285050
73877.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
73878
73879.xword 0x80604020
73880.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
73881
73882.xword 0x00287850
73883.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (41)
73884
73885.xword 0x00287850
73886.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (41)
73887
73888.xword 0x78285050
73889.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (41)
73890
73891.xword 0x64500000003c0000
73892.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
73893
73894.xword 0x7766000000554422
73895.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
73896
73897.xword 0x706200000054462a
73898.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
73899
73900.xword 0x784d005800423721
73901.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
73902
73903.xword 0x804d006000504020
73904.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
73905
73906.xword 0x0000006000408020
73907.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
73908
73909.xword 0
73910.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (41)
73911
73912.xword 0
73913.xword 0x00020039 | (6 << 18) !ma_ctl_Ld (42)
73914
73915.xword 0
73916.xword 0x00020133 | (6 << 18) !ma_ctl_St (42)
73917
73918.xword 0x80604020
73919.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (42)
73920
73921.xword 0x356a
73922.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (42)
73923
73924.xword 0x0000006000408020
73925.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
73926
73927.xword 0x6a35
73928.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
73929
73930.xword 0x78285050
73931.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
73932
73933.xword 0x80604020
73934.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
73935
73936.xword 0x00287850
73937.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (42)
73938
73939.xword 0x00287850
73940.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (42)
73941
73942.xword 0x78285050
73943.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (42)
73944
73945.xword 0x64500000003c0000
73946.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
73947
73948.xword 0x7766000000554422
73949.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
73950
73951.xword 0x706200000054462a
73952.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
73953
73954.xword 0x784d005800423721
73955.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
73956
73957.xword 0x804d006000504020
73958.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
73959
73960.xword 0x0000006000408020
73961.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
73962
73963.xword 0
73964.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (42)
73965
73966.xword 0
73967.xword 0x00020043 | (6 << 18) !ma_ctl_Ld (43)
73968
73969.xword 0
73970.xword 0x00020161 | (6 << 18) !ma_ctl_St (43)
73971
73972.xword 0x80604020
73973.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (43)
73974
73975.xword 0x356a
73976.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (43)
73977
73978.xword 0x0000006000408020
73979.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
73980
73981.xword 0x6a35
73982.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
73983
73984.xword 0x78285050
73985.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
73986
73987.xword 0x80604020
73988.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
73989
73990.xword 0x00287850
73991.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (43)
73992
73993.xword 0x00287850
73994.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (43)
73995
73996.xword 0x78285050
73997.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (43)
73998
73999.xword 0x64500000003c0000
74000.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
74001
74002.xword 0x7766000000554422
74003.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
74004
74005.xword 0x706200000054462a
74006.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
74007
74008.xword 0x784d005800423721
74009.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
74010
74011.xword 0x804d006000504020
74012.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
74013
74014.xword 0x0000006000408020
74015.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
74016
74017.xword 0
74018.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (43)
74019
74020.xword 0
74021.xword 0x00020097 | (6 << 18) !ma_ctl_Ld (44)
74022
74023.xword 0
74024.xword 0x0002012c | (6 << 18) !ma_ctl_St (44)
74025
74026.xword 0x80604020
74027.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (44)
74028
74029.xword 0x356a
74030.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (44)
74031
74032.xword 0x0000006000408020
74033.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
74034
74035.xword 0x6a35
74036.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
74037
74038.xword 0x78285050
74039.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
74040
74041.xword 0x80604020
74042.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
74043
74044.xword 0x00287850
74045.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (44)
74046
74047.xword 0x00287850
74048.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (44)
74049
74050.xword 0x78285050
74051.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (44)
74052
74053.xword 0x64500000003c0000
74054.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
74055
74056.xword 0x7766000000554422
74057.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
74058
74059.xword 0x706200000054462a
74060.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
74061
74062.xword 0x784d005800423721
74063.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
74064
74065.xword 0x804d006000504020
74066.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
74067
74068.xword 0x0000006000408020
74069.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
74070
74071.xword 0
74072.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (44)
74073
74074.xword 0
74075.xword 0x00020036 | (6 << 18) !ma_ctl_Ld (45)
74076
74077.xword 0
74078.xword 0x00020124 | (6 << 18) !ma_ctl_St (45)
74079
74080.xword 0x80604020
74081.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (45)
74082
74083.xword 0x356a
74084.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (45)
74085
74086.xword 0x0000006000408020
74087.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
74088
74089.xword 0x6a35
74090.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
74091
74092.xword 0x78285050
74093.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
74094
74095.xword 0x80604020
74096.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
74097
74098.xword 0x00287850
74099.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (45)
74100
74101.xword 0x00287850
74102.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (45)
74103
74104.xword 0x78285050
74105.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (45)
74106
74107.xword 0x64500000003c0000
74108.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
74109
74110.xword 0x7766000000554422
74111.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
74112
74113.xword 0x706200000054462a
74114.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
74115
74116.xword 0x784d005800423721
74117.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
74118
74119.xword 0x804d006000504020
74120.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
74121
74122.xword 0x0000006000408020
74123.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
74124
74125.xword 0
74126.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (45)
74127
74128.xword 0
74129.xword 0x0002005c | (6 << 18) !ma_ctl_Ld (46)
74130
74131.xword 0
74132.xword 0x00020167 | (6 << 18) !ma_ctl_St (46)
74133
74134.xword 0x80604020
74135.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (46)
74136
74137.xword 0x356a
74138.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (46)
74139
74140.xword 0x0000006000408020
74141.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
74142
74143.xword 0x6a35
74144.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
74145
74146.xword 0x78285050
74147.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
74148
74149.xword 0x80604020
74150.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
74151
74152.xword 0x00287850
74153.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (46)
74154
74155.xword 0x00287850
74156.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (46)
74157
74158.xword 0x78285050
74159.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (46)
74160
74161.xword 0x64500000003c0000
74162.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
74163
74164.xword 0x7766000000554422
74165.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
74166
74167.xword 0x706200000054462a
74168.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
74169
74170.xword 0x784d005800423721
74171.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
74172
74173.xword 0x804d006000504020
74174.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
74175
74176.xword 0x0000006000408020
74177.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
74178
74179.xword 0
74180.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (46)
74181
74182.xword 0
74183.xword 0x00020039 | (6 << 18) !ma_ctl_Ld (47)
74184
74185.xword 0
74186.xword 0x00020139 | (6 << 18) !ma_ctl_St (47)
74187
74188.xword 0x80604020
74189.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (47)
74190
74191.xword 0x356a
74192.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (47)
74193
74194.xword 0x0000006000408020
74195.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
74196
74197.xword 0x6a35
74198.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
74199
74200.xword 0x78285050
74201.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
74202
74203.xword 0x80604020
74204.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
74205
74206.xword 0x00287850
74207.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (47)
74208
74209.xword 0x00287850
74210.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (47)
74211
74212.xword 0x78285050
74213.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (47)
74214
74215.xword 0x64500000003c0000
74216.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
74217
74218.xword 0x7766000000554422
74219.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
74220
74221.xword 0x706200000054462a
74222.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
74223
74224.xword 0x784d005800423721
74225.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
74226
74227.xword 0x804d006000504020
74228.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
74229
74230.xword 0x0000006000408020
74231.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
74232
74233.xword 0
74234.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (47)
74235
74236.xword 0
74237.xword 0x00020032 | (6 << 18) !ma_ctl_Ld (48)
74238
74239.xword 0
74240.xword 0x00020163 | (6 << 18) !ma_ctl_St (48)
74241
74242.xword 0x80604020
74243.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (48)
74244
74245.xword 0x356a
74246.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (48)
74247
74248.xword 0x0000006000408020
74249.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
74250
74251.xword 0x6a35
74252.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
74253
74254.xword 0x78285050
74255.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
74256
74257.xword 0x80604020
74258.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
74259
74260.xword 0x00287850
74261.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (48)
74262
74263.xword 0x00287850
74264.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (48)
74265
74266.xword 0x78285050
74267.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (48)
74268
74269.xword 0x64500000003c0000
74270.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
74271
74272.xword 0x7766000000554422
74273.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
74274
74275.xword 0x706200000054462a
74276.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
74277
74278.xword 0x784d005800423721
74279.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
74280
74281.xword 0x804d006000504020
74282.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
74283
74284.xword 0x0000006000408020
74285.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
74286
74287.xword 0
74288.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (48)
74289
74290.xword 0
74291.xword 0x00020073 | (6 << 18) !ma_ctl_Ld (49)
74292
74293.xword 0
74294.xword 0x0002017d | (6 << 18) !ma_ctl_St (49)
74295
74296.xword 0x80604020
74297.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (49)
74298
74299.xword 0x356a
74300.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (49)
74301
74302.xword 0x0000006000408020
74303.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
74304
74305.xword 0x6a35
74306.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
74307
74308.xword 0x78285050
74309.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
74310
74311.xword 0x80604020
74312.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
74313
74314.xword 0x00287850
74315.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (49)
74316
74317.xword 0x00287850
74318.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (49)
74319
74320.xword 0x78285050
74321.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (49)
74322
74323.xword 0x64500000003c0000
74324.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
74325
74326.xword 0x7766000000554422
74327.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
74328
74329.xword 0x706200000054462a
74330.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
74331
74332.xword 0x784d005800423721
74333.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
74334
74335.xword 0x804d006000504020
74336.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
74337
74338.xword 0x0000006000408020
74339.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
74340
74341.xword 0
74342.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (49)
74343
74344.xword 0
74345.xword 0x00020081 | (6 << 18) !ma_ctl_Ld (50)
74346
74347.xword 0
74348.xword 0x00020148 | (6 << 18) !ma_ctl_St (50)
74349
74350.xword 0x80604020
74351.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (50)
74352
74353.xword 0x356a
74354.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (50)
74355
74356.xword 0x0000006000408020
74357.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
74358
74359.xword 0x6a35
74360.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
74361
74362.xword 0x78285050
74363.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
74364
74365.xword 0x80604020
74366.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
74367
74368.xword 0x00287850
74369.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (50)
74370
74371.xword 0x00287850
74372.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (50)
74373
74374.xword 0x78285050
74375.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (50)
74376
74377.xword 0x64500000003c0000
74378.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
74379
74380.xword 0x7766000000554422
74381.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
74382
74383.xword 0x706200000054462a
74384.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
74385
74386.xword 0x784d005800423721
74387.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
74388
74389.xword 0x804d006000504020
74390.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
74391
74392.xword 0x0000006000408020
74393.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
74394
74395.xword 0
74396.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (50)
74397
74398.xword 0
74399.xword 0x00020074 | (6 << 18) !ma_ctl_Ld (51)
74400
74401.xword 0
74402.xword 0x00020163 | (6 << 18) !ma_ctl_St (51)
74403
74404.xword 0x80604020
74405.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (51)
74406
74407.xword 0x356a
74408.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (51)
74409
74410.xword 0x0000006000408020
74411.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
74412
74413.xword 0x6a35
74414.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
74415
74416.xword 0x78285050
74417.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
74418
74419.xword 0x80604020
74420.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
74421
74422.xword 0x00287850
74423.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (51)
74424
74425.xword 0x00287850
74426.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (51)
74427
74428.xword 0x78285050
74429.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (51)
74430
74431.xword 0x64500000003c0000
74432.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
74433
74434.xword 0x7766000000554422
74435.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
74436
74437.xword 0x706200000054462a
74438.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
74439
74440.xword 0x784d005800423721
74441.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
74442
74443.xword 0x804d006000504020
74444.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
74445
74446.xword 0x0000006000408020
74447.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
74448
74449.xword 0
74450.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (51)
74451
74452.xword 0
74453.xword 0x0002001e | (6 << 18) !ma_ctl_Ld (52)
74454
74455.xword 0
74456.xword 0x00020145 | (6 << 18) !ma_ctl_St (52)
74457
74458.xword 0x80604020
74459.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (52)
74460
74461.xword 0x356a
74462.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (52)
74463
74464.xword 0x0000006000408020
74465.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
74466
74467.xword 0x6a35
74468.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
74469
74470.xword 0x78285050
74471.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
74472
74473.xword 0x80604020
74474.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
74475
74476.xword 0x00287850
74477.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (52)
74478
74479.xword 0x00287850
74480.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (52)
74481
74482.xword 0x78285050
74483.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (52)
74484
74485.xword 0x64500000003c0000
74486.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
74487
74488.xword 0x7766000000554422
74489.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
74490
74491.xword 0x706200000054462a
74492.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
74493
74494.xword 0x784d005800423721
74495.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
74496
74497.xword 0x804d006000504020
74498.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
74499
74500.xword 0x0000006000408020
74501.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
74502
74503.xword 0
74504.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (52)
74505
74506.xword 0
74507.xword 0x0002002c | (6 << 18) !ma_ctl_Ld (53)
74508
74509.xword 0
74510.xword 0x0002013a | (6 << 18) !ma_ctl_St (53)
74511
74512.xword 0x80604020
74513.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (53)
74514
74515.xword 0x356a
74516.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (53)
74517
74518.xword 0x0000006000408020
74519.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
74520
74521.xword 0x6a35
74522.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
74523
74524.xword 0x78285050
74525.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
74526
74527.xword 0x80604020
74528.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
74529
74530.xword 0x00287850
74531.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (53)
74532
74533.xword 0x00287850
74534.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (53)
74535
74536.xword 0x78285050
74537.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (53)
74538
74539.xword 0x64500000003c0000
74540.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
74541
74542.xword 0x7766000000554422
74543.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
74544
74545.xword 0x706200000054462a
74546.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
74547
74548.xword 0x784d005800423721
74549.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
74550
74551.xword 0x804d006000504020
74552.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
74553
74554.xword 0x0000006000408020
74555.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
74556
74557.xword 0
74558.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (53)
74559
74560.xword 0
74561.xword 0x00020063 | (6 << 18) !ma_ctl_Ld (54)
74562
74563.xword 0
74564.xword 0x00020146 | (6 << 18) !ma_ctl_St (54)
74565
74566.xword 0x80604020
74567.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (54)
74568
74569.xword 0x356a
74570.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (54)
74571
74572.xword 0x0000006000408020
74573.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
74574
74575.xword 0x6a35
74576.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
74577
74578.xword 0x78285050
74579.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
74580
74581.xword 0x80604020
74582.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
74583
74584.xword 0x00287850
74585.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (54)
74586
74587.xword 0x00287850
74588.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (54)
74589
74590.xword 0x78285050
74591.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (54)
74592
74593.xword 0x64500000003c0000
74594.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
74595
74596.xword 0x7766000000554422
74597.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
74598
74599.xword 0x706200000054462a
74600.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
74601
74602.xword 0x784d005800423721
74603.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
74604
74605.xword 0x804d006000504020
74606.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
74607
74608.xword 0x0000006000408020
74609.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
74610
74611.xword 0
74612.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (54)
74613
74614.xword 0
74615.xword 0x00020065 | (6 << 18) !ma_ctl_Ld (55)
74616
74617.xword 0
74618.xword 0x00020125 | (6 << 18) !ma_ctl_St (55)
74619
74620.xword 0x80604020
74621.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (55)
74622
74623.xword 0x356a
74624.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (55)
74625
74626.xword 0x0000006000408020
74627.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
74628
74629.xword 0x6a35
74630.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
74631
74632.xword 0x78285050
74633.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
74634
74635.xword 0x80604020
74636.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
74637
74638.xword 0x00287850
74639.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (55)
74640
74641.xword 0x00287850
74642.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (55)
74643
74644.xword 0x78285050
74645.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (55)
74646
74647.xword 0x64500000003c0000
74648.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
74649
74650.xword 0x7766000000554422
74651.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
74652
74653.xword 0x706200000054462a
74654.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
74655
74656.xword 0x784d005800423721
74657.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
74658
74659.xword 0x804d006000504020
74660.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
74661
74662.xword 0x0000006000408020
74663.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
74664
74665.xword 0
74666.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (55)
74667
74668.xword 0
74669.xword 0x00020077 | (6 << 18) !ma_ctl_Ld (56)
74670
74671.xword 0
74672.xword 0x00020180 | (6 << 18) !ma_ctl_St (56)
74673
74674.xword 0x80604020
74675.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (56)
74676
74677.xword 0x356a
74678.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (56)
74679
74680.xword 0x0000006000408020
74681.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
74682
74683.xword 0x6a35
74684.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
74685
74686.xword 0x78285050
74687.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
74688
74689.xword 0x80604020
74690.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
74691
74692.xword 0x00287850
74693.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (56)
74694
74695.xword 0x00287850
74696.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (56)
74697
74698.xword 0x78285050
74699.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (56)
74700
74701.xword 0x64500000003c0000
74702.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
74703
74704.xword 0x7766000000554422
74705.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
74706
74707.xword 0x706200000054462a
74708.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
74709
74710.xword 0x784d005800423721
74711.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
74712
74713.xword 0x804d006000504020
74714.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
74715
74716.xword 0x0000006000408020
74717.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
74718
74719.xword 0
74720.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (56)
74721
74722.xword 0
74723.xword 0x00020037 | (6 << 18) !ma_ctl_Ld (57)
74724
74725.xword 0
74726.xword 0x00020187 | (6 << 18) !ma_ctl_St (57)
74727
74728.xword 0x80604020
74729.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (57)
74730
74731.xword 0x356a
74732.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (57)
74733
74734.xword 0x0000006000408020
74735.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
74736
74737.xword 0x6a35
74738.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
74739
74740.xword 0x78285050
74741.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
74742
74743.xword 0x80604020
74744.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
74745
74746.xword 0x00287850
74747.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (57)
74748
74749.xword 0x00287850
74750.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (57)
74751
74752.xword 0x78285050
74753.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (57)
74754
74755.xword 0x64500000003c0000
74756.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
74757
74758.xword 0x7766000000554422
74759.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
74760
74761.xword 0x706200000054462a
74762.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
74763
74764.xword 0x784d005800423721
74765.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
74766
74767.xword 0x804d006000504020
74768.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
74769
74770.xword 0x0000006000408020
74771.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
74772
74773.xword 0
74774.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (57)
74775
74776.xword 0
74777.xword 0x00020086 | (6 << 18) !ma_ctl_Ld (58)
74778
74779.xword 0
74780.xword 0x00020150 | (6 << 18) !ma_ctl_St (58)
74781
74782.xword 0x80604020
74783.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (58)
74784
74785.xword 0x356a
74786.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (58)
74787
74788.xword 0x0000006000408020
74789.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
74790
74791.xword 0x6a35
74792.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
74793
74794.xword 0x78285050
74795.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
74796
74797.xword 0x80604020
74798.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
74799
74800.xword 0x00287850
74801.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (58)
74802
74803.xword 0x00287850
74804.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (58)
74805
74806.xword 0x78285050
74807.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (58)
74808
74809.xword 0x64500000003c0000
74810.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
74811
74812.xword 0x7766000000554422
74813.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
74814
74815.xword 0x706200000054462a
74816.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
74817
74818.xword 0x784d005800423721
74819.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
74820
74821.xword 0x804d006000504020
74822.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
74823
74824.xword 0x0000006000408020
74825.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
74826
74827.xword 0
74828.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (58)
74829
74830
74831.align 8
74832.global _t2_ma_results
74833_t2_ma_results:
74834.xword 0xDEADBEEFDEADBEEF
74835.xword 0xDEADBEEFDEADBEEF
74836.xword 0xDEADBEEFDEADBEEF
74837.xword 0xDEADBEEFDEADBEEF
74838.xword 0xDEADBEEFDEADBEEF
74839.xword 0xDEADBEEFDEADBEEF
74840.xword 0xDEADBEEFDEADBEEF
74841.xword 0xDEADBEEFDEADBEEF
74842.xword 0xDEADBEEFDEADBEEF
74843.xword 0xDEADBEEFDEADBEEF
74844.xword 0xDEADBEEFDEADBEEF
74845.xword 0xDEADBEEFDEADBEEF
74846.xword 0xDEADBEEFDEADBEEF
74847.xword 0xDEADBEEFDEADBEEF
74848.xword 0xDEADBEEFDEADBEEF
74849.xword 0xDEADBEEFDEADBEEF
74850.xword 0xDEADBEEFDEADBEEF
74851.xword 0xDEADBEEFDEADBEEF
74852.xword 0xDEADBEEFDEADBEEF
74853.xword 0xDEADBEEFDEADBEEF
74854.xword 0xDEADBEEFDEADBEEF
74855.xword 0xDEADBEEFDEADBEEF
74856.xword 0xDEADBEEFDEADBEEF
74857.xword 0xDEADBEEFDEADBEEF
74858.xword 0xDEADBEEFDEADBEEF
74859.xword 0xDEADBEEFDEADBEEF
74860.xword 0xDEADBEEFDEADBEEF
74861.xword 0xDEADBEEFDEADBEEF
74862.xword 0xDEADBEEFDEADBEEF
74863.xword 0xDEADBEEFDEADBEEF
74864.xword 0xDEADBEEFDEADBEEF
74865.xword 0xDEADBEEFDEADBEEF
74866.xword 0xDEADBEEFDEADBEEF
74867.xword 0xDEADBEEFDEADBEEF
74868.xword 0xDEADBEEFDEADBEEF
74869.xword 0xDEADBEEFDEADBEEF
74870.xword 0xDEADBEEFDEADBEEF
74871.xword 0xDEADBEEFDEADBEEF
74872.xword 0xDEADBEEFDEADBEEF
74873.xword 0xDEADBEEFDEADBEEF
74874.xword 0xDEADBEEFDEADBEEF
74875.xword 0xDEADBEEFDEADBEEF
74876.xword 0xDEADBEEFDEADBEEF
74877.xword 0xDEADBEEFDEADBEEF
74878.xword 0xDEADBEEFDEADBEEF
74879.xword 0xDEADBEEFDEADBEEF
74880.xword 0xDEADBEEFDEADBEEF
74881.xword 0xDEADBEEFDEADBEEF
74882.xword 0xDEADBEEFDEADBEEF
74883.xword 0xDEADBEEFDEADBEEF
74884.xword 0xDEADBEEFDEADBEEF
74885.xword 0xDEADBEEFDEADBEEF
74886.xword 0xDEADBEEFDEADBEEF
74887.xword 0xDEADBEEFDEADBEEF
74888.xword 0xDEADBEEFDEADBEEF
74889.xword 0xDEADBEEFDEADBEEF
74890.xword 0xDEADBEEFDEADBEEF
74891.xword 0xDEADBEEFDEADBEEF
74892.xword 0xDEADBEEFDEADBEEF
74893.xword 0xDEADBEEFDEADBEEF
74894.xword 0xDEADBEEFDEADBEEF
74895.xword 0xDEADBEEFDEADBEEF
74896.xword 0xDEADBEEFDEADBEEF
74897.xword 0xDEADBEEFDEADBEEF
74898.xword 0xDEADBEEFDEADBEEF
74899.xword 0xDEADBEEFDEADBEEF
74900.xword 0xDEADBEEFDEADBEEF
74901.xword 0xDEADBEEFDEADBEEF
74902.xword 0xDEADBEEFDEADBEEF
74903.xword 0xDEADBEEFDEADBEEF
74904.xword 0xDEADBEEFDEADBEEF
74905.xword 0xDEADBEEFDEADBEEF
74906.xword 0xDEADBEEFDEADBEEF
74907.xword 0xDEADBEEFDEADBEEF
74908.xword 0xDEADBEEFDEADBEEF
74909.xword 0xDEADBEEFDEADBEEF
74910.xword 0xDEADBEEFDEADBEEF
74911.xword 0xDEADBEEFDEADBEEF
74912.xword 0xDEADBEEFDEADBEEF
74913.xword 0xDEADBEEFDEADBEEF
74914.xword 0xDEADBEEFDEADBEEF
74915.xword 0xDEADBEEFDEADBEEF
74916.xword 0xDEADBEEFDEADBEEF
74917.xword 0xDEADBEEFDEADBEEF
74918.xword 0xDEADBEEFDEADBEEF
74919.xword 0xDEADBEEFDEADBEEF
74920.xword 0xDEADBEEFDEADBEEF
74921.xword 0xDEADBEEFDEADBEEF
74922.xword 0xDEADBEEFDEADBEEF
74923.xword 0xDEADBEEFDEADBEEF
74924.xword 0xDEADBEEFDEADBEEF
74925.xword 0xDEADBEEFDEADBEEF
74926.xword 0xDEADBEEFDEADBEEF
74927.xword 0xDEADBEEFDEADBEEF
74928.xword 0xDEADBEEFDEADBEEF
74929.xword 0xDEADBEEFDEADBEEF
74930.xword 0xDEADBEEFDEADBEEF
74931.xword 0xDEADBEEFDEADBEEF
74932.xword 0xDEADBEEFDEADBEEF
74933.xword 0xDEADBEEFDEADBEEF
74934.xword 0xDEADBEEFDEADBEEF
74935.xword 0xDEADBEEFDEADBEEF
74936.xword 0xDEADBEEFDEADBEEF
74937.xword 0xDEADBEEFDEADBEEF
74938.xword 0xDEADBEEFDEADBEEF
74939.xword 0xDEADBEEFDEADBEEF
74940.xword 0xDEADBEEFDEADBEEF
74941.xword 0xDEADBEEFDEADBEEF
74942.xword 0xDEADBEEFDEADBEEF
74943.xword 0xDEADBEEFDEADBEEF
74944.xword 0xDEADBEEFDEADBEEF
74945.xword 0xDEADBEEFDEADBEEF
74946.xword 0xDEADBEEFDEADBEEF
74947.xword 0xDEADBEEFDEADBEEF
74948.xword 0xDEADBEEFDEADBEEF
74949.xword 0xDEADBEEFDEADBEEF
74950.xword 0xDEADBEEFDEADBEEF
74951.xword 0xDEADBEEFDEADBEEF
74952.xword 0xDEADBEEFDEADBEEF
74953.xword 0xDEADBEEFDEADBEEF
74954.xword 0xDEADBEEFDEADBEEF
74955.xword 0xDEADBEEFDEADBEEF
74956.xword 0xDEADBEEFDEADBEEF
74957.xword 0xDEADBEEFDEADBEEF
74958.xword 0xDEADBEEFDEADBEEF
74959.xword 0xDEADBEEFDEADBEEF
74960.xword 0xDEADBEEFDEADBEEF
74961.xword 0xDEADBEEFDEADBEEF
74962.xword 0xDEADBEEFDEADBEEF
74963.xword 0xDEADBEEFDEADBEEF
74964.xword 0xDEADBEEFDEADBEEF
74965.xword 0xDEADBEEFDEADBEEF
74966.xword 0xDEADBEEFDEADBEEF
74967.xword 0xDEADBEEFDEADBEEF
74968.xword 0xDEADBEEFDEADBEEF
74969.xword 0xDEADBEEFDEADBEEF
74970.xword 0xDEADBEEFDEADBEEF
74971.xword 0xDEADBEEFDEADBEEF
74972.xword 0xDEADBEEFDEADBEEF
74973.xword 0xDEADBEEFDEADBEEF
74974.xword 0xDEADBEEFDEADBEEF
74975.xword 0xDEADBEEFDEADBEEF
74976.xword 0xDEADBEEFDEADBEEF
74977.xword 0xDEADBEEFDEADBEEF
74978.xword 0xDEADBEEFDEADBEEF
74979.xword 0xDEADBEEFDEADBEEF
74980.xword 0xDEADBEEFDEADBEEF
74981.xword 0xDEADBEEFDEADBEEF
74982.xword 0xDEADBEEFDEADBEEF
74983.xword 0xDEADBEEFDEADBEEF
74984.xword 0xDEADBEEFDEADBEEF
74985.xword 0xDEADBEEFDEADBEEF
74986.xword 0xDEADBEEFDEADBEEF
74987.xword 0xDEADBEEFDEADBEEF
74988.xword 0xDEADBEEFDEADBEEF
74989.xword 0xDEADBEEFDEADBEEF
74990.xword 0xDEADBEEFDEADBEEF
74991.xword 0xDEADBEEFDEADBEEF
74992.xword 0xDEADBEEFDEADBEEF
74993.xword 0xDEADBEEFDEADBEEF
74994.xword 0xDEADBEEFDEADBEEF
74995.xword 0xDEADBEEFDEADBEEF
74996.xword 0xDEADBEEFDEADBEEF
74997.xword 0xDEADBEEFDEADBEEF
74998SECTION ._t2_T_MAX_DATA1 DATA_VA=269484032
74999attr_data {
75000 Name = ._t2_T_MAX_DATA1
75001 hypervisor
75002}
75003
75004.data
75005_t2_user_data_start:
75006_t2_scratch_area:
75007
75008.align 8
75009.global _t2_ma_operands1
75010_t2_ma_operands1:
75011.xword 0x5abc4daf97e3a5af
75012.xword 0x0bad88e55194230c
75013.xword 0x44bee0dd94974e44
75014.xword 0x1e86af86276b31bf
75015.xword 0xb40b1e6897267865
75016.xword 0x4c90a04883696da2
75017.xword 0xc5d1f37118601017
75018.xword 0x8438efc59e746c06
75019.xword 0x67d9e50a75c3ef13
75020.xword 0xd3ec93e1e79ae0c5
75021.xword 0xe5d5552208aa60c1
75022.xword 0xa4f70b305c0899ad
75023.xword 0x1b484a77dadb9a14
75024.xword 0xfb833ca1bd24017d
75025.xword 0x0691bfe809c24ad3
75026.xword 0x78565e606e559036
75027.xword 0xac4da0efa9234ed3
75028.xword 0x1085173db9291278
75029.xword 0x429ee12a86fc5629
75030.xword 0x863c032613a6e02e
75031.xword 0x213d71a97ebf13e0
75032.xword 0x8358ca90d877f7fd
75033.xword 0xe3c16a66e8310be8
75034.xword 0x0e28ef65c1b98e60
75035.xword 0xbb1f37496418b7d1
75036.xword 0xfeeb831c3117bcb1
75037.xword 0x2f406e4125ee263f
75038.xword 0x5829825dd01d190e
75039.xword 0xb0358e63d73e3b42
75040.xword 0x546abe9bddf06587
75041.xword 0xc498249622a2df72
75042.xword 0x678e1b11ad50d1b1
75043.xword 0x71e533dc12e30501
75044.xword 0x5bd3e6b7c4d0d72e
75045.xword 0xbc3e90f9f86beabb
75046.xword 0xa9a500c553d3f15d
75047.xword 0xfca651a7041a7d40
75048.xword 0x45dc6d62efebd5c0
75049.xword 0x64b0c21fc70a3c94
75050.xword 0xf12b338e9605b453
75051.xword 0x36e24b012bbb2f55
75052.xword 0x4df09201246a745c
75053.xword 0x6dc7de122e8879de
75054.xword 0xf1e522cd7fa5fcb2
75055.xword 0xbb2fb22c31b59173
75056.xword 0x346af6587d9231ee
75057.xword 0x638a6e39d677ed46
75058.xword 0xf5702edcd5a00072
75059.xword 0x3e061b44a359158d
75060.xword 0xd4c1ef3329083c59
75061.xword 0xd9584aa223f1f1c8
75062.xword 0x9c1de82f9113f910
75063.xword 0xa1f99ce1e5e3427e
75064.xword 0xc84a2651e71bbb21
75065.xword 0xeed388d26674db67
75066.xword 0x62003ec76b479dad
75067.xword 0x31cd5ad15bc63107
75068.xword 0x6b0c74d8d4a8a5bc
75069.xword 0x2c3d5c9d37aae60d
75070.xword 0xdb378c8cb11b68f1
75071.xword 0xd22e05f8cc195bb0
75072.xword 0x6ed94196992fb2c9
75073.xword 0xdc6f9daba5f278e9
75074.xword 0xbac613a84d904f27
75075.xword 0xc61cc22f251a7120
75076.xword 0x1b248c60a5566a69
75077.xword 0x8a964333ce44d6ec
75078.xword 0x3cba156706c5c11e
75079.xword 0x8d168970d34ba600
75080.xword 0xd10298aab704695b
75081.xword 0x0653649935d288da
75082.xword 0xc38869961864fde1
75083.xword 0xdbbe479c07248735
75084.xword 0x87b5ff8a999480ef
75085.xword 0x474fd0508ec63b51
75086.xword 0xab1397b537093741
75087.xword 0xa2cb6868bc627f49
75088.xword 0xe72100cb7b700833
75089.xword 0x2e8868777548ba87
75090.xword 0x71bcdfce29754885
75091.xword 0xfec59594efc2e5b0
75092.xword 0xa5cd158d59763ba4
75093.xword 0x723d25748779c1ae
75094.xword 0x4edfe27fd3e60add
75095.xword 0xbba446e865347552
75096.xword 0x3f4d3ff5b15320cb
75097.xword 0xe43bd7f664fa0f49
75098.xword 0x816ac3cab04c3baf
75099.xword 0x05d528947bf9e70a
75100.xword 0x99a5c06567685ff7
75101.xword 0x00e6d38bb7c15243
75102.xword 0x9e4c2807dba50a64
75103.xword 0x5dccd4e55ead555f
75104.xword 0x54966dde366b7baf
75105.xword 0x718125770229d039
75106.xword 0x6c579a0566f45c5d
75107.xword 0x4820682cc3794695
75108.xword 0x5931a2a590e881ba
75109.xword 0x074edcb837123bf3
75110.xword 0x40471be27d65959a
75111.xword 0x80e2429d26a57e86
75112.xword 0xbe97997fadf2e347
75113.xword 0x71f26e7b953c8cb4
75114.xword 0xf283e341bb9fcbf7
75115.xword 0x1a14c67fb9c4a224
75116.xword 0x4206085fa8e50e40
75117.xword 0xd7513fd0297641ce
75118.xword 0x0b0b2ce75238c00b
75119.xword 0xb212a4f087b3a5c5
75120.xword 0x6820df8d1d8607f6
75121.xword 0x0d1a296f9dffa4fb
75122.xword 0x4fb6c8362d2fc40d
75123.xword 0x24888d1b87d663cc
75124.xword 0xec0a71df03a25365
75125.xword 0x2acfa4d2e6c46e94
75126.xword 0xdef392005cc371fe
75127.xword 0x308dd6c11e69c86d
75128.xword 0x4f33fc74435f77da
75129.xword 0xa63ce864906d0a0c
75130.xword 0xa6a8f6dc52032c31
75131.xword 0x1689954c64918a0c
75132.xword 0x051dcb4475fb8c9b
75133.xword 0x048c6cfa7bff347b
75134.xword 0xa54a39ad2706140a
75135.xword 0x692507a18f2c942f
75136.xword 0xa0ae4ed2549d04bf
75137.xword 0x79ef88b0940c4f5f
75138.xword 0xf537f0187a54a298
75139.xword 0x622516d9cd408be8
75140.xword 0x00ce31bce47aeaf6
75141.xword 0x4e1756b5b7c74ede
75142.xword 0xb27892add7d733f8
75143.xword 0x8f2a6f3e7e60a54a
75144.xword 0xdb05daf9dd25cd92
75145.xword 0x142b49c764412afa
75146.xword 0x3c1e54513201c762
75147.xword 0x3073ae459d793ab2
75148.xword 0x7ebb554dc765fd5f
75149.xword 0x2fcd83cf9cecf5f9
75150.xword 0x07e4710487c7fcad
75151.xword 0x99037bb18c19256e
75152.xword 0xe38d7658004cf19d
75153.xword 0x2349c1191f92e0f5
75154.xword 0x4e9b3aeae4e5abf3
75155.xword 0xdc276c51b16b1c80
75156.xword 0x3b76be7577156352
75157.xword 0x640c0306c87fa471
75158.xword 0x7ab9f97b977cbacb
75159.xword 0x9d54381d268e2e81
75160.xword 0x4ba834c8fa131a50
75161.xword 0x79897d01f4fcb3cf
75162.xword 0x4646e3949b447bdc
75163.xword 0xfc3d1abc9b31b654
75164.xword 0x53f83bae6ef29318
75165.xword 0xc15d05761c638c46
75166.xword 0xa8c53d970ef07820
75167.xword 0xff5cd3743707165b
75168.xword 0x9e0860a94c38fac8
75169.xword 0x854281a6175ff91e
75170.xword 0x0126b4efc691e0b4
75171.xword 0x24e2b155882da88e
75172.xword 0xbebecea62f925902
75173.xword 0x1b73eaaa96ed55e5
75174.xword 0x064e45c99058acea
75175
75176.align 8
75177.global _t2_ma_operations1
75178_t2_ma_operations1:
75179.xword 0
75180.xword 0x0002002d | (6 << 18) !ma_ctl_Ld (0)
75181
75182.xword 0
75183.xword 0x0002015d | (6 << 18) !ma_ctl_St (0)
75184
75185.xword 0x80604020
75186.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (0)
75187
75188.xword 0x356a
75189.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (0)
75190
75191.xword 0x0000006000408020
75192.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
75193
75194.xword 0x6a35
75195.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
75196
75197.xword 0x78285050
75198.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
75199
75200.xword 0x80604020
75201.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
75202
75203.xword 0x00287850
75204.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (0)
75205
75206.xword 0x00287850
75207.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (0)
75208
75209.xword 0x78285050
75210.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (0)
75211
75212.xword 0x64500000003c0000
75213.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
75214
75215.xword 0x7766000000554422
75216.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
75217
75218.xword 0x706200000054462a
75219.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
75220
75221.xword 0x784d005800423721
75222.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
75223
75224.xword 0x804d006000504020
75225.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
75226
75227.xword 0x0000006000408020
75228.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
75229
75230.xword 0
75231.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (0)
75232
75233.xword 0
75234.xword 0x00020053 | (6 << 18) !ma_ctl_Ld (1)
75235
75236.xword 0
75237.xword 0x00020156 | (6 << 18) !ma_ctl_St (1)
75238
75239.xword 0x80604020
75240.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (1)
75241
75242.xword 0x356a
75243.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (1)
75244
75245.xword 0x0000006000408020
75246.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
75247
75248.xword 0x6a35
75249.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
75250
75251.xword 0x78285050
75252.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
75253
75254.xword 0x80604020
75255.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
75256
75257.xword 0x00287850
75258.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
75259
75260.xword 0x00287850
75261.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
75262
75263.xword 0x78285050
75264.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (1)
75265
75266.xword 0x64500000003c0000
75267.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
75268
75269.xword 0x7766000000554422
75270.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
75271
75272.xword 0x706200000054462a
75273.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
75274
75275.xword 0x784d005800423721
75276.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
75277
75278.xword 0x804d006000504020
75279.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
75280
75281.xword 0x0000006000408020
75282.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
75283
75284.xword 0
75285.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (1)
75286
75287.xword 0
75288.xword 0x00020098 | (6 << 18) !ma_ctl_Ld (2)
75289
75290.xword 0
75291.xword 0x00020166 | (6 << 18) !ma_ctl_St (2)
75292
75293.xword 0x80604020
75294.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (2)
75295
75296.xword 0x356a
75297.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (2)
75298
75299.xword 0x0000006000408020
75300.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
75301
75302.xword 0x6a35
75303.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
75304
75305.xword 0x78285050
75306.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
75307
75308.xword 0x80604020
75309.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
75310
75311.xword 0x00287850
75312.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (2)
75313
75314.xword 0x00287850
75315.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (2)
75316
75317.xword 0x78285050
75318.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (2)
75319
75320.xword 0x64500000003c0000
75321.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
75322
75323.xword 0x7766000000554422
75324.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
75325
75326.xword 0x706200000054462a
75327.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
75328
75329.xword 0x784d005800423721
75330.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
75331
75332.xword 0x804d006000504020
75333.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
75334
75335.xword 0x0000006000408020
75336.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
75337
75338.xword 0
75339.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (2)
75340
75341.xword 0
75342.xword 0x00020060 | (6 << 18) !ma_ctl_Ld (3)
75343
75344.xword 0
75345.xword 0x00020142 | (6 << 18) !ma_ctl_St (3)
75346
75347.xword 0x80604020
75348.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (3)
75349
75350.xword 0x356a
75351.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (3)
75352
75353.xword 0x0000006000408020
75354.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
75355
75356.xword 0x6a35
75357.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
75358
75359.xword 0x78285050
75360.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
75361
75362.xword 0x80604020
75363.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
75364
75365.xword 0x00287850
75366.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (3)
75367
75368.xword 0x00287850
75369.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (3)
75370
75371.xword 0x78285050
75372.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (3)
75373
75374.xword 0x64500000003c0000
75375.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
75376
75377.xword 0x7766000000554422
75378.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
75379
75380.xword 0x706200000054462a
75381.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
75382
75383.xword 0x784d005800423721
75384.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
75385
75386.xword 0x804d006000504020
75387.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
75388
75389.xword 0x0000006000408020
75390.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
75391
75392.xword 0
75393.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (3)
75394
75395.xword 0
75396.xword 0x0002006f | (6 << 18) !ma_ctl_Ld (4)
75397
75398.xword 0
75399.xword 0x00020129 | (6 << 18) !ma_ctl_St (4)
75400
75401.xword 0x80604020
75402.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (4)
75403
75404.xword 0x356a
75405.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (4)
75406
75407.xword 0x0000006000408020
75408.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
75409
75410.xword 0x6a35
75411.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
75412
75413.xword 0x78285050
75414.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
75415
75416.xword 0x80604020
75417.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
75418
75419.xword 0x00287850
75420.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (4)
75421
75422.xword 0x00287850
75423.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (4)
75424
75425.xword 0x78285050
75426.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (4)
75427
75428.xword 0x64500000003c0000
75429.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
75430
75431.xword 0x7766000000554422
75432.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
75433
75434.xword 0x706200000054462a
75435.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
75436
75437.xword 0x784d005800423721
75438.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
75439
75440.xword 0x804d006000504020
75441.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
75442
75443.xword 0x0000006000408020
75444.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
75445
75446.xword 0
75447.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (4)
75448
75449.xword 0
75450.xword 0x00020048 | (6 << 18) !ma_ctl_Ld (5)
75451
75452.xword 0
75453.xword 0x00020136 | (6 << 18) !ma_ctl_St (5)
75454
75455.xword 0x80604020
75456.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (5)
75457
75458.xword 0x356a
75459.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (5)
75460
75461.xword 0x0000006000408020
75462.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
75463
75464.xword 0x6a35
75465.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
75466
75467.xword 0x78285050
75468.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
75469
75470.xword 0x80604020
75471.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
75472
75473.xword 0x00287850
75474.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (5)
75475
75476.xword 0x00287850
75477.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (5)
75478
75479.xword 0x78285050
75480.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
75481
75482.xword 0x64500000003c0000
75483.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
75484
75485.xword 0x7766000000554422
75486.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
75487
75488.xword 0x706200000054462a
75489.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
75490
75491.xword 0x784d005800423721
75492.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
75493
75494.xword 0x804d006000504020
75495.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
75496
75497.xword 0x0000006000408020
75498.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
75499
75500.xword 0
75501.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (5)
75502
75503.xword 0
75504.xword 0x0002004d | (6 << 18) !ma_ctl_Ld (6)
75505
75506.xword 0
75507.xword 0x00020150 | (6 << 18) !ma_ctl_St (6)
75508
75509.xword 0x80604020
75510.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (6)
75511
75512.xword 0x356a
75513.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (6)
75514
75515.xword 0x0000006000408020
75516.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
75517
75518.xword 0x6a35
75519.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
75520
75521.xword 0x78285050
75522.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
75523
75524.xword 0x80604020
75525.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
75526
75527.xword 0x00287850
75528.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (6)
75529
75530.xword 0x00287850
75531.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (6)
75532
75533.xword 0x78285050
75534.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (6)
75535
75536.xword 0x64500000003c0000
75537.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
75538
75539.xword 0x7766000000554422
75540.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
75541
75542.xword 0x706200000054462a
75543.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
75544
75545.xword 0x784d005800423721
75546.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
75547
75548.xword 0x804d006000504020
75549.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
75550
75551.xword 0x0000006000408020
75552.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
75553
75554.xword 0
75555.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (6)
75556
75557.xword 0
75558.xword 0x0002005a | (6 << 18) !ma_ctl_Ld (7)
75559
75560.xword 0
75561.xword 0x00020175 | (6 << 18) !ma_ctl_St (7)
75562
75563.xword 0x80604020
75564.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (7)
75565
75566.xword 0x356a
75567.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (7)
75568
75569.xword 0x0000006000408020
75570.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
75571
75572.xword 0x6a35
75573.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
75574
75575.xword 0x78285050
75576.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
75577
75578.xword 0x80604020
75579.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
75580
75581.xword 0x00287850
75582.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (7)
75583
75584.xword 0x00287850
75585.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (7)
75586
75587.xword 0x78285050
75588.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (7)
75589
75590.xword 0x64500000003c0000
75591.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
75592
75593.xword 0x7766000000554422
75594.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
75595
75596.xword 0x706200000054462a
75597.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
75598
75599.xword 0x784d005800423721
75600.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
75601
75602.xword 0x804d006000504020
75603.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
75604
75605.xword 0x0000006000408020
75606.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
75607
75608.xword 0
75609.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (7)
75610
75611.xword 0
75612.xword 0x0002003b | (6 << 18) !ma_ctl_Ld (8)
75613
75614.xword 0
75615.xword 0x00020189 | (6 << 18) !ma_ctl_St (8)
75616
75617.xword 0x80604020
75618.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (8)
75619
75620.xword 0x356a
75621.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (8)
75622
75623.xword 0x0000006000408020
75624.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
75625
75626.xword 0x6a35
75627.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
75628
75629.xword 0x78285050
75630.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
75631
75632.xword 0x80604020
75633.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
75634
75635.xword 0x00287850
75636.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (8)
75637
75638.xword 0x00287850
75639.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (8)
75640
75641.xword 0x78285050
75642.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (8)
75643
75644.xword 0x64500000003c0000
75645.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
75646
75647.xword 0x7766000000554422
75648.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
75649
75650.xword 0x706200000054462a
75651.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
75652
75653.xword 0x784d005800423721
75654.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
75655
75656.xword 0x804d006000504020
75657.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
75658
75659.xword 0x0000006000408020
75660.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
75661
75662.xword 0
75663.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (8)
75664
75665.xword 0
75666.xword 0x00020072 | (6 << 18) !ma_ctl_Ld (9)
75667
75668.xword 0
75669.xword 0x00020182 | (6 << 18) !ma_ctl_St (9)
75670
75671.xword 0x80604020
75672.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (9)
75673
75674.xword 0x356a
75675.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
75676
75677.xword 0x0000006000408020
75678.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
75679
75680.xword 0x6a35
75681.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
75682
75683.xword 0x78285050
75684.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
75685
75686.xword 0x80604020
75687.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
75688
75689.xword 0x00287850
75690.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (9)
75691
75692.xword 0x00287850
75693.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (9)
75694
75695.xword 0x78285050
75696.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (9)
75697
75698.xword 0x64500000003c0000
75699.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
75700
75701.xword 0x7766000000554422
75702.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
75703
75704.xword 0x706200000054462a
75705.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
75706
75707.xword 0x784d005800423721
75708.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
75709
75710.xword 0x804d006000504020
75711.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
75712
75713.xword 0x0000006000408020
75714.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
75715
75716.xword 0
75717.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (9)
75718
75719.xword 0
75720.xword 0x0002007b | (6 << 18) !ma_ctl_Ld (10)
75721
75722.xword 0
75723.xword 0x0002018c | (6 << 18) !ma_ctl_St (10)
75724
75725.xword 0x80604020
75726.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (10)
75727
75728.xword 0x356a
75729.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (10)
75730
75731.xword 0x0000006000408020
75732.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
75733
75734.xword 0x6a35
75735.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
75736
75737.xword 0x78285050
75738.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
75739
75740.xword 0x80604020
75741.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
75742
75743.xword 0x00287850
75744.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (10)
75745
75746.xword 0x00287850
75747.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (10)
75748
75749.xword 0x78285050
75750.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (10)
75751
75752.xword 0x64500000003c0000
75753.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
75754
75755.xword 0x7766000000554422
75756.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
75757
75758.xword 0x706200000054462a
75759.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
75760
75761.xword 0x784d005800423721
75762.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
75763
75764.xword 0x804d006000504020
75765.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
75766
75767.xword 0x0000006000408020
75768.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
75769
75770.xword 0
75771.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (10)
75772
75773.xword 0
75774.xword 0x00020062 | (6 << 18) !ma_ctl_Ld (11)
75775
75776.xword 0
75777.xword 0x0002016c | (6 << 18) !ma_ctl_St (11)
75778
75779.xword 0x80604020
75780.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (11)
75781
75782.xword 0x356a
75783.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (11)
75784
75785.xword 0x0000006000408020
75786.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
75787
75788.xword 0x6a35
75789.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
75790
75791.xword 0x78285050
75792.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
75793
75794.xword 0x80604020
75795.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
75796
75797.xword 0x00287850
75798.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (11)
75799
75800.xword 0x00287850
75801.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (11)
75802
75803.xword 0x78285050
75804.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (11)
75805
75806.xword 0x64500000003c0000
75807.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
75808
75809.xword 0x7766000000554422
75810.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
75811
75812.xword 0x706200000054462a
75813.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
75814
75815.xword 0x784d005800423721
75816.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
75817
75818.xword 0x804d006000504020
75819.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
75820
75821.xword 0x0000006000408020
75822.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
75823
75824.xword 0
75825.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (11)
75826
75827.xword 0
75828.xword 0x0002004f | (6 << 18) !ma_ctl_Ld (12)
75829
75830.xword 0
75831.xword 0x0002017c | (6 << 18) !ma_ctl_St (12)
75832
75833.xword 0x80604020
75834.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (12)
75835
75836.xword 0x356a
75837.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (12)
75838
75839.xword 0x0000006000408020
75840.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
75841
75842.xword 0x6a35
75843.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
75844
75845.xword 0x78285050
75846.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
75847
75848.xword 0x80604020
75849.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
75850
75851.xword 0x00287850
75852.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (12)
75853
75854.xword 0x00287850
75855.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (12)
75856
75857.xword 0x78285050
75858.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (12)
75859
75860.xword 0x64500000003c0000
75861.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
75862
75863.xword 0x7766000000554422
75864.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
75865
75866.xword 0x706200000054462a
75867.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
75868
75869.xword 0x784d005800423721
75870.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
75871
75872.xword 0x804d006000504020
75873.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
75874
75875.xword 0x0000006000408020
75876.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
75877
75878.xword 0
75879.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (12)
75880
75881.xword 0
75882.xword 0x00020036 | (6 << 18) !ma_ctl_Ld (13)
75883
75884.xword 0
75885.xword 0x00020164 | (6 << 18) !ma_ctl_St (13)
75886
75887.xword 0x80604020
75888.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (13)
75889
75890.xword 0x356a
75891.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (13)
75892
75893.xword 0x0000006000408020
75894.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
75895
75896.xword 0x6a35
75897.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
75898
75899.xword 0x78285050
75900.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
75901
75902.xword 0x80604020
75903.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
75904
75905.xword 0x00287850
75906.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (13)
75907
75908.xword 0x00287850
75909.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (13)
75910
75911.xword 0x78285050
75912.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (13)
75913
75914.xword 0x64500000003c0000
75915.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
75916
75917.xword 0x7766000000554422
75918.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
75919
75920.xword 0x706200000054462a
75921.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
75922
75923.xword 0x784d005800423721
75924.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
75925
75926.xword 0x804d006000504020
75927.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
75928
75929.xword 0x0000006000408020
75930.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
75931
75932.xword 0
75933.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (13)
75934
75935.xword 0
75936.xword 0x00020090 | (6 << 18) !ma_ctl_Ld (14)
75937
75938.xword 0
75939.xword 0x0002014d | (6 << 18) !ma_ctl_St (14)
75940
75941.xword 0x80604020
75942.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (14)
75943
75944.xword 0x356a
75945.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (14)
75946
75947.xword 0x0000006000408020
75948.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
75949
75950.xword 0x6a35
75951.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
75952
75953.xword 0x78285050
75954.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
75955
75956.xword 0x80604020
75957.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
75958
75959.xword 0x00287850
75960.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (14)
75961
75962.xword 0x00287850
75963.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (14)
75964
75965.xword 0x78285050
75966.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (14)
75967
75968.xword 0x64500000003c0000
75969.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
75970
75971.xword 0x7766000000554422
75972.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
75973
75974.xword 0x706200000054462a
75975.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
75976
75977.xword 0x784d005800423721
75978.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
75979
75980.xword 0x804d006000504020
75981.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
75982
75983.xword 0x0000006000408020
75984.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
75985
75986.xword 0
75987.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (14)
75988
75989.xword 0
75990.xword 0x0002005e | (6 << 18) !ma_ctl_Ld (15)
75991
75992.xword 0
75993.xword 0x00020157 | (6 << 18) !ma_ctl_St (15)
75994
75995.xword 0x80604020
75996.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (15)
75997
75998.xword 0x356a
75999.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (15)
76000
76001.xword 0x0000006000408020
76002.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
76003
76004.xword 0x6a35
76005.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
76006
76007.xword 0x78285050
76008.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
76009
76010.xword 0x80604020
76011.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
76012
76013.xword 0x00287850
76014.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (15)
76015
76016.xword 0x00287850
76017.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (15)
76018
76019.xword 0x78285050
76020.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (15)
76021
76022.xword 0x64500000003c0000
76023.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
76024
76025.xword 0x7766000000554422
76026.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
76027
76028.xword 0x706200000054462a
76029.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
76030
76031.xword 0x784d005800423721
76032.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
76033
76034.xword 0x804d006000504020
76035.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
76036
76037.xword 0x0000006000408020
76038.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
76039
76040.xword 0
76041.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (15)
76042
76043.xword 0
76044.xword 0x0002005c | (6 << 18) !ma_ctl_Ld (16)
76045
76046.xword 0
76047.xword 0x0002018f | (6 << 18) !ma_ctl_St (16)
76048
76049.xword 0x80604020
76050.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (16)
76051
76052.xword 0x356a
76053.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (16)
76054
76055.xword 0x0000006000408020
76056.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
76057
76058.xword 0x6a35
76059.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
76060
76061.xword 0x78285050
76062.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
76063
76064.xword 0x80604020
76065.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
76066
76067.xword 0x00287850
76068.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (16)
76069
76070.xword 0x00287850
76071.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (16)
76072
76073.xword 0x78285050
76074.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (16)
76075
76076.xword 0x64500000003c0000
76077.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
76078
76079.xword 0x7766000000554422
76080.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
76081
76082.xword 0x706200000054462a
76083.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
76084
76085.xword 0x784d005800423721
76086.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
76087
76088.xword 0x804d006000504020
76089.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
76090
76091.xword 0x0000006000408020
76092.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
76093
76094.xword 0
76095.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (16)
76096
76097.xword 0
76098.xword 0x0002003f | (6 << 18) !ma_ctl_Ld (17)
76099
76100.xword 0
76101.xword 0x00020127 | (6 << 18) !ma_ctl_St (17)
76102
76103.xword 0x80604020
76104.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (17)
76105
76106.xword 0x356a
76107.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (17)
76108
76109.xword 0x0000006000408020
76110.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
76111
76112.xword 0x6a35
76113.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
76114
76115.xword 0x78285050
76116.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
76117
76118.xword 0x80604020
76119.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
76120
76121.xword 0x00287850
76122.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (17)
76123
76124.xword 0x00287850
76125.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (17)
76126
76127.xword 0x78285050
76128.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (17)
76129
76130.xword 0x64500000003c0000
76131.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
76132
76133.xword 0x7766000000554422
76134.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
76135
76136.xword 0x706200000054462a
76137.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
76138
76139.xword 0x784d005800423721
76140.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
76141
76142.xword 0x804d006000504020
76143.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
76144
76145.xword 0x0000006000408020
76146.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
76147
76148.xword 0
76149.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (17)
76150
76151.xword 0
76152.xword 0x00020033 | (6 << 18) !ma_ctl_Ld (18)
76153
76154.xword 0
76155.xword 0x0002012c | (6 << 18) !ma_ctl_St (18)
76156
76157.xword 0x80604020
76158.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (18)
76159
76160.xword 0x356a
76161.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (18)
76162
76163.xword 0x0000006000408020
76164.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
76165
76166.xword 0x6a35
76167.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
76168
76169.xword 0x78285050
76170.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
76171
76172.xword 0x80604020
76173.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
76174
76175.xword 0x00287850
76176.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (18)
76177
76178.xword 0x00287850
76179.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (18)
76180
76181.xword 0x78285050
76182.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (18)
76183
76184.xword 0x64500000003c0000
76185.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
76186
76187.xword 0x7766000000554422
76188.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
76189
76190.xword 0x706200000054462a
76191.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
76192
76193.xword 0x784d005800423721
76194.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
76195
76196.xword 0x804d006000504020
76197.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
76198
76199.xword 0x0000006000408020
76200.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
76201
76202.xword 0
76203.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (18)
76204
76205.xword 0
76206.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (19)
76207
76208.xword 0
76209.xword 0x00020158 | (6 << 18) !ma_ctl_St (19)
76210
76211.xword 0x80604020
76212.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (19)
76213
76214.xword 0x356a
76215.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (19)
76216
76217.xword 0x0000006000408020
76218.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
76219
76220.xword 0x6a35
76221.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
76222
76223.xword 0x78285050
76224.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
76225
76226.xword 0x80604020
76227.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
76228
76229.xword 0x00287850
76230.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (19)
76231
76232.xword 0x00287850
76233.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (19)
76234
76235.xword 0x78285050
76236.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
76237
76238.xword 0x64500000003c0000
76239.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
76240
76241.xword 0x7766000000554422
76242.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
76243
76244.xword 0x706200000054462a
76245.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
76246
76247.xword 0x784d005800423721
76248.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
76249
76250.xword 0x804d006000504020
76251.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
76252
76253.xword 0x0000006000408020
76254.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
76255
76256.xword 0
76257.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (19)
76258
76259.xword 0
76260.xword 0x00020085 | (6 << 18) !ma_ctl_Ld (20)
76261
76262.xword 0
76263.xword 0x00020167 | (6 << 18) !ma_ctl_St (20)
76264
76265.xword 0x80604020
76266.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (20)
76267
76268.xword 0x356a
76269.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (20)
76270
76271.xword 0x0000006000408020
76272.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
76273
76274.xword 0x6a35
76275.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
76276
76277.xword 0x78285050
76278.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
76279
76280.xword 0x80604020
76281.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
76282
76283.xword 0x00287850
76284.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (20)
76285
76286.xword 0x00287850
76287.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (20)
76288
76289.xword 0x78285050
76290.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (20)
76291
76292.xword 0x64500000003c0000
76293.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
76294
76295.xword 0x7766000000554422
76296.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
76297
76298.xword 0x706200000054462a
76299.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
76300
76301.xword 0x784d005800423721
76302.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
76303
76304.xword 0x804d006000504020
76305.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
76306
76307.xword 0x0000006000408020
76308.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
76309
76310.xword 0
76311.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (20)
76312
76313.xword 0
76314.xword 0x0002003e | (6 << 18) !ma_ctl_Ld (21)
76315
76316.xword 0
76317.xword 0x0002013d | (6 << 18) !ma_ctl_St (21)
76318
76319.xword 0x80604020
76320.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (21)
76321
76322.xword 0x356a
76323.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (21)
76324
76325.xword 0x0000006000408020
76326.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
76327
76328.xword 0x6a35
76329.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
76330
76331.xword 0x78285050
76332.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
76333
76334.xword 0x80604020
76335.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
76336
76337.xword 0x00287850
76338.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (21)
76339
76340.xword 0x00287850
76341.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (21)
76342
76343.xword 0x78285050
76344.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (21)
76345
76346.xword 0x64500000003c0000
76347.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
76348
76349.xword 0x7766000000554422
76350.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
76351
76352.xword 0x706200000054462a
76353.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
76354
76355.xword 0x784d005800423721
76356.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
76357
76358.xword 0x804d006000504020
76359.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
76360
76361.xword 0x0000006000408020
76362.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
76363
76364.xword 0
76365.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (21)
76366
76367.xword 0
76368.xword 0x00020066 | (6 << 18) !ma_ctl_Ld (22)
76369
76370.xword 0
76371.xword 0x0002014c | (6 << 18) !ma_ctl_St (22)
76372
76373.xword 0x80604020
76374.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (22)
76375
76376.xword 0x356a
76377.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (22)
76378
76379.xword 0x0000006000408020
76380.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
76381
76382.xword 0x6a35
76383.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
76384
76385.xword 0x78285050
76386.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
76387
76388.xword 0x80604020
76389.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
76390
76391.xword 0x00287850
76392.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (22)
76393
76394.xword 0x00287850
76395.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (22)
76396
76397.xword 0x78285050
76398.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (22)
76399
76400.xword 0x64500000003c0000
76401.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
76402
76403.xword 0x7766000000554422
76404.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
76405
76406.xword 0x706200000054462a
76407.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
76408
76409.xword 0x784d005800423721
76410.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
76411
76412.xword 0x804d006000504020
76413.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
76414
76415.xword 0x0000006000408020
76416.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
76417
76418.xword 0
76419.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (22)
76420
76421.xword 0
76422.xword 0x00020037 | (6 << 18) !ma_ctl_Ld (23)
76423
76424.xword 0
76425.xword 0x00020146 | (6 << 18) !ma_ctl_St (23)
76426
76427.xword 0x80604020
76428.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (23)
76429
76430.xword 0x356a
76431.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (23)
76432
76433.xword 0x0000006000408020
76434.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
76435
76436.xword 0x6a35
76437.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
76438
76439.xword 0x78285050
76440.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
76441
76442.xword 0x80604020
76443.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
76444
76445.xword 0x00287850
76446.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (23)
76447
76448.xword 0x00287850
76449.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (23)
76450
76451.xword 0x78285050
76452.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (23)
76453
76454.xword 0x64500000003c0000
76455.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
76456
76457.xword 0x7766000000554422
76458.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
76459
76460.xword 0x706200000054462a
76461.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
76462
76463.xword 0x784d005800423721
76464.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
76465
76466.xword 0x804d006000504020
76467.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
76468
76469.xword 0x0000006000408020
76470.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
76471
76472.xword 0
76473.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (23)
76474
76475.xword 0
76476.xword 0x0002005b | (6 << 18) !ma_ctl_Ld (24)
76477
76478.xword 0
76479.xword 0x0002016b | (6 << 18) !ma_ctl_St (24)
76480
76481.xword 0x80604020
76482.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (24)
76483
76484.xword 0x356a
76485.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (24)
76486
76487.xword 0x0000006000408020
76488.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
76489
76490.xword 0x6a35
76491.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
76492
76493.xword 0x78285050
76494.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
76495
76496.xword 0x80604020
76497.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
76498
76499.xword 0x00287850
76500.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (24)
76501
76502.xword 0x00287850
76503.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (24)
76504
76505.xword 0x78285050
76506.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (24)
76507
76508.xword 0x64500000003c0000
76509.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
76510
76511.xword 0x7766000000554422
76512.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
76513
76514.xword 0x706200000054462a
76515.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
76516
76517.xword 0x784d005800423721
76518.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
76519
76520.xword 0x804d006000504020
76521.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
76522
76523.xword 0x0000006000408020
76524.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
76525
76526.xword 0
76527.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (24)
76528
76529.xword 0
76530.xword 0x00020046 | (6 << 18) !ma_ctl_Ld (25)
76531
76532.xword 0
76533.xword 0x00020190 | (6 << 18) !ma_ctl_St (25)
76534
76535.xword 0x80604020
76536.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (25)
76537
76538.xword 0x356a
76539.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (25)
76540
76541.xword 0x0000006000408020
76542.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
76543
76544.xword 0x6a35
76545.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
76546
76547.xword 0x78285050
76548.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
76549
76550.xword 0x80604020
76551.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
76552
76553.xword 0x00287850
76554.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (25)
76555
76556.xword 0x00287850
76557.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (25)
76558
76559.xword 0x78285050
76560.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (25)
76561
76562.xword 0x64500000003c0000
76563.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
76564
76565.xword 0x7766000000554422
76566.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
76567
76568.xword 0x706200000054462a
76569.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
76570
76571.xword 0x784d005800423721
76572.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
76573
76574.xword 0x804d006000504020
76575.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
76576
76577.xword 0x0000006000408020
76578.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
76579
76580.xword 0
76581.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (25)
76582
76583.xword 0
76584.xword 0x0002003b | (6 << 18) !ma_ctl_Ld (26)
76585
76586.xword 0
76587.xword 0x00020141 | (6 << 18) !ma_ctl_St (26)
76588
76589.xword 0x80604020
76590.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (26)
76591
76592.xword 0x356a
76593.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (26)
76594
76595.xword 0x0000006000408020
76596.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
76597
76598.xword 0x6a35
76599.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
76600
76601.xword 0x78285050
76602.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
76603
76604.xword 0x80604020
76605.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
76606
76607.xword 0x00287850
76608.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (26)
76609
76610.xword 0x00287850
76611.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (26)
76612
76613.xword 0x78285050
76614.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (26)
76615
76616.xword 0x64500000003c0000
76617.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
76618
76619.xword 0x7766000000554422
76620.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
76621
76622.xword 0x706200000054462a
76623.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
76624
76625.xword 0x784d005800423721
76626.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
76627
76628.xword 0x804d006000504020
76629.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
76630
76631.xword 0x0000006000408020
76632.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
76633
76634.xword 0
76635.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (26)
76636
76637.xword 0
76638.xword 0x00020072 | (6 << 18) !ma_ctl_Ld (27)
76639
76640.xword 0
76641.xword 0x0002015b | (6 << 18) !ma_ctl_St (27)
76642
76643.xword 0x80604020
76644.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (27)
76645
76646.xword 0x356a
76647.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (27)
76648
76649.xword 0x0000006000408020
76650.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
76651
76652.xword 0x6a35
76653.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
76654
76655.xword 0x78285050
76656.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
76657
76658.xword 0x80604020
76659.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
76660
76661.xword 0x00287850
76662.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (27)
76663
76664.xword 0x00287850
76665.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (27)
76666
76667.xword 0x78285050
76668.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (27)
76669
76670.xword 0x64500000003c0000
76671.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
76672
76673.xword 0x7766000000554422
76674.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
76675
76676.xword 0x706200000054462a
76677.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
76678
76679.xword 0x784d005800423721
76680.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
76681
76682.xword 0x804d006000504020
76683.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
76684
76685.xword 0x0000006000408020
76686.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
76687
76688.xword 0
76689.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (27)
76690
76691.xword 0
76692.xword 0x0002006c | (6 << 18) !ma_ctl_Ld (28)
76693
76694.xword 0
76695.xword 0x00020174 | (6 << 18) !ma_ctl_St (28)
76696
76697.xword 0x80604020
76698.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (28)
76699
76700.xword 0x356a
76701.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (28)
76702
76703.xword 0x0000006000408020
76704.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
76705
76706.xword 0x6a35
76707.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
76708
76709.xword 0x78285050
76710.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
76711
76712.xword 0x80604020
76713.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
76714
76715.xword 0x00287850
76716.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (28)
76717
76718.xword 0x00287850
76719.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (28)
76720
76721.xword 0x78285050
76722.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (28)
76723
76724.xword 0x64500000003c0000
76725.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
76726
76727.xword 0x7766000000554422
76728.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
76729
76730.xword 0x706200000054462a
76731.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
76732
76733.xword 0x784d005800423721
76734.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
76735
76736.xword 0x804d006000504020
76737.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
76738
76739.xword 0x0000006000408020
76740.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
76741
76742.xword 0
76743.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (28)
76744
76745.xword 0
76746.xword 0x00020030 | (6 << 18) !ma_ctl_Ld (29)
76747
76748.xword 0
76749.xword 0x00020151 | (6 << 18) !ma_ctl_St (29)
76750
76751.xword 0x80604020
76752.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (29)
76753
76754.xword 0x356a
76755.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (29)
76756
76757.xword 0x0000006000408020
76758.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
76759
76760.xword 0x6a35
76761.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
76762
76763.xword 0x78285050
76764.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
76765
76766.xword 0x80604020
76767.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
76768
76769.xword 0x00287850
76770.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (29)
76771
76772.xword 0x00287850
76773.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (29)
76774
76775.xword 0x78285050
76776.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (29)
76777
76778.xword 0x64500000003c0000
76779.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
76780
76781.xword 0x7766000000554422
76782.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
76783
76784.xword 0x706200000054462a
76785.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
76786
76787.xword 0x784d005800423721
76788.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
76789
76790.xword 0x804d006000504020
76791.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
76792
76793.xword 0x0000006000408020
76794.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
76795
76796.xword 0
76797.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (29)
76798
76799.xword 0
76800.xword 0x0002006b | (6 << 18) !ma_ctl_Ld (30)
76801
76802.xword 0
76803.xword 0x0002012e | (6 << 18) !ma_ctl_St (30)
76804
76805.xword 0x80604020
76806.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (30)
76807
76808.xword 0x356a
76809.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (30)
76810
76811.xword 0x0000006000408020
76812.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
76813
76814.xword 0x6a35
76815.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
76816
76817.xword 0x78285050
76818.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
76819
76820.xword 0x80604020
76821.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
76822
76823.xword 0x00287850
76824.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (30)
76825
76826.xword 0x00287850
76827.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (30)
76828
76829.xword 0x78285050
76830.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (30)
76831
76832.xword 0x64500000003c0000
76833.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
76834
76835.xword 0x7766000000554422
76836.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
76837
76838.xword 0x706200000054462a
76839.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
76840
76841.xword 0x784d005800423721
76842.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
76843
76844.xword 0x804d006000504020
76845.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
76846
76847.xword 0x0000006000408020
76848.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
76849
76850.xword 0
76851.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (30)
76852
76853.xword 0
76854.xword 0x0002005a | (6 << 18) !ma_ctl_Ld (31)
76855
76856.xword 0
76857.xword 0x0002015e | (6 << 18) !ma_ctl_St (31)
76858
76859.xword 0x80604020
76860.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (31)
76861
76862.xword 0x356a
76863.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (31)
76864
76865.xword 0x0000006000408020
76866.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
76867
76868.xword 0x6a35
76869.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
76870
76871.xword 0x78285050
76872.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
76873
76874.xword 0x80604020
76875.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
76876
76877.xword 0x00287850
76878.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (31)
76879
76880.xword 0x00287850
76881.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (31)
76882
76883.xword 0x78285050
76884.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (31)
76885
76886.xword 0x64500000003c0000
76887.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
76888
76889.xword 0x7766000000554422
76890.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
76891
76892.xword 0x706200000054462a
76893.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
76894
76895.xword 0x784d005800423721
76896.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
76897
76898.xword 0x804d006000504020
76899.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
76900
76901.xword 0x0000006000408020
76902.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
76903
76904.xword 0
76905.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (31)
76906
76907.xword 0
76908.xword 0x0002005b | (6 << 18) !ma_ctl_Ld (32)
76909
76910.xword 0
76911.xword 0x0002012c | (6 << 18) !ma_ctl_St (32)
76912
76913.xword 0x80604020
76914.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (32)
76915
76916.xword 0x356a
76917.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (32)
76918
76919.xword 0x0000006000408020
76920.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
76921
76922.xword 0x6a35
76923.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
76924
76925.xword 0x78285050
76926.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
76927
76928.xword 0x80604020
76929.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
76930
76931.xword 0x00287850
76932.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (32)
76933
76934.xword 0x00287850
76935.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (32)
76936
76937.xword 0x78285050
76938.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (32)
76939
76940.xword 0x64500000003c0000
76941.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
76942
76943.xword 0x7766000000554422
76944.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
76945
76946.xword 0x706200000054462a
76947.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
76948
76949.xword 0x784d005800423721
76950.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
76951
76952.xword 0x804d006000504020
76953.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
76954
76955.xword 0x0000006000408020
76956.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
76957
76958.xword 0
76959.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (32)
76960
76961.xword 0
76962.xword 0x0002009b | (6 << 18) !ma_ctl_Ld (33)
76963
76964.xword 0
76965.xword 0x00020136 | (6 << 18) !ma_ctl_St (33)
76966
76967.xword 0x80604020
76968.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (33)
76969
76970.xword 0x356a
76971.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (33)
76972
76973.xword 0x0000006000408020
76974.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
76975
76976.xword 0x6a35
76977.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
76978
76979.xword 0x78285050
76980.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
76981
76982.xword 0x80604020
76983.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
76984
76985.xword 0x00287850
76986.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (33)
76987
76988.xword 0x00287850
76989.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (33)
76990
76991.xword 0x78285050
76992.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (33)
76993
76994.xword 0x64500000003c0000
76995.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
76996
76997.xword 0x7766000000554422
76998.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
76999
77000.xword 0x706200000054462a
77001.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
77002
77003.xword 0x784d005800423721
77004.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
77005
77006.xword 0x804d006000504020
77007.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
77008
77009.xword 0x0000006000408020
77010.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
77011
77012.xword 0
77013.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (33)
77014
77015.xword 0
77016.xword 0x00020020 | (6 << 18) !ma_ctl_Ld (34)
77017
77018.xword 0
77019.xword 0x0002018f | (6 << 18) !ma_ctl_St (34)
77020
77021.xword 0x80604020
77022.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (34)
77023
77024.xword 0x356a
77025.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (34)
77026
77027.xword 0x0000006000408020
77028.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
77029
77030.xword 0x6a35
77031.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
77032
77033.xword 0x78285050
77034.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
77035
77036.xword 0x80604020
77037.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
77038
77039.xword 0x00287850
77040.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (34)
77041
77042.xword 0x00287850
77043.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (34)
77044
77045.xword 0x78285050
77046.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (34)
77047
77048.xword 0x64500000003c0000
77049.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
77050
77051.xword 0x7766000000554422
77052.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
77053
77054.xword 0x706200000054462a
77055.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
77056
77057.xword 0x784d005800423721
77058.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
77059
77060.xword 0x804d006000504020
77061.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
77062
77063.xword 0x0000006000408020
77064.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
77065
77066.xword 0
77067.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (34)
77068
77069.xword 0
77070.xword 0x00020044 | (6 << 18) !ma_ctl_Ld (35)
77071
77072.xword 0
77073.xword 0x00020178 | (6 << 18) !ma_ctl_St (35)
77074
77075.xword 0x80604020
77076.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (35)
77077
77078.xword 0x356a
77079.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (35)
77080
77081.xword 0x0000006000408020
77082.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
77083
77084.xword 0x6a35
77085.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
77086
77087.xword 0x78285050
77088.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
77089
77090.xword 0x80604020
77091.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
77092
77093.xword 0x00287850
77094.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (35)
77095
77096.xword 0x00287850
77097.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (35)
77098
77099.xword 0x78285050
77100.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (35)
77101
77102.xword 0x64500000003c0000
77103.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
77104
77105.xword 0x7766000000554422
77106.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
77107
77108.xword 0x706200000054462a
77109.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
77110
77111.xword 0x784d005800423721
77112.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
77113
77114.xword 0x804d006000504020
77115.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
77116
77117.xword 0x0000006000408020
77118.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
77119
77120.xword 0
77121.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (35)
77122
77123.xword 0
77124.xword 0x00020096 | (6 << 18) !ma_ctl_Ld (36)
77125
77126.xword 0
77127.xword 0x00020144 | (6 << 18) !ma_ctl_St (36)
77128
77129.xword 0x80604020
77130.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (36)
77131
77132.xword 0x356a
77133.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (36)
77134
77135.xword 0x0000006000408020
77136.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
77137
77138.xword 0x6a35
77139.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
77140
77141.xword 0x78285050
77142.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
77143
77144.xword 0x80604020
77145.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
77146
77147.xword 0x00287850
77148.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (36)
77149
77150.xword 0x00287850
77151.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (36)
77152
77153.xword 0x78285050
77154.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (36)
77155
77156.xword 0x64500000003c0000
77157.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
77158
77159.xword 0x7766000000554422
77160.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
77161
77162.xword 0x706200000054462a
77163.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
77164
77165.xword 0x784d005800423721
77166.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
77167
77168.xword 0x804d006000504020
77169.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
77170
77171.xword 0x0000006000408020
77172.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
77173
77174.xword 0
77175.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (36)
77176
77177.xword 0
77178.xword 0x0002001f | (6 << 18) !ma_ctl_Ld (37)
77179
77180.xword 0
77181.xword 0x00020128 | (6 << 18) !ma_ctl_St (37)
77182
77183.xword 0x80604020
77184.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (37)
77185
77186.xword 0x356a
77187.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (37)
77188
77189.xword 0x0000006000408020
77190.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
77191
77192.xword 0x6a35
77193.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
77194
77195.xword 0x78285050
77196.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
77197
77198.xword 0x80604020
77199.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
77200
77201.xword 0x00287850
77202.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (37)
77203
77204.xword 0x00287850
77205.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (37)
77206
77207.xword 0x78285050
77208.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (37)
77209
77210.xword 0x64500000003c0000
77211.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
77212
77213.xword 0x7766000000554422
77214.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
77215
77216.xword 0x706200000054462a
77217.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
77218
77219.xword 0x784d005800423721
77220.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
77221
77222.xword 0x804d006000504020
77223.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
77224
77225.xword 0x0000006000408020
77226.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
77227
77228.xword 0
77229.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (37)
77230
77231.xword 0
77232.xword 0x00020090 | (6 << 18) !ma_ctl_Ld (38)
77233
77234.xword 0
77235.xword 0x00020154 | (6 << 18) !ma_ctl_St (38)
77236
77237.xword 0x80604020
77238.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (38)
77239
77240.xword 0x356a
77241.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (38)
77242
77243.xword 0x0000006000408020
77244.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
77245
77246.xword 0x6a35
77247.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
77248
77249.xword 0x78285050
77250.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
77251
77252.xword 0x80604020
77253.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
77254
77255.xword 0x00287850
77256.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (38)
77257
77258.xword 0x00287850
77259.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (38)
77260
77261.xword 0x78285050
77262.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (38)
77263
77264.xword 0x64500000003c0000
77265.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
77266
77267.xword 0x7766000000554422
77268.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
77269
77270.xword 0x706200000054462a
77271.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
77272
77273.xword 0x784d005800423721
77274.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
77275
77276.xword 0x804d006000504020
77277.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
77278
77279.xword 0x0000006000408020
77280.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
77281
77282.xword 0
77283.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (38)
77284
77285.xword 0
77286.xword 0x0002005b | (6 << 18) !ma_ctl_Ld (39)
77287
77288.xword 0
77289.xword 0x00020174 | (6 << 18) !ma_ctl_St (39)
77290
77291.xword 0x80604020
77292.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (39)
77293
77294.xword 0x356a
77295.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (39)
77296
77297.xword 0x0000006000408020
77298.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
77299
77300.xword 0x6a35
77301.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
77302
77303.xword 0x78285050
77304.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
77305
77306.xword 0x80604020
77307.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
77308
77309.xword 0x00287850
77310.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (39)
77311
77312.xword 0x00287850
77313.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (39)
77314
77315.xword 0x78285050
77316.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (39)
77317
77318.xword 0x64500000003c0000
77319.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
77320
77321.xword 0x7766000000554422
77322.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
77323
77324.xword 0x706200000054462a
77325.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
77326
77327.xword 0x784d005800423721
77328.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
77329
77330.xword 0x804d006000504020
77331.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
77332
77333.xword 0x0000006000408020
77334.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
77335
77336.xword 0
77337.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (39)
77338
77339.xword 0
77340.xword 0x0002001f | (6 << 18) !ma_ctl_Ld (40)
77341
77342.xword 0
77343.xword 0x00020171 | (6 << 18) !ma_ctl_St (40)
77344
77345.xword 0x80604020
77346.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (40)
77347
77348.xword 0x356a
77349.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (40)
77350
77351.xword 0x0000006000408020
77352.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
77353
77354.xword 0x6a35
77355.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
77356
77357.xword 0x78285050
77358.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
77359
77360.xword 0x80604020
77361.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
77362
77363.xword 0x00287850
77364.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (40)
77365
77366.xword 0x00287850
77367.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (40)
77368
77369.xword 0x78285050
77370.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (40)
77371
77372.xword 0x64500000003c0000
77373.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
77374
77375.xword 0x7766000000554422
77376.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
77377
77378.xword 0x706200000054462a
77379.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
77380
77381.xword 0x784d005800423721
77382.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
77383
77384.xword 0x804d006000504020
77385.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
77386
77387.xword 0x0000006000408020
77388.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
77389
77390.xword 0
77391.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (40)
77392
77393.xword 0
77394.xword 0x00020051 | (6 << 18) !ma_ctl_Ld (41)
77395
77396.xword 0
77397.xword 0x00020171 | (6 << 18) !ma_ctl_St (41)
77398
77399.xword 0x80604020
77400.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (41)
77401
77402.xword 0x356a
77403.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (41)
77404
77405.xword 0x0000006000408020
77406.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
77407
77408.xword 0x6a35
77409.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
77410
77411.xword 0x78285050
77412.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
77413
77414.xword 0x80604020
77415.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
77416
77417.xword 0x00287850
77418.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (41)
77419
77420.xword 0x00287850
77421.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (41)
77422
77423.xword 0x78285050
77424.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (41)
77425
77426.xword 0x64500000003c0000
77427.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
77428
77429.xword 0x7766000000554422
77430.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
77431
77432.xword 0x706200000054462a
77433.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
77434
77435.xword 0x784d005800423721
77436.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
77437
77438.xword 0x804d006000504020
77439.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
77440
77441.xword 0x0000006000408020
77442.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
77443
77444.xword 0
77445.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (41)
77446
77447.xword 0
77448.xword 0x00020087 | (6 << 18) !ma_ctl_Ld (42)
77449
77450.xword 0
77451.xword 0x00020150 | (6 << 18) !ma_ctl_St (42)
77452
77453.xword 0x80604020
77454.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (42)
77455
77456.xword 0x356a
77457.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (42)
77458
77459.xword 0x0000006000408020
77460.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
77461
77462.xword 0x6a35
77463.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
77464
77465.xword 0x78285050
77466.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
77467
77468.xword 0x80604020
77469.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
77470
77471.xword 0x00287850
77472.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (42)
77473
77474.xword 0x00287850
77475.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (42)
77476
77477.xword 0x78285050
77478.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (42)
77479
77480.xword 0x64500000003c0000
77481.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
77482
77483.xword 0x7766000000554422
77484.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
77485
77486.xword 0x706200000054462a
77487.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
77488
77489.xword 0x784d005800423721
77490.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
77491
77492.xword 0x804d006000504020
77493.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
77494
77495.xword 0x0000006000408020
77496.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
77497
77498.xword 0
77499.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (42)
77500
77501.xword 0
77502.xword 0x0002007a | (6 << 18) !ma_ctl_Ld (43)
77503
77504.xword 0
77505.xword 0x00020129 | (6 << 18) !ma_ctl_St (43)
77506
77507.xword 0x80604020
77508.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (43)
77509
77510.xword 0x356a
77511.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (43)
77512
77513.xword 0x0000006000408020
77514.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
77515
77516.xword 0x6a35
77517.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
77518
77519.xword 0x78285050
77520.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
77521
77522.xword 0x80604020
77523.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
77524
77525.xword 0x00287850
77526.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (43)
77527
77528.xword 0x00287850
77529.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (43)
77530
77531.xword 0x78285050
77532.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (43)
77533
77534.xword 0x64500000003c0000
77535.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
77536
77537.xword 0x7766000000554422
77538.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
77539
77540.xword 0x706200000054462a
77541.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
77542
77543.xword 0x784d005800423721
77544.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
77545
77546.xword 0x804d006000504020
77547.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
77548
77549.xword 0x0000006000408020
77550.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
77551
77552.xword 0
77553.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (43)
77554
77555.xword 0
77556.xword 0x0002004b | (6 << 18) !ma_ctl_Ld (44)
77557
77558.xword 0
77559.xword 0x00020131 | (6 << 18) !ma_ctl_St (44)
77560
77561.xword 0x80604020
77562.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (44)
77563
77564.xword 0x356a
77565.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (44)
77566
77567.xword 0x0000006000408020
77568.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
77569
77570.xword 0x6a35
77571.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
77572
77573.xword 0x78285050
77574.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
77575
77576.xword 0x80604020
77577.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
77578
77579.xword 0x00287850
77580.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (44)
77581
77582.xword 0x00287850
77583.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (44)
77584
77585.xword 0x78285050
77586.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (44)
77587
77588.xword 0x64500000003c0000
77589.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
77590
77591.xword 0x7766000000554422
77592.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
77593
77594.xword 0x706200000054462a
77595.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
77596
77597.xword 0x784d005800423721
77598.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
77599
77600.xword 0x804d006000504020
77601.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
77602
77603.xword 0x0000006000408020
77604.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
77605
77606.xword 0
77607.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (44)
77608
77609.xword 0
77610.xword 0x0002005a | (6 << 18) !ma_ctl_Ld (45)
77611
77612.xword 0
77613.xword 0x00020170 | (6 << 18) !ma_ctl_St (45)
77614
77615.xword 0x80604020
77616.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (45)
77617
77618.xword 0x356a
77619.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (45)
77620
77621.xword 0x0000006000408020
77622.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
77623
77624.xword 0x6a35
77625.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
77626
77627.xword 0x78285050
77628.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
77629
77630.xword 0x80604020
77631.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
77632
77633.xword 0x00287850
77634.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (45)
77635
77636.xword 0x00287850
77637.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (45)
77638
77639.xword 0x78285050
77640.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (45)
77641
77642.xword 0x64500000003c0000
77643.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
77644
77645.xword 0x7766000000554422
77646.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
77647
77648.xword 0x706200000054462a
77649.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
77650
77651.xword 0x784d005800423721
77652.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
77653
77654.xword 0x804d006000504020
77655.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
77656
77657.xword 0x0000006000408020
77658.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
77659
77660.xword 0
77661.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (45)
77662
77663.xword 0
77664.xword 0x0002009b | (6 << 18) !ma_ctl_Ld (46)
77665
77666.xword 0
77667.xword 0x0002014d | (6 << 18) !ma_ctl_St (46)
77668
77669.xword 0x80604020
77670.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (46)
77671
77672.xword 0x356a
77673.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (46)
77674
77675.xword 0x0000006000408020
77676.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
77677
77678.xword 0x6a35
77679.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
77680
77681.xword 0x78285050
77682.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
77683
77684.xword 0x80604020
77685.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
77686
77687.xword 0x00287850
77688.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (46)
77689
77690.xword 0x00287850
77691.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (46)
77692
77693.xword 0x78285050
77694.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (46)
77695
77696.xword 0x64500000003c0000
77697.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
77698
77699.xword 0x7766000000554422
77700.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
77701
77702.xword 0x706200000054462a
77703.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
77704
77705.xword 0x784d005800423721
77706.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
77707
77708.xword 0x804d006000504020
77709.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
77710
77711.xword 0x0000006000408020
77712.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
77713
77714.xword 0
77715.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (46)
77716
77717.xword 0
77718.xword 0x00020028 | (6 << 18) !ma_ctl_Ld (47)
77719
77720.xword 0
77721.xword 0x0002014a | (6 << 18) !ma_ctl_St (47)
77722
77723.xword 0x80604020
77724.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (47)
77725
77726.xword 0x356a
77727.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (47)
77728
77729.xword 0x0000006000408020
77730.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
77731
77732.xword 0x6a35
77733.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
77734
77735.xword 0x78285050
77736.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
77737
77738.xword 0x80604020
77739.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
77740
77741.xword 0x00287850
77742.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (47)
77743
77744.xword 0x00287850
77745.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (47)
77746
77747.xword 0x78285050
77748.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (47)
77749
77750.xword 0x64500000003c0000
77751.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
77752
77753.xword 0x7766000000554422
77754.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
77755
77756.xword 0x706200000054462a
77757.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
77758
77759.xword 0x784d005800423721
77760.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
77761
77762.xword 0x804d006000504020
77763.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
77764
77765.xword 0x0000006000408020
77766.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
77767
77768.xword 0
77769.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (47)
77770
77771.xword 0
77772.xword 0x0002007f | (6 << 18) !ma_ctl_Ld (48)
77773
77774.xword 0
77775.xword 0x0002012b | (6 << 18) !ma_ctl_St (48)
77776
77777.xword 0x80604020
77778.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (48)
77779
77780.xword 0x356a
77781.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (48)
77782
77783.xword 0x0000006000408020
77784.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
77785
77786.xword 0x6a35
77787.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
77788
77789.xword 0x78285050
77790.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
77791
77792.xword 0x80604020
77793.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
77794
77795.xword 0x00287850
77796.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (48)
77797
77798.xword 0x00287850
77799.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (48)
77800
77801.xword 0x78285050
77802.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (48)
77803
77804.xword 0x64500000003c0000
77805.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
77806
77807.xword 0x7766000000554422
77808.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
77809
77810.xword 0x706200000054462a
77811.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
77812
77813.xword 0x784d005800423721
77814.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
77815
77816.xword 0x804d006000504020
77817.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
77818
77819.xword 0x0000006000408020
77820.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
77821
77822.xword 0
77823.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (48)
77824
77825.xword 0
77826.xword 0x0002007f | (6 << 18) !ma_ctl_Ld (49)
77827
77828.xword 0
77829.xword 0x00020147 | (6 << 18) !ma_ctl_St (49)
77830
77831.xword 0x80604020
77832.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (49)
77833
77834.xword 0x356a
77835.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (49)
77836
77837.xword 0x0000006000408020
77838.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
77839
77840.xword 0x6a35
77841.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
77842
77843.xword 0x78285050
77844.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
77845
77846.xword 0x80604020
77847.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
77848
77849.xword 0x00287850
77850.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (49)
77851
77852.xword 0x00287850
77853.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (49)
77854
77855.xword 0x78285050
77856.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (49)
77857
77858.xword 0x64500000003c0000
77859.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
77860
77861.xword 0x7766000000554422
77862.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
77863
77864.xword 0x706200000054462a
77865.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
77866
77867.xword 0x784d005800423721
77868.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
77869
77870.xword 0x804d006000504020
77871.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
77872
77873.xword 0x0000006000408020
77874.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
77875
77876.xword 0
77877.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (49)
77878
77879.xword 0
77880.xword 0x00020080 | (6 << 18) !ma_ctl_Ld (50)
77881
77882.xword 0
77883.xword 0x00020135 | (6 << 18) !ma_ctl_St (50)
77884
77885.xword 0x80604020
77886.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (50)
77887
77888.xword 0x356a
77889.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (50)
77890
77891.xword 0x0000006000408020
77892.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
77893
77894.xword 0x6a35
77895.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
77896
77897.xword 0x78285050
77898.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
77899
77900.xword 0x80604020
77901.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
77902
77903.xword 0x00287850
77904.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (50)
77905
77906.xword 0x00287850
77907.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (50)
77908
77909.xword 0x78285050
77910.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (50)
77911
77912.xword 0x64500000003c0000
77913.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
77914
77915.xword 0x7766000000554422
77916.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
77917
77918.xword 0x706200000054462a
77919.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
77920
77921.xword 0x784d005800423721
77922.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
77923
77924.xword 0x804d006000504020
77925.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
77926
77927.xword 0x0000006000408020
77928.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
77929
77930.xword 0
77931.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (50)
77932
77933.xword 0
77934.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (51)
77935
77936.xword 0
77937.xword 0x00020186 | (6 << 18) !ma_ctl_St (51)
77938
77939.xword 0x80604020
77940.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (51)
77941
77942.xword 0x356a
77943.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (51)
77944
77945.xword 0x0000006000408020
77946.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
77947
77948.xword 0x6a35
77949.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
77950
77951.xword 0x78285050
77952.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
77953
77954.xword 0x80604020
77955.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
77956
77957.xword 0x00287850
77958.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (51)
77959
77960.xword 0x00287850
77961.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (51)
77962
77963.xword 0x78285050
77964.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (51)
77965
77966.xword 0x64500000003c0000
77967.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
77968
77969.xword 0x7766000000554422
77970.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
77971
77972.xword 0x706200000054462a
77973.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
77974
77975.xword 0x784d005800423721
77976.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
77977
77978.xword 0x804d006000504020
77979.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
77980
77981.xword 0x0000006000408020
77982.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
77983
77984.xword 0
77985.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (51)
77986
77987.xword 0
77988.xword 0x00020082 | (6 << 18) !ma_ctl_Ld (52)
77989
77990.xword 0
77991.xword 0x00020125 | (6 << 18) !ma_ctl_St (52)
77992
77993.xword 0x80604020
77994.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (52)
77995
77996.xword 0x356a
77997.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (52)
77998
77999.xword 0x0000006000408020
78000.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
78001
78002.xword 0x6a35
78003.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
78004
78005.xword 0x78285050
78006.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
78007
78008.xword 0x80604020
78009.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
78010
78011.xword 0x00287850
78012.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (52)
78013
78014.xword 0x00287850
78015.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (52)
78016
78017.xword 0x78285050
78018.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (52)
78019
78020.xword 0x64500000003c0000
78021.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
78022
78023.xword 0x7766000000554422
78024.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
78025
78026.xword 0x706200000054462a
78027.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
78028
78029.xword 0x784d005800423721
78030.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
78031
78032.xword 0x804d006000504020
78033.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
78034
78035.xword 0x0000006000408020
78036.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
78037
78038.xword 0
78039.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (52)
78040
78041.xword 0
78042.xword 0x0002005e | (6 << 18) !ma_ctl_Ld (53)
78043
78044.xword 0
78045.xword 0x00020149 | (6 << 18) !ma_ctl_St (53)
78046
78047.xword 0x80604020
78048.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (53)
78049
78050.xword 0x356a
78051.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (53)
78052
78053.xword 0x0000006000408020
78054.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
78055
78056.xword 0x6a35
78057.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
78058
78059.xword 0x78285050
78060.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
78061
78062.xword 0x80604020
78063.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
78064
78065.xword 0x00287850
78066.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (53)
78067
78068.xword 0x00287850
78069.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (53)
78070
78071.xword 0x78285050
78072.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (53)
78073
78074.xword 0x64500000003c0000
78075.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
78076
78077.xword 0x7766000000554422
78078.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
78079
78080.xword 0x706200000054462a
78081.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
78082
78083.xword 0x784d005800423721
78084.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
78085
78086.xword 0x804d006000504020
78087.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
78088
78089.xword 0x0000006000408020
78090.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
78091
78092.xword 0
78093.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (53)
78094
78095.xword 0
78096.xword 0x0002005d | (6 << 18) !ma_ctl_Ld (54)
78097
78098.xword 0
78099.xword 0x0002014f | (6 << 18) !ma_ctl_St (54)
78100
78101.xword 0x80604020
78102.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (54)
78103
78104.xword 0x356a
78105.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (54)
78106
78107.xword 0x0000006000408020
78108.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
78109
78110.xword 0x6a35
78111.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
78112
78113.xword 0x78285050
78114.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
78115
78116.xword 0x80604020
78117.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
78118
78119.xword 0x00287850
78120.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (54)
78121
78122.xword 0x00287850
78123.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (54)
78124
78125.xword 0x78285050
78126.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (54)
78127
78128.xword 0x64500000003c0000
78129.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
78130
78131.xword 0x7766000000554422
78132.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
78133
78134.xword 0x706200000054462a
78135.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
78136
78137.xword 0x784d005800423721
78138.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
78139
78140.xword 0x804d006000504020
78141.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
78142
78143.xword 0x0000006000408020
78144.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
78145
78146.xword 0
78147.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (54)
78148
78149.xword 0
78150.xword 0x00020034 | (6 << 18) !ma_ctl_Ld (55)
78151
78152.xword 0
78153.xword 0x0002014c | (6 << 18) !ma_ctl_St (55)
78154
78155.xword 0x80604020
78156.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (55)
78157
78158.xword 0x356a
78159.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (55)
78160
78161.xword 0x0000006000408020
78162.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
78163
78164.xword 0x6a35
78165.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
78166
78167.xword 0x78285050
78168.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
78169
78170.xword 0x80604020
78171.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
78172
78173.xword 0x00287850
78174.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (55)
78175
78176.xword 0x00287850
78177.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (55)
78178
78179.xword 0x78285050
78180.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (55)
78181
78182.xword 0x64500000003c0000
78183.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
78184
78185.xword 0x7766000000554422
78186.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
78187
78188.xword 0x706200000054462a
78189.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
78190
78191.xword 0x784d005800423721
78192.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
78193
78194.xword 0x804d006000504020
78195.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
78196
78197.xword 0x0000006000408020
78198.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
78199
78200.xword 0
78201.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (55)
78202
78203.xword 0
78204.xword 0x0002006d | (6 << 18) !ma_ctl_Ld (56)
78205
78206.xword 0
78207.xword 0x00020137 | (6 << 18) !ma_ctl_St (56)
78208
78209.xword 0x80604020
78210.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (56)
78211
78212.xword 0x356a
78213.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (56)
78214
78215.xword 0x0000006000408020
78216.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
78217
78218.xword 0x6a35
78219.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
78220
78221.xword 0x78285050
78222.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
78223
78224.xword 0x80604020
78225.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
78226
78227.xword 0x00287850
78228.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (56)
78229
78230.xword 0x00287850
78231.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (56)
78232
78233.xword 0x78285050
78234.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (56)
78235
78236.xword 0x64500000003c0000
78237.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
78238
78239.xword 0x7766000000554422
78240.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
78241
78242.xword 0x706200000054462a
78243.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
78244
78245.xword 0x784d005800423721
78246.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
78247
78248.xword 0x804d006000504020
78249.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
78250
78251.xword 0x0000006000408020
78252.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
78253
78254.xword 0
78255.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (56)
78256
78257.xword 0
78258.xword 0x0002007a | (6 << 18) !ma_ctl_Ld (57)
78259
78260.xword 0
78261.xword 0x0002017d | (6 << 18) !ma_ctl_St (57)
78262
78263.xword 0x80604020
78264.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (57)
78265
78266.xword 0x356a
78267.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (57)
78268
78269.xword 0x0000006000408020
78270.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
78271
78272.xword 0x6a35
78273.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
78274
78275.xword 0x78285050
78276.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
78277
78278.xword 0x80604020
78279.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
78280
78281.xword 0x00287850
78282.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (57)
78283
78284.xword 0x00287850
78285.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (57)
78286
78287.xword 0x78285050
78288.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (57)
78289
78290.xword 0x64500000003c0000
78291.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
78292
78293.xword 0x7766000000554422
78294.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
78295
78296.xword 0x706200000054462a
78297.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
78298
78299.xword 0x784d005800423721
78300.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
78301
78302.xword 0x804d006000504020
78303.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
78304
78305.xword 0x0000006000408020
78306.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
78307
78308.xword 0
78309.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (57)
78310
78311.xword 0
78312.xword 0x00020075 | (6 << 18) !ma_ctl_Ld (58)
78313
78314.xword 0
78315.xword 0x0002018e | (6 << 18) !ma_ctl_St (58)
78316
78317.xword 0x80604020
78318.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (58)
78319
78320.xword 0x356a
78321.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (58)
78322
78323.xword 0x0000006000408020
78324.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
78325
78326.xword 0x6a35
78327.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
78328
78329.xword 0x78285050
78330.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
78331
78332.xword 0x80604020
78333.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
78334
78335.xword 0x00287850
78336.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (58)
78337
78338.xword 0x00287850
78339.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (58)
78340
78341.xword 0x78285050
78342.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (58)
78343
78344.xword 0x64500000003c0000
78345.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
78346
78347.xword 0x7766000000554422
78348.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
78349
78350.xword 0x706200000054462a
78351.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
78352
78353.xword 0x784d005800423721
78354.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
78355
78356.xword 0x804d006000504020
78357.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
78358
78359.xword 0x0000006000408020
78360.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
78361
78362.xword 0
78363.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (58)
78364
78365
78366.align 8
78367.global _t2_ma_results1
78368_t2_ma_results1:
78369.xword 0xDEADBEEFDEADBEEF
78370.xword 0xDEADBEEFDEADBEEF
78371.xword 0xDEADBEEFDEADBEEF
78372.xword 0xDEADBEEFDEADBEEF
78373.xword 0xDEADBEEFDEADBEEF
78374.xword 0xDEADBEEFDEADBEEF
78375.xword 0xDEADBEEFDEADBEEF
78376.xword 0xDEADBEEFDEADBEEF
78377.xword 0xDEADBEEFDEADBEEF
78378.xword 0xDEADBEEFDEADBEEF
78379.xword 0xDEADBEEFDEADBEEF
78380.xword 0xDEADBEEFDEADBEEF
78381.xword 0xDEADBEEFDEADBEEF
78382.xword 0xDEADBEEFDEADBEEF
78383.xword 0xDEADBEEFDEADBEEF
78384.xword 0xDEADBEEFDEADBEEF
78385.xword 0xDEADBEEFDEADBEEF
78386.xword 0xDEADBEEFDEADBEEF
78387.xword 0xDEADBEEFDEADBEEF
78388.xword 0xDEADBEEFDEADBEEF
78389.xword 0xDEADBEEFDEADBEEF
78390.xword 0xDEADBEEFDEADBEEF
78391.xword 0xDEADBEEFDEADBEEF
78392.xword 0xDEADBEEFDEADBEEF
78393.xword 0xDEADBEEFDEADBEEF
78394.xword 0xDEADBEEFDEADBEEF
78395.xword 0xDEADBEEFDEADBEEF
78396.xword 0xDEADBEEFDEADBEEF
78397.xword 0xDEADBEEFDEADBEEF
78398.xword 0xDEADBEEFDEADBEEF
78399.xword 0xDEADBEEFDEADBEEF
78400.xword 0xDEADBEEFDEADBEEF
78401.xword 0xDEADBEEFDEADBEEF
78402.xword 0xDEADBEEFDEADBEEF
78403.xword 0xDEADBEEFDEADBEEF
78404.xword 0xDEADBEEFDEADBEEF
78405.xword 0xDEADBEEFDEADBEEF
78406.xword 0xDEADBEEFDEADBEEF
78407.xword 0xDEADBEEFDEADBEEF
78408.xword 0xDEADBEEFDEADBEEF
78409.xword 0xDEADBEEFDEADBEEF
78410.xword 0xDEADBEEFDEADBEEF
78411.xword 0xDEADBEEFDEADBEEF
78412.xword 0xDEADBEEFDEADBEEF
78413.xword 0xDEADBEEFDEADBEEF
78414.xword 0xDEADBEEFDEADBEEF
78415.xword 0xDEADBEEFDEADBEEF
78416.xword 0xDEADBEEFDEADBEEF
78417.xword 0xDEADBEEFDEADBEEF
78418.xword 0xDEADBEEFDEADBEEF
78419.xword 0xDEADBEEFDEADBEEF
78420.xword 0xDEADBEEFDEADBEEF
78421.xword 0xDEADBEEFDEADBEEF
78422.xword 0xDEADBEEFDEADBEEF
78423.xword 0xDEADBEEFDEADBEEF
78424.xword 0xDEADBEEFDEADBEEF
78425.xword 0xDEADBEEFDEADBEEF
78426.xword 0xDEADBEEFDEADBEEF
78427.xword 0xDEADBEEFDEADBEEF
78428.xword 0xDEADBEEFDEADBEEF
78429.xword 0xDEADBEEFDEADBEEF
78430.xword 0xDEADBEEFDEADBEEF
78431.xword 0xDEADBEEFDEADBEEF
78432.xword 0xDEADBEEFDEADBEEF
78433.xword 0xDEADBEEFDEADBEEF
78434.xword 0xDEADBEEFDEADBEEF
78435.xword 0xDEADBEEFDEADBEEF
78436.xword 0xDEADBEEFDEADBEEF
78437.xword 0xDEADBEEFDEADBEEF
78438.xword 0xDEADBEEFDEADBEEF
78439.xword 0xDEADBEEFDEADBEEF
78440.xword 0xDEADBEEFDEADBEEF
78441.xword 0xDEADBEEFDEADBEEF
78442.xword 0xDEADBEEFDEADBEEF
78443.xword 0xDEADBEEFDEADBEEF
78444.xword 0xDEADBEEFDEADBEEF
78445.xword 0xDEADBEEFDEADBEEF
78446.xword 0xDEADBEEFDEADBEEF
78447.xword 0xDEADBEEFDEADBEEF
78448.xword 0xDEADBEEFDEADBEEF
78449.xword 0xDEADBEEFDEADBEEF
78450.xword 0xDEADBEEFDEADBEEF
78451.xword 0xDEADBEEFDEADBEEF
78452.xword 0xDEADBEEFDEADBEEF
78453.xword 0xDEADBEEFDEADBEEF
78454.xword 0xDEADBEEFDEADBEEF
78455.xword 0xDEADBEEFDEADBEEF
78456.xword 0xDEADBEEFDEADBEEF
78457.xword 0xDEADBEEFDEADBEEF
78458.xword 0xDEADBEEFDEADBEEF
78459.xword 0xDEADBEEFDEADBEEF
78460.xword 0xDEADBEEFDEADBEEF
78461.xword 0xDEADBEEFDEADBEEF
78462.xword 0xDEADBEEFDEADBEEF
78463.xword 0xDEADBEEFDEADBEEF
78464.xword 0xDEADBEEFDEADBEEF
78465.xword 0xDEADBEEFDEADBEEF
78466.xword 0xDEADBEEFDEADBEEF
78467.xword 0xDEADBEEFDEADBEEF
78468.xword 0xDEADBEEFDEADBEEF
78469.xword 0xDEADBEEFDEADBEEF
78470.xword 0xDEADBEEFDEADBEEF
78471.xword 0xDEADBEEFDEADBEEF
78472.xword 0xDEADBEEFDEADBEEF
78473.xword 0xDEADBEEFDEADBEEF
78474.xword 0xDEADBEEFDEADBEEF
78475.xword 0xDEADBEEFDEADBEEF
78476.xword 0xDEADBEEFDEADBEEF
78477.xword 0xDEADBEEFDEADBEEF
78478.xword 0xDEADBEEFDEADBEEF
78479.xword 0xDEADBEEFDEADBEEF
78480.xword 0xDEADBEEFDEADBEEF
78481.xword 0xDEADBEEFDEADBEEF
78482.xword 0xDEADBEEFDEADBEEF
78483.xword 0xDEADBEEFDEADBEEF
78484.xword 0xDEADBEEFDEADBEEF
78485.xword 0xDEADBEEFDEADBEEF
78486.xword 0xDEADBEEFDEADBEEF
78487.xword 0xDEADBEEFDEADBEEF
78488.xword 0xDEADBEEFDEADBEEF
78489.xword 0xDEADBEEFDEADBEEF
78490.xword 0xDEADBEEFDEADBEEF
78491.xword 0xDEADBEEFDEADBEEF
78492.xword 0xDEADBEEFDEADBEEF
78493.xword 0xDEADBEEFDEADBEEF
78494.xword 0xDEADBEEFDEADBEEF
78495.xword 0xDEADBEEFDEADBEEF
78496.xword 0xDEADBEEFDEADBEEF
78497.xword 0xDEADBEEFDEADBEEF
78498.xword 0xDEADBEEFDEADBEEF
78499.xword 0xDEADBEEFDEADBEEF
78500.xword 0xDEADBEEFDEADBEEF
78501.xword 0xDEADBEEFDEADBEEF
78502.xword 0xDEADBEEFDEADBEEF
78503.xword 0xDEADBEEFDEADBEEF
78504.xword 0xDEADBEEFDEADBEEF
78505.xword 0xDEADBEEFDEADBEEF
78506.xword 0xDEADBEEFDEADBEEF
78507.xword 0xDEADBEEFDEADBEEF
78508.xword 0xDEADBEEFDEADBEEF
78509.xword 0xDEADBEEFDEADBEEF
78510.xword 0xDEADBEEFDEADBEEF
78511.xword 0xDEADBEEFDEADBEEF
78512.xword 0xDEADBEEFDEADBEEF
78513.xword 0xDEADBEEFDEADBEEF
78514.xword 0xDEADBEEFDEADBEEF
78515.xword 0xDEADBEEFDEADBEEF
78516.xword 0xDEADBEEFDEADBEEF
78517.xword 0xDEADBEEFDEADBEEF
78518.xword 0xDEADBEEFDEADBEEF
78519.xword 0xDEADBEEFDEADBEEF
78520.xword 0xDEADBEEFDEADBEEF
78521.xword 0xDEADBEEFDEADBEEF
78522.xword 0xDEADBEEFDEADBEEF
78523.xword 0xDEADBEEFDEADBEEF
78524.xword 0xDEADBEEFDEADBEEF
78525.xword 0xDEADBEEFDEADBEEF
78526.xword 0xDEADBEEFDEADBEEF
78527.xword 0xDEADBEEFDEADBEEF
78528.xword 0xDEADBEEFDEADBEEF
78529.xword 0xDEADBEEFDEADBEEF
78530.xword 0xDEADBEEFDEADBEEF
78531.xword 0xDEADBEEFDEADBEEF
78532.xword 0xDEADBEEFDEADBEEF
78533SECTION ._t2_T_MAX_DATA2 DATA_VA=270532608
78534attr_data {
78535 Name = ._t2_T_MAX_DATA2
78536 hypervisor
78537}
78538
78539.data
78540_t2_user_data_start:
78541_t2_scratch_area:
78542
78543.align 8
78544.global _t2_ma_operands2
78545_t2_ma_operands2:
78546.xword 0x33dcec3ddbcda3b2
78547.xword 0x8df948ca4318e2f6
78548.xword 0xf440321f41464223
78549.xword 0xc8ee0c115cca53f8
78550.xword 0xa29de76eb4be7150
78551.xword 0x4d5f2a406853166f
78552.xword 0x3e179b74100c919d
78553.xword 0x760f4bc41a630007
78554.xword 0x40e31a3b6876087f
78555.xword 0x9cd88bc911651e94
78556.xword 0x1cccaacf90324c79
78557.xword 0x3a9602896926b3e4
78558.xword 0x9835eb8d1bca5886
78559.xword 0xe29fc6d7056d2fd3
78560.xword 0x5a0f59fb3477ce34
78561.xword 0x4a271a7fbc63436c
78562.xword 0xc88383836e881618
78563.xword 0x75be539d147bb857
78564.xword 0x47db1e65c6aaa202
78565.xword 0x6b643256aade9385
78566.xword 0x74ae436c9e68f0c3
78567.xword 0xae8d91fbedbd093d
78568.xword 0xa048a439eeb9e461
78569.xword 0xb7adc4aea05655b0
78570.xword 0xd2246620d6e45d60
78571.xword 0x661135e05e584a95
78572.xword 0x3ff60ee489f50354
78573.xword 0x51c58b1b140c57c4
78574.xword 0xe22429ebdaddb407
78575.xword 0xf1d6ad05131298f1
78576.xword 0x0c251d061b071abb
78577.xword 0x68360f7d83e78bbe
78578.xword 0x8a40035e2aa7a22e
78579.xword 0x2d34ed071216e16d
78580.xword 0xe1b78ece82ad9512
78581.xword 0xc9be49528b8064bf
78582.xword 0x4f3898e023f5a91c
78583.xword 0xfb5f6b5e91e5eb90
78584.xword 0xa8b0a40085a09c7e
78585.xword 0x60ebee20c5cd95ec
78586.xword 0x2dcf94c64ae3a2f0
78587.xword 0x2a2646dacbfc0428
78588.xword 0x02f3e9c8075ab1e7
78589.xword 0xebd536d7616a5b50
78590.xword 0xead5139c57c34c74
78591.xword 0x1788f83ca760a177
78592.xword 0x2ff9d91feaa922c2
78593.xword 0xe0f3c570ebbc9948
78594.xword 0x8a8a80ff54c05004
78595.xword 0x1321b676238b8385
78596.xword 0xa844715a5d130b4c
78597.xword 0x7e9de554eff36d44
78598.xword 0x28357dbb88f0c266
78599.xword 0x64941cf0f1c10f72
78600.xword 0xf06b1c05ae063f7b
78601.xword 0x65fade01363275ec
78602.xword 0x4a311c4c6ccd45f7
78603.xword 0x06da4f24d222e469
78604.xword 0x7e4c549ef5ac68dd
78605.xword 0xf56319a1d8a09dd8
78606.xword 0x70c4a3391b7bf39a
78607.xword 0x16d5f822face3aa4
78608.xword 0x3497b2e81537974f
78609.xword 0x5cfd4a25ae195af7
78610.xword 0xc2dbf67de74788d1
78611.xword 0x0789e3de91da4068
78612.xword 0x48d33996101eef9d
78613.xword 0x5317378d5b71e7f9
78614.xword 0xe4b4471be74e3840
78615.xword 0x3fb8440139b3b872
78616.xword 0xdefcdfd2f92a09c5
78617.xword 0xfb675ba51064ad85
78618.xword 0xf98ff98e476c2008
78619.xword 0x3e17370015f455ff
78620.xword 0xfa5627a7aa2ad50b
78621.xword 0x39a5ea37236c1118
78622.xword 0x1a7abdcfe0547268
78623.xword 0xbaac0e8f8503fb6b
78624.xword 0xc462695a20adc0e6
78625.xword 0xd5d571580ebea699
78626.xword 0x145e8e9061640ad0
78627.xword 0x531deb70083d75cd
78628.xword 0xf90cf2279f52f503
78629.xword 0x9ab278b97ca8c329
78630.xword 0x6e0999a041f7c078
78631.xword 0x874e8a0a64c223f4
78632.xword 0x802664f799b44b8d
78633.xword 0xc709315b6bcab696
78634.xword 0x8c56acc6be0c5a7e
78635.xword 0x44ab7ecfee295833
78636.xword 0x6947cb64308bcc5e
78637.xword 0x08cee83698138888
78638.xword 0x76fc40ee1a5b73d7
78639.xword 0xfbf191d180bd8328
78640.xword 0x5edbf13482f22249
78641.xword 0x51ef742e3a21d994
78642.xword 0x9efb491df12cd907
78643.xword 0xbe183851736fec45
78644.xword 0x36ce4d9a060debab
78645.xword 0x2145c91f82fdcd2b
78646.xword 0x8d59ca4b7631e0f1
78647.xword 0xf1aa00a00fd24879
78648.xword 0x32d77ac379f94e03
78649.xword 0x08b2f9f1c0dbdb5d
78650.xword 0x66e1c957865cdee5
78651.xword 0xa96dc271759ebeb5
78652.xword 0x407ae507f69ff6e8
78653.xword 0x235a9a6a78e0bcea
78654.xword 0xaea8612cfa2fd8c6
78655.xword 0x5113f4f36e211d2b
78656.xword 0xfd8b5ca4075457ba
78657.xword 0x5cbb321655437bff
78658.xword 0x2208ff68f7539d88
78659.xword 0x12ea097bd3c93920
78660.xword 0xcba77c0f5fa974fb
78661.xword 0x35ac6fd024f95746
78662.xword 0xa4ff0c253f7ed8a1
78663.xword 0x46703fb5fccdeb17
78664.xword 0x1617aa0ddbadc736
78665.xword 0xd4245b5a2692affd
78666.xword 0x1c1729afd4243c29
78667.xword 0x4032672a42732ce7
78668.xword 0x642b34d51895e96d
78669.xword 0x63dd0a0e62d1217b
78670.xword 0xe7eba263c5803eb5
78671.xword 0x106f413dfc66957f
78672.xword 0xfc5315678004607e
78673.xword 0x2b8f980fb52c3763
78674.xword 0x7613c31c82c2e5c8
78675.xword 0x99f65c2008110138
78676.xword 0x0044e48c2b5ff791
78677.xword 0xeec693e96eacbe5c
78678.xword 0x87f8c56fe7ff7771
78679.xword 0xed13f22f677a1922
78680.xword 0xcb402f3aa4957868
78681.xword 0xb1554f0bcd8df98d
78682.xword 0xdd3a001aad2a8d9c
78683.xword 0x02505bbff7fb3765
78684.xword 0x445cd4fad5371373
78685.xword 0x6e7cebfb01b8b8e0
78686.xword 0xb670360d4f9dc647
78687.xword 0xae97276044b9561e
78688.xword 0x117f1b0fa47061a1
78689.xword 0xbbc637a3505cd415
78690.xword 0x50a7a4b856cd4b29
78691.xword 0x4e7f7a017359891d
78692.xword 0x9e80efdea0904a1c
78693.xword 0x54dfb791e689758e
78694.xword 0x471252083f22f24d
78695.xword 0xa5c41ba210c4b629
78696.xword 0x8f8d4c38ba8e3fd9
78697.xword 0xf8504ba5acf49837
78698.xword 0xbf074a6ea55b381c
78699.xword 0x9051beaa0efd6513
78700.xword 0xd4a83a625fe6914a
78701.xword 0xb1897708288a519a
78702.xword 0x7fc5808028abe727
78703.xword 0x771e2a2b1792a87c
78704.xword 0x297ced2fcc8c6ca9
78705.xword 0x0ae2719ed8fedb69
78706.xword 0x87ca277a30588e26
78707.xword 0x351c5da4d990d457
78708.xword 0x6bd4fae881c476bf
78709.xword 0x33cc720a22b43183
78710
78711.align 8
78712.global _t2_ma_operations2
78713_t2_ma_operations2:
78714.xword 0
78715.xword 0x00020091 | (6 << 18) !ma_ctl_Ld (0)
78716
78717.xword 0
78718.xword 0x00020125 | (6 << 18) !ma_ctl_St (0)
78719
78720.xword 0x80604020
78721.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (0)
78722
78723.xword 0x356a
78724.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (0)
78725
78726.xword 0x0000006000408020
78727.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
78728
78729.xword 0x6a35
78730.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
78731
78732.xword 0x78285050
78733.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
78734
78735.xword 0x80604020
78736.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
78737
78738.xword 0x00287850
78739.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (0)
78740
78741.xword 0x00287850
78742.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (0)
78743
78744.xword 0x78285050
78745.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (0)
78746
78747.xword 0x64500000003c0000
78748.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
78749
78750.xword 0x7766000000554422
78751.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
78752
78753.xword 0x706200000054462a
78754.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
78755
78756.xword 0x784d005800423721
78757.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
78758
78759.xword 0x804d006000504020
78760.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
78761
78762.xword 0x0000006000408020
78763.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
78764
78765.xword 0
78766.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (0)
78767
78768.xword 0
78769.xword 0x00020048 | (6 << 18) !ma_ctl_Ld (1)
78770
78771.xword 0
78772.xword 0x0002016d | (6 << 18) !ma_ctl_St (1)
78773
78774.xword 0x80604020
78775.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (1)
78776
78777.xword 0x356a
78778.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (1)
78779
78780.xword 0x0000006000408020
78781.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
78782
78783.xword 0x6a35
78784.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
78785
78786.xword 0x78285050
78787.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
78788
78789.xword 0x80604020
78790.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
78791
78792.xword 0x00287850
78793.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
78794
78795.xword 0x00287850
78796.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
78797
78798.xword 0x78285050
78799.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (1)
78800
78801.xword 0x64500000003c0000
78802.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
78803
78804.xword 0x7766000000554422
78805.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
78806
78807.xword 0x706200000054462a
78808.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
78809
78810.xword 0x784d005800423721
78811.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
78812
78813.xword 0x804d006000504020
78814.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
78815
78816.xword 0x0000006000408020
78817.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
78818
78819.xword 0
78820.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (1)
78821
78822.xword 0
78823.xword 0x00020063 | (6 << 18) !ma_ctl_Ld (2)
78824
78825.xword 0
78826.xword 0x00020154 | (6 << 18) !ma_ctl_St (2)
78827
78828.xword 0x80604020
78829.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (2)
78830
78831.xword 0x356a
78832.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (2)
78833
78834.xword 0x0000006000408020
78835.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
78836
78837.xword 0x6a35
78838.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
78839
78840.xword 0x78285050
78841.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
78842
78843.xword 0x80604020
78844.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
78845
78846.xword 0x00287850
78847.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (2)
78848
78849.xword 0x00287850
78850.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (2)
78851
78852.xword 0x78285050
78853.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (2)
78854
78855.xword 0x64500000003c0000
78856.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
78857
78858.xword 0x7766000000554422
78859.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
78860
78861.xword 0x706200000054462a
78862.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
78863
78864.xword 0x784d005800423721
78865.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
78866
78867.xword 0x804d006000504020
78868.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
78869
78870.xword 0x0000006000408020
78871.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
78872
78873.xword 0
78874.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (2)
78875
78876.xword 0
78877.xword 0x00020026 | (6 << 18) !ma_ctl_Ld (3)
78878
78879.xword 0
78880.xword 0x0002014a | (6 << 18) !ma_ctl_St (3)
78881
78882.xword 0x80604020
78883.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (3)
78884
78885.xword 0x356a
78886.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (3)
78887
78888.xword 0x0000006000408020
78889.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
78890
78891.xword 0x6a35
78892.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
78893
78894.xword 0x78285050
78895.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
78896
78897.xword 0x80604020
78898.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
78899
78900.xword 0x00287850
78901.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (3)
78902
78903.xword 0x00287850
78904.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (3)
78905
78906.xword 0x78285050
78907.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (3)
78908
78909.xword 0x64500000003c0000
78910.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
78911
78912.xword 0x7766000000554422
78913.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
78914
78915.xword 0x706200000054462a
78916.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
78917
78918.xword 0x784d005800423721
78919.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
78920
78921.xword 0x804d006000504020
78922.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
78923
78924.xword 0x0000006000408020
78925.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
78926
78927.xword 0
78928.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (3)
78929
78930.xword 0
78931.xword 0x00020052 | (6 << 18) !ma_ctl_Ld (4)
78932
78933.xword 0
78934.xword 0x00020155 | (6 << 18) !ma_ctl_St (4)
78935
78936.xword 0x80604020
78937.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (4)
78938
78939.xword 0x356a
78940.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (4)
78941
78942.xword 0x0000006000408020
78943.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
78944
78945.xword 0x6a35
78946.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
78947
78948.xword 0x78285050
78949.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
78950
78951.xword 0x80604020
78952.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
78953
78954.xword 0x00287850
78955.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (4)
78956
78957.xword 0x00287850
78958.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (4)
78959
78960.xword 0x78285050
78961.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (4)
78962
78963.xword 0x64500000003c0000
78964.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
78965
78966.xword 0x7766000000554422
78967.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
78968
78969.xword 0x706200000054462a
78970.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
78971
78972.xword 0x784d005800423721
78973.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
78974
78975.xword 0x804d006000504020
78976.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
78977
78978.xword 0x0000006000408020
78979.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
78980
78981.xword 0
78982.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (4)
78983
78984.xword 0
78985.xword 0x0002002f | (6 << 18) !ma_ctl_Ld (5)
78986
78987.xword 0
78988.xword 0x0002014c | (6 << 18) !ma_ctl_St (5)
78989
78990.xword 0x80604020
78991.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (5)
78992
78993.xword 0x356a
78994.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (5)
78995
78996.xword 0x0000006000408020
78997.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
78998
78999.xword 0x6a35
79000.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
79001
79002.xword 0x78285050
79003.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
79004
79005.xword 0x80604020
79006.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
79007
79008.xword 0x00287850
79009.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (5)
79010
79011.xword 0x00287850
79012.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (5)
79013
79014.xword 0x78285050
79015.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
79016
79017.xword 0x64500000003c0000
79018.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
79019
79020.xword 0x7766000000554422
79021.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
79022
79023.xword 0x706200000054462a
79024.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
79025
79026.xword 0x784d005800423721
79027.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
79028
79029.xword 0x804d006000504020
79030.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
79031
79032.xword 0x0000006000408020
79033.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
79034
79035.xword 0
79036.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (5)
79037
79038.xword 0
79039.xword 0x00020067 | (6 << 18) !ma_ctl_Ld (6)
79040
79041.xword 0
79042.xword 0x00020124 | (6 << 18) !ma_ctl_St (6)
79043
79044.xword 0x80604020
79045.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (6)
79046
79047.xword 0x356a
79048.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (6)
79049
79050.xword 0x0000006000408020
79051.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
79052
79053.xword 0x6a35
79054.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
79055
79056.xword 0x78285050
79057.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
79058
79059.xword 0x80604020
79060.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
79061
79062.xword 0x00287850
79063.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (6)
79064
79065.xword 0x00287850
79066.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (6)
79067
79068.xword 0x78285050
79069.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (6)
79070
79071.xword 0x64500000003c0000
79072.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
79073
79074.xword 0x7766000000554422
79075.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
79076
79077.xword 0x706200000054462a
79078.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
79079
79080.xword 0x784d005800423721
79081.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
79082
79083.xword 0x804d006000504020
79084.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
79085
79086.xword 0x0000006000408020
79087.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
79088
79089.xword 0
79090.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (6)
79091
79092.xword 0
79093.xword 0x00020020 | (6 << 18) !ma_ctl_Ld (7)
79094
79095.xword 0
79096.xword 0x00020147 | (6 << 18) !ma_ctl_St (7)
79097
79098.xword 0x80604020
79099.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (7)
79100
79101.xword 0x356a
79102.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (7)
79103
79104.xword 0x0000006000408020
79105.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
79106
79107.xword 0x6a35
79108.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
79109
79110.xword 0x78285050
79111.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
79112
79113.xword 0x80604020
79114.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
79115
79116.xword 0x00287850
79117.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (7)
79118
79119.xword 0x00287850
79120.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (7)
79121
79122.xword 0x78285050
79123.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (7)
79124
79125.xword 0x64500000003c0000
79126.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
79127
79128.xword 0x7766000000554422
79129.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
79130
79131.xword 0x706200000054462a
79132.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
79133
79134.xword 0x784d005800423721
79135.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
79136
79137.xword 0x804d006000504020
79138.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
79139
79140.xword 0x0000006000408020
79141.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
79142
79143.xword 0
79144.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (7)
79145
79146.xword 0
79147.xword 0x00020096 | (6 << 18) !ma_ctl_Ld (8)
79148
79149.xword 0
79150.xword 0x00020124 | (6 << 18) !ma_ctl_St (8)
79151
79152.xword 0x80604020
79153.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (8)
79154
79155.xword 0x356a
79156.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (8)
79157
79158.xword 0x0000006000408020
79159.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
79160
79161.xword 0x6a35
79162.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
79163
79164.xword 0x78285050
79165.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
79166
79167.xword 0x80604020
79168.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
79169
79170.xword 0x00287850
79171.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (8)
79172
79173.xword 0x00287850
79174.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
79175
79176.xword 0x78285050
79177.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (8)
79178
79179.xword 0x64500000003c0000
79180.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
79181
79182.xword 0x7766000000554422
79183.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
79184
79185.xword 0x706200000054462a
79186.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
79187
79188.xword 0x784d005800423721
79189.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
79190
79191.xword 0x804d006000504020
79192.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
79193
79194.xword 0x0000006000408020
79195.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
79196
79197.xword 0
79198.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (8)
79199
79200.xword 0
79201.xword 0x00020023 | (6 << 18) !ma_ctl_Ld (9)
79202
79203.xword 0
79204.xword 0x0002014d | (6 << 18) !ma_ctl_St (9)
79205
79206.xword 0x80604020
79207.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (9)
79208
79209.xword 0x356a
79210.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
79211
79212.xword 0x0000006000408020
79213.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
79214
79215.xword 0x6a35
79216.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
79217
79218.xword 0x78285050
79219.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
79220
79221.xword 0x80604020
79222.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
79223
79224.xword 0x00287850
79225.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (9)
79226
79227.xword 0x00287850
79228.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (9)
79229
79230.xword 0x78285050
79231.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (9)
79232
79233.xword 0x64500000003c0000
79234.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
79235
79236.xword 0x7766000000554422
79237.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
79238
79239.xword 0x706200000054462a
79240.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
79241
79242.xword 0x784d005800423721
79243.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
79244
79245.xword 0x804d006000504020
79246.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
79247
79248.xword 0x0000006000408020
79249.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
79250
79251.xword 0
79252.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (9)
79253
79254.xword 0
79255.xword 0x00020055 | (6 << 18) !ma_ctl_Ld (10)
79256
79257.xword 0
79258.xword 0x0002014e | (6 << 18) !ma_ctl_St (10)
79259
79260.xword 0x80604020
79261.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (10)
79262
79263.xword 0x356a
79264.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (10)
79265
79266.xword 0x0000006000408020
79267.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
79268
79269.xword 0x6a35
79270.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
79271
79272.xword 0x78285050
79273.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
79274
79275.xword 0x80604020
79276.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
79277
79278.xword 0x00287850
79279.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (10)
79280
79281.xword 0x00287850
79282.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (10)
79283
79284.xword 0x78285050
79285.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
79286
79287.xword 0x64500000003c0000
79288.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
79289
79290.xword 0x7766000000554422
79291.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
79292
79293.xword 0x706200000054462a
79294.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
79295
79296.xword 0x784d005800423721
79297.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
79298
79299.xword 0x804d006000504020
79300.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
79301
79302.xword 0x0000006000408020
79303.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
79304
79305.xword 0
79306.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (10)
79307
79308.xword 0
79309.xword 0x0002009e | (6 << 18) !ma_ctl_Ld (11)
79310
79311.xword 0
79312.xword 0x0002015a | (6 << 18) !ma_ctl_St (11)
79313
79314.xword 0x80604020
79315.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (11)
79316
79317.xword 0x356a
79318.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (11)
79319
79320.xword 0x0000006000408020
79321.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
79322
79323.xword 0x6a35
79324.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
79325
79326.xword 0x78285050
79327.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
79328
79329.xword 0x80604020
79330.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
79331
79332.xword 0x00287850
79333.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (11)
79334
79335.xword 0x00287850
79336.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (11)
79337
79338.xword 0x78285050
79339.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (11)
79340
79341.xword 0x64500000003c0000
79342.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
79343
79344.xword 0x7766000000554422
79345.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
79346
79347.xword 0x706200000054462a
79348.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
79349
79350.xword 0x784d005800423721
79351.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
79352
79353.xword 0x804d006000504020
79354.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
79355
79356.xword 0x0000006000408020
79357.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
79358
79359.xword 0
79360.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (11)
79361
79362.xword 0
79363.xword 0x00020082 | (6 << 18) !ma_ctl_Ld (12)
79364
79365.xword 0
79366.xword 0x00020135 | (6 << 18) !ma_ctl_St (12)
79367
79368.xword 0x80604020
79369.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (12)
79370
79371.xword 0x356a
79372.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (12)
79373
79374.xword 0x0000006000408020
79375.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
79376
79377.xword 0x6a35
79378.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
79379
79380.xword 0x78285050
79381.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
79382
79383.xword 0x80604020
79384.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
79385
79386.xword 0x00287850
79387.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (12)
79388
79389.xword 0x00287850
79390.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (12)
79391
79392.xword 0x78285050
79393.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (12)
79394
79395.xword 0x64500000003c0000
79396.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
79397
79398.xword 0x7766000000554422
79399.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
79400
79401.xword 0x706200000054462a
79402.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
79403
79404.xword 0x784d005800423721
79405.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
79406
79407.xword 0x804d006000504020
79408.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
79409
79410.xword 0x0000006000408020
79411.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
79412
79413.xword 0
79414.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (12)
79415
79416.xword 0
79417.xword 0x0002009e | (6 << 18) !ma_ctl_Ld (13)
79418
79419.xword 0
79420.xword 0x00020132 | (6 << 18) !ma_ctl_St (13)
79421
79422.xword 0x80604020
79423.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (13)
79424
79425.xword 0x356a
79426.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (13)
79427
79428.xword 0x0000006000408020
79429.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
79430
79431.xword 0x6a35
79432.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
79433
79434.xword 0x78285050
79435.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
79436
79437.xword 0x80604020
79438.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
79439
79440.xword 0x00287850
79441.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (13)
79442
79443.xword 0x00287850
79444.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (13)
79445
79446.xword 0x78285050
79447.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (13)
79448
79449.xword 0x64500000003c0000
79450.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
79451
79452.xword 0x7766000000554422
79453.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
79454
79455.xword 0x706200000054462a
79456.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
79457
79458.xword 0x784d005800423721
79459.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
79460
79461.xword 0x804d006000504020
79462.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
79463
79464.xword 0x0000006000408020
79465.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
79466
79467.xword 0
79468.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (13)
79469
79470.xword 0
79471.xword 0x00020054 | (6 << 18) !ma_ctl_Ld (14)
79472
79473.xword 0
79474.xword 0x00020145 | (6 << 18) !ma_ctl_St (14)
79475
79476.xword 0x80604020
79477.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (14)
79478
79479.xword 0x356a
79480.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (14)
79481
79482.xword 0x0000006000408020
79483.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
79484
79485.xword 0x6a35
79486.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
79487
79488.xword 0x78285050
79489.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
79490
79491.xword 0x80604020
79492.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
79493
79494.xword 0x00287850
79495.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (14)
79496
79497.xword 0x00287850
79498.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (14)
79499
79500.xword 0x78285050
79501.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (14)
79502
79503.xword 0x64500000003c0000
79504.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
79505
79506.xword 0x7766000000554422
79507.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
79508
79509.xword 0x706200000054462a
79510.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
79511
79512.xword 0x784d005800423721
79513.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
79514
79515.xword 0x804d006000504020
79516.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
79517
79518.xword 0x0000006000408020
79519.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
79520
79521.xword 0
79522.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (14)
79523
79524.xword 0
79525.xword 0x0002005a | (6 << 18) !ma_ctl_Ld (15)
79526
79527.xword 0
79528.xword 0x00020136 | (6 << 18) !ma_ctl_St (15)
79529
79530.xword 0x80604020
79531.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (15)
79532
79533.xword 0x356a
79534.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (15)
79535
79536.xword 0x0000006000408020
79537.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
79538
79539.xword 0x6a35
79540.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
79541
79542.xword 0x78285050
79543.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
79544
79545.xword 0x80604020
79546.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
79547
79548.xword 0x00287850
79549.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (15)
79550
79551.xword 0x00287850
79552.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (15)
79553
79554.xword 0x78285050
79555.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (15)
79556
79557.xword 0x64500000003c0000
79558.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
79559
79560.xword 0x7766000000554422
79561.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
79562
79563.xword 0x706200000054462a
79564.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
79565
79566.xword 0x784d005800423721
79567.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
79568
79569.xword 0x804d006000504020
79570.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
79571
79572.xword 0x0000006000408020
79573.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
79574
79575.xword 0
79576.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (15)
79577
79578.xword 0
79579.xword 0x00020057 | (6 << 18) !ma_ctl_Ld (16)
79580
79581.xword 0
79582.xword 0x00020190 | (6 << 18) !ma_ctl_St (16)
79583
79584.xword 0x80604020
79585.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (16)
79586
79587.xword 0x356a
79588.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (16)
79589
79590.xword 0x0000006000408020
79591.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
79592
79593.xword 0x6a35
79594.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
79595
79596.xword 0x78285050
79597.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
79598
79599.xword 0x80604020
79600.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
79601
79602.xword 0x00287850
79603.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (16)
79604
79605.xword 0x00287850
79606.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (16)
79607
79608.xword 0x78285050
79609.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (16)
79610
79611.xword 0x64500000003c0000
79612.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
79613
79614.xword 0x7766000000554422
79615.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
79616
79617.xword 0x706200000054462a
79618.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
79619
79620.xword 0x784d005800423721
79621.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
79622
79623.xword 0x804d006000504020
79624.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
79625
79626.xword 0x0000006000408020
79627.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
79628
79629.xword 0
79630.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (16)
79631
79632.xword 0
79633.xword 0x00020054 | (6 << 18) !ma_ctl_Ld (17)
79634
79635.xword 0
79636.xword 0x0002018e | (6 << 18) !ma_ctl_St (17)
79637
79638.xword 0x80604020
79639.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (17)
79640
79641.xword 0x356a
79642.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (17)
79643
79644.xword 0x0000006000408020
79645.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
79646
79647.xword 0x6a35
79648.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
79649
79650.xword 0x78285050
79651.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
79652
79653.xword 0x80604020
79654.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
79655
79656.xword 0x00287850
79657.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (17)
79658
79659.xword 0x00287850
79660.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (17)
79661
79662.xword 0x78285050
79663.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (17)
79664
79665.xword 0x64500000003c0000
79666.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
79667
79668.xword 0x7766000000554422
79669.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
79670
79671.xword 0x706200000054462a
79672.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
79673
79674.xword 0x784d005800423721
79675.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
79676
79677.xword 0x804d006000504020
79678.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
79679
79680.xword 0x0000006000408020
79681.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
79682
79683.xword 0
79684.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (17)
79685
79686.xword 0
79687.xword 0x00020078 | (6 << 18) !ma_ctl_Ld (18)
79688
79689.xword 0
79690.xword 0x00020129 | (6 << 18) !ma_ctl_St (18)
79691
79692.xword 0x80604020
79693.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (18)
79694
79695.xword 0x356a
79696.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (18)
79697
79698.xword 0x0000006000408020
79699.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
79700
79701.xword 0x6a35
79702.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
79703
79704.xword 0x78285050
79705.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
79706
79707.xword 0x80604020
79708.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
79709
79710.xword 0x00287850
79711.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (18)
79712
79713.xword 0x00287850
79714.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (18)
79715
79716.xword 0x78285050
79717.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (18)
79718
79719.xword 0x64500000003c0000
79720.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
79721
79722.xword 0x7766000000554422
79723.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
79724
79725.xword 0x706200000054462a
79726.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
79727
79728.xword 0x784d005800423721
79729.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
79730
79731.xword 0x804d006000504020
79732.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
79733
79734.xword 0x0000006000408020
79735.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
79736
79737.xword 0
79738.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (18)
79739
79740.xword 0
79741.xword 0x00020055 | (6 << 18) !ma_ctl_Ld (19)
79742
79743.xword 0
79744.xword 0x00020179 | (6 << 18) !ma_ctl_St (19)
79745
79746.xword 0x80604020
79747.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (19)
79748
79749.xword 0x356a
79750.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (19)
79751
79752.xword 0x0000006000408020
79753.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
79754
79755.xword 0x6a35
79756.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
79757
79758.xword 0x78285050
79759.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
79760
79761.xword 0x80604020
79762.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
79763
79764.xword 0x00287850
79765.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (19)
79766
79767.xword 0x00287850
79768.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (19)
79769
79770.xword 0x78285050
79771.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
79772
79773.xword 0x64500000003c0000
79774.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
79775
79776.xword 0x7766000000554422
79777.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
79778
79779.xword 0x706200000054462a
79780.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
79781
79782.xword 0x784d005800423721
79783.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
79784
79785.xword 0x804d006000504020
79786.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
79787
79788.xword 0x0000006000408020
79789.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
79790
79791.xword 0
79792.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (19)
79793
79794.xword 0
79795.xword 0x00020040 | (6 << 18) !ma_ctl_Ld (20)
79796
79797.xword 0
79798.xword 0x0002012a | (6 << 18) !ma_ctl_St (20)
79799
79800.xword 0x80604020
79801.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (20)
79802
79803.xword 0x356a
79804.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (20)
79805
79806.xword 0x0000006000408020
79807.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
79808
79809.xword 0x6a35
79810.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
79811
79812.xword 0x78285050
79813.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
79814
79815.xword 0x80604020
79816.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
79817
79818.xword 0x00287850
79819.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (20)
79820
79821.xword 0x00287850
79822.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (20)
79823
79824.xword 0x78285050
79825.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (20)
79826
79827.xword 0x64500000003c0000
79828.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
79829
79830.xword 0x7766000000554422
79831.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
79832
79833.xword 0x706200000054462a
79834.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
79835
79836.xword 0x784d005800423721
79837.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
79838
79839.xword 0x804d006000504020
79840.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
79841
79842.xword 0x0000006000408020
79843.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
79844
79845.xword 0
79846.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (20)
79847
79848.xword 0
79849.xword 0x00020041 | (6 << 18) !ma_ctl_Ld (21)
79850
79851.xword 0
79852.xword 0x00020185 | (6 << 18) !ma_ctl_St (21)
79853
79854.xword 0x80604020
79855.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (21)
79856
79857.xword 0x356a
79858.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (21)
79859
79860.xword 0x0000006000408020
79861.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
79862
79863.xword 0x6a35
79864.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
79865
79866.xword 0x78285050
79867.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
79868
79869.xword 0x80604020
79870.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
79871
79872.xword 0x00287850
79873.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (21)
79874
79875.xword 0x00287850
79876.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (21)
79877
79878.xword 0x78285050
79879.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (21)
79880
79881.xword 0x64500000003c0000
79882.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
79883
79884.xword 0x7766000000554422
79885.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
79886
79887.xword 0x706200000054462a
79888.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
79889
79890.xword 0x784d005800423721
79891.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
79892
79893.xword 0x804d006000504020
79894.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
79895
79896.xword 0x0000006000408020
79897.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
79898
79899.xword 0
79900.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (21)
79901
79902.xword 0
79903.xword 0x00020063 | (6 << 18) !ma_ctl_Ld (22)
79904
79905.xword 0
79906.xword 0x00020125 | (6 << 18) !ma_ctl_St (22)
79907
79908.xword 0x80604020
79909.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (22)
79910
79911.xword 0x356a
79912.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (22)
79913
79914.xword 0x0000006000408020
79915.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
79916
79917.xword 0x6a35
79918.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
79919
79920.xword 0x78285050
79921.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
79922
79923.xword 0x80604020
79924.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
79925
79926.xword 0x00287850
79927.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (22)
79928
79929.xword 0x00287850
79930.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (22)
79931
79932.xword 0x78285050
79933.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (22)
79934
79935.xword 0x64500000003c0000
79936.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
79937
79938.xword 0x7766000000554422
79939.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
79940
79941.xword 0x706200000054462a
79942.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
79943
79944.xword 0x784d005800423721
79945.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
79946
79947.xword 0x804d006000504020
79948.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
79949
79950.xword 0x0000006000408020
79951.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
79952
79953.xword 0
79954.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (22)
79955
79956.xword 0
79957.xword 0x00020073 | (6 << 18) !ma_ctl_Ld (23)
79958
79959.xword 0
79960.xword 0x00020142 | (6 << 18) !ma_ctl_St (23)
79961
79962.xword 0x80604020
79963.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (23)
79964
79965.xword 0x356a
79966.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (23)
79967
79968.xword 0x0000006000408020
79969.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
79970
79971.xword 0x6a35
79972.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
79973
79974.xword 0x78285050
79975.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
79976
79977.xword 0x80604020
79978.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
79979
79980.xword 0x00287850
79981.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (23)
79982
79983.xword 0x00287850
79984.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (23)
79985
79986.xword 0x78285050
79987.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (23)
79988
79989.xword 0x64500000003c0000
79990.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
79991
79992.xword 0x7766000000554422
79993.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
79994
79995.xword 0x706200000054462a
79996.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
79997
79998.xword 0x784d005800423721
79999.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
80000
80001.xword 0x804d006000504020
80002.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
80003
80004.xword 0x0000006000408020
80005.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
80006
80007.xword 0
80008.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (23)
80009
80010.xword 0
80011.xword 0x0002008b | (6 << 18) !ma_ctl_Ld (24)
80012
80013.xword 0
80014.xword 0x00020164 | (6 << 18) !ma_ctl_St (24)
80015
80016.xword 0x80604020
80017.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (24)
80018
80019.xword 0x356a
80020.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (24)
80021
80022.xword 0x0000006000408020
80023.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
80024
80025.xword 0x6a35
80026.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
80027
80028.xword 0x78285050
80029.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
80030
80031.xword 0x80604020
80032.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
80033
80034.xword 0x00287850
80035.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (24)
80036
80037.xword 0x00287850
80038.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (24)
80039
80040.xword 0x78285050
80041.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (24)
80042
80043.xword 0x64500000003c0000
80044.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
80045
80046.xword 0x7766000000554422
80047.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
80048
80049.xword 0x706200000054462a
80050.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
80051
80052.xword 0x784d005800423721
80053.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
80054
80055.xword 0x804d006000504020
80056.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
80057
80058.xword 0x0000006000408020
80059.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
80060
80061.xword 0
80062.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (24)
80063
80064.xword 0
80065.xword 0x00020038 | (6 << 18) !ma_ctl_Ld (25)
80066
80067.xword 0
80068.xword 0x00020179 | (6 << 18) !ma_ctl_St (25)
80069
80070.xword 0x80604020
80071.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (25)
80072
80073.xword 0x356a
80074.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (25)
80075
80076.xword 0x0000006000408020
80077.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
80078
80079.xword 0x6a35
80080.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
80081
80082.xword 0x78285050
80083.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
80084
80085.xword 0x80604020
80086.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
80087
80088.xword 0x00287850
80089.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (25)
80090
80091.xword 0x00287850
80092.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (25)
80093
80094.xword 0x78285050
80095.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (25)
80096
80097.xword 0x64500000003c0000
80098.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
80099
80100.xword 0x7766000000554422
80101.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
80102
80103.xword 0x706200000054462a
80104.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
80105
80106.xword 0x784d005800423721
80107.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
80108
80109.xword 0x804d006000504020
80110.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
80111
80112.xword 0x0000006000408020
80113.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
80114
80115.xword 0
80116.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (25)
80117
80118.xword 0
80119.xword 0x0002002d | (6 << 18) !ma_ctl_Ld (26)
80120
80121.xword 0
80122.xword 0x0002016e | (6 << 18) !ma_ctl_St (26)
80123
80124.xword 0x80604020
80125.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (26)
80126
80127.xword 0x356a
80128.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (26)
80129
80130.xword 0x0000006000408020
80131.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
80132
80133.xword 0x6a35
80134.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
80135
80136.xword 0x78285050
80137.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
80138
80139.xword 0x80604020
80140.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
80141
80142.xword 0x00287850
80143.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (26)
80144
80145.xword 0x00287850
80146.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (26)
80147
80148.xword 0x78285050
80149.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (26)
80150
80151.xword 0x64500000003c0000
80152.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
80153
80154.xword 0x7766000000554422
80155.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
80156
80157.xword 0x706200000054462a
80158.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
80159
80160.xword 0x784d005800423721
80161.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
80162
80163.xword 0x804d006000504020
80164.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
80165
80166.xword 0x0000006000408020
80167.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
80168
80169.xword 0
80170.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (26)
80171
80172.xword 0
80173.xword 0x0002009a | (6 << 18) !ma_ctl_Ld (27)
80174
80175.xword 0
80176.xword 0x00020156 | (6 << 18) !ma_ctl_St (27)
80177
80178.xword 0x80604020
80179.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (27)
80180
80181.xword 0x356a
80182.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (27)
80183
80184.xword 0x0000006000408020
80185.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
80186
80187.xword 0x6a35
80188.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
80189
80190.xword 0x78285050
80191.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
80192
80193.xword 0x80604020
80194.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
80195
80196.xword 0x00287850
80197.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (27)
80198
80199.xword 0x00287850
80200.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (27)
80201
80202.xword 0x78285050
80203.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (27)
80204
80205.xword 0x64500000003c0000
80206.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
80207
80208.xword 0x7766000000554422
80209.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
80210
80211.xword 0x706200000054462a
80212.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
80213
80214.xword 0x784d005800423721
80215.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
80216
80217.xword 0x804d006000504020
80218.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
80219
80220.xword 0x0000006000408020
80221.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
80222
80223.xword 0
80224.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (27)
80225
80226.xword 0
80227.xword 0x0002005d | (6 << 18) !ma_ctl_Ld (28)
80228
80229.xword 0
80230.xword 0x00020144 | (6 << 18) !ma_ctl_St (28)
80231
80232.xword 0x80604020
80233.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (28)
80234
80235.xword 0x356a
80236.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (28)
80237
80238.xword 0x0000006000408020
80239.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
80240
80241.xword 0x6a35
80242.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
80243
80244.xword 0x78285050
80245.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
80246
80247.xword 0x80604020
80248.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
80249
80250.xword 0x00287850
80251.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (28)
80252
80253.xword 0x00287850
80254.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (28)
80255
80256.xword 0x78285050
80257.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (28)
80258
80259.xword 0x64500000003c0000
80260.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
80261
80262.xword 0x7766000000554422
80263.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
80264
80265.xword 0x706200000054462a
80266.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
80267
80268.xword 0x784d005800423721
80269.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
80270
80271.xword 0x804d006000504020
80272.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
80273
80274.xword 0x0000006000408020
80275.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
80276
80277.xword 0
80278.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (28)
80279
80280.xword 0
80281.xword 0x00020085 | (6 << 18) !ma_ctl_Ld (29)
80282
80283.xword 0
80284.xword 0x00020120 | (6 << 18) !ma_ctl_St (29)
80285
80286.xword 0x80604020
80287.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (29)
80288
80289.xword 0x356a
80290.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (29)
80291
80292.xword 0x0000006000408020
80293.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
80294
80295.xword 0x6a35
80296.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
80297
80298.xword 0x78285050
80299.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
80300
80301.xword 0x80604020
80302.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
80303
80304.xword 0x00287850
80305.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (29)
80306
80307.xword 0x00287850
80308.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (29)
80309
80310.xword 0x78285050
80311.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (29)
80312
80313.xword 0x64500000003c0000
80314.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
80315
80316.xword 0x7766000000554422
80317.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
80318
80319.xword 0x706200000054462a
80320.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
80321
80322.xword 0x784d005800423721
80323.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
80324
80325.xword 0x804d006000504020
80326.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
80327
80328.xword 0x0000006000408020
80329.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
80330
80331.xword 0
80332.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (29)
80333
80334.xword 0
80335.xword 0x00020029 | (6 << 18) !ma_ctl_Ld (30)
80336
80337.xword 0
80338.xword 0x00020120 | (6 << 18) !ma_ctl_St (30)
80339
80340.xword 0x80604020
80341.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (30)
80342
80343.xword 0x356a
80344.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (30)
80345
80346.xword 0x0000006000408020
80347.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
80348
80349.xword 0x6a35
80350.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
80351
80352.xword 0x78285050
80353.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
80354
80355.xword 0x80604020
80356.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
80357
80358.xword 0x00287850
80359.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (30)
80360
80361.xword 0x00287850
80362.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (30)
80363
80364.xword 0x78285050
80365.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (30)
80366
80367.xword 0x64500000003c0000
80368.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
80369
80370.xword 0x7766000000554422
80371.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
80372
80373.xword 0x706200000054462a
80374.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
80375
80376.xword 0x784d005800423721
80377.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
80378
80379.xword 0x804d006000504020
80380.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
80381
80382.xword 0x0000006000408020
80383.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
80384
80385.xword 0
80386.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (30)
80387
80388.xword 0
80389.xword 0x00020079 | (6 << 18) !ma_ctl_Ld (31)
80390
80391.xword 0
80392.xword 0x00020120 | (6 << 18) !ma_ctl_St (31)
80393
80394.xword 0x80604020
80395.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (31)
80396
80397.xword 0x356a
80398.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (31)
80399
80400.xword 0x0000006000408020
80401.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
80402
80403.xword 0x6a35
80404.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
80405
80406.xword 0x78285050
80407.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
80408
80409.xword 0x80604020
80410.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
80411
80412.xword 0x00287850
80413.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (31)
80414
80415.xword 0x00287850
80416.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (31)
80417
80418.xword 0x78285050
80419.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (31)
80420
80421.xword 0x64500000003c0000
80422.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
80423
80424.xword 0x7766000000554422
80425.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
80426
80427.xword 0x706200000054462a
80428.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
80429
80430.xword 0x784d005800423721
80431.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
80432
80433.xword 0x804d006000504020
80434.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
80435
80436.xword 0x0000006000408020
80437.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
80438
80439.xword 0
80440.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (31)
80441
80442.xword 0
80443.xword 0x00020038 | (6 << 18) !ma_ctl_Ld (32)
80444
80445.xword 0
80446.xword 0x00020139 | (6 << 18) !ma_ctl_St (32)
80447
80448.xword 0x80604020
80449.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (32)
80450
80451.xword 0x356a
80452.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (32)
80453
80454.xword 0x0000006000408020
80455.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
80456
80457.xword 0x6a35
80458.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
80459
80460.xword 0x78285050
80461.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
80462
80463.xword 0x80604020
80464.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
80465
80466.xword 0x00287850
80467.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (32)
80468
80469.xword 0x00287850
80470.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (32)
80471
80472.xword 0x78285050
80473.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (32)
80474
80475.xword 0x64500000003c0000
80476.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
80477
80478.xword 0x7766000000554422
80479.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
80480
80481.xword 0x706200000054462a
80482.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
80483
80484.xword 0x784d005800423721
80485.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
80486
80487.xword 0x804d006000504020
80488.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
80489
80490.xword 0x0000006000408020
80491.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
80492
80493.xword 0
80494.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (32)
80495
80496.xword 0
80497.xword 0x00020043 | (6 << 18) !ma_ctl_Ld (33)
80498
80499.xword 0
80500.xword 0x0002016b | (6 << 18) !ma_ctl_St (33)
80501
80502.xword 0x80604020
80503.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (33)
80504
80505.xword 0x356a
80506.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (33)
80507
80508.xword 0x0000006000408020
80509.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
80510
80511.xword 0x6a35
80512.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
80513
80514.xword 0x78285050
80515.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
80516
80517.xword 0x80604020
80518.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
80519
80520.xword 0x00287850
80521.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (33)
80522
80523.xword 0x00287850
80524.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (33)
80525
80526.xword 0x78285050
80527.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (33)
80528
80529.xword 0x64500000003c0000
80530.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
80531
80532.xword 0x7766000000554422
80533.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
80534
80535.xword 0x706200000054462a
80536.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
80537
80538.xword 0x784d005800423721
80539.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
80540
80541.xword 0x804d006000504020
80542.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
80543
80544.xword 0x0000006000408020
80545.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
80546
80547.xword 0
80548.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (33)
80549
80550.xword 0
80551.xword 0x0002003c | (6 << 18) !ma_ctl_Ld (34)
80552
80553.xword 0
80554.xword 0x00020128 | (6 << 18) !ma_ctl_St (34)
80555
80556.xword 0x80604020
80557.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (34)
80558
80559.xword 0x356a
80560.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (34)
80561
80562.xword 0x0000006000408020
80563.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
80564
80565.xword 0x6a35
80566.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
80567
80568.xword 0x78285050
80569.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
80570
80571.xword 0x80604020
80572.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
80573
80574.xword 0x00287850
80575.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (34)
80576
80577.xword 0x00287850
80578.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (34)
80579
80580.xword 0x78285050
80581.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (34)
80582
80583.xword 0x64500000003c0000
80584.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
80585
80586.xword 0x7766000000554422
80587.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
80588
80589.xword 0x706200000054462a
80590.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
80591
80592.xword 0x784d005800423721
80593.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
80594
80595.xword 0x804d006000504020
80596.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
80597
80598.xword 0x0000006000408020
80599.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
80600
80601.xword 0
80602.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (34)
80603
80604.xword 0
80605.xword 0x0002006c | (6 << 18) !ma_ctl_Ld (35)
80606
80607.xword 0
80608.xword 0x00020179 | (6 << 18) !ma_ctl_St (35)
80609
80610.xword 0x80604020
80611.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (35)
80612
80613.xword 0x356a
80614.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (35)
80615
80616.xword 0x0000006000408020
80617.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
80618
80619.xword 0x6a35
80620.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
80621
80622.xword 0x78285050
80623.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
80624
80625.xword 0x80604020
80626.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
80627
80628.xword 0x00287850
80629.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (35)
80630
80631.xword 0x00287850
80632.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (35)
80633
80634.xword 0x78285050
80635.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (35)
80636
80637.xword 0x64500000003c0000
80638.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
80639
80640.xword 0x7766000000554422
80641.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
80642
80643.xword 0x706200000054462a
80644.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
80645
80646.xword 0x784d005800423721
80647.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
80648
80649.xword 0x804d006000504020
80650.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
80651
80652.xword 0x0000006000408020
80653.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
80654
80655.xword 0
80656.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (35)
80657
80658.xword 0
80659.xword 0x00020042 | (6 << 18) !ma_ctl_Ld (36)
80660
80661.xword 0
80662.xword 0x00020148 | (6 << 18) !ma_ctl_St (36)
80663
80664.xword 0x80604020
80665.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (36)
80666
80667.xword 0x356a
80668.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (36)
80669
80670.xword 0x0000006000408020
80671.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
80672
80673.xword 0x6a35
80674.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
80675
80676.xword 0x78285050
80677.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
80678
80679.xword 0x80604020
80680.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
80681
80682.xword 0x00287850
80683.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (36)
80684
80685.xword 0x00287850
80686.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (36)
80687
80688.xword 0x78285050
80689.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (36)
80690
80691.xword 0x64500000003c0000
80692.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
80693
80694.xword 0x7766000000554422
80695.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
80696
80697.xword 0x706200000054462a
80698.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
80699
80700.xword 0x784d005800423721
80701.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
80702
80703.xword 0x804d006000504020
80704.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
80705
80706.xword 0x0000006000408020
80707.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
80708
80709.xword 0
80710.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (36)
80711
80712.xword 0
80713.xword 0x00020084 | (6 << 18) !ma_ctl_Ld (37)
80714
80715.xword 0
80716.xword 0x0002016b | (6 << 18) !ma_ctl_St (37)
80717
80718.xword 0x80604020
80719.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (37)
80720
80721.xword 0x356a
80722.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (37)
80723
80724.xword 0x0000006000408020
80725.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
80726
80727.xword 0x6a35
80728.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
80729
80730.xword 0x78285050
80731.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
80732
80733.xword 0x80604020
80734.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
80735
80736.xword 0x00287850
80737.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (37)
80738
80739.xword 0x00287850
80740.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (37)
80741
80742.xword 0x78285050
80743.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (37)
80744
80745.xword 0x64500000003c0000
80746.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
80747
80748.xword 0x7766000000554422
80749.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
80750
80751.xword 0x706200000054462a
80752.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
80753
80754.xword 0x784d005800423721
80755.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
80756
80757.xword 0x804d006000504020
80758.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
80759
80760.xword 0x0000006000408020
80761.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
80762
80763.xword 0
80764.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (37)
80765
80766.xword 0
80767.xword 0x00020039 | (6 << 18) !ma_ctl_Ld (38)
80768
80769.xword 0
80770.xword 0x00020154 | (6 << 18) !ma_ctl_St (38)
80771
80772.xword 0x80604020
80773.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (38)
80774
80775.xword 0x356a
80776.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (38)
80777
80778.xword 0x0000006000408020
80779.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
80780
80781.xword 0x6a35
80782.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
80783
80784.xword 0x78285050
80785.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
80786
80787.xword 0x80604020
80788.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
80789
80790.xword 0x00287850
80791.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (38)
80792
80793.xword 0x00287850
80794.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (38)
80795
80796.xword 0x78285050
80797.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (38)
80798
80799.xword 0x64500000003c0000
80800.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
80801
80802.xword 0x7766000000554422
80803.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
80804
80805.xword 0x706200000054462a
80806.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
80807
80808.xword 0x784d005800423721
80809.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
80810
80811.xword 0x804d006000504020
80812.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
80813
80814.xword 0x0000006000408020
80815.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
80816
80817.xword 0
80818.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (38)
80819
80820.xword 0
80821.xword 0x00020087 | (6 << 18) !ma_ctl_Ld (39)
80822
80823.xword 0
80824.xword 0x00020171 | (6 << 18) !ma_ctl_St (39)
80825
80826.xword 0x80604020
80827.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (39)
80828
80829.xword 0x356a
80830.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (39)
80831
80832.xword 0x0000006000408020
80833.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
80834
80835.xword 0x6a35
80836.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
80837
80838.xword 0x78285050
80839.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
80840
80841.xword 0x80604020
80842.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
80843
80844.xword 0x00287850
80845.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (39)
80846
80847.xword 0x00287850
80848.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (39)
80849
80850.xword 0x78285050
80851.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
80852
80853.xword 0x64500000003c0000
80854.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
80855
80856.xword 0x7766000000554422
80857.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
80858
80859.xword 0x706200000054462a
80860.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
80861
80862.xword 0x784d005800423721
80863.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
80864
80865.xword 0x804d006000504020
80866.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
80867
80868.xword 0x0000006000408020
80869.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
80870
80871.xword 0
80872.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (39)
80873
80874.xword 0
80875.xword 0x00020097 | (6 << 18) !ma_ctl_Ld (40)
80876
80877.xword 0
80878.xword 0x00020162 | (6 << 18) !ma_ctl_St (40)
80879
80880.xword 0x80604020
80881.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (40)
80882
80883.xword 0x356a
80884.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (40)
80885
80886.xword 0x0000006000408020
80887.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
80888
80889.xword 0x6a35
80890.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
80891
80892.xword 0x78285050
80893.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
80894
80895.xword 0x80604020
80896.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
80897
80898.xword 0x00287850
80899.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (40)
80900
80901.xword 0x00287850
80902.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (40)
80903
80904.xword 0x78285050
80905.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (40)
80906
80907.xword 0x64500000003c0000
80908.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
80909
80910.xword 0x7766000000554422
80911.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
80912
80913.xword 0x706200000054462a
80914.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
80915
80916.xword 0x784d005800423721
80917.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
80918
80919.xword 0x804d006000504020
80920.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
80921
80922.xword 0x0000006000408020
80923.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
80924
80925.xword 0
80926.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (40)
80927
80928.xword 0
80929.xword 0x0002007f | (6 << 18) !ma_ctl_Ld (41)
80930
80931.xword 0
80932.xword 0x00020148 | (6 << 18) !ma_ctl_St (41)
80933
80934.xword 0x80604020
80935.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (41)
80936
80937.xword 0x356a
80938.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (41)
80939
80940.xword 0x0000006000408020
80941.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
80942
80943.xword 0x6a35
80944.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
80945
80946.xword 0x78285050
80947.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
80948
80949.xword 0x80604020
80950.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
80951
80952.xword 0x00287850
80953.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (41)
80954
80955.xword 0x00287850
80956.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (41)
80957
80958.xword 0x78285050
80959.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (41)
80960
80961.xword 0x64500000003c0000
80962.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
80963
80964.xword 0x7766000000554422
80965.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
80966
80967.xword 0x706200000054462a
80968.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
80969
80970.xword 0x784d005800423721
80971.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
80972
80973.xword 0x804d006000504020
80974.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
80975
80976.xword 0x0000006000408020
80977.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
80978
80979.xword 0
80980.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (41)
80981
80982.xword 0
80983.xword 0x0002006c | (6 << 18) !ma_ctl_Ld (42)
80984
80985.xword 0
80986.xword 0x0002016d | (6 << 18) !ma_ctl_St (42)
80987
80988.xword 0x80604020
80989.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (42)
80990
80991.xword 0x356a
80992.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (42)
80993
80994.xword 0x0000006000408020
80995.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
80996
80997.xword 0x6a35
80998.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
80999
81000.xword 0x78285050
81001.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
81002
81003.xword 0x80604020
81004.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
81005
81006.xword 0x00287850
81007.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (42)
81008
81009.xword 0x00287850
81010.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (42)
81011
81012.xword 0x78285050
81013.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (42)
81014
81015.xword 0x64500000003c0000
81016.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
81017
81018.xword 0x7766000000554422
81019.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
81020
81021.xword 0x706200000054462a
81022.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
81023
81024.xword 0x784d005800423721
81025.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
81026
81027.xword 0x804d006000504020
81028.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
81029
81030.xword 0x0000006000408020
81031.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
81032
81033.xword 0
81034.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (42)
81035
81036.xword 0
81037.xword 0x0002002c | (6 << 18) !ma_ctl_Ld (43)
81038
81039.xword 0
81040.xword 0x0002014d | (6 << 18) !ma_ctl_St (43)
81041
81042.xword 0x80604020
81043.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (43)
81044
81045.xword 0x356a
81046.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (43)
81047
81048.xword 0x0000006000408020
81049.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
81050
81051.xword 0x6a35
81052.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
81053
81054.xword 0x78285050
81055.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
81056
81057.xword 0x80604020
81058.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
81059
81060.xword 0x00287850
81061.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (43)
81062
81063.xword 0x00287850
81064.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (43)
81065
81066.xword 0x78285050
81067.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (43)
81068
81069.xword 0x64500000003c0000
81070.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
81071
81072.xword 0x7766000000554422
81073.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
81074
81075.xword 0x706200000054462a
81076.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
81077
81078.xword 0x784d005800423721
81079.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
81080
81081.xword 0x804d006000504020
81082.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
81083
81084.xword 0x0000006000408020
81085.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
81086
81087.xword 0
81088.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (43)
81089
81090.xword 0
81091.xword 0x0002001f | (6 << 18) !ma_ctl_Ld (44)
81092
81093.xword 0
81094.xword 0x00020172 | (6 << 18) !ma_ctl_St (44)
81095
81096.xword 0x80604020
81097.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (44)
81098
81099.xword 0x356a
81100.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (44)
81101
81102.xword 0x0000006000408020
81103.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
81104
81105.xword 0x6a35
81106.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
81107
81108.xword 0x78285050
81109.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
81110
81111.xword 0x80604020
81112.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
81113
81114.xword 0x00287850
81115.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (44)
81116
81117.xword 0x00287850
81118.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (44)
81119
81120.xword 0x78285050
81121.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (44)
81122
81123.xword 0x64500000003c0000
81124.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
81125
81126.xword 0x7766000000554422
81127.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
81128
81129.xword 0x706200000054462a
81130.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
81131
81132.xword 0x784d005800423721
81133.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
81134
81135.xword 0x804d006000504020
81136.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
81137
81138.xword 0x0000006000408020
81139.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
81140
81141.xword 0
81142.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (44)
81143
81144.xword 0
81145.xword 0x00020038 | (6 << 18) !ma_ctl_Ld (45)
81146
81147.xword 0
81148.xword 0x00020126 | (6 << 18) !ma_ctl_St (45)
81149
81150.xword 0x80604020
81151.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (45)
81152
81153.xword 0x356a
81154.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (45)
81155
81156.xword 0x0000006000408020
81157.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
81158
81159.xword 0x6a35
81160.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
81161
81162.xword 0x78285050
81163.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
81164
81165.xword 0x80604020
81166.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
81167
81168.xword 0x00287850
81169.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (45)
81170
81171.xword 0x00287850
81172.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (45)
81173
81174.xword 0x78285050
81175.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (45)
81176
81177.xword 0x64500000003c0000
81178.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
81179
81180.xword 0x7766000000554422
81181.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
81182
81183.xword 0x706200000054462a
81184.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
81185
81186.xword 0x784d005800423721
81187.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
81188
81189.xword 0x804d006000504020
81190.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
81191
81192.xword 0x0000006000408020
81193.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
81194
81195.xword 0
81196.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (45)
81197
81198.xword 0
81199.xword 0x0002005d | (6 << 18) !ma_ctl_Ld (46)
81200
81201.xword 0
81202.xword 0x00020190 | (6 << 18) !ma_ctl_St (46)
81203
81204.xword 0x80604020
81205.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (46)
81206
81207.xword 0x356a
81208.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (46)
81209
81210.xword 0x0000006000408020
81211.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
81212
81213.xword 0x6a35
81214.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
81215
81216.xword 0x78285050
81217.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
81218
81219.xword 0x80604020
81220.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
81221
81222.xword 0x00287850
81223.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (46)
81224
81225.xword 0x00287850
81226.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (46)
81227
81228.xword 0x78285050
81229.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (46)
81230
81231.xword 0x64500000003c0000
81232.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
81233
81234.xword 0x7766000000554422
81235.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
81236
81237.xword 0x706200000054462a
81238.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
81239
81240.xword 0x784d005800423721
81241.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
81242
81243.xword 0x804d006000504020
81244.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
81245
81246.xword 0x0000006000408020
81247.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
81248
81249.xword 0
81250.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (46)
81251
81252.xword 0
81253.xword 0x00020086 | (6 << 18) !ma_ctl_Ld (47)
81254
81255.xword 0
81256.xword 0x0002012d | (6 << 18) !ma_ctl_St (47)
81257
81258.xword 0x80604020
81259.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (47)
81260
81261.xword 0x356a
81262.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (47)
81263
81264.xword 0x0000006000408020
81265.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
81266
81267.xword 0x6a35
81268.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
81269
81270.xword 0x78285050
81271.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
81272
81273.xword 0x80604020
81274.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
81275
81276.xword 0x00287850
81277.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (47)
81278
81279.xword 0x00287850
81280.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (47)
81281
81282.xword 0x78285050
81283.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (47)
81284
81285.xword 0x64500000003c0000
81286.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
81287
81288.xword 0x7766000000554422
81289.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
81290
81291.xword 0x706200000054462a
81292.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
81293
81294.xword 0x784d005800423721
81295.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
81296
81297.xword 0x804d006000504020
81298.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
81299
81300.xword 0x0000006000408020
81301.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
81302
81303.xword 0
81304.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (47)
81305
81306.xword 0
81307.xword 0x00020041 | (6 << 18) !ma_ctl_Ld (48)
81308
81309.xword 0
81310.xword 0x00020154 | (6 << 18) !ma_ctl_St (48)
81311
81312.xword 0x80604020
81313.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (48)
81314
81315.xword 0x356a
81316.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (48)
81317
81318.xword 0x0000006000408020
81319.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
81320
81321.xword 0x6a35
81322.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
81323
81324.xword 0x78285050
81325.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
81326
81327.xword 0x80604020
81328.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
81329
81330.xword 0x00287850
81331.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (48)
81332
81333.xword 0x00287850
81334.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (48)
81335
81336.xword 0x78285050
81337.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (48)
81338
81339.xword 0x64500000003c0000
81340.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
81341
81342.xword 0x7766000000554422
81343.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
81344
81345.xword 0x706200000054462a
81346.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
81347
81348.xword 0x784d005800423721
81349.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
81350
81351.xword 0x804d006000504020
81352.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
81353
81354.xword 0x0000006000408020
81355.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
81356
81357.xword 0
81358.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (48)
81359
81360.xword 0
81361.xword 0x00020080 | (6 << 18) !ma_ctl_Ld (49)
81362
81363.xword 0
81364.xword 0x0002017a | (6 << 18) !ma_ctl_St (49)
81365
81366.xword 0x80604020
81367.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (49)
81368
81369.xword 0x356a
81370.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (49)
81371
81372.xword 0x0000006000408020
81373.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
81374
81375.xword 0x6a35
81376.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
81377
81378.xword 0x78285050
81379.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
81380
81381.xword 0x80604020
81382.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
81383
81384.xword 0x00287850
81385.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (49)
81386
81387.xword 0x00287850
81388.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (49)
81389
81390.xword 0x78285050
81391.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (49)
81392
81393.xword 0x64500000003c0000
81394.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
81395
81396.xword 0x7766000000554422
81397.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
81398
81399.xword 0x706200000054462a
81400.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
81401
81402.xword 0x784d005800423721
81403.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
81404
81405.xword 0x804d006000504020
81406.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
81407
81408.xword 0x0000006000408020
81409.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
81410
81411.xword 0
81412.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (49)
81413
81414.xword 0
81415.xword 0x0002002a | (6 << 18) !ma_ctl_Ld (50)
81416
81417.xword 0
81418.xword 0x00020184 | (6 << 18) !ma_ctl_St (50)
81419
81420.xword 0x80604020
81421.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (50)
81422
81423.xword 0x356a
81424.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (50)
81425
81426.xword 0x0000006000408020
81427.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
81428
81429.xword 0x6a35
81430.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
81431
81432.xword 0x78285050
81433.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
81434
81435.xword 0x80604020
81436.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
81437
81438.xword 0x00287850
81439.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (50)
81440
81441.xword 0x00287850
81442.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (50)
81443
81444.xword 0x78285050
81445.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (50)
81446
81447.xword 0x64500000003c0000
81448.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
81449
81450.xword 0x7766000000554422
81451.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
81452
81453.xword 0x706200000054462a
81454.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
81455
81456.xword 0x784d005800423721
81457.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
81458
81459.xword 0x804d006000504020
81460.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
81461
81462.xword 0x0000006000408020
81463.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
81464
81465.xword 0
81466.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (50)
81467
81468.xword 0
81469.xword 0x00020034 | (6 << 18) !ma_ctl_Ld (51)
81470
81471.xword 0
81472.xword 0x0002013e | (6 << 18) !ma_ctl_St (51)
81473
81474.xword 0x80604020
81475.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (51)
81476
81477.xword 0x356a
81478.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (51)
81479
81480.xword 0x0000006000408020
81481.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
81482
81483.xword 0x6a35
81484.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
81485
81486.xword 0x78285050
81487.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
81488
81489.xword 0x80604020
81490.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
81491
81492.xword 0x00287850
81493.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (51)
81494
81495.xword 0x00287850
81496.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (51)
81497
81498.xword 0x78285050
81499.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (51)
81500
81501.xword 0x64500000003c0000
81502.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
81503
81504.xword 0x7766000000554422
81505.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
81506
81507.xword 0x706200000054462a
81508.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
81509
81510.xword 0x784d005800423721
81511.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
81512
81513.xword 0x804d006000504020
81514.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
81515
81516.xword 0x0000006000408020
81517.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
81518
81519.xword 0
81520.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (51)
81521
81522.xword 0
81523.xword 0x00020058 | (6 << 18) !ma_ctl_Ld (52)
81524
81525.xword 0
81526.xword 0x0002018d | (6 << 18) !ma_ctl_St (52)
81527
81528.xword 0x80604020
81529.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (52)
81530
81531.xword 0x356a
81532.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (52)
81533
81534.xword 0x0000006000408020
81535.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
81536
81537.xword 0x6a35
81538.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
81539
81540.xword 0x78285050
81541.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
81542
81543.xword 0x80604020
81544.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
81545
81546.xword 0x00287850
81547.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (52)
81548
81549.xword 0x00287850
81550.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (52)
81551
81552.xword 0x78285050
81553.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (52)
81554
81555.xword 0x64500000003c0000
81556.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
81557
81558.xword 0x7766000000554422
81559.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
81560
81561.xword 0x706200000054462a
81562.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
81563
81564.xword 0x784d005800423721
81565.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
81566
81567.xword 0x804d006000504020
81568.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
81569
81570.xword 0x0000006000408020
81571.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
81572
81573.xword 0
81574.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (52)
81575
81576.xword 0
81577.xword 0x0002005a | (6 << 18) !ma_ctl_Ld (53)
81578
81579.xword 0
81580.xword 0x00020165 | (6 << 18) !ma_ctl_St (53)
81581
81582.xword 0x80604020
81583.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (53)
81584
81585.xword 0x356a
81586.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (53)
81587
81588.xword 0x0000006000408020
81589.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
81590
81591.xword 0x6a35
81592.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
81593
81594.xword 0x78285050
81595.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
81596
81597.xword 0x80604020
81598.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
81599
81600.xword 0x00287850
81601.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (53)
81602
81603.xword 0x00287850
81604.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (53)
81605
81606.xword 0x78285050
81607.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (53)
81608
81609.xword 0x64500000003c0000
81610.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
81611
81612.xword 0x7766000000554422
81613.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
81614
81615.xword 0x706200000054462a
81616.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
81617
81618.xword 0x784d005800423721
81619.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
81620
81621.xword 0x804d006000504020
81622.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
81623
81624.xword 0x0000006000408020
81625.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
81626
81627.xword 0
81628.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (53)
81629
81630.xword 0
81631.xword 0x0002009a | (6 << 18) !ma_ctl_Ld (54)
81632
81633.xword 0
81634.xword 0x00020176 | (6 << 18) !ma_ctl_St (54)
81635
81636.xword 0x80604020
81637.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (54)
81638
81639.xword 0x356a
81640.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (54)
81641
81642.xword 0x0000006000408020
81643.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
81644
81645.xword 0x6a35
81646.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
81647
81648.xword 0x78285050
81649.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
81650
81651.xword 0x80604020
81652.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
81653
81654.xword 0x00287850
81655.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (54)
81656
81657.xword 0x00287850
81658.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (54)
81659
81660.xword 0x78285050
81661.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (54)
81662
81663.xword 0x64500000003c0000
81664.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
81665
81666.xword 0x7766000000554422
81667.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
81668
81669.xword 0x706200000054462a
81670.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
81671
81672.xword 0x784d005800423721
81673.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
81674
81675.xword 0x804d006000504020
81676.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
81677
81678.xword 0x0000006000408020
81679.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
81680
81681.xword 0
81682.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (54)
81683
81684.xword 0
81685.xword 0x00020041 | (6 << 18) !ma_ctl_Ld (55)
81686
81687.xword 0
81688.xword 0x0002014a | (6 << 18) !ma_ctl_St (55)
81689
81690.xword 0x80604020
81691.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (55)
81692
81693.xword 0x356a
81694.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (55)
81695
81696.xword 0x0000006000408020
81697.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
81698
81699.xword 0x6a35
81700.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
81701
81702.xword 0x78285050
81703.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
81704
81705.xword 0x80604020
81706.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
81707
81708.xword 0x00287850
81709.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (55)
81710
81711.xword 0x00287850
81712.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (55)
81713
81714.xword 0x78285050
81715.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (55)
81716
81717.xword 0x64500000003c0000
81718.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
81719
81720.xword 0x7766000000554422
81721.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
81722
81723.xword 0x706200000054462a
81724.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
81725
81726.xword 0x784d005800423721
81727.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
81728
81729.xword 0x804d006000504020
81730.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
81731
81732.xword 0x0000006000408020
81733.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
81734
81735.xword 0
81736.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (55)
81737
81738.xword 0
81739.xword 0x00020063 | (6 << 18) !ma_ctl_Ld (56)
81740
81741.xword 0
81742.xword 0x00020134 | (6 << 18) !ma_ctl_St (56)
81743
81744.xword 0x80604020
81745.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (56)
81746
81747.xword 0x356a
81748.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (56)
81749
81750.xword 0x0000006000408020
81751.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
81752
81753.xword 0x6a35
81754.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
81755
81756.xword 0x78285050
81757.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
81758
81759.xword 0x80604020
81760.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
81761
81762.xword 0x00287850
81763.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (56)
81764
81765.xword 0x00287850
81766.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (56)
81767
81768.xword 0x78285050
81769.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (56)
81770
81771.xword 0x64500000003c0000
81772.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
81773
81774.xword 0x7766000000554422
81775.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
81776
81777.xword 0x706200000054462a
81778.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
81779
81780.xword 0x784d005800423721
81781.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
81782
81783.xword 0x804d006000504020
81784.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
81785
81786.xword 0x0000006000408020
81787.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
81788
81789.xword 0
81790.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (56)
81791
81792.xword 0
81793.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (57)
81794
81795.xword 0
81796.xword 0x00020126 | (6 << 18) !ma_ctl_St (57)
81797
81798.xword 0x80604020
81799.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (57)
81800
81801.xword 0x356a
81802.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (57)
81803
81804.xword 0x0000006000408020
81805.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
81806
81807.xword 0x6a35
81808.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
81809
81810.xword 0x78285050
81811.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
81812
81813.xword 0x80604020
81814.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
81815
81816.xword 0x00287850
81817.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (57)
81818
81819.xword 0x00287850
81820.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (57)
81821
81822.xword 0x78285050
81823.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (57)
81824
81825.xword 0x64500000003c0000
81826.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
81827
81828.xword 0x7766000000554422
81829.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
81830
81831.xword 0x706200000054462a
81832.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
81833
81834.xword 0x784d005800423721
81835.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
81836
81837.xword 0x804d006000504020
81838.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
81839
81840.xword 0x0000006000408020
81841.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
81842
81843.xword 0
81844.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (57)
81845
81846.xword 0
81847.xword 0x00020028 | (6 << 18) !ma_ctl_Ld (58)
81848
81849.xword 0
81850.xword 0x00020174 | (6 << 18) !ma_ctl_St (58)
81851
81852.xword 0x80604020
81853.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (58)
81854
81855.xword 0x356a
81856.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (58)
81857
81858.xword 0x0000006000408020
81859.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
81860
81861.xword 0x6a35
81862.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
81863
81864.xword 0x78285050
81865.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
81866
81867.xword 0x80604020
81868.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
81869
81870.xword 0x00287850
81871.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (58)
81872
81873.xword 0x00287850
81874.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (58)
81875
81876.xword 0x78285050
81877.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (58)
81878
81879.xword 0x64500000003c0000
81880.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
81881
81882.xword 0x7766000000554422
81883.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
81884
81885.xword 0x706200000054462a
81886.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
81887
81888.xword 0x784d005800423721
81889.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
81890
81891.xword 0x804d006000504020
81892.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
81893
81894.xword 0x0000006000408020
81895.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
81896
81897.xword 0
81898.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (58)
81899
81900
81901.align 8
81902.global _t2_ma_results2
81903_t2_ma_results2:
81904.xword 0xDEADBEEFDEADBEEF
81905.xword 0xDEADBEEFDEADBEEF
81906.xword 0xDEADBEEFDEADBEEF
81907.xword 0xDEADBEEFDEADBEEF
81908.xword 0xDEADBEEFDEADBEEF
81909.xword 0xDEADBEEFDEADBEEF
81910.xword 0xDEADBEEFDEADBEEF
81911.xword 0xDEADBEEFDEADBEEF
81912.xword 0xDEADBEEFDEADBEEF
81913.xword 0xDEADBEEFDEADBEEF
81914.xword 0xDEADBEEFDEADBEEF
81915.xword 0xDEADBEEFDEADBEEF
81916.xword 0xDEADBEEFDEADBEEF
81917.xword 0xDEADBEEFDEADBEEF
81918.xword 0xDEADBEEFDEADBEEF
81919.xword 0xDEADBEEFDEADBEEF
81920.xword 0xDEADBEEFDEADBEEF
81921.xword 0xDEADBEEFDEADBEEF
81922.xword 0xDEADBEEFDEADBEEF
81923.xword 0xDEADBEEFDEADBEEF
81924.xword 0xDEADBEEFDEADBEEF
81925.xword 0xDEADBEEFDEADBEEF
81926.xword 0xDEADBEEFDEADBEEF
81927.xword 0xDEADBEEFDEADBEEF
81928.xword 0xDEADBEEFDEADBEEF
81929.xword 0xDEADBEEFDEADBEEF
81930.xword 0xDEADBEEFDEADBEEF
81931.xword 0xDEADBEEFDEADBEEF
81932.xword 0xDEADBEEFDEADBEEF
81933.xword 0xDEADBEEFDEADBEEF
81934.xword 0xDEADBEEFDEADBEEF
81935.xword 0xDEADBEEFDEADBEEF
81936.xword 0xDEADBEEFDEADBEEF
81937.xword 0xDEADBEEFDEADBEEF
81938.xword 0xDEADBEEFDEADBEEF
81939.xword 0xDEADBEEFDEADBEEF
81940.xword 0xDEADBEEFDEADBEEF
81941.xword 0xDEADBEEFDEADBEEF
81942.xword 0xDEADBEEFDEADBEEF
81943.xword 0xDEADBEEFDEADBEEF
81944.xword 0xDEADBEEFDEADBEEF
81945.xword 0xDEADBEEFDEADBEEF
81946.xword 0xDEADBEEFDEADBEEF
81947.xword 0xDEADBEEFDEADBEEF
81948.xword 0xDEADBEEFDEADBEEF
81949.xword 0xDEADBEEFDEADBEEF
81950.xword 0xDEADBEEFDEADBEEF
81951.xword 0xDEADBEEFDEADBEEF
81952.xword 0xDEADBEEFDEADBEEF
81953.xword 0xDEADBEEFDEADBEEF
81954.xword 0xDEADBEEFDEADBEEF
81955.xword 0xDEADBEEFDEADBEEF
81956.xword 0xDEADBEEFDEADBEEF
81957.xword 0xDEADBEEFDEADBEEF
81958.xword 0xDEADBEEFDEADBEEF
81959.xword 0xDEADBEEFDEADBEEF
81960.xword 0xDEADBEEFDEADBEEF
81961.xword 0xDEADBEEFDEADBEEF
81962.xword 0xDEADBEEFDEADBEEF
81963.xword 0xDEADBEEFDEADBEEF
81964.xword 0xDEADBEEFDEADBEEF
81965.xword 0xDEADBEEFDEADBEEF
81966.xword 0xDEADBEEFDEADBEEF
81967.xword 0xDEADBEEFDEADBEEF
81968.xword 0xDEADBEEFDEADBEEF
81969.xword 0xDEADBEEFDEADBEEF
81970.xword 0xDEADBEEFDEADBEEF
81971.xword 0xDEADBEEFDEADBEEF
81972.xword 0xDEADBEEFDEADBEEF
81973.xword 0xDEADBEEFDEADBEEF
81974.xword 0xDEADBEEFDEADBEEF
81975.xword 0xDEADBEEFDEADBEEF
81976.xword 0xDEADBEEFDEADBEEF
81977.xword 0xDEADBEEFDEADBEEF
81978.xword 0xDEADBEEFDEADBEEF
81979.xword 0xDEADBEEFDEADBEEF
81980.xword 0xDEADBEEFDEADBEEF
81981.xword 0xDEADBEEFDEADBEEF
81982.xword 0xDEADBEEFDEADBEEF
81983.xword 0xDEADBEEFDEADBEEF
81984.xword 0xDEADBEEFDEADBEEF
81985.xword 0xDEADBEEFDEADBEEF
81986.xword 0xDEADBEEFDEADBEEF
81987.xword 0xDEADBEEFDEADBEEF
81988.xword 0xDEADBEEFDEADBEEF
81989.xword 0xDEADBEEFDEADBEEF
81990.xword 0xDEADBEEFDEADBEEF
81991.xword 0xDEADBEEFDEADBEEF
81992.xword 0xDEADBEEFDEADBEEF
81993.xword 0xDEADBEEFDEADBEEF
81994.xword 0xDEADBEEFDEADBEEF
81995.xword 0xDEADBEEFDEADBEEF
81996.xword 0xDEADBEEFDEADBEEF
81997.xword 0xDEADBEEFDEADBEEF
81998.xword 0xDEADBEEFDEADBEEF
81999.xword 0xDEADBEEFDEADBEEF
82000.xword 0xDEADBEEFDEADBEEF
82001.xword 0xDEADBEEFDEADBEEF
82002.xword 0xDEADBEEFDEADBEEF
82003.xword 0xDEADBEEFDEADBEEF
82004.xword 0xDEADBEEFDEADBEEF
82005.xword 0xDEADBEEFDEADBEEF
82006.xword 0xDEADBEEFDEADBEEF
82007.xword 0xDEADBEEFDEADBEEF
82008.xword 0xDEADBEEFDEADBEEF
82009.xword 0xDEADBEEFDEADBEEF
82010.xword 0xDEADBEEFDEADBEEF
82011.xword 0xDEADBEEFDEADBEEF
82012.xword 0xDEADBEEFDEADBEEF
82013.xword 0xDEADBEEFDEADBEEF
82014.xword 0xDEADBEEFDEADBEEF
82015.xword 0xDEADBEEFDEADBEEF
82016.xword 0xDEADBEEFDEADBEEF
82017.xword 0xDEADBEEFDEADBEEF
82018.xword 0xDEADBEEFDEADBEEF
82019.xword 0xDEADBEEFDEADBEEF
82020.xword 0xDEADBEEFDEADBEEF
82021.xword 0xDEADBEEFDEADBEEF
82022.xword 0xDEADBEEFDEADBEEF
82023.xword 0xDEADBEEFDEADBEEF
82024.xword 0xDEADBEEFDEADBEEF
82025.xword 0xDEADBEEFDEADBEEF
82026.xword 0xDEADBEEFDEADBEEF
82027.xword 0xDEADBEEFDEADBEEF
82028.xword 0xDEADBEEFDEADBEEF
82029.xword 0xDEADBEEFDEADBEEF
82030.xword 0xDEADBEEFDEADBEEF
82031.xword 0xDEADBEEFDEADBEEF
82032.xword 0xDEADBEEFDEADBEEF
82033.xword 0xDEADBEEFDEADBEEF
82034.xword 0xDEADBEEFDEADBEEF
82035.xword 0xDEADBEEFDEADBEEF
82036.xword 0xDEADBEEFDEADBEEF
82037.xword 0xDEADBEEFDEADBEEF
82038.xword 0xDEADBEEFDEADBEEF
82039.xword 0xDEADBEEFDEADBEEF
82040.xword 0xDEADBEEFDEADBEEF
82041.xword 0xDEADBEEFDEADBEEF
82042.xword 0xDEADBEEFDEADBEEF
82043.xword 0xDEADBEEFDEADBEEF
82044.xword 0xDEADBEEFDEADBEEF
82045.xword 0xDEADBEEFDEADBEEF
82046.xword 0xDEADBEEFDEADBEEF
82047.xword 0xDEADBEEFDEADBEEF
82048.xword 0xDEADBEEFDEADBEEF
82049.xword 0xDEADBEEFDEADBEEF
82050.xword 0xDEADBEEFDEADBEEF
82051.xword 0xDEADBEEFDEADBEEF
82052.xword 0xDEADBEEFDEADBEEF
82053.xword 0xDEADBEEFDEADBEEF
82054.xword 0xDEADBEEFDEADBEEF
82055.xword 0xDEADBEEFDEADBEEF
82056.xword 0xDEADBEEFDEADBEEF
82057.xword 0xDEADBEEFDEADBEEF
82058.xword 0xDEADBEEFDEADBEEF
82059.xword 0xDEADBEEFDEADBEEF
82060.xword 0xDEADBEEFDEADBEEF
82061.xword 0xDEADBEEFDEADBEEF
82062.xword 0xDEADBEEFDEADBEEF
82063.xword 0xDEADBEEFDEADBEEF
82064.xword 0xDEADBEEFDEADBEEF
82065.xword 0xDEADBEEFDEADBEEF
82066.xword 0xDEADBEEFDEADBEEF
82067.xword 0xDEADBEEFDEADBEEF
82068SECTION ._t2_T_MAX_DATA3 DATA_VA=271581184
82069attr_data {
82070 Name = ._t2_T_MAX_DATA3
82071 hypervisor
82072}
82073
82074.data
82075_t2_user_data_start:
82076_t2_scratch_area:
82077
82078.align 8
82079.global _t2_ma_operands3
82080_t2_ma_operands3:
82081.xword 0xae7c3781471f9202
82082.xword 0x59f253e119223a2e
82083.xword 0xd1ad928cb2b9ee53
82084.xword 0xd77a8d07269d1344
82085.xword 0xa0801f451f7cd02e
82086.xword 0xfac8f8ed1053aff9
82087.xword 0x5035253192f27504
82088.xword 0xcb4295832c0846bf
82089.xword 0xabf239c6d3b39831
82090.xword 0xcce494d02210ea14
82091.xword 0x104ad6f08322586b
82092.xword 0x7a706bb4121bb8d1
82093.xword 0x3f698a3e599fb404
82094.xword 0xce31e3fda4b9ce60
82095.xword 0xde8717a1e20b44e8
82096.xword 0x06efc126ccc9cbda
82097.xword 0xbcccfa8be0aa0f68
82098.xword 0xb21ef0deb59536fe
82099.xword 0x08ef906c351bfe23
82100.xword 0x9a3f77a3d02d6749
82101.xword 0xe0049caa38b3496d
82102.xword 0xe43b2349471d5795
82103.xword 0x18d5b5c0a15f1b7a
82104.xword 0xc780675407c8b135
82105.xword 0xfad945f9856a49cb
82106.xword 0xdb2390995f1a833e
82107.xword 0x71e449d1aacb79e0
82108.xword 0xa42a6833a7ec1bac
82109.xword 0x42a00fc0f49a7a05
82110.xword 0x5087cc60af458fab
82111.xword 0x2baad703856cf2b4
82112.xword 0x60ec5d2e90be4fc3
82113.xword 0x860b31d08a9c1c3a
82114.xword 0xb41b4dc250681eda
82115.xword 0xfd7a938b83b1f2f3
82116.xword 0x39484719a7c8574c
82117.xword 0x0c642a4ff5a94607
82118.xword 0x2226e67753a60741
82119.xword 0x4b8ddba9039e843a
82120.xword 0x0ba1a4a700abd4ae
82121.xword 0xee4b75c45a94faa2
82122.xword 0x4c079447ca5c0c10
82123.xword 0x272f874c78bb6474
82124.xword 0xaeb7075b0bb8e4c6
82125.xword 0x48d57a7342498f32
82126.xword 0xf1bf40fa36322ea1
82127.xword 0xd8fadde78f6380a0
82128.xword 0x8001dc110d62b29e
82129.xword 0x3658ccee1704b654
82130.xword 0x1224ead50d872f2d
82131.xword 0xd4f269c205ddf67e
82132.xword 0x0ab9fa3f60c66141
82133.xword 0x4c05320aed1f4f74
82134.xword 0x62505f7a040612d9
82135.xword 0xa8e792e948fdb346
82136.xword 0x007a3d9d76518add
82137.xword 0xbd723c60c8934b09
82138.xword 0x580f4c77a22f0a89
82139.xword 0x0d37d243669d0ad0
82140.xword 0xcd14fd4341d5193a
82141.xword 0x6cd31bbc93b34895
82142.xword 0x53c5ce3aa37c685b
82143.xword 0xb81615f8104cb34a
82144.xword 0x5271a571ab7fd46a
82145.xword 0x9e7e4322c3f1fbfd
82146.xword 0xaefc2d8491ebcca1
82147.xword 0x2e487eb1e173a472
82148.xword 0x95795e2890f2428e
82149.xword 0x5bda577b6fea9344
82150.xword 0xa5b640e0cf507d0a
82151.xword 0xefb5dd63e1fa34da
82152.xword 0x341d15e3d5218a25
82153.xword 0xe3fc948bb7373474
82154.xword 0x5d86180d65d7d4c6
82155.xword 0x046e4f43034ceedd
82156.xword 0x5c9581224a38c959
82157.xword 0x4e4c5f0bc80a9f42
82158.xword 0x8d1e91d70d234a14
82159.xword 0x8cf0e00fa2cbec7e
82160.xword 0x3fa1a9d80a396a0a
82161.xword 0x77f0170db2515ca4
82162.xword 0x66ed47b58c4844eb
82163.xword 0xeb9ceccb71c4d2c1
82164.xword 0xd472775adcabb934
82165.xword 0x52b8d4a299a46ecd
82166.xword 0x0a93d05ddf988951
82167.xword 0x764538dce486a8f8
82168.xword 0x644ac942f103d169
82169.xword 0x2cca508ed41122c9
82170.xword 0x9dd9740a70b55dc6
82171.xword 0x283ef8941b96d9aa
82172.xword 0x448f9b431eba83ee
82173.xword 0x2f0464fd769268b4
82174.xword 0xd4a583d7f22139b9
82175.xword 0x66dacb2ef283d2fa
82176.xword 0x05030d7601d7d9f4
82177.xword 0xa4ecbd4bb9c69650
82178.xword 0x1c20453060097dcd
82179.xword 0x6a77593c193bab07
82180.xword 0x13ba1b187c0c79c6
82181.xword 0x1dd3393b7d6c1fda
82182.xword 0x54056cb69b1ea028
82183.xword 0x993e65083d36f661
82184.xword 0x61874cbbc74500a6
82185.xword 0x49b23f8073117d13
82186.xword 0xee626ff530fac642
82187.xword 0x140631f605d84663
82188.xword 0x2e52dd3c7fb7e6e1
82189.xword 0x13912eb9363429b4
82190.xword 0x4b97eef7e71d2985
82191.xword 0x1195a35ad5486774
82192.xword 0xf73296f9bf6acdd0
82193.xword 0x83d1858f7edf2d93
82194.xword 0x33db222af1ac9d5c
82195.xword 0xe3fb19549c8145c2
82196.xword 0xfcd92de726988e0d
82197.xword 0x547a24cf2dc2ce45
82198.xword 0x42bc5ce802ec70b2
82199.xword 0xbdbd4aec51f877ee
82200.xword 0xdd04e6765bac2fe6
82201.xword 0x4f8c919b151716ad
82202.xword 0xf8f7bc1042b978d4
82203.xword 0x24c37babaf4b6405
82204.xword 0xf5253910ea651768
82205.xword 0x4beafe0e3e420dd8
82206.xword 0x67a59532fe241e68
82207.xword 0x7469b99affbad86f
82208.xword 0xde9732253e348159
82209.xword 0x190919d6e1e196e3
82210.xword 0x9a2cc8b0d4a5c88b
82211.xword 0xb59d2837933ccf53
82212.xword 0x116e9420bd2e8e80
82213.xword 0xb96eb84efd84d6fd
82214.xword 0x1f6f6457bcf5013b
82215.xword 0x74497a7fb3b9bce9
82216.xword 0xc42a04c39e91390a
82217.xword 0x48ea879705e5ba4b
82218.xword 0xcb9e453f436a95f1
82219.xword 0xa36107d6a48f6991
82220.xword 0xcc37e6ad45c87d46
82221.xword 0x17c03e8d51e24d13
82222.xword 0xf8caeb4e2d14892e
82223.xword 0x235c5ab591310838
82224.xword 0x27cdad9bebd9d19e
82225.xword 0xaa1616719f73131c
82226.xword 0x01fca14588e124db
82227.xword 0x0e07ba80e7b2cbac
82228.xword 0x3957742beeca5ca3
82229.xword 0x5513667fc744bc6b
82230.xword 0x4686b6440b166edc
82231.xword 0x90253b616fbce923
82232.xword 0x73d2b1b583d017cd
82233.xword 0xadea4cf77fd80d0b
82234.xword 0xea5b294494152095
82235.xword 0x383aa5c2d45a9a64
82236.xword 0xc36adc6273024d7b
82237.xword 0x2f0777fa27dc329e
82238.xword 0x4740fc82cacd6645
82239.xword 0x5135c7794d7dc7c1
82240.xword 0x1aa50f83326c850f
82241.xword 0xacdfab210bb65037
82242.xword 0x3a7a8c0375b3002d
82243.xword 0x584b578562688679
82244.xword 0x9aac87493d469788
82245
82246.align 8
82247.global _t2_ma_operations3
82248_t2_ma_operations3:
82249.xword 0
82250.xword 0x00020066 | (6 << 18) !ma_ctl_Ld (0)
82251
82252.xword 0
82253.xword 0x00020183 | (6 << 18) !ma_ctl_St (0)
82254
82255.xword 0x80604020
82256.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (0)
82257
82258.xword 0x356a
82259.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (0)
82260
82261.xword 0x0000006000408020
82262.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
82263
82264.xword 0x6a35
82265.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
82266
82267.xword 0x78285050
82268.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
82269
82270.xword 0x80604020
82271.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
82272
82273.xword 0x00287850
82274.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (0)
82275
82276.xword 0x00287850
82277.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (0)
82278
82279.xword 0x78285050
82280.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (0)
82281
82282.xword 0x64500000003c0000
82283.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
82284
82285.xword 0x7766000000554422
82286.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
82287
82288.xword 0x706200000054462a
82289.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
82290
82291.xword 0x784d005800423721
82292.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
82293
82294.xword 0x804d006000504020
82295.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
82296
82297.xword 0x0000006000408020
82298.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
82299
82300.xword 0
82301.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (0)
82302
82303.xword 0
82304.xword 0x00020060 | (6 << 18) !ma_ctl_Ld (1)
82305
82306.xword 0
82307.xword 0x00020186 | (6 << 18) !ma_ctl_St (1)
82308
82309.xword 0x80604020
82310.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (1)
82311
82312.xword 0x356a
82313.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (1)
82314
82315.xword 0x0000006000408020
82316.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
82317
82318.xword 0x6a35
82319.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
82320
82321.xword 0x78285050
82322.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
82323
82324.xword 0x80604020
82325.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
82326
82327.xword 0x00287850
82328.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
82329
82330.xword 0x00287850
82331.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
82332
82333.xword 0x78285050
82334.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (1)
82335
82336.xword 0x64500000003c0000
82337.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
82338
82339.xword 0x7766000000554422
82340.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
82341
82342.xword 0x706200000054462a
82343.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
82344
82345.xword 0x784d005800423721
82346.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
82347
82348.xword 0x804d006000504020
82349.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
82350
82351.xword 0x0000006000408020
82352.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
82353
82354.xword 0
82355.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (1)
82356
82357.xword 0
82358.xword 0x00020053 | (6 << 18) !ma_ctl_Ld (2)
82359
82360.xword 0
82361.xword 0x0002017e | (6 << 18) !ma_ctl_St (2)
82362
82363.xword 0x80604020
82364.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (2)
82365
82366.xword 0x356a
82367.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (2)
82368
82369.xword 0x0000006000408020
82370.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
82371
82372.xword 0x6a35
82373.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
82374
82375.xword 0x78285050
82376.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
82377
82378.xword 0x80604020
82379.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
82380
82381.xword 0x00287850
82382.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (2)
82383
82384.xword 0x00287850
82385.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (2)
82386
82387.xword 0x78285050
82388.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (2)
82389
82390.xword 0x64500000003c0000
82391.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
82392
82393.xword 0x7766000000554422
82394.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
82395
82396.xword 0x706200000054462a
82397.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
82398
82399.xword 0x784d005800423721
82400.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
82401
82402.xword 0x804d006000504020
82403.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
82404
82405.xword 0x0000006000408020
82406.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
82407
82408.xword 0
82409.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (2)
82410
82411.xword 0
82412.xword 0x00020073 | (6 << 18) !ma_ctl_Ld (3)
82413
82414.xword 0
82415.xword 0x00020185 | (6 << 18) !ma_ctl_St (3)
82416
82417.xword 0x80604020
82418.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (3)
82419
82420.xword 0x356a
82421.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (3)
82422
82423.xword 0x0000006000408020
82424.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
82425
82426.xword 0x6a35
82427.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
82428
82429.xword 0x78285050
82430.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
82431
82432.xword 0x80604020
82433.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
82434
82435.xword 0x00287850
82436.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (3)
82437
82438.xword 0x00287850
82439.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (3)
82440
82441.xword 0x78285050
82442.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (3)
82443
82444.xword 0x64500000003c0000
82445.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
82446
82447.xword 0x7766000000554422
82448.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
82449
82450.xword 0x706200000054462a
82451.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
82452
82453.xword 0x784d005800423721
82454.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
82455
82456.xword 0x804d006000504020
82457.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
82458
82459.xword 0x0000006000408020
82460.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
82461
82462.xword 0
82463.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (3)
82464
82465.xword 0
82466.xword 0x0002003b | (6 << 18) !ma_ctl_Ld (4)
82467
82468.xword 0
82469.xword 0x00020158 | (6 << 18) !ma_ctl_St (4)
82470
82471.xword 0x80604020
82472.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (4)
82473
82474.xword 0x356a
82475.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (4)
82476
82477.xword 0x0000006000408020
82478.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
82479
82480.xword 0x6a35
82481.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
82482
82483.xword 0x78285050
82484.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
82485
82486.xword 0x80604020
82487.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
82488
82489.xword 0x00287850
82490.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (4)
82491
82492.xword 0x00287850
82493.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (4)
82494
82495.xword 0x78285050
82496.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (4)
82497
82498.xword 0x64500000003c0000
82499.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
82500
82501.xword 0x7766000000554422
82502.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
82503
82504.xword 0x706200000054462a
82505.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
82506
82507.xword 0x784d005800423721
82508.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
82509
82510.xword 0x804d006000504020
82511.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
82512
82513.xword 0x0000006000408020
82514.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
82515
82516.xword 0
82517.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (4)
82518
82519.xword 0
82520.xword 0x00020079 | (6 << 18) !ma_ctl_Ld (5)
82521
82522.xword 0
82523.xword 0x00020178 | (6 << 18) !ma_ctl_St (5)
82524
82525.xword 0x80604020
82526.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (5)
82527
82528.xword 0x356a
82529.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (5)
82530
82531.xword 0x0000006000408020
82532.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
82533
82534.xword 0x6a35
82535.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
82536
82537.xword 0x78285050
82538.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
82539
82540.xword 0x80604020
82541.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
82542
82543.xword 0x00287850
82544.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (5)
82545
82546.xword 0x00287850
82547.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (5)
82548
82549.xword 0x78285050
82550.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
82551
82552.xword 0x64500000003c0000
82553.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
82554
82555.xword 0x7766000000554422
82556.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
82557
82558.xword 0x706200000054462a
82559.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
82560
82561.xword 0x784d005800423721
82562.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
82563
82564.xword 0x804d006000504020
82565.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
82566
82567.xword 0x0000006000408020
82568.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
82569
82570.xword 0
82571.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (5)
82572
82573.xword 0
82574.xword 0x00020077 | (6 << 18) !ma_ctl_Ld (6)
82575
82576.xword 0
82577.xword 0x00020179 | (6 << 18) !ma_ctl_St (6)
82578
82579.xword 0x80604020
82580.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (6)
82581
82582.xword 0x356a
82583.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (6)
82584
82585.xword 0x0000006000408020
82586.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
82587
82588.xword 0x6a35
82589.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
82590
82591.xword 0x78285050
82592.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
82593
82594.xword 0x80604020
82595.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
82596
82597.xword 0x00287850
82598.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (6)
82599
82600.xword 0x00287850
82601.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (6)
82602
82603.xword 0x78285050
82604.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (6)
82605
82606.xword 0x64500000003c0000
82607.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
82608
82609.xword 0x7766000000554422
82610.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
82611
82612.xword 0x706200000054462a
82613.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
82614
82615.xword 0x784d005800423721
82616.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
82617
82618.xword 0x804d006000504020
82619.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
82620
82621.xword 0x0000006000408020
82622.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
82623
82624.xword 0
82625.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (6)
82626
82627.xword 0
82628.xword 0x0002005f | (6 << 18) !ma_ctl_Ld (7)
82629
82630.xword 0
82631.xword 0x00020152 | (6 << 18) !ma_ctl_St (7)
82632
82633.xword 0x80604020
82634.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (7)
82635
82636.xword 0x356a
82637.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (7)
82638
82639.xword 0x0000006000408020
82640.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
82641
82642.xword 0x6a35
82643.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
82644
82645.xword 0x78285050
82646.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
82647
82648.xword 0x80604020
82649.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
82650
82651.xword 0x00287850
82652.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (7)
82653
82654.xword 0x00287850
82655.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (7)
82656
82657.xword 0x78285050
82658.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (7)
82659
82660.xword 0x64500000003c0000
82661.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
82662
82663.xword 0x7766000000554422
82664.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
82665
82666.xword 0x706200000054462a
82667.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
82668
82669.xword 0x784d005800423721
82670.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
82671
82672.xword 0x804d006000504020
82673.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
82674
82675.xword 0x0000006000408020
82676.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
82677
82678.xword 0
82679.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (7)
82680
82681.xword 0
82682.xword 0x00020050 | (6 << 18) !ma_ctl_Ld (8)
82683
82684.xword 0
82685.xword 0x00020162 | (6 << 18) !ma_ctl_St (8)
82686
82687.xword 0x80604020
82688.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (8)
82689
82690.xword 0x356a
82691.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (8)
82692
82693.xword 0x0000006000408020
82694.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
82695
82696.xword 0x6a35
82697.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
82698
82699.xword 0x78285050
82700.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
82701
82702.xword 0x80604020
82703.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
82704
82705.xword 0x00287850
82706.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (8)
82707
82708.xword 0x00287850
82709.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
82710
82711.xword 0x78285050
82712.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (8)
82713
82714.xword 0x64500000003c0000
82715.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
82716
82717.xword 0x7766000000554422
82718.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
82719
82720.xword 0x706200000054462a
82721.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
82722
82723.xword 0x784d005800423721
82724.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
82725
82726.xword 0x804d006000504020
82727.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
82728
82729.xword 0x0000006000408020
82730.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
82731
82732.xword 0
82733.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (8)
82734
82735.xword 0
82736.xword 0x0002007f | (6 << 18) !ma_ctl_Ld (9)
82737
82738.xword 0
82739.xword 0x00020152 | (6 << 18) !ma_ctl_St (9)
82740
82741.xword 0x80604020
82742.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (9)
82743
82744.xword 0x356a
82745.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
82746
82747.xword 0x0000006000408020
82748.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
82749
82750.xword 0x6a35
82751.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
82752
82753.xword 0x78285050
82754.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
82755
82756.xword 0x80604020
82757.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
82758
82759.xword 0x00287850
82760.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (9)
82761
82762.xword 0x00287850
82763.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (9)
82764
82765.xword 0x78285050
82766.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (9)
82767
82768.xword 0x64500000003c0000
82769.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
82770
82771.xword 0x7766000000554422
82772.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
82773
82774.xword 0x706200000054462a
82775.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
82776
82777.xword 0x784d005800423721
82778.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
82779
82780.xword 0x804d006000504020
82781.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
82782
82783.xword 0x0000006000408020
82784.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
82785
82786.xword 0
82787.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (9)
82788
82789.xword 0
82790.xword 0x00020031 | (6 << 18) !ma_ctl_Ld (10)
82791
82792.xword 0
82793.xword 0x0002017f | (6 << 18) !ma_ctl_St (10)
82794
82795.xword 0x80604020
82796.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (10)
82797
82798.xword 0x356a
82799.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (10)
82800
82801.xword 0x0000006000408020
82802.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
82803
82804.xword 0x6a35
82805.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
82806
82807.xword 0x78285050
82808.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
82809
82810.xword 0x80604020
82811.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
82812
82813.xword 0x00287850
82814.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (10)
82815
82816.xword 0x00287850
82817.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (10)
82818
82819.xword 0x78285050
82820.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
82821
82822.xword 0x64500000003c0000
82823.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
82824
82825.xword 0x7766000000554422
82826.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
82827
82828.xword 0x706200000054462a
82829.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
82830
82831.xword 0x784d005800423721
82832.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
82833
82834.xword 0x804d006000504020
82835.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
82836
82837.xword 0x0000006000408020
82838.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
82839
82840.xword 0
82841.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (10)
82842
82843.xword 0
82844.xword 0x00020043 | (6 << 18) !ma_ctl_Ld (11)
82845
82846.xword 0
82847.xword 0x0002012b | (6 << 18) !ma_ctl_St (11)
82848
82849.xword 0x80604020
82850.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (11)
82851
82852.xword 0x356a
82853.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (11)
82854
82855.xword 0x0000006000408020
82856.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
82857
82858.xword 0x6a35
82859.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
82860
82861.xword 0x78285050
82862.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
82863
82864.xword 0x80604020
82865.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
82866
82867.xword 0x00287850
82868.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (11)
82869
82870.xword 0x00287850
82871.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (11)
82872
82873.xword 0x78285050
82874.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (11)
82875
82876.xword 0x64500000003c0000
82877.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
82878
82879.xword 0x7766000000554422
82880.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
82881
82882.xword 0x706200000054462a
82883.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
82884
82885.xword 0x784d005800423721
82886.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
82887
82888.xword 0x804d006000504020
82889.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
82890
82891.xword 0x0000006000408020
82892.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
82893
82894.xword 0
82895.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (11)
82896
82897.xword 0
82898.xword 0x00020033 | (6 << 18) !ma_ctl_Ld (12)
82899
82900.xword 0
82901.xword 0x00020140 | (6 << 18) !ma_ctl_St (12)
82902
82903.xword 0x80604020
82904.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (12)
82905
82906.xword 0x356a
82907.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (12)
82908
82909.xword 0x0000006000408020
82910.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
82911
82912.xword 0x6a35
82913.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
82914
82915.xword 0x78285050
82916.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
82917
82918.xword 0x80604020
82919.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
82920
82921.xword 0x00287850
82922.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (12)
82923
82924.xword 0x00287850
82925.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (12)
82926
82927.xword 0x78285050
82928.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (12)
82929
82930.xword 0x64500000003c0000
82931.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
82932
82933.xword 0x7766000000554422
82934.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
82935
82936.xword 0x706200000054462a
82937.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
82938
82939.xword 0x784d005800423721
82940.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
82941
82942.xword 0x804d006000504020
82943.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
82944
82945.xword 0x0000006000408020
82946.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
82947
82948.xword 0
82949.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (12)
82950
82951.xword 0
82952.xword 0x0002005a | (6 << 18) !ma_ctl_Ld (13)
82953
82954.xword 0
82955.xword 0x00020156 | (6 << 18) !ma_ctl_St (13)
82956
82957.xword 0x80604020
82958.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (13)
82959
82960.xword 0x356a
82961.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (13)
82962
82963.xword 0x0000006000408020
82964.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
82965
82966.xword 0x6a35
82967.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
82968
82969.xword 0x78285050
82970.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
82971
82972.xword 0x80604020
82973.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
82974
82975.xword 0x00287850
82976.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (13)
82977
82978.xword 0x00287850
82979.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (13)
82980
82981.xword 0x78285050
82982.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (13)
82983
82984.xword 0x64500000003c0000
82985.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
82986
82987.xword 0x7766000000554422
82988.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
82989
82990.xword 0x706200000054462a
82991.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
82992
82993.xword 0x784d005800423721
82994.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
82995
82996.xword 0x804d006000504020
82997.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
82998
82999.xword 0x0000006000408020
83000.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
83001
83002.xword 0
83003.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (13)
83004
83005.xword 0
83006.xword 0x00020054 | (6 << 18) !ma_ctl_Ld (14)
83007
83008.xword 0
83009.xword 0x00020189 | (6 << 18) !ma_ctl_St (14)
83010
83011.xword 0x80604020
83012.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (14)
83013
83014.xword 0x356a
83015.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (14)
83016
83017.xword 0x0000006000408020
83018.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
83019
83020.xword 0x6a35
83021.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
83022
83023.xword 0x78285050
83024.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
83025
83026.xword 0x80604020
83027.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
83028
83029.xword 0x00287850
83030.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (14)
83031
83032.xword 0x00287850
83033.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (14)
83034
83035.xword 0x78285050
83036.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (14)
83037
83038.xword 0x64500000003c0000
83039.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
83040
83041.xword 0x7766000000554422
83042.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
83043
83044.xword 0x706200000054462a
83045.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
83046
83047.xword 0x784d005800423721
83048.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
83049
83050.xword 0x804d006000504020
83051.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
83052
83053.xword 0x0000006000408020
83054.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
83055
83056.xword 0
83057.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (14)
83058
83059.xword 0
83060.xword 0x00020028 | (6 << 18) !ma_ctl_Ld (15)
83061
83062.xword 0
83063.xword 0x0002017b | (6 << 18) !ma_ctl_St (15)
83064
83065.xword 0x80604020
83066.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (15)
83067
83068.xword 0x356a
83069.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (15)
83070
83071.xword 0x0000006000408020
83072.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
83073
83074.xword 0x6a35
83075.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
83076
83077.xword 0x78285050
83078.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
83079
83080.xword 0x80604020
83081.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
83082
83083.xword 0x00287850
83084.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (15)
83085
83086.xword 0x00287850
83087.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (15)
83088
83089.xword 0x78285050
83090.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (15)
83091
83092.xword 0x64500000003c0000
83093.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
83094
83095.xword 0x7766000000554422
83096.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
83097
83098.xword 0x706200000054462a
83099.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
83100
83101.xword 0x784d005800423721
83102.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
83103
83104.xword 0x804d006000504020
83105.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
83106
83107.xword 0x0000006000408020
83108.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
83109
83110.xword 0
83111.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (15)
83112
83113.xword 0
83114.xword 0x00020028 | (6 << 18) !ma_ctl_Ld (16)
83115
83116.xword 0
83117.xword 0x00020163 | (6 << 18) !ma_ctl_St (16)
83118
83119.xword 0x80604020
83120.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (16)
83121
83122.xword 0x356a
83123.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (16)
83124
83125.xword 0x0000006000408020
83126.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
83127
83128.xword 0x6a35
83129.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
83130
83131.xword 0x78285050
83132.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
83133
83134.xword 0x80604020
83135.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
83136
83137.xword 0x00287850
83138.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (16)
83139
83140.xword 0x00287850
83141.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (16)
83142
83143.xword 0x78285050
83144.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (16)
83145
83146.xword 0x64500000003c0000
83147.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
83148
83149.xword 0x7766000000554422
83150.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
83151
83152.xword 0x706200000054462a
83153.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
83154
83155.xword 0x784d005800423721
83156.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
83157
83158.xword 0x804d006000504020
83159.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
83160
83161.xword 0x0000006000408020
83162.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
83163
83164.xword 0
83165.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (16)
83166
83167.xword 0
83168.xword 0x0002009f | (6 << 18) !ma_ctl_Ld (17)
83169
83170.xword 0
83171.xword 0x0002013b | (6 << 18) !ma_ctl_St (17)
83172
83173.xword 0x80604020
83174.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (17)
83175
83176.xword 0x356a
83177.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (17)
83178
83179.xword 0x0000006000408020
83180.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
83181
83182.xword 0x6a35
83183.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
83184
83185.xword 0x78285050
83186.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
83187
83188.xword 0x80604020
83189.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
83190
83191.xword 0x00287850
83192.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (17)
83193
83194.xword 0x00287850
83195.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (17)
83196
83197.xword 0x78285050
83198.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (17)
83199
83200.xword 0x64500000003c0000
83201.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
83202
83203.xword 0x7766000000554422
83204.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
83205
83206.xword 0x706200000054462a
83207.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
83208
83209.xword 0x784d005800423721
83210.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
83211
83212.xword 0x804d006000504020
83213.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
83214
83215.xword 0x0000006000408020
83216.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
83217
83218.xword 0
83219.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (17)
83220
83221.xword 0
83222.xword 0x0002006f | (6 << 18) !ma_ctl_Ld (18)
83223
83224.xword 0
83225.xword 0x0002018e | (6 << 18) !ma_ctl_St (18)
83226
83227.xword 0x80604020
83228.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (18)
83229
83230.xword 0x356a
83231.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (18)
83232
83233.xword 0x0000006000408020
83234.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
83235
83236.xword 0x6a35
83237.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
83238
83239.xword 0x78285050
83240.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
83241
83242.xword 0x80604020
83243.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
83244
83245.xword 0x00287850
83246.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (18)
83247
83248.xword 0x00287850
83249.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (18)
83250
83251.xword 0x78285050
83252.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (18)
83253
83254.xword 0x64500000003c0000
83255.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
83256
83257.xword 0x7766000000554422
83258.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
83259
83260.xword 0x706200000054462a
83261.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
83262
83263.xword 0x784d005800423721
83264.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
83265
83266.xword 0x804d006000504020
83267.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
83268
83269.xword 0x0000006000408020
83270.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
83271
83272.xword 0
83273.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (18)
83274
83275.xword 0
83276.xword 0x0002008e | (6 << 18) !ma_ctl_Ld (19)
83277
83278.xword 0
83279.xword 0x00020179 | (6 << 18) !ma_ctl_St (19)
83280
83281.xword 0x80604020
83282.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (19)
83283
83284.xword 0x356a
83285.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (19)
83286
83287.xword 0x0000006000408020
83288.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
83289
83290.xword 0x6a35
83291.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
83292
83293.xword 0x78285050
83294.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
83295
83296.xword 0x80604020
83297.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
83298
83299.xword 0x00287850
83300.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (19)
83301
83302.xword 0x00287850
83303.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (19)
83304
83305.xword 0x78285050
83306.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
83307
83308.xword 0x64500000003c0000
83309.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
83310
83311.xword 0x7766000000554422
83312.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
83313
83314.xword 0x706200000054462a
83315.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
83316
83317.xword 0x784d005800423721
83318.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
83319
83320.xword 0x804d006000504020
83321.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
83322
83323.xword 0x0000006000408020
83324.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
83325
83326.xword 0
83327.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (19)
83328
83329.xword 0
83330.xword 0x00020077 | (6 << 18) !ma_ctl_Ld (20)
83331
83332.xword 0
83333.xword 0x00020173 | (6 << 18) !ma_ctl_St (20)
83334
83335.xword 0x80604020
83336.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (20)
83337
83338.xword 0x356a
83339.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (20)
83340
83341.xword 0x0000006000408020
83342.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
83343
83344.xword 0x6a35
83345.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
83346
83347.xword 0x78285050
83348.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
83349
83350.xword 0x80604020
83351.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
83352
83353.xword 0x00287850
83354.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (20)
83355
83356.xword 0x00287850
83357.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (20)
83358
83359.xword 0x78285050
83360.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (20)
83361
83362.xword 0x64500000003c0000
83363.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
83364
83365.xword 0x7766000000554422
83366.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
83367
83368.xword 0x706200000054462a
83369.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
83370
83371.xword 0x784d005800423721
83372.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
83373
83374.xword 0x804d006000504020
83375.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
83376
83377.xword 0x0000006000408020
83378.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
83379
83380.xword 0
83381.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (20)
83382
83383.xword 0
83384.xword 0x00020098 | (6 << 18) !ma_ctl_Ld (21)
83385
83386.xword 0
83387.xword 0x00020189 | (6 << 18) !ma_ctl_St (21)
83388
83389.xword 0x80604020
83390.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (21)
83391
83392.xword 0x356a
83393.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (21)
83394
83395.xword 0x0000006000408020
83396.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
83397
83398.xword 0x6a35
83399.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
83400
83401.xword 0x78285050
83402.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
83403
83404.xword 0x80604020
83405.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
83406
83407.xword 0x00287850
83408.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (21)
83409
83410.xword 0x00287850
83411.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (21)
83412
83413.xword 0x78285050
83414.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (21)
83415
83416.xword 0x64500000003c0000
83417.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
83418
83419.xword 0x7766000000554422
83420.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
83421
83422.xword 0x706200000054462a
83423.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
83424
83425.xword 0x784d005800423721
83426.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
83427
83428.xword 0x804d006000504020
83429.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
83430
83431.xword 0x0000006000408020
83432.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
83433
83434.xword 0
83435.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (21)
83436
83437.xword 0
83438.xword 0x0002003a | (6 << 18) !ma_ctl_Ld (22)
83439
83440.xword 0
83441.xword 0x00020156 | (6 << 18) !ma_ctl_St (22)
83442
83443.xword 0x80604020
83444.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (22)
83445
83446.xword 0x356a
83447.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (22)
83448
83449.xword 0x0000006000408020
83450.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
83451
83452.xword 0x6a35
83453.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
83454
83455.xword 0x78285050
83456.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
83457
83458.xword 0x80604020
83459.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
83460
83461.xword 0x00287850
83462.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (22)
83463
83464.xword 0x00287850
83465.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (22)
83466
83467.xword 0x78285050
83468.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (22)
83469
83470.xword 0x64500000003c0000
83471.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
83472
83473.xword 0x7766000000554422
83474.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
83475
83476.xword 0x706200000054462a
83477.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
83478
83479.xword 0x784d005800423721
83480.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
83481
83482.xword 0x804d006000504020
83483.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
83484
83485.xword 0x0000006000408020
83486.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
83487
83488.xword 0
83489.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (22)
83490
83491.xword 0
83492.xword 0x0002005b | (6 << 18) !ma_ctl_Ld (23)
83493
83494.xword 0
83495.xword 0x0002014f | (6 << 18) !ma_ctl_St (23)
83496
83497.xword 0x80604020
83498.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (23)
83499
83500.xword 0x356a
83501.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (23)
83502
83503.xword 0x0000006000408020
83504.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
83505
83506.xword 0x6a35
83507.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
83508
83509.xword 0x78285050
83510.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
83511
83512.xword 0x80604020
83513.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
83514
83515.xword 0x00287850
83516.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (23)
83517
83518.xword 0x00287850
83519.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (23)
83520
83521.xword 0x78285050
83522.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (23)
83523
83524.xword 0x64500000003c0000
83525.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
83526
83527.xword 0x7766000000554422
83528.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
83529
83530.xword 0x706200000054462a
83531.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
83532
83533.xword 0x784d005800423721
83534.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
83535
83536.xword 0x804d006000504020
83537.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
83538
83539.xword 0x0000006000408020
83540.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
83541
83542.xword 0
83543.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (23)
83544
83545.xword 0
83546.xword 0x00020096 | (6 << 18) !ma_ctl_Ld (24)
83547
83548.xword 0
83549.xword 0x0002013a | (6 << 18) !ma_ctl_St (24)
83550
83551.xword 0x80604020
83552.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (24)
83553
83554.xword 0x356a
83555.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (24)
83556
83557.xword 0x0000006000408020
83558.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
83559
83560.xword 0x6a35
83561.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
83562
83563.xword 0x78285050
83564.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
83565
83566.xword 0x80604020
83567.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
83568
83569.xword 0x00287850
83570.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (24)
83571
83572.xword 0x00287850
83573.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (24)
83574
83575.xword 0x78285050
83576.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (24)
83577
83578.xword 0x64500000003c0000
83579.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
83580
83581.xword 0x7766000000554422
83582.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
83583
83584.xword 0x706200000054462a
83585.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
83586
83587.xword 0x784d005800423721
83588.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
83589
83590.xword 0x804d006000504020
83591.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
83592
83593.xword 0x0000006000408020
83594.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
83595
83596.xword 0
83597.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (24)
83598
83599.xword 0
83600.xword 0x00020044 | (6 << 18) !ma_ctl_Ld (25)
83601
83602.xword 0
83603.xword 0x0002013f | (6 << 18) !ma_ctl_St (25)
83604
83605.xword 0x80604020
83606.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (25)
83607
83608.xword 0x356a
83609.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (25)
83610
83611.xword 0x0000006000408020
83612.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
83613
83614.xword 0x6a35
83615.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
83616
83617.xword 0x78285050
83618.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
83619
83620.xword 0x80604020
83621.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
83622
83623.xword 0x00287850
83624.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (25)
83625
83626.xword 0x00287850
83627.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (25)
83628
83629.xword 0x78285050
83630.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (25)
83631
83632.xword 0x64500000003c0000
83633.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
83634
83635.xword 0x7766000000554422
83636.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
83637
83638.xword 0x706200000054462a
83639.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
83640
83641.xword 0x784d005800423721
83642.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
83643
83644.xword 0x804d006000504020
83645.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
83646
83647.xword 0x0000006000408020
83648.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
83649
83650.xword 0
83651.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (25)
83652
83653.xword 0
83654.xword 0x00020081 | (6 << 18) !ma_ctl_Ld (26)
83655
83656.xword 0
83657.xword 0x00020155 | (6 << 18) !ma_ctl_St (26)
83658
83659.xword 0x80604020
83660.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (26)
83661
83662.xword 0x356a
83663.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (26)
83664
83665.xword 0x0000006000408020
83666.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
83667
83668.xword 0x6a35
83669.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
83670
83671.xword 0x78285050
83672.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
83673
83674.xword 0x80604020
83675.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
83676
83677.xword 0x00287850
83678.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (26)
83679
83680.xword 0x00287850
83681.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (26)
83682
83683.xword 0x78285050
83684.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (26)
83685
83686.xword 0x64500000003c0000
83687.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
83688
83689.xword 0x7766000000554422
83690.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
83691
83692.xword 0x706200000054462a
83693.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
83694
83695.xword 0x784d005800423721
83696.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
83697
83698.xword 0x804d006000504020
83699.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
83700
83701.xword 0x0000006000408020
83702.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
83703
83704.xword 0
83705.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (26)
83706
83707.xword 0
83708.xword 0x00020029 | (6 << 18) !ma_ctl_Ld (27)
83709
83710.xword 0
83711.xword 0x0002012c | (6 << 18) !ma_ctl_St (27)
83712
83713.xword 0x80604020
83714.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (27)
83715
83716.xword 0x356a
83717.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (27)
83718
83719.xword 0x0000006000408020
83720.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
83721
83722.xword 0x6a35
83723.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
83724
83725.xword 0x78285050
83726.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
83727
83728.xword 0x80604020
83729.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
83730
83731.xword 0x00287850
83732.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (27)
83733
83734.xword 0x00287850
83735.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (27)
83736
83737.xword 0x78285050
83738.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (27)
83739
83740.xword 0x64500000003c0000
83741.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
83742
83743.xword 0x7766000000554422
83744.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
83745
83746.xword 0x706200000054462a
83747.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
83748
83749.xword 0x784d005800423721
83750.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
83751
83752.xword 0x804d006000504020
83753.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
83754
83755.xword 0x0000006000408020
83756.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
83757
83758.xword 0
83759.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (27)
83760
83761.xword 0
83762.xword 0x00020078 | (6 << 18) !ma_ctl_Ld (28)
83763
83764.xword 0
83765.xword 0x0002015a | (6 << 18) !ma_ctl_St (28)
83766
83767.xword 0x80604020
83768.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (28)
83769
83770.xword 0x356a
83771.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (28)
83772
83773.xword 0x0000006000408020
83774.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
83775
83776.xword 0x6a35
83777.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
83778
83779.xword 0x78285050
83780.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
83781
83782.xword 0x80604020
83783.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
83784
83785.xword 0x00287850
83786.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (28)
83787
83788.xword 0x00287850
83789.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (28)
83790
83791.xword 0x78285050
83792.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (28)
83793
83794.xword 0x64500000003c0000
83795.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
83796
83797.xword 0x7766000000554422
83798.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
83799
83800.xword 0x706200000054462a
83801.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
83802
83803.xword 0x784d005800423721
83804.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
83805
83806.xword 0x804d006000504020
83807.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
83808
83809.xword 0x0000006000408020
83810.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
83811
83812.xword 0
83813.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (28)
83814
83815.xword 0
83816.xword 0x0002007a | (6 << 18) !ma_ctl_Ld (29)
83817
83818.xword 0
83819.xword 0x00020180 | (6 << 18) !ma_ctl_St (29)
83820
83821.xword 0x80604020
83822.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (29)
83823
83824.xword 0x356a
83825.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (29)
83826
83827.xword 0x0000006000408020
83828.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
83829
83830.xword 0x6a35
83831.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
83832
83833.xword 0x78285050
83834.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
83835
83836.xword 0x80604020
83837.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
83838
83839.xword 0x00287850
83840.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (29)
83841
83842.xword 0x00287850
83843.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (29)
83844
83845.xword 0x78285050
83846.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (29)
83847
83848.xword 0x64500000003c0000
83849.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
83850
83851.xword 0x7766000000554422
83852.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
83853
83854.xword 0x706200000054462a
83855.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
83856
83857.xword 0x784d005800423721
83858.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
83859
83860.xword 0x804d006000504020
83861.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
83862
83863.xword 0x0000006000408020
83864.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
83865
83866.xword 0
83867.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (29)
83868
83869.xword 0
83870.xword 0x00020079 | (6 << 18) !ma_ctl_Ld (30)
83871
83872.xword 0
83873.xword 0x0002012d | (6 << 18) !ma_ctl_St (30)
83874
83875.xword 0x80604020
83876.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (30)
83877
83878.xword 0x356a
83879.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (30)
83880
83881.xword 0x0000006000408020
83882.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
83883
83884.xword 0x6a35
83885.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
83886
83887.xword 0x78285050
83888.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
83889
83890.xword 0x80604020
83891.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
83892
83893.xword 0x00287850
83894.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (30)
83895
83896.xword 0x00287850
83897.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (30)
83898
83899.xword 0x78285050
83900.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (30)
83901
83902.xword 0x64500000003c0000
83903.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
83904
83905.xword 0x7766000000554422
83906.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
83907
83908.xword 0x706200000054462a
83909.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
83910
83911.xword 0x784d005800423721
83912.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
83913
83914.xword 0x804d006000504020
83915.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
83916
83917.xword 0x0000006000408020
83918.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
83919
83920.xword 0
83921.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (30)
83922
83923.xword 0
83924.xword 0x00020081 | (6 << 18) !ma_ctl_Ld (31)
83925
83926.xword 0
83927.xword 0x00020180 | (6 << 18) !ma_ctl_St (31)
83928
83929.xword 0x80604020
83930.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (31)
83931
83932.xword 0x356a
83933.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (31)
83934
83935.xword 0x0000006000408020
83936.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
83937
83938.xword 0x6a35
83939.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
83940
83941.xword 0x78285050
83942.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
83943
83944.xword 0x80604020
83945.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
83946
83947.xword 0x00287850
83948.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (31)
83949
83950.xword 0x00287850
83951.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (31)
83952
83953.xword 0x78285050
83954.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (31)
83955
83956.xword 0x64500000003c0000
83957.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
83958
83959.xword 0x7766000000554422
83960.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
83961
83962.xword 0x706200000054462a
83963.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
83964
83965.xword 0x784d005800423721
83966.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
83967
83968.xword 0x804d006000504020
83969.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
83970
83971.xword 0x0000006000408020
83972.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
83973
83974.xword 0
83975.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (31)
83976
83977.xword 0
83978.xword 0x00020072 | (6 << 18) !ma_ctl_Ld (32)
83979
83980.xword 0
83981.xword 0x0002016f | (6 << 18) !ma_ctl_St (32)
83982
83983.xword 0x80604020
83984.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (32)
83985
83986.xword 0x356a
83987.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (32)
83988
83989.xword 0x0000006000408020
83990.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
83991
83992.xword 0x6a35
83993.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
83994
83995.xword 0x78285050
83996.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
83997
83998.xword 0x80604020
83999.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
84000
84001.xword 0x00287850
84002.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (32)
84003
84004.xword 0x00287850
84005.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (32)
84006
84007.xword 0x78285050
84008.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (32)
84009
84010.xword 0x64500000003c0000
84011.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
84012
84013.xword 0x7766000000554422
84014.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
84015
84016.xword 0x706200000054462a
84017.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
84018
84019.xword 0x784d005800423721
84020.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
84021
84022.xword 0x804d006000504020
84023.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
84024
84025.xword 0x0000006000408020
84026.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
84027
84028.xword 0
84029.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (32)
84030
84031.xword 0
84032.xword 0x00020034 | (6 << 18) !ma_ctl_Ld (33)
84033
84034.xword 0
84035.xword 0x00020179 | (6 << 18) !ma_ctl_St (33)
84036
84037.xword 0x80604020
84038.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (33)
84039
84040.xword 0x356a
84041.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (33)
84042
84043.xword 0x0000006000408020
84044.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
84045
84046.xword 0x6a35
84047.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
84048
84049.xword 0x78285050
84050.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
84051
84052.xword 0x80604020
84053.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
84054
84055.xword 0x00287850
84056.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (33)
84057
84058.xword 0x00287850
84059.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (33)
84060
84061.xword 0x78285050
84062.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (33)
84063
84064.xword 0x64500000003c0000
84065.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
84066
84067.xword 0x7766000000554422
84068.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
84069
84070.xword 0x706200000054462a
84071.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
84072
84073.xword 0x784d005800423721
84074.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
84075
84076.xword 0x804d006000504020
84077.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
84078
84079.xword 0x0000006000408020
84080.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
84081
84082.xword 0
84083.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (33)
84084
84085.xword 0
84086.xword 0x00020030 | (6 << 18) !ma_ctl_Ld (34)
84087
84088.xword 0
84089.xword 0x0002014b | (6 << 18) !ma_ctl_St (34)
84090
84091.xword 0x80604020
84092.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (34)
84093
84094.xword 0x356a
84095.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (34)
84096
84097.xword 0x0000006000408020
84098.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
84099
84100.xword 0x6a35
84101.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
84102
84103.xword 0x78285050
84104.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
84105
84106.xword 0x80604020
84107.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
84108
84109.xword 0x00287850
84110.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (34)
84111
84112.xword 0x00287850
84113.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (34)
84114
84115.xword 0x78285050
84116.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (34)
84117
84118.xword 0x64500000003c0000
84119.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
84120
84121.xword 0x7766000000554422
84122.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
84123
84124.xword 0x706200000054462a
84125.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
84126
84127.xword 0x784d005800423721
84128.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
84129
84130.xword 0x804d006000504020
84131.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
84132
84133.xword 0x0000006000408020
84134.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
84135
84136.xword 0
84137.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (34)
84138
84139.xword 0
84140.xword 0x00020068 | (6 << 18) !ma_ctl_Ld (35)
84141
84142.xword 0
84143.xword 0x00020140 | (6 << 18) !ma_ctl_St (35)
84144
84145.xword 0x80604020
84146.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (35)
84147
84148.xword 0x356a
84149.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (35)
84150
84151.xword 0x0000006000408020
84152.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
84153
84154.xword 0x6a35
84155.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
84156
84157.xword 0x78285050
84158.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
84159
84160.xword 0x80604020
84161.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
84162
84163.xword 0x00287850
84164.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (35)
84165
84166.xword 0x00287850
84167.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (35)
84168
84169.xword 0x78285050
84170.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (35)
84171
84172.xword 0x64500000003c0000
84173.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
84174
84175.xword 0x7766000000554422
84176.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
84177
84178.xword 0x706200000054462a
84179.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
84180
84181.xword 0x784d005800423721
84182.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
84183
84184.xword 0x804d006000504020
84185.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
84186
84187.xword 0x0000006000408020
84188.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
84189
84190.xword 0
84191.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (35)
84192
84193.xword 0
84194.xword 0x00020036 | (6 << 18) !ma_ctl_Ld (36)
84195
84196.xword 0
84197.xword 0x0002014a | (6 << 18) !ma_ctl_St (36)
84198
84199.xword 0x80604020
84200.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (36)
84201
84202.xword 0x356a
84203.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (36)
84204
84205.xword 0x0000006000408020
84206.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
84207
84208.xword 0x6a35
84209.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
84210
84211.xword 0x78285050
84212.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
84213
84214.xword 0x80604020
84215.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
84216
84217.xword 0x00287850
84218.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (36)
84219
84220.xword 0x00287850
84221.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (36)
84222
84223.xword 0x78285050
84224.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (36)
84225
84226.xword 0x64500000003c0000
84227.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
84228
84229.xword 0x7766000000554422
84230.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
84231
84232.xword 0x706200000054462a
84233.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
84234
84235.xword 0x784d005800423721
84236.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
84237
84238.xword 0x804d006000504020
84239.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
84240
84241.xword 0x0000006000408020
84242.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
84243
84244.xword 0
84245.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (36)
84246
84247.xword 0
84248.xword 0x0002005c | (6 << 18) !ma_ctl_Ld (37)
84249
84250.xword 0
84251.xword 0x0002014d | (6 << 18) !ma_ctl_St (37)
84252
84253.xword 0x80604020
84254.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (37)
84255
84256.xword 0x356a
84257.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (37)
84258
84259.xword 0x0000006000408020
84260.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
84261
84262.xword 0x6a35
84263.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
84264
84265.xword 0x78285050
84266.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
84267
84268.xword 0x80604020
84269.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
84270
84271.xword 0x00287850
84272.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (37)
84273
84274.xword 0x00287850
84275.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (37)
84276
84277.xword 0x78285050
84278.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (37)
84279
84280.xword 0x64500000003c0000
84281.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
84282
84283.xword 0x7766000000554422
84284.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
84285
84286.xword 0x706200000054462a
84287.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
84288
84289.xword 0x784d005800423721
84290.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
84291
84292.xword 0x804d006000504020
84293.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
84294
84295.xword 0x0000006000408020
84296.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
84297
84298.xword 0
84299.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (37)
84300
84301.xword 0
84302.xword 0x0002006b | (6 << 18) !ma_ctl_Ld (38)
84303
84304.xword 0
84305.xword 0x0002016a | (6 << 18) !ma_ctl_St (38)
84306
84307.xword 0x80604020
84308.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (38)
84309
84310.xword 0x356a
84311.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (38)
84312
84313.xword 0x0000006000408020
84314.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
84315
84316.xword 0x6a35
84317.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
84318
84319.xword 0x78285050
84320.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
84321
84322.xword 0x80604020
84323.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
84324
84325.xword 0x00287850
84326.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (38)
84327
84328.xword 0x00287850
84329.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (38)
84330
84331.xword 0x78285050
84332.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (38)
84333
84334.xword 0x64500000003c0000
84335.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
84336
84337.xword 0x7766000000554422
84338.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
84339
84340.xword 0x706200000054462a
84341.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
84342
84343.xword 0x784d005800423721
84344.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
84345
84346.xword 0x804d006000504020
84347.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
84348
84349.xword 0x0000006000408020
84350.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
84351
84352.xword 0
84353.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (38)
84354
84355.xword 0
84356.xword 0x00020034 | (6 << 18) !ma_ctl_Ld (39)
84357
84358.xword 0
84359.xword 0x00020173 | (6 << 18) !ma_ctl_St (39)
84360
84361.xword 0x80604020
84362.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (39)
84363
84364.xword 0x356a
84365.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (39)
84366
84367.xword 0x0000006000408020
84368.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
84369
84370.xword 0x6a35
84371.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
84372
84373.xword 0x78285050
84374.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
84375
84376.xword 0x80604020
84377.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
84378
84379.xword 0x00287850
84380.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (39)
84381
84382.xword 0x00287850
84383.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (39)
84384
84385.xword 0x78285050
84386.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
84387
84388.xword 0x64500000003c0000
84389.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
84390
84391.xword 0x7766000000554422
84392.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
84393
84394.xword 0x706200000054462a
84395.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
84396
84397.xword 0x784d005800423721
84398.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
84399
84400.xword 0x804d006000504020
84401.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
84402
84403.xword 0x0000006000408020
84404.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
84405
84406.xword 0
84407.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (39)
84408
84409.xword 0
84410.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (40)
84411
84412.xword 0
84413.xword 0x00020176 | (6 << 18) !ma_ctl_St (40)
84414
84415.xword 0x80604020
84416.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (40)
84417
84418.xword 0x356a
84419.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (40)
84420
84421.xword 0x0000006000408020
84422.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
84423
84424.xword 0x6a35
84425.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
84426
84427.xword 0x78285050
84428.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
84429
84430.xword 0x80604020
84431.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
84432
84433.xword 0x00287850
84434.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (40)
84435
84436.xword 0x00287850
84437.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (40)
84438
84439.xword 0x78285050
84440.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (40)
84441
84442.xword 0x64500000003c0000
84443.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
84444
84445.xword 0x7766000000554422
84446.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
84447
84448.xword 0x706200000054462a
84449.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
84450
84451.xword 0x784d005800423721
84452.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
84453
84454.xword 0x804d006000504020
84455.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
84456
84457.xword 0x0000006000408020
84458.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
84459
84460.xword 0
84461.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (40)
84462
84463.xword 0
84464.xword 0x00020060 | (6 << 18) !ma_ctl_Ld (41)
84465
84466.xword 0
84467.xword 0x00020148 | (6 << 18) !ma_ctl_St (41)
84468
84469.xword 0x80604020
84470.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (41)
84471
84472.xword 0x356a
84473.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (41)
84474
84475.xword 0x0000006000408020
84476.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
84477
84478.xword 0x6a35
84479.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
84480
84481.xword 0x78285050
84482.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
84483
84484.xword 0x80604020
84485.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
84486
84487.xword 0x00287850
84488.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (41)
84489
84490.xword 0x00287850
84491.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (41)
84492
84493.xword 0x78285050
84494.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (41)
84495
84496.xword 0x64500000003c0000
84497.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
84498
84499.xword 0x7766000000554422
84500.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
84501
84502.xword 0x706200000054462a
84503.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
84504
84505.xword 0x784d005800423721
84506.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
84507
84508.xword 0x804d006000504020
84509.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
84510
84511.xword 0x0000006000408020
84512.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
84513
84514.xword 0
84515.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (41)
84516
84517.xword 0
84518.xword 0x0002003d | (6 << 18) !ma_ctl_Ld (42)
84519
84520.xword 0
84521.xword 0x00020151 | (6 << 18) !ma_ctl_St (42)
84522
84523.xword 0x80604020
84524.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (42)
84525
84526.xword 0x356a
84527.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (42)
84528
84529.xword 0x0000006000408020
84530.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
84531
84532.xword 0x6a35
84533.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
84534
84535.xword 0x78285050
84536.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
84537
84538.xword 0x80604020
84539.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
84540
84541.xword 0x00287850
84542.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (42)
84543
84544.xword 0x00287850
84545.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (42)
84546
84547.xword 0x78285050
84548.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (42)
84549
84550.xword 0x64500000003c0000
84551.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
84552
84553.xword 0x7766000000554422
84554.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
84555
84556.xword 0x706200000054462a
84557.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
84558
84559.xword 0x784d005800423721
84560.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
84561
84562.xword 0x804d006000504020
84563.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
84564
84565.xword 0x0000006000408020
84566.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
84567
84568.xword 0
84569.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (42)
84570
84571.xword 0
84572.xword 0x00020099 | (6 << 18) !ma_ctl_Ld (43)
84573
84574.xword 0
84575.xword 0x00020121 | (6 << 18) !ma_ctl_St (43)
84576
84577.xword 0x80604020
84578.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (43)
84579
84580.xword 0x356a
84581.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (43)
84582
84583.xword 0x0000006000408020
84584.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
84585
84586.xword 0x6a35
84587.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
84588
84589.xword 0x78285050
84590.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
84591
84592.xword 0x80604020
84593.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
84594
84595.xword 0x00287850
84596.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (43)
84597
84598.xword 0x00287850
84599.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (43)
84600
84601.xword 0x78285050
84602.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (43)
84603
84604.xword 0x64500000003c0000
84605.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
84606
84607.xword 0x7766000000554422
84608.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
84609
84610.xword 0x706200000054462a
84611.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
84612
84613.xword 0x784d005800423721
84614.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
84615
84616.xword 0x804d006000504020
84617.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
84618
84619.xword 0x0000006000408020
84620.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
84621
84622.xword 0
84623.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (43)
84624
84625.xword 0
84626.xword 0x00020036 | (6 << 18) !ma_ctl_Ld (44)
84627
84628.xword 0
84629.xword 0x00020171 | (6 << 18) !ma_ctl_St (44)
84630
84631.xword 0x80604020
84632.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (44)
84633
84634.xword 0x356a
84635.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (44)
84636
84637.xword 0x0000006000408020
84638.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
84639
84640.xword 0x6a35
84641.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
84642
84643.xword 0x78285050
84644.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
84645
84646.xword 0x80604020
84647.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
84648
84649.xword 0x00287850
84650.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (44)
84651
84652.xword 0x00287850
84653.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (44)
84654
84655.xword 0x78285050
84656.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (44)
84657
84658.xword 0x64500000003c0000
84659.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
84660
84661.xword 0x7766000000554422
84662.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
84663
84664.xword 0x706200000054462a
84665.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
84666
84667.xword 0x784d005800423721
84668.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
84669
84670.xword 0x804d006000504020
84671.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
84672
84673.xword 0x0000006000408020
84674.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
84675
84676.xword 0
84677.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (44)
84678
84679.xword 0
84680.xword 0x00020060 | (6 << 18) !ma_ctl_Ld (45)
84681
84682.xword 0
84683.xword 0x0002013b | (6 << 18) !ma_ctl_St (45)
84684
84685.xword 0x80604020
84686.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (45)
84687
84688.xword 0x356a
84689.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (45)
84690
84691.xword 0x0000006000408020
84692.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
84693
84694.xword 0x6a35
84695.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
84696
84697.xword 0x78285050
84698.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
84699
84700.xword 0x80604020
84701.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
84702
84703.xword 0x00287850
84704.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (45)
84705
84706.xword 0x00287850
84707.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (45)
84708
84709.xword 0x78285050
84710.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (45)
84711
84712.xword 0x64500000003c0000
84713.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
84714
84715.xword 0x7766000000554422
84716.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
84717
84718.xword 0x706200000054462a
84719.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
84720
84721.xword 0x784d005800423721
84722.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
84723
84724.xword 0x804d006000504020
84725.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
84726
84727.xword 0x0000006000408020
84728.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
84729
84730.xword 0
84731.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (45)
84732
84733.xword 0
84734.xword 0x0002006b | (6 << 18) !ma_ctl_Ld (46)
84735
84736.xword 0
84737.xword 0x00020142 | (6 << 18) !ma_ctl_St (46)
84738
84739.xword 0x80604020
84740.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (46)
84741
84742.xword 0x356a
84743.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (46)
84744
84745.xword 0x0000006000408020
84746.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
84747
84748.xword 0x6a35
84749.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
84750
84751.xword 0x78285050
84752.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
84753
84754.xword 0x80604020
84755.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
84756
84757.xword 0x00287850
84758.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (46)
84759
84760.xword 0x00287850
84761.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (46)
84762
84763.xword 0x78285050
84764.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (46)
84765
84766.xword 0x64500000003c0000
84767.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
84768
84769.xword 0x7766000000554422
84770.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
84771
84772.xword 0x706200000054462a
84773.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
84774
84775.xword 0x784d005800423721
84776.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
84777
84778.xword 0x804d006000504020
84779.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
84780
84781.xword 0x0000006000408020
84782.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
84783
84784.xword 0
84785.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (46)
84786
84787.xword 0
84788.xword 0x0002008f | (6 << 18) !ma_ctl_Ld (47)
84789
84790.xword 0
84791.xword 0x00020123 | (6 << 18) !ma_ctl_St (47)
84792
84793.xword 0x80604020
84794.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (47)
84795
84796.xword 0x356a
84797.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (47)
84798
84799.xword 0x0000006000408020
84800.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
84801
84802.xword 0x6a35
84803.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
84804
84805.xword 0x78285050
84806.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
84807
84808.xword 0x80604020
84809.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
84810
84811.xword 0x00287850
84812.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (47)
84813
84814.xword 0x00287850
84815.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (47)
84816
84817.xword 0x78285050
84818.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (47)
84819
84820.xword 0x64500000003c0000
84821.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
84822
84823.xword 0x7766000000554422
84824.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
84825
84826.xword 0x706200000054462a
84827.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
84828
84829.xword 0x784d005800423721
84830.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
84831
84832.xword 0x804d006000504020
84833.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
84834
84835.xword 0x0000006000408020
84836.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
84837
84838.xword 0
84839.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (47)
84840
84841.xword 0
84842.xword 0x00020065 | (6 << 18) !ma_ctl_Ld (48)
84843
84844.xword 0
84845.xword 0x00020180 | (6 << 18) !ma_ctl_St (48)
84846
84847.xword 0x80604020
84848.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (48)
84849
84850.xword 0x356a
84851.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (48)
84852
84853.xword 0x0000006000408020
84854.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
84855
84856.xword 0x6a35
84857.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
84858
84859.xword 0x78285050
84860.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
84861
84862.xword 0x80604020
84863.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
84864
84865.xword 0x00287850
84866.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (48)
84867
84868.xword 0x00287850
84869.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (48)
84870
84871.xword 0x78285050
84872.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (48)
84873
84874.xword 0x64500000003c0000
84875.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
84876
84877.xword 0x7766000000554422
84878.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
84879
84880.xword 0x706200000054462a
84881.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
84882
84883.xword 0x784d005800423721
84884.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
84885
84886.xword 0x804d006000504020
84887.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
84888
84889.xword 0x0000006000408020
84890.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
84891
84892.xword 0
84893.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (48)
84894
84895.xword 0
84896.xword 0x0002004d | (6 << 18) !ma_ctl_Ld (49)
84897
84898.xword 0
84899.xword 0x0002012e | (6 << 18) !ma_ctl_St (49)
84900
84901.xword 0x80604020
84902.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (49)
84903
84904.xword 0x356a
84905.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (49)
84906
84907.xword 0x0000006000408020
84908.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
84909
84910.xword 0x6a35
84911.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
84912
84913.xword 0x78285050
84914.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
84915
84916.xword 0x80604020
84917.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
84918
84919.xword 0x00287850
84920.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (49)
84921
84922.xword 0x00287850
84923.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (49)
84924
84925.xword 0x78285050
84926.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (49)
84927
84928.xword 0x64500000003c0000
84929.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
84930
84931.xword 0x7766000000554422
84932.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
84933
84934.xword 0x706200000054462a
84935.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
84936
84937.xword 0x784d005800423721
84938.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
84939
84940.xword 0x804d006000504020
84941.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
84942
84943.xword 0x0000006000408020
84944.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
84945
84946.xword 0
84947.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (49)
84948
84949.xword 0
84950.xword 0x0002005b | (6 << 18) !ma_ctl_Ld (50)
84951
84952.xword 0
84953.xword 0x00020156 | (6 << 18) !ma_ctl_St (50)
84954
84955.xword 0x80604020
84956.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (50)
84957
84958.xword 0x356a
84959.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (50)
84960
84961.xword 0x0000006000408020
84962.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
84963
84964.xword 0x6a35
84965.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
84966
84967.xword 0x78285050
84968.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
84969
84970.xword 0x80604020
84971.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
84972
84973.xword 0x00287850
84974.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (50)
84975
84976.xword 0x00287850
84977.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (50)
84978
84979.xword 0x78285050
84980.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (50)
84981
84982.xword 0x64500000003c0000
84983.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
84984
84985.xword 0x7766000000554422
84986.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
84987
84988.xword 0x706200000054462a
84989.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
84990
84991.xword 0x784d005800423721
84992.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
84993
84994.xword 0x804d006000504020
84995.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
84996
84997.xword 0x0000006000408020
84998.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
84999
85000.xword 0
85001.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (50)
85002
85003.xword 0
85004.xword 0x00020050 | (6 << 18) !ma_ctl_Ld (51)
85005
85006.xword 0
85007.xword 0x00020169 | (6 << 18) !ma_ctl_St (51)
85008
85009.xword 0x80604020
85010.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (51)
85011
85012.xword 0x356a
85013.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (51)
85014
85015.xword 0x0000006000408020
85016.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
85017
85018.xword 0x6a35
85019.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
85020
85021.xword 0x78285050
85022.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
85023
85024.xword 0x80604020
85025.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
85026
85027.xword 0x00287850
85028.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (51)
85029
85030.xword 0x00287850
85031.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (51)
85032
85033.xword 0x78285050
85034.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (51)
85035
85036.xword 0x64500000003c0000
85037.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
85038
85039.xword 0x7766000000554422
85040.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
85041
85042.xword 0x706200000054462a
85043.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
85044
85045.xword 0x784d005800423721
85046.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
85047
85048.xword 0x804d006000504020
85049.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
85050
85051.xword 0x0000006000408020
85052.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
85053
85054.xword 0
85055.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (51)
85056
85057.xword 0
85058.xword 0x00020083 | (6 << 18) !ma_ctl_Ld (52)
85059
85060.xword 0
85061.xword 0x00020144 | (6 << 18) !ma_ctl_St (52)
85062
85063.xword 0x80604020
85064.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (52)
85065
85066.xword 0x356a
85067.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (52)
85068
85069.xword 0x0000006000408020
85070.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
85071
85072.xword 0x6a35
85073.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
85074
85075.xword 0x78285050
85076.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
85077
85078.xword 0x80604020
85079.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
85080
85081.xword 0x00287850
85082.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (52)
85083
85084.xword 0x00287850
85085.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (52)
85086
85087.xword 0x78285050
85088.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (52)
85089
85090.xword 0x64500000003c0000
85091.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
85092
85093.xword 0x7766000000554422
85094.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
85095
85096.xword 0x706200000054462a
85097.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
85098
85099.xword 0x784d005800423721
85100.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
85101
85102.xword 0x804d006000504020
85103.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
85104
85105.xword 0x0000006000408020
85106.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
85107
85108.xword 0
85109.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (52)
85110
85111.xword 0
85112.xword 0x00020045 | (6 << 18) !ma_ctl_Ld (53)
85113
85114.xword 0
85115.xword 0x00020138 | (6 << 18) !ma_ctl_St (53)
85116
85117.xword 0x80604020
85118.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (53)
85119
85120.xword 0x356a
85121.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (53)
85122
85123.xword 0x0000006000408020
85124.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
85125
85126.xword 0x6a35
85127.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
85128
85129.xword 0x78285050
85130.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
85131
85132.xword 0x80604020
85133.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
85134
85135.xword 0x00287850
85136.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (53)
85137
85138.xword 0x00287850
85139.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (53)
85140
85141.xword 0x78285050
85142.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (53)
85143
85144.xword 0x64500000003c0000
85145.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
85146
85147.xword 0x7766000000554422
85148.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
85149
85150.xword 0x706200000054462a
85151.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
85152
85153.xword 0x784d005800423721
85154.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
85155
85156.xword 0x804d006000504020
85157.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
85158
85159.xword 0x0000006000408020
85160.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
85161
85162.xword 0
85163.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (53)
85164
85165.xword 0
85166.xword 0x00020050 | (6 << 18) !ma_ctl_Ld (54)
85167
85168.xword 0
85169.xword 0x00020178 | (6 << 18) !ma_ctl_St (54)
85170
85171.xword 0x80604020
85172.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (54)
85173
85174.xword 0x356a
85175.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (54)
85176
85177.xword 0x0000006000408020
85178.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
85179
85180.xword 0x6a35
85181.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
85182
85183.xword 0x78285050
85184.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
85185
85186.xword 0x80604020
85187.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
85188
85189.xword 0x00287850
85190.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (54)
85191
85192.xword 0x00287850
85193.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (54)
85194
85195.xword 0x78285050
85196.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (54)
85197
85198.xword 0x64500000003c0000
85199.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
85200
85201.xword 0x7766000000554422
85202.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
85203
85204.xword 0x706200000054462a
85205.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
85206
85207.xword 0x784d005800423721
85208.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
85209
85210.xword 0x804d006000504020
85211.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
85212
85213.xword 0x0000006000408020
85214.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
85215
85216.xword 0
85217.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (54)
85218
85219.xword 0
85220.xword 0x00020075 | (6 << 18) !ma_ctl_Ld (55)
85221
85222.xword 0
85223.xword 0x00020142 | (6 << 18) !ma_ctl_St (55)
85224
85225.xword 0x80604020
85226.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (55)
85227
85228.xword 0x356a
85229.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (55)
85230
85231.xword 0x0000006000408020
85232.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
85233
85234.xword 0x6a35
85235.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
85236
85237.xword 0x78285050
85238.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
85239
85240.xword 0x80604020
85241.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
85242
85243.xword 0x00287850
85244.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (55)
85245
85246.xword 0x00287850
85247.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (55)
85248
85249.xword 0x78285050
85250.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (55)
85251
85252.xword 0x64500000003c0000
85253.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
85254
85255.xword 0x7766000000554422
85256.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
85257
85258.xword 0x706200000054462a
85259.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
85260
85261.xword 0x784d005800423721
85262.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
85263
85264.xword 0x804d006000504020
85265.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
85266
85267.xword 0x0000006000408020
85268.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
85269
85270.xword 0
85271.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (55)
85272
85273.xword 0
85274.xword 0x0002004f | (6 << 18) !ma_ctl_Ld (56)
85275
85276.xword 0
85277.xword 0x00020183 | (6 << 18) !ma_ctl_St (56)
85278
85279.xword 0x80604020
85280.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (56)
85281
85282.xword 0x356a
85283.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (56)
85284
85285.xword 0x0000006000408020
85286.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
85287
85288.xword 0x6a35
85289.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
85290
85291.xword 0x78285050
85292.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
85293
85294.xword 0x80604020
85295.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
85296
85297.xword 0x00287850
85298.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (56)
85299
85300.xword 0x00287850
85301.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (56)
85302
85303.xword 0x78285050
85304.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (56)
85305
85306.xword 0x64500000003c0000
85307.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
85308
85309.xword 0x7766000000554422
85310.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
85311
85312.xword 0x706200000054462a
85313.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
85314
85315.xword 0x784d005800423721
85316.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
85317
85318.xword 0x804d006000504020
85319.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
85320
85321.xword 0x0000006000408020
85322.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
85323
85324.xword 0
85325.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (56)
85326
85327.xword 0
85328.xword 0x00020050 | (6 << 18) !ma_ctl_Ld (57)
85329
85330.xword 0
85331.xword 0x00020121 | (6 << 18) !ma_ctl_St (57)
85332
85333.xword 0x80604020
85334.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (57)
85335
85336.xword 0x356a
85337.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (57)
85338
85339.xword 0x0000006000408020
85340.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
85341
85342.xword 0x6a35
85343.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
85344
85345.xword 0x78285050
85346.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
85347
85348.xword 0x80604020
85349.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
85350
85351.xword 0x00287850
85352.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (57)
85353
85354.xword 0x00287850
85355.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (57)
85356
85357.xword 0x78285050
85358.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (57)
85359
85360.xword 0x64500000003c0000
85361.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
85362
85363.xword 0x7766000000554422
85364.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
85365
85366.xword 0x706200000054462a
85367.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
85368
85369.xword 0x784d005800423721
85370.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
85371
85372.xword 0x804d006000504020
85373.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
85374
85375.xword 0x0000006000408020
85376.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
85377
85378.xword 0
85379.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (57)
85380
85381.xword 0
85382.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (58)
85383
85384.xword 0
85385.xword 0x00020153 | (6 << 18) !ma_ctl_St (58)
85386
85387.xword 0x80604020
85388.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (58)
85389
85390.xword 0x356a
85391.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (58)
85392
85393.xword 0x0000006000408020
85394.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
85395
85396.xword 0x6a35
85397.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
85398
85399.xword 0x78285050
85400.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
85401
85402.xword 0x80604020
85403.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
85404
85405.xword 0x00287850
85406.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (58)
85407
85408.xword 0x00287850
85409.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (58)
85410
85411.xword 0x78285050
85412.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (58)
85413
85414.xword 0x64500000003c0000
85415.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
85416
85417.xword 0x7766000000554422
85418.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
85419
85420.xword 0x706200000054462a
85421.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
85422
85423.xword 0x784d005800423721
85424.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
85425
85426.xword 0x804d006000504020
85427.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
85428
85429.xword 0x0000006000408020
85430.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
85431
85432.xword 0
85433.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (58)
85434
85435
85436.align 8
85437.global _t2_ma_results3
85438_t2_ma_results3:
85439.xword 0xDEADBEEFDEADBEEF
85440.xword 0xDEADBEEFDEADBEEF
85441.xword 0xDEADBEEFDEADBEEF
85442.xword 0xDEADBEEFDEADBEEF
85443.xword 0xDEADBEEFDEADBEEF
85444.xword 0xDEADBEEFDEADBEEF
85445.xword 0xDEADBEEFDEADBEEF
85446.xword 0xDEADBEEFDEADBEEF
85447.xword 0xDEADBEEFDEADBEEF
85448.xword 0xDEADBEEFDEADBEEF
85449.xword 0xDEADBEEFDEADBEEF
85450.xword 0xDEADBEEFDEADBEEF
85451.xword 0xDEADBEEFDEADBEEF
85452.xword 0xDEADBEEFDEADBEEF
85453.xword 0xDEADBEEFDEADBEEF
85454.xword 0xDEADBEEFDEADBEEF
85455.xword 0xDEADBEEFDEADBEEF
85456.xword 0xDEADBEEFDEADBEEF
85457.xword 0xDEADBEEFDEADBEEF
85458.xword 0xDEADBEEFDEADBEEF
85459.xword 0xDEADBEEFDEADBEEF
85460.xword 0xDEADBEEFDEADBEEF
85461.xword 0xDEADBEEFDEADBEEF
85462.xword 0xDEADBEEFDEADBEEF
85463.xword 0xDEADBEEFDEADBEEF
85464.xword 0xDEADBEEFDEADBEEF
85465.xword 0xDEADBEEFDEADBEEF
85466.xword 0xDEADBEEFDEADBEEF
85467.xword 0xDEADBEEFDEADBEEF
85468.xword 0xDEADBEEFDEADBEEF
85469.xword 0xDEADBEEFDEADBEEF
85470.xword 0xDEADBEEFDEADBEEF
85471.xword 0xDEADBEEFDEADBEEF
85472.xword 0xDEADBEEFDEADBEEF
85473.xword 0xDEADBEEFDEADBEEF
85474.xword 0xDEADBEEFDEADBEEF
85475.xword 0xDEADBEEFDEADBEEF
85476.xword 0xDEADBEEFDEADBEEF
85477.xword 0xDEADBEEFDEADBEEF
85478.xword 0xDEADBEEFDEADBEEF
85479.xword 0xDEADBEEFDEADBEEF
85480.xword 0xDEADBEEFDEADBEEF
85481.xword 0xDEADBEEFDEADBEEF
85482.xword 0xDEADBEEFDEADBEEF
85483.xword 0xDEADBEEFDEADBEEF
85484.xword 0xDEADBEEFDEADBEEF
85485.xword 0xDEADBEEFDEADBEEF
85486.xword 0xDEADBEEFDEADBEEF
85487.xword 0xDEADBEEFDEADBEEF
85488.xword 0xDEADBEEFDEADBEEF
85489.xword 0xDEADBEEFDEADBEEF
85490.xword 0xDEADBEEFDEADBEEF
85491.xword 0xDEADBEEFDEADBEEF
85492.xword 0xDEADBEEFDEADBEEF
85493.xword 0xDEADBEEFDEADBEEF
85494.xword 0xDEADBEEFDEADBEEF
85495.xword 0xDEADBEEFDEADBEEF
85496.xword 0xDEADBEEFDEADBEEF
85497.xword 0xDEADBEEFDEADBEEF
85498.xword 0xDEADBEEFDEADBEEF
85499.xword 0xDEADBEEFDEADBEEF
85500.xword 0xDEADBEEFDEADBEEF
85501.xword 0xDEADBEEFDEADBEEF
85502.xword 0xDEADBEEFDEADBEEF
85503.xword 0xDEADBEEFDEADBEEF
85504.xword 0xDEADBEEFDEADBEEF
85505.xword 0xDEADBEEFDEADBEEF
85506.xword 0xDEADBEEFDEADBEEF
85507.xword 0xDEADBEEFDEADBEEF
85508.xword 0xDEADBEEFDEADBEEF
85509.xword 0xDEADBEEFDEADBEEF
85510.xword 0xDEADBEEFDEADBEEF
85511.xword 0xDEADBEEFDEADBEEF
85512.xword 0xDEADBEEFDEADBEEF
85513.xword 0xDEADBEEFDEADBEEF
85514.xword 0xDEADBEEFDEADBEEF
85515.xword 0xDEADBEEFDEADBEEF
85516.xword 0xDEADBEEFDEADBEEF
85517.xword 0xDEADBEEFDEADBEEF
85518.xword 0xDEADBEEFDEADBEEF
85519.xword 0xDEADBEEFDEADBEEF
85520.xword 0xDEADBEEFDEADBEEF
85521.xword 0xDEADBEEFDEADBEEF
85522.xword 0xDEADBEEFDEADBEEF
85523.xword 0xDEADBEEFDEADBEEF
85524.xword 0xDEADBEEFDEADBEEF
85525.xword 0xDEADBEEFDEADBEEF
85526.xword 0xDEADBEEFDEADBEEF
85527.xword 0xDEADBEEFDEADBEEF
85528.xword 0xDEADBEEFDEADBEEF
85529.xword 0xDEADBEEFDEADBEEF
85530.xword 0xDEADBEEFDEADBEEF
85531.xword 0xDEADBEEFDEADBEEF
85532.xword 0xDEADBEEFDEADBEEF
85533.xword 0xDEADBEEFDEADBEEF
85534.xword 0xDEADBEEFDEADBEEF
85535.xword 0xDEADBEEFDEADBEEF
85536.xword 0xDEADBEEFDEADBEEF
85537.xword 0xDEADBEEFDEADBEEF
85538.xword 0xDEADBEEFDEADBEEF
85539.xword 0xDEADBEEFDEADBEEF
85540.xword 0xDEADBEEFDEADBEEF
85541.xword 0xDEADBEEFDEADBEEF
85542.xword 0xDEADBEEFDEADBEEF
85543.xword 0xDEADBEEFDEADBEEF
85544.xword 0xDEADBEEFDEADBEEF
85545.xword 0xDEADBEEFDEADBEEF
85546.xword 0xDEADBEEFDEADBEEF
85547.xword 0xDEADBEEFDEADBEEF
85548.xword 0xDEADBEEFDEADBEEF
85549.xword 0xDEADBEEFDEADBEEF
85550.xword 0xDEADBEEFDEADBEEF
85551.xword 0xDEADBEEFDEADBEEF
85552.xword 0xDEADBEEFDEADBEEF
85553.xword 0xDEADBEEFDEADBEEF
85554.xword 0xDEADBEEFDEADBEEF
85555.xword 0xDEADBEEFDEADBEEF
85556.xword 0xDEADBEEFDEADBEEF
85557.xword 0xDEADBEEFDEADBEEF
85558.xword 0xDEADBEEFDEADBEEF
85559.xword 0xDEADBEEFDEADBEEF
85560.xword 0xDEADBEEFDEADBEEF
85561.xword 0xDEADBEEFDEADBEEF
85562.xword 0xDEADBEEFDEADBEEF
85563.xword 0xDEADBEEFDEADBEEF
85564.xword 0xDEADBEEFDEADBEEF
85565.xword 0xDEADBEEFDEADBEEF
85566.xword 0xDEADBEEFDEADBEEF
85567.xword 0xDEADBEEFDEADBEEF
85568.xword 0xDEADBEEFDEADBEEF
85569.xword 0xDEADBEEFDEADBEEF
85570.xword 0xDEADBEEFDEADBEEF
85571.xword 0xDEADBEEFDEADBEEF
85572.xword 0xDEADBEEFDEADBEEF
85573.xword 0xDEADBEEFDEADBEEF
85574.xword 0xDEADBEEFDEADBEEF
85575.xword 0xDEADBEEFDEADBEEF
85576.xword 0xDEADBEEFDEADBEEF
85577.xword 0xDEADBEEFDEADBEEF
85578.xword 0xDEADBEEFDEADBEEF
85579.xword 0xDEADBEEFDEADBEEF
85580.xword 0xDEADBEEFDEADBEEF
85581.xword 0xDEADBEEFDEADBEEF
85582.xword 0xDEADBEEFDEADBEEF
85583.xword 0xDEADBEEFDEADBEEF
85584.xword 0xDEADBEEFDEADBEEF
85585.xword 0xDEADBEEFDEADBEEF
85586.xword 0xDEADBEEFDEADBEEF
85587.xword 0xDEADBEEFDEADBEEF
85588.xword 0xDEADBEEFDEADBEEF
85589.xword 0xDEADBEEFDEADBEEF
85590.xword 0xDEADBEEFDEADBEEF
85591.xword 0xDEADBEEFDEADBEEF
85592.xword 0xDEADBEEFDEADBEEF
85593.xword 0xDEADBEEFDEADBEEF
85594.xword 0xDEADBEEFDEADBEEF
85595.xword 0xDEADBEEFDEADBEEF
85596.xword 0xDEADBEEFDEADBEEF
85597.xword 0xDEADBEEFDEADBEEF
85598.xword 0xDEADBEEFDEADBEEF
85599.xword 0xDEADBEEFDEADBEEF
85600.xword 0xDEADBEEFDEADBEEF
85601.xword 0xDEADBEEFDEADBEEF
85602.xword 0xDEADBEEFDEADBEEF
85603SECTION ._t2_T_MAX_DATA4 DATA_VA=272629760
85604attr_data {
85605 Name = ._t2_T_MAX_DATA4
85606 hypervisor
85607}
85608
85609.data
85610_t2_user_data_start:
85611_t2_scratch_area:
85612
85613.align 8
85614.global _t2_ma_operands4
85615_t2_ma_operands4:
85616.xword 0x787c7ce209c9c8a9
85617.xword 0xa863327b929790c8
85618.xword 0x702b2e582b9d71d9
85619.xword 0x11c1e99aba090945
85620.xword 0x7ba496d40bb7531a
85621.xword 0xcb1ff2601b437905
85622.xword 0xda1020b74e617ad6
85623.xword 0x4b80353c1874ff54
85624.xword 0x74dd917b88501be4
85625.xword 0xbccac33ea29a233f
85626.xword 0xbbb75b98bd98bd7c
85627.xword 0x94be0174994bac18
85628.xword 0xc525129257db9a63
85629.xword 0x19568133da41db13
85630.xword 0x33f9f7a234f4e701
85631.xword 0x4a6fb8486a4f9e1e
85632.xword 0xa8939de1194084df
85633.xword 0x5c2dd5ccf6e5a805
85634.xword 0x4b7ac0d076272755
85635.xword 0xf8e5554490fed478
85636.xword 0x9378f76e64261996
85637.xword 0x61bd751f212e505e
85638.xword 0x4cdbea657291501a
85639.xword 0x926fc7a1b3a60939
85640.xword 0x886a7c8c552013ef
85641.xword 0x6800da31c5db138e
85642.xword 0xedffdf6ebee4cb53
85643.xword 0xfcead7fdb4078139
85644.xword 0xa6defa66234a7f30
85645.xword 0xe43b927592d39074
85646.xword 0x7cb0a01f6300fb4f
85647.xword 0xc0f21f22401180d3
85648.xword 0x489558fd99a18323
85649.xword 0xe4620bb59b826cec
85650.xword 0xe9c58383c0519716
85651.xword 0x359db24164a16478
85652.xword 0xccd01ce11156083b
85653.xword 0x1048a32b65612971
85654.xword 0x15915ab38e9a6fa5
85655.xword 0xc590094fa25f7c87
85656.xword 0x124baba28f1a7070
85657.xword 0xc92491f809f795ae
85658.xword 0x31fa32ffd8238473
85659.xword 0x162ebdf3d460506c
85660.xword 0x32dfaf3400e473b7
85661.xword 0x1afeb126853bc680
85662.xword 0xb376a3908636d054
85663.xword 0xe7af3945fdedc195
85664.xword 0x755f54507249dbd6
85665.xword 0x916793e9e4c25b95
85666.xword 0x3473f09818c0421b
85667.xword 0x86822c21ef087d23
85668.xword 0x8e4146d9ad1f094c
85669.xword 0x0bf811cdb960b239
85670.xword 0x68951da68db99dd0
85671.xword 0xcf5fd0431013a602
85672.xword 0xdb0ad2f563b78c19
85673.xword 0x46004f57ecc5e8c1
85674.xword 0x157111796505d693
85675.xword 0x42509e1951a145ef
85676.xword 0xdfe02b6cd2763273
85677.xword 0x5114cc49d91eff52
85678.xword 0xc3c89dcafb659647
85679.xword 0x1670f3bb93fc7cce
85680.xword 0x76528dcfb67198b7
85681.xword 0xa278583aa0d7e088
85682.xword 0xa8f8269b5616309b
85683.xword 0xace1b820e0ca6d50
85684.xword 0x88f88ccba45b6806
85685.xword 0x6ff273184e2e64f1
85686.xword 0x8b7e9bdecaa2669c
85687.xword 0x7aa9c7a5c7dffb02
85688.xword 0x547bf0f8406d370e
85689.xword 0x98434a810b1e20c6
85690.xword 0x18643a67bb8fbb81
85691.xword 0x6ae1a8babc607aef
85692.xword 0x2332f7308c742854
85693.xword 0xa013e451cf6b0e57
85694.xword 0x3afadee52ceeb1f6
85695.xword 0x4929ec294c0b026b
85696.xword 0x5177fbd77d22ac85
85697.xword 0x5553716e01d4d96a
85698.xword 0x2e78f3e6c1faba7d
85699.xword 0xe33ddaa3b3dc60ef
85700.xword 0x746f9a81c364a23a
85701.xword 0xe242cbd11c8c8bcf
85702.xword 0x060628a52505c0ff
85703.xword 0x55fec9ab8bc7bde1
85704.xword 0x022d4e91d14c1b01
85705.xword 0x11171b0e459a83ce
85706.xword 0xfd032e72520b84ea
85707.xword 0xe28ee6f96a7a73fb
85708.xword 0xb10ae3af639fe3a4
85709.xword 0x58dbb610549974f7
85710.xword 0x6b135951caa80373
85711.xword 0x3d686cfcfff1b4c1
85712.xword 0x793938654b91cc15
85713.xword 0xbf5eba727d7cda15
85714.xword 0xb17ffad8511e8585
85715.xword 0x532b438fa251ad68
85716.xword 0xe0753fe762641031
85717.xword 0xc01f457bdb81d8c1
85718.xword 0xabb7b6c4feb18491
85719.xword 0x27809bdb6c1a962a
85720.xword 0x907e0bae55d68c76
85721.xword 0x1f1eba197e616c15
85722.xword 0x2adcc125676193f2
85723.xword 0x7d391afc1374169d
85724.xword 0x60089c9fc5f00438
85725.xword 0xb5ed4cd9b9629343
85726.xword 0x01895c8d78782745
85727.xword 0x87b4f3ec1c846279
85728.xword 0x49cea78dcc04c4fa
85729.xword 0x07d1c9cdacdb6a17
85730.xword 0xf2f9ab74f38c9b2e
85731.xword 0xbac380e29f08c218
85732.xword 0x4fbda11862e8b643
85733.xword 0x4d0256ca8e065a82
85734.xword 0x91d1ca565e58e24a
85735.xword 0x85bc6801a4283f54
85736.xword 0xf843a4e98d0c8488
85737.xword 0x8a9ce1a1f3b4a722
85738.xword 0x61a1ccc8ee8f3b7b
85739.xword 0x1624a666a994715c
85740.xword 0xc166a4ab2faabfdd
85741.xword 0x948b011d7b1fd3e9
85742.xword 0xebf6935e6c03eb0a
85743.xword 0xf1b2af3542f75a69
85744.xword 0x4d297cbacf3123e9
85745.xword 0xbf84f2de1843d022
85746.xword 0xf7acc4ce91635727
85747.xword 0x87e3c9034460a6eb
85748.xword 0xf74d0d20a068b8a6
85749.xword 0x422546a96364239a
85750.xword 0xd64a0db8e3e32497
85751.xword 0xb180781c3e5f0fc7
85752.xword 0xcda43c6ad4cfdc56
85753.xword 0x9500830ee79d69aa
85754.xword 0xa91c7b920250af56
85755.xword 0x1d05aea2915c8899
85756.xword 0xbdcfd68b7417d987
85757.xword 0xc947302a457a6903
85758.xword 0x21fc7a5f6c0d2eaa
85759.xword 0xb0afe7cbb6b05a7e
85760.xword 0xfeacb7e44c6eaf1d
85761.xword 0x8ca0bc4c7b5f1e3c
85762.xword 0xabc4c5172576df00
85763.xword 0xa40cc5b986834a2c
85764.xword 0x6bdb014de2e21cf2
85765.xword 0x53113381d041ea49
85766.xword 0xa07692c13102a5b6
85767.xword 0xf6e3b52334f24173
85768.xword 0x9dbaa718a274ebaf
85769.xword 0x14b09a7d0448fcae
85770.xword 0x54076560936ab83f
85771.xword 0x90e7f02774745a8c
85772.xword 0x59fdcd8af48f4004
85773.xword 0xf721a8641be0837b
85774.xword 0x1bd6c8aa699011df
85775.xword 0xe0bc35e7f4802f21
85776.xword 0xfcd84041b90211be
85777.xword 0x12607d812ef88414
85778.xword 0x8df55d4d42f9b8ce
85779.xword 0xc38929861d64076b
85780
85781.align 8
85782.global _t2_ma_operations4
85783_t2_ma_operations4:
85784.xword 0
85785.xword 0x00020089 | (6 << 18) !ma_ctl_Ld (0)
85786
85787.xword 0
85788.xword 0x0002013b | (6 << 18) !ma_ctl_St (0)
85789
85790.xword 0x80604020
85791.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (0)
85792
85793.xword 0x356a
85794.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (0)
85795
85796.xword 0x0000006000408020
85797.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
85798
85799.xword 0x6a35
85800.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
85801
85802.xword 0x78285050
85803.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
85804
85805.xword 0x80604020
85806.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
85807
85808.xword 0x00287850
85809.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (0)
85810
85811.xword 0x00287850
85812.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (0)
85813
85814.xword 0x78285050
85815.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (0)
85816
85817.xword 0x64500000003c0000
85818.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
85819
85820.xword 0x7766000000554422
85821.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
85822
85823.xword 0x706200000054462a
85824.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
85825
85826.xword 0x784d005800423721
85827.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
85828
85829.xword 0x804d006000504020
85830.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
85831
85832.xword 0x0000006000408020
85833.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
85834
85835.xword 0
85836.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (0)
85837
85838.xword 0
85839.xword 0x00020093 | (6 << 18) !ma_ctl_Ld (1)
85840
85841.xword 0
85842.xword 0x00020187 | (6 << 18) !ma_ctl_St (1)
85843
85844.xword 0x80604020
85845.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (1)
85846
85847.xword 0x356a
85848.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (1)
85849
85850.xword 0x0000006000408020
85851.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
85852
85853.xword 0x6a35
85854.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
85855
85856.xword 0x78285050
85857.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
85858
85859.xword 0x80604020
85860.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
85861
85862.xword 0x00287850
85863.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
85864
85865.xword 0x00287850
85866.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
85867
85868.xword 0x78285050
85869.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (1)
85870
85871.xword 0x64500000003c0000
85872.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
85873
85874.xword 0x7766000000554422
85875.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
85876
85877.xword 0x706200000054462a
85878.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
85879
85880.xword 0x784d005800423721
85881.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
85882
85883.xword 0x804d006000504020
85884.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
85885
85886.xword 0x0000006000408020
85887.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
85888
85889.xword 0
85890.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (1)
85891
85892.xword 0
85893.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (2)
85894
85895.xword 0
85896.xword 0x00020190 | (6 << 18) !ma_ctl_St (2)
85897
85898.xword 0x80604020
85899.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (2)
85900
85901.xword 0x356a
85902.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (2)
85903
85904.xword 0x0000006000408020
85905.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
85906
85907.xword 0x6a35
85908.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
85909
85910.xword 0x78285050
85911.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
85912
85913.xword 0x80604020
85914.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
85915
85916.xword 0x00287850
85917.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (2)
85918
85919.xword 0x00287850
85920.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (2)
85921
85922.xword 0x78285050
85923.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (2)
85924
85925.xword 0x64500000003c0000
85926.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
85927
85928.xword 0x7766000000554422
85929.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
85930
85931.xword 0x706200000054462a
85932.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
85933
85934.xword 0x784d005800423721
85935.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
85936
85937.xword 0x804d006000504020
85938.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
85939
85940.xword 0x0000006000408020
85941.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
85942
85943.xword 0
85944.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (2)
85945
85946.xword 0
85947.xword 0x0002009e | (6 << 18) !ma_ctl_Ld (3)
85948
85949.xword 0
85950.xword 0x0002017c | (6 << 18) !ma_ctl_St (3)
85951
85952.xword 0x80604020
85953.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (3)
85954
85955.xword 0x356a
85956.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (3)
85957
85958.xword 0x0000006000408020
85959.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
85960
85961.xword 0x6a35
85962.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
85963
85964.xword 0x78285050
85965.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
85966
85967.xword 0x80604020
85968.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
85969
85970.xword 0x00287850
85971.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (3)
85972
85973.xword 0x00287850
85974.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (3)
85975
85976.xword 0x78285050
85977.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (3)
85978
85979.xword 0x64500000003c0000
85980.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
85981
85982.xword 0x7766000000554422
85983.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
85984
85985.xword 0x706200000054462a
85986.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
85987
85988.xword 0x784d005800423721
85989.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
85990
85991.xword 0x804d006000504020
85992.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
85993
85994.xword 0x0000006000408020
85995.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
85996
85997.xword 0
85998.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (3)
85999
86000.xword 0
86001.xword 0x0002009b | (6 << 18) !ma_ctl_Ld (4)
86002
86003.xword 0
86004.xword 0x00020181 | (6 << 18) !ma_ctl_St (4)
86005
86006.xword 0x80604020
86007.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (4)
86008
86009.xword 0x356a
86010.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (4)
86011
86012.xword 0x0000006000408020
86013.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
86014
86015.xword 0x6a35
86016.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
86017
86018.xword 0x78285050
86019.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
86020
86021.xword 0x80604020
86022.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
86023
86024.xword 0x00287850
86025.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (4)
86026
86027.xword 0x00287850
86028.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (4)
86029
86030.xword 0x78285050
86031.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (4)
86032
86033.xword 0x64500000003c0000
86034.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
86035
86036.xword 0x7766000000554422
86037.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
86038
86039.xword 0x706200000054462a
86040.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
86041
86042.xword 0x784d005800423721
86043.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
86044
86045.xword 0x804d006000504020
86046.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
86047
86048.xword 0x0000006000408020
86049.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
86050
86051.xword 0
86052.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (4)
86053
86054.xword 0
86055.xword 0x0002004c | (6 << 18) !ma_ctl_Ld (5)
86056
86057.xword 0
86058.xword 0x00020176 | (6 << 18) !ma_ctl_St (5)
86059
86060.xword 0x80604020
86061.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (5)
86062
86063.xword 0x356a
86064.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (5)
86065
86066.xword 0x0000006000408020
86067.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
86068
86069.xword 0x6a35
86070.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
86071
86072.xword 0x78285050
86073.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
86074
86075.xword 0x80604020
86076.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
86077
86078.xword 0x00287850
86079.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (5)
86080
86081.xword 0x00287850
86082.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (5)
86083
86084.xword 0x78285050
86085.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
86086
86087.xword 0x64500000003c0000
86088.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
86089
86090.xword 0x7766000000554422
86091.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
86092
86093.xword 0x706200000054462a
86094.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
86095
86096.xword 0x784d005800423721
86097.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
86098
86099.xword 0x804d006000504020
86100.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
86101
86102.xword 0x0000006000408020
86103.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
86104
86105.xword 0
86106.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (5)
86107
86108.xword 0
86109.xword 0x00020021 | (6 << 18) !ma_ctl_Ld (6)
86110
86111.xword 0
86112.xword 0x0002017e | (6 << 18) !ma_ctl_St (6)
86113
86114.xword 0x80604020
86115.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (6)
86116
86117.xword 0x356a
86118.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (6)
86119
86120.xword 0x0000006000408020
86121.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
86122
86123.xword 0x6a35
86124.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
86125
86126.xword 0x78285050
86127.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
86128
86129.xword 0x80604020
86130.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
86131
86132.xword 0x00287850
86133.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (6)
86134
86135.xword 0x00287850
86136.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (6)
86137
86138.xword 0x78285050
86139.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (6)
86140
86141.xword 0x64500000003c0000
86142.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
86143
86144.xword 0x7766000000554422
86145.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
86146
86147.xword 0x706200000054462a
86148.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
86149
86150.xword 0x784d005800423721
86151.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
86152
86153.xword 0x804d006000504020
86154.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
86155
86156.xword 0x0000006000408020
86157.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
86158
86159.xword 0
86160.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (6)
86161
86162.xword 0
86163.xword 0x00020035 | (6 << 18) !ma_ctl_Ld (7)
86164
86165.xword 0
86166.xword 0x00020153 | (6 << 18) !ma_ctl_St (7)
86167
86168.xword 0x80604020
86169.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (7)
86170
86171.xword 0x356a
86172.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (7)
86173
86174.xword 0x0000006000408020
86175.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
86176
86177.xword 0x6a35
86178.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
86179
86180.xword 0x78285050
86181.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
86182
86183.xword 0x80604020
86184.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
86185
86186.xword 0x00287850
86187.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (7)
86188
86189.xword 0x00287850
86190.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (7)
86191
86192.xword 0x78285050
86193.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (7)
86194
86195.xword 0x64500000003c0000
86196.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
86197
86198.xword 0x7766000000554422
86199.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
86200
86201.xword 0x706200000054462a
86202.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
86203
86204.xword 0x784d005800423721
86205.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
86206
86207.xword 0x804d006000504020
86208.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
86209
86210.xword 0x0000006000408020
86211.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
86212
86213.xword 0
86214.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (7)
86215
86216.xword 0
86217.xword 0x00020024 | (6 << 18) !ma_ctl_Ld (8)
86218
86219.xword 0
86220.xword 0x00020134 | (6 << 18) !ma_ctl_St (8)
86221
86222.xword 0x80604020
86223.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (8)
86224
86225.xword 0x356a
86226.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (8)
86227
86228.xword 0x0000006000408020
86229.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
86230
86231.xword 0x6a35
86232.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
86233
86234.xword 0x78285050
86235.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
86236
86237.xword 0x80604020
86238.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
86239
86240.xword 0x00287850
86241.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (8)
86242
86243.xword 0x00287850
86244.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
86245
86246.xword 0x78285050
86247.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (8)
86248
86249.xword 0x64500000003c0000
86250.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
86251
86252.xword 0x7766000000554422
86253.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
86254
86255.xword 0x706200000054462a
86256.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
86257
86258.xword 0x784d005800423721
86259.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
86260
86261.xword 0x804d006000504020
86262.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
86263
86264.xword 0x0000006000408020
86265.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
86266
86267.xword 0
86268.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (8)
86269
86270.xword 0
86271.xword 0x00020040 | (6 << 18) !ma_ctl_Ld (9)
86272
86273.xword 0
86274.xword 0x00020142 | (6 << 18) !ma_ctl_St (9)
86275
86276.xword 0x80604020
86277.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (9)
86278
86279.xword 0x356a
86280.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
86281
86282.xword 0x0000006000408020
86283.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
86284
86285.xword 0x6a35
86286.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
86287
86288.xword 0x78285050
86289.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
86290
86291.xword 0x80604020
86292.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
86293
86294.xword 0x00287850
86295.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (9)
86296
86297.xword 0x00287850
86298.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (9)
86299
86300.xword 0x78285050
86301.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (9)
86302
86303.xword 0x64500000003c0000
86304.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
86305
86306.xword 0x7766000000554422
86307.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
86308
86309.xword 0x706200000054462a
86310.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
86311
86312.xword 0x784d005800423721
86313.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
86314
86315.xword 0x804d006000504020
86316.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
86317
86318.xword 0x0000006000408020
86319.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
86320
86321.xword 0
86322.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (9)
86323
86324.xword 0
86325.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (10)
86326
86327.xword 0
86328.xword 0x0002013d | (6 << 18) !ma_ctl_St (10)
86329
86330.xword 0x80604020
86331.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (10)
86332
86333.xword 0x356a
86334.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (10)
86335
86336.xword 0x0000006000408020
86337.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
86338
86339.xword 0x6a35
86340.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
86341
86342.xword 0x78285050
86343.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
86344
86345.xword 0x80604020
86346.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
86347
86348.xword 0x00287850
86349.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (10)
86350
86351.xword 0x00287850
86352.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (10)
86353
86354.xword 0x78285050
86355.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
86356
86357.xword 0x64500000003c0000
86358.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
86359
86360.xword 0x7766000000554422
86361.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
86362
86363.xword 0x706200000054462a
86364.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
86365
86366.xword 0x784d005800423721
86367.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
86368
86369.xword 0x804d006000504020
86370.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
86371
86372.xword 0x0000006000408020
86373.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
86374
86375.xword 0
86376.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (10)
86377
86378.xword 0
86379.xword 0x00020090 | (6 << 18) !ma_ctl_Ld (11)
86380
86381.xword 0
86382.xword 0x0002013f | (6 << 18) !ma_ctl_St (11)
86383
86384.xword 0x80604020
86385.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (11)
86386
86387.xword 0x356a
86388.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (11)
86389
86390.xword 0x0000006000408020
86391.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
86392
86393.xword 0x6a35
86394.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
86395
86396.xword 0x78285050
86397.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
86398
86399.xword 0x80604020
86400.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
86401
86402.xword 0x00287850
86403.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (11)
86404
86405.xword 0x00287850
86406.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (11)
86407
86408.xword 0x78285050
86409.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (11)
86410
86411.xword 0x64500000003c0000
86412.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
86413
86414.xword 0x7766000000554422
86415.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
86416
86417.xword 0x706200000054462a
86418.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
86419
86420.xword 0x784d005800423721
86421.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
86422
86423.xword 0x804d006000504020
86424.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
86425
86426.xword 0x0000006000408020
86427.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
86428
86429.xword 0
86430.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (11)
86431
86432.xword 0
86433.xword 0x0002008b | (6 << 18) !ma_ctl_Ld (12)
86434
86435.xword 0
86436.xword 0x00020120 | (6 << 18) !ma_ctl_St (12)
86437
86438.xword 0x80604020
86439.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (12)
86440
86441.xword 0x356a
86442.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (12)
86443
86444.xword 0x0000006000408020
86445.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
86446
86447.xword 0x6a35
86448.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
86449
86450.xword 0x78285050
86451.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
86452
86453.xword 0x80604020
86454.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
86455
86456.xword 0x00287850
86457.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (12)
86458
86459.xword 0x00287850
86460.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (12)
86461
86462.xword 0x78285050
86463.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (12)
86464
86465.xword 0x64500000003c0000
86466.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
86467
86468.xword 0x7766000000554422
86469.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
86470
86471.xword 0x706200000054462a
86472.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
86473
86474.xword 0x784d005800423721
86475.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
86476
86477.xword 0x804d006000504020
86478.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
86479
86480.xword 0x0000006000408020
86481.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
86482
86483.xword 0
86484.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (12)
86485
86486.xword 0
86487.xword 0x00020080 | (6 << 18) !ma_ctl_Ld (13)
86488
86489.xword 0
86490.xword 0x0002016c | (6 << 18) !ma_ctl_St (13)
86491
86492.xword 0x80604020
86493.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (13)
86494
86495.xword 0x356a
86496.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (13)
86497
86498.xword 0x0000006000408020
86499.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
86500
86501.xword 0x6a35
86502.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
86503
86504.xword 0x78285050
86505.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
86506
86507.xword 0x80604020
86508.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
86509
86510.xword 0x00287850
86511.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (13)
86512
86513.xword 0x00287850
86514.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (13)
86515
86516.xword 0x78285050
86517.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (13)
86518
86519.xword 0x64500000003c0000
86520.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
86521
86522.xword 0x7766000000554422
86523.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
86524
86525.xword 0x706200000054462a
86526.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
86527
86528.xword 0x784d005800423721
86529.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
86530
86531.xword 0x804d006000504020
86532.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
86533
86534.xword 0x0000006000408020
86535.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
86536
86537.xword 0
86538.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (13)
86539
86540.xword 0
86541.xword 0x00020029 | (6 << 18) !ma_ctl_Ld (14)
86542
86543.xword 0
86544.xword 0x00020135 | (6 << 18) !ma_ctl_St (14)
86545
86546.xword 0x80604020
86547.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (14)
86548
86549.xword 0x356a
86550.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (14)
86551
86552.xword 0x0000006000408020
86553.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
86554
86555.xword 0x6a35
86556.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
86557
86558.xword 0x78285050
86559.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
86560
86561.xword 0x80604020
86562.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
86563
86564.xword 0x00287850
86565.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (14)
86566
86567.xword 0x00287850
86568.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (14)
86569
86570.xword 0x78285050
86571.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (14)
86572
86573.xword 0x64500000003c0000
86574.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
86575
86576.xword 0x7766000000554422
86577.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
86578
86579.xword 0x706200000054462a
86580.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
86581
86582.xword 0x784d005800423721
86583.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
86584
86585.xword 0x804d006000504020
86586.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
86587
86588.xword 0x0000006000408020
86589.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
86590
86591.xword 0
86592.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (14)
86593
86594.xword 0
86595.xword 0x0002004d | (6 << 18) !ma_ctl_Ld (15)
86596
86597.xword 0
86598.xword 0x0002014c | (6 << 18) !ma_ctl_St (15)
86599
86600.xword 0x80604020
86601.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (15)
86602
86603.xword 0x356a
86604.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (15)
86605
86606.xword 0x0000006000408020
86607.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
86608
86609.xword 0x6a35
86610.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
86611
86612.xword 0x78285050
86613.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
86614
86615.xword 0x80604020
86616.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
86617
86618.xword 0x00287850
86619.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (15)
86620
86621.xword 0x00287850
86622.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (15)
86623
86624.xword 0x78285050
86625.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (15)
86626
86627.xword 0x64500000003c0000
86628.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
86629
86630.xword 0x7766000000554422
86631.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
86632
86633.xword 0x706200000054462a
86634.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
86635
86636.xword 0x784d005800423721
86637.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
86638
86639.xword 0x804d006000504020
86640.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
86641
86642.xword 0x0000006000408020
86643.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
86644
86645.xword 0
86646.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (15)
86647
86648.xword 0
86649.xword 0x0002009a | (6 << 18) !ma_ctl_Ld (16)
86650
86651.xword 0
86652.xword 0x0002012d | (6 << 18) !ma_ctl_St (16)
86653
86654.xword 0x80604020
86655.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (16)
86656
86657.xword 0x356a
86658.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (16)
86659
86660.xword 0x0000006000408020
86661.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
86662
86663.xword 0x6a35
86664.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
86665
86666.xword 0x78285050
86667.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
86668
86669.xword 0x80604020
86670.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
86671
86672.xword 0x00287850
86673.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (16)
86674
86675.xword 0x00287850
86676.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (16)
86677
86678.xword 0x78285050
86679.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (16)
86680
86681.xword 0x64500000003c0000
86682.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
86683
86684.xword 0x7766000000554422
86685.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
86686
86687.xword 0x706200000054462a
86688.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
86689
86690.xword 0x784d005800423721
86691.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
86692
86693.xword 0x804d006000504020
86694.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
86695
86696.xword 0x0000006000408020
86697.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
86698
86699.xword 0
86700.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (16)
86701
86702.xword 0
86703.xword 0x0002009e | (6 << 18) !ma_ctl_Ld (17)
86704
86705.xword 0
86706.xword 0x00020135 | (6 << 18) !ma_ctl_St (17)
86707
86708.xword 0x80604020
86709.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (17)
86710
86711.xword 0x356a
86712.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (17)
86713
86714.xword 0x0000006000408020
86715.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
86716
86717.xword 0x6a35
86718.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
86719
86720.xword 0x78285050
86721.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
86722
86723.xword 0x80604020
86724.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
86725
86726.xword 0x00287850
86727.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (17)
86728
86729.xword 0x00287850
86730.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (17)
86731
86732.xword 0x78285050
86733.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (17)
86734
86735.xword 0x64500000003c0000
86736.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
86737
86738.xword 0x7766000000554422
86739.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
86740
86741.xword 0x706200000054462a
86742.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
86743
86744.xword 0x784d005800423721
86745.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
86746
86747.xword 0x804d006000504020
86748.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
86749
86750.xword 0x0000006000408020
86751.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
86752
86753.xword 0
86754.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (17)
86755
86756.xword 0
86757.xword 0x0002002d | (6 << 18) !ma_ctl_Ld (18)
86758
86759.xword 0
86760.xword 0x00020167 | (6 << 18) !ma_ctl_St (18)
86761
86762.xword 0x80604020
86763.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (18)
86764
86765.xword 0x356a
86766.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (18)
86767
86768.xword 0x0000006000408020
86769.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
86770
86771.xword 0x6a35
86772.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
86773
86774.xword 0x78285050
86775.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
86776
86777.xword 0x80604020
86778.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
86779
86780.xword 0x00287850
86781.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (18)
86782
86783.xword 0x00287850
86784.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (18)
86785
86786.xword 0x78285050
86787.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (18)
86788
86789.xword 0x64500000003c0000
86790.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
86791
86792.xword 0x7766000000554422
86793.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
86794
86795.xword 0x706200000054462a
86796.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
86797
86798.xword 0x784d005800423721
86799.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
86800
86801.xword 0x804d006000504020
86802.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
86803
86804.xword 0x0000006000408020
86805.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
86806
86807.xword 0
86808.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (18)
86809
86810.xword 0
86811.xword 0x0002002d | (6 << 18) !ma_ctl_Ld (19)
86812
86813.xword 0
86814.xword 0x0002016d | (6 << 18) !ma_ctl_St (19)
86815
86816.xword 0x80604020
86817.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (19)
86818
86819.xword 0x356a
86820.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (19)
86821
86822.xword 0x0000006000408020
86823.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
86824
86825.xword 0x6a35
86826.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
86827
86828.xword 0x78285050
86829.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
86830
86831.xword 0x80604020
86832.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
86833
86834.xword 0x00287850
86835.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (19)
86836
86837.xword 0x00287850
86838.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (19)
86839
86840.xword 0x78285050
86841.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
86842
86843.xword 0x64500000003c0000
86844.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
86845
86846.xword 0x7766000000554422
86847.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
86848
86849.xword 0x706200000054462a
86850.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
86851
86852.xword 0x784d005800423721
86853.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
86854
86855.xword 0x804d006000504020
86856.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
86857
86858.xword 0x0000006000408020
86859.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
86860
86861.xword 0
86862.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (19)
86863
86864.xword 0
86865.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (20)
86866
86867.xword 0
86868.xword 0x00020144 | (6 << 18) !ma_ctl_St (20)
86869
86870.xword 0x80604020
86871.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (20)
86872
86873.xword 0x356a
86874.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (20)
86875
86876.xword 0x0000006000408020
86877.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
86878
86879.xword 0x6a35
86880.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
86881
86882.xword 0x78285050
86883.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
86884
86885.xword 0x80604020
86886.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
86887
86888.xword 0x00287850
86889.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (20)
86890
86891.xword 0x00287850
86892.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (20)
86893
86894.xword 0x78285050
86895.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (20)
86896
86897.xword 0x64500000003c0000
86898.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
86899
86900.xword 0x7766000000554422
86901.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
86902
86903.xword 0x706200000054462a
86904.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
86905
86906.xword 0x784d005800423721
86907.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
86908
86909.xword 0x804d006000504020
86910.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
86911
86912.xword 0x0000006000408020
86913.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
86914
86915.xword 0
86916.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (20)
86917
86918.xword 0
86919.xword 0x00020096 | (6 << 18) !ma_ctl_Ld (21)
86920
86921.xword 0
86922.xword 0x00020144 | (6 << 18) !ma_ctl_St (21)
86923
86924.xword 0x80604020
86925.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (21)
86926
86927.xword 0x356a
86928.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (21)
86929
86930.xword 0x0000006000408020
86931.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
86932
86933.xword 0x6a35
86934.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
86935
86936.xword 0x78285050
86937.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
86938
86939.xword 0x80604020
86940.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
86941
86942.xword 0x00287850
86943.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (21)
86944
86945.xword 0x00287850
86946.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (21)
86947
86948.xword 0x78285050
86949.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (21)
86950
86951.xword 0x64500000003c0000
86952.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
86953
86954.xword 0x7766000000554422
86955.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
86956
86957.xword 0x706200000054462a
86958.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
86959
86960.xword 0x784d005800423721
86961.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
86962
86963.xword 0x804d006000504020
86964.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
86965
86966.xword 0x0000006000408020
86967.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
86968
86969.xword 0
86970.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (21)
86971
86972.xword 0
86973.xword 0x00020096 | (6 << 18) !ma_ctl_Ld (22)
86974
86975.xword 0
86976.xword 0x0002012b | (6 << 18) !ma_ctl_St (22)
86977
86978.xword 0x80604020
86979.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (22)
86980
86981.xword 0x356a
86982.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (22)
86983
86984.xword 0x0000006000408020
86985.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
86986
86987.xword 0x6a35
86988.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
86989
86990.xword 0x78285050
86991.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
86992
86993.xword 0x80604020
86994.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
86995
86996.xword 0x00287850
86997.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (22)
86998
86999.xword 0x00287850
87000.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (22)
87001
87002.xword 0x78285050
87003.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (22)
87004
87005.xword 0x64500000003c0000
87006.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
87007
87008.xword 0x7766000000554422
87009.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
87010
87011.xword 0x706200000054462a
87012.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
87013
87014.xword 0x784d005800423721
87015.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
87016
87017.xword 0x804d006000504020
87018.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
87019
87020.xword 0x0000006000408020
87021.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
87022
87023.xword 0
87024.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (22)
87025
87026.xword 0
87027.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (23)
87028
87029.xword 0
87030.xword 0x00020150 | (6 << 18) !ma_ctl_St (23)
87031
87032.xword 0x80604020
87033.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (23)
87034
87035.xword 0x356a
87036.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (23)
87037
87038.xword 0x0000006000408020
87039.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
87040
87041.xword 0x6a35
87042.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
87043
87044.xword 0x78285050
87045.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
87046
87047.xword 0x80604020
87048.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
87049
87050.xword 0x00287850
87051.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (23)
87052
87053.xword 0x00287850
87054.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (23)
87055
87056.xword 0x78285050
87057.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (23)
87058
87059.xword 0x64500000003c0000
87060.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
87061
87062.xword 0x7766000000554422
87063.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
87064
87065.xword 0x706200000054462a
87066.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
87067
87068.xword 0x784d005800423721
87069.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
87070
87071.xword 0x804d006000504020
87072.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
87073
87074.xword 0x0000006000408020
87075.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
87076
87077.xword 0
87078.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (23)
87079
87080.xword 0
87081.xword 0x00020037 | (6 << 18) !ma_ctl_Ld (24)
87082
87083.xword 0
87084.xword 0x00020146 | (6 << 18) !ma_ctl_St (24)
87085
87086.xword 0x80604020
87087.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (24)
87088
87089.xword 0x356a
87090.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (24)
87091
87092.xword 0x0000006000408020
87093.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
87094
87095.xword 0x6a35
87096.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
87097
87098.xword 0x78285050
87099.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
87100
87101.xword 0x80604020
87102.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
87103
87104.xword 0x00287850
87105.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (24)
87106
87107.xword 0x00287850
87108.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (24)
87109
87110.xword 0x78285050
87111.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (24)
87112
87113.xword 0x64500000003c0000
87114.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
87115
87116.xword 0x7766000000554422
87117.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
87118
87119.xword 0x706200000054462a
87120.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
87121
87122.xword 0x784d005800423721
87123.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
87124
87125.xword 0x804d006000504020
87126.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
87127
87128.xword 0x0000006000408020
87129.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
87130
87131.xword 0
87132.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (24)
87133
87134.xword 0
87135.xword 0x00020052 | (6 << 18) !ma_ctl_Ld (25)
87136
87137.xword 0
87138.xword 0x0002013d | (6 << 18) !ma_ctl_St (25)
87139
87140.xword 0x80604020
87141.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (25)
87142
87143.xword 0x356a
87144.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (25)
87145
87146.xword 0x0000006000408020
87147.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
87148
87149.xword 0x6a35
87150.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
87151
87152.xword 0x78285050
87153.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
87154
87155.xword 0x80604020
87156.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
87157
87158.xword 0x00287850
87159.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (25)
87160
87161.xword 0x00287850
87162.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (25)
87163
87164.xword 0x78285050
87165.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (25)
87166
87167.xword 0x64500000003c0000
87168.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
87169
87170.xword 0x7766000000554422
87171.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
87172
87173.xword 0x706200000054462a
87174.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
87175
87176.xword 0x784d005800423721
87177.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
87178
87179.xword 0x804d006000504020
87180.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
87181
87182.xword 0x0000006000408020
87183.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
87184
87185.xword 0
87186.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (25)
87187
87188.xword 0
87189.xword 0x00020049 | (6 << 18) !ma_ctl_Ld (26)
87190
87191.xword 0
87192.xword 0x0002017c | (6 << 18) !ma_ctl_St (26)
87193
87194.xword 0x80604020
87195.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (26)
87196
87197.xword 0x356a
87198.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (26)
87199
87200.xword 0x0000006000408020
87201.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
87202
87203.xword 0x6a35
87204.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
87205
87206.xword 0x78285050
87207.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
87208
87209.xword 0x80604020
87210.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
87211
87212.xword 0x00287850
87213.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (26)
87214
87215.xword 0x00287850
87216.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (26)
87217
87218.xword 0x78285050
87219.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (26)
87220
87221.xword 0x64500000003c0000
87222.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
87223
87224.xword 0x7766000000554422
87225.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
87226
87227.xword 0x706200000054462a
87228.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
87229
87230.xword 0x784d005800423721
87231.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
87232
87233.xword 0x804d006000504020
87234.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
87235
87236.xword 0x0000006000408020
87237.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
87238
87239.xword 0
87240.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (26)
87241
87242.xword 0
87243.xword 0x00020091 | (6 << 18) !ma_ctl_Ld (27)
87244
87245.xword 0
87246.xword 0x0002016f | (6 << 18) !ma_ctl_St (27)
87247
87248.xword 0x80604020
87249.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (27)
87250
87251.xword 0x356a
87252.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (27)
87253
87254.xword 0x0000006000408020
87255.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
87256
87257.xword 0x6a35
87258.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
87259
87260.xword 0x78285050
87261.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
87262
87263.xword 0x80604020
87264.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
87265
87266.xword 0x00287850
87267.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (27)
87268
87269.xword 0x00287850
87270.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (27)
87271
87272.xword 0x78285050
87273.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (27)
87274
87275.xword 0x64500000003c0000
87276.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
87277
87278.xword 0x7766000000554422
87279.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
87280
87281.xword 0x706200000054462a
87282.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
87283
87284.xword 0x784d005800423721
87285.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
87286
87287.xword 0x804d006000504020
87288.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
87289
87290.xword 0x0000006000408020
87291.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
87292
87293.xword 0
87294.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (27)
87295
87296.xword 0
87297.xword 0x0002008d | (6 << 18) !ma_ctl_Ld (28)
87298
87299.xword 0
87300.xword 0x00020162 | (6 << 18) !ma_ctl_St (28)
87301
87302.xword 0x80604020
87303.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (28)
87304
87305.xword 0x356a
87306.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (28)
87307
87308.xword 0x0000006000408020
87309.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
87310
87311.xword 0x6a35
87312.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
87313
87314.xword 0x78285050
87315.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
87316
87317.xword 0x80604020
87318.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
87319
87320.xword 0x00287850
87321.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (28)
87322
87323.xword 0x00287850
87324.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (28)
87325
87326.xword 0x78285050
87327.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (28)
87328
87329.xword 0x64500000003c0000
87330.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
87331
87332.xword 0x7766000000554422
87333.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
87334
87335.xword 0x706200000054462a
87336.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
87337
87338.xword 0x784d005800423721
87339.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
87340
87341.xword 0x804d006000504020
87342.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
87343
87344.xword 0x0000006000408020
87345.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
87346
87347.xword 0
87348.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (28)
87349
87350.xword 0
87351.xword 0x00020044 | (6 << 18) !ma_ctl_Ld (29)
87352
87353.xword 0
87354.xword 0x00020136 | (6 << 18) !ma_ctl_St (29)
87355
87356.xword 0x80604020
87357.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (29)
87358
87359.xword 0x356a
87360.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (29)
87361
87362.xword 0x0000006000408020
87363.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
87364
87365.xword 0x6a35
87366.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
87367
87368.xword 0x78285050
87369.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
87370
87371.xword 0x80604020
87372.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
87373
87374.xword 0x00287850
87375.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (29)
87376
87377.xword 0x00287850
87378.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (29)
87379
87380.xword 0x78285050
87381.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (29)
87382
87383.xword 0x64500000003c0000
87384.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
87385
87386.xword 0x7766000000554422
87387.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
87388
87389.xword 0x706200000054462a
87390.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
87391
87392.xword 0x784d005800423721
87393.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
87394
87395.xword 0x804d006000504020
87396.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
87397
87398.xword 0x0000006000408020
87399.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
87400
87401.xword 0
87402.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (29)
87403
87404.xword 0
87405.xword 0x0002004d | (6 << 18) !ma_ctl_Ld (30)
87406
87407.xword 0
87408.xword 0x0002011f | (6 << 18) !ma_ctl_St (30)
87409
87410.xword 0x80604020
87411.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (30)
87412
87413.xword 0x356a
87414.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (30)
87415
87416.xword 0x0000006000408020
87417.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
87418
87419.xword 0x6a35
87420.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
87421
87422.xword 0x78285050
87423.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
87424
87425.xword 0x80604020
87426.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
87427
87428.xword 0x00287850
87429.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (30)
87430
87431.xword 0x00287850
87432.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (30)
87433
87434.xword 0x78285050
87435.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (30)
87436
87437.xword 0x64500000003c0000
87438.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
87439
87440.xword 0x7766000000554422
87441.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
87442
87443.xword 0x706200000054462a
87444.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
87445
87446.xword 0x784d005800423721
87447.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
87448
87449.xword 0x804d006000504020
87450.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
87451
87452.xword 0x0000006000408020
87453.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
87454
87455.xword 0
87456.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (30)
87457
87458.xword 0
87459.xword 0x00020083 | (6 << 18) !ma_ctl_Ld (31)
87460
87461.xword 0
87462.xword 0x00020181 | (6 << 18) !ma_ctl_St (31)
87463
87464.xword 0x80604020
87465.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (31)
87466
87467.xword 0x356a
87468.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (31)
87469
87470.xword 0x0000006000408020
87471.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
87472
87473.xword 0x6a35
87474.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
87475
87476.xword 0x78285050
87477.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
87478
87479.xword 0x80604020
87480.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
87481
87482.xword 0x00287850
87483.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (31)
87484
87485.xword 0x00287850
87486.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (31)
87487
87488.xword 0x78285050
87489.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (31)
87490
87491.xword 0x64500000003c0000
87492.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
87493
87494.xword 0x7766000000554422
87495.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
87496
87497.xword 0x706200000054462a
87498.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
87499
87500.xword 0x784d005800423721
87501.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
87502
87503.xword 0x804d006000504020
87504.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
87505
87506.xword 0x0000006000408020
87507.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
87508
87509.xword 0
87510.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (31)
87511
87512.xword 0
87513.xword 0x0002009a | (6 << 18) !ma_ctl_Ld (32)
87514
87515.xword 0
87516.xword 0x00020174 | (6 << 18) !ma_ctl_St (32)
87517
87518.xword 0x80604020
87519.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (32)
87520
87521.xword 0x356a
87522.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (32)
87523
87524.xword 0x0000006000408020
87525.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
87526
87527.xword 0x6a35
87528.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
87529
87530.xword 0x78285050
87531.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
87532
87533.xword 0x80604020
87534.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
87535
87536.xword 0x00287850
87537.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (32)
87538
87539.xword 0x00287850
87540.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (32)
87541
87542.xword 0x78285050
87543.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (32)
87544
87545.xword 0x64500000003c0000
87546.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
87547
87548.xword 0x7766000000554422
87549.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
87550
87551.xword 0x706200000054462a
87552.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
87553
87554.xword 0x784d005800423721
87555.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
87556
87557.xword 0x804d006000504020
87558.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
87559
87560.xword 0x0000006000408020
87561.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
87562
87563.xword 0
87564.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (32)
87565
87566.xword 0
87567.xword 0x00020025 | (6 << 18) !ma_ctl_Ld (33)
87568
87569.xword 0
87570.xword 0x00020135 | (6 << 18) !ma_ctl_St (33)
87571
87572.xword 0x80604020
87573.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (33)
87574
87575.xword 0x356a
87576.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (33)
87577
87578.xword 0x0000006000408020
87579.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
87580
87581.xword 0x6a35
87582.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
87583
87584.xword 0x78285050
87585.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
87586
87587.xword 0x80604020
87588.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
87589
87590.xword 0x00287850
87591.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (33)
87592
87593.xword 0x00287850
87594.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (33)
87595
87596.xword 0x78285050
87597.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (33)
87598
87599.xword 0x64500000003c0000
87600.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
87601
87602.xword 0x7766000000554422
87603.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
87604
87605.xword 0x706200000054462a
87606.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
87607
87608.xword 0x784d005800423721
87609.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
87610
87611.xword 0x804d006000504020
87612.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
87613
87614.xword 0x0000006000408020
87615.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
87616
87617.xword 0
87618.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (33)
87619
87620.xword 0
87621.xword 0x00020078 | (6 << 18) !ma_ctl_Ld (34)
87622
87623.xword 0
87624.xword 0x00020151 | (6 << 18) !ma_ctl_St (34)
87625
87626.xword 0x80604020
87627.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (34)
87628
87629.xword 0x356a
87630.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (34)
87631
87632.xword 0x0000006000408020
87633.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
87634
87635.xword 0x6a35
87636.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
87637
87638.xword 0x78285050
87639.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
87640
87641.xword 0x80604020
87642.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
87643
87644.xword 0x00287850
87645.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (34)
87646
87647.xword 0x00287850
87648.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (34)
87649
87650.xword 0x78285050
87651.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (34)
87652
87653.xword 0x64500000003c0000
87654.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
87655
87656.xword 0x7766000000554422
87657.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
87658
87659.xword 0x706200000054462a
87660.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
87661
87662.xword 0x784d005800423721
87663.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
87664
87665.xword 0x804d006000504020
87666.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
87667
87668.xword 0x0000006000408020
87669.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
87670
87671.xword 0
87672.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (34)
87673
87674.xword 0
87675.xword 0x00020038 | (6 << 18) !ma_ctl_Ld (35)
87676
87677.xword 0
87678.xword 0x00020184 | (6 << 18) !ma_ctl_St (35)
87679
87680.xword 0x80604020
87681.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (35)
87682
87683.xword 0x356a
87684.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (35)
87685
87686.xword 0x0000006000408020
87687.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
87688
87689.xword 0x6a35
87690.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
87691
87692.xword 0x78285050
87693.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
87694
87695.xword 0x80604020
87696.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
87697
87698.xword 0x00287850
87699.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (35)
87700
87701.xword 0x00287850
87702.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (35)
87703
87704.xword 0x78285050
87705.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (35)
87706
87707.xword 0x64500000003c0000
87708.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
87709
87710.xword 0x7766000000554422
87711.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
87712
87713.xword 0x706200000054462a
87714.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
87715
87716.xword 0x784d005800423721
87717.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
87718
87719.xword 0x804d006000504020
87720.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
87721
87722.xword 0x0000006000408020
87723.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
87724
87725.xword 0
87726.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (35)
87727
87728.xword 0
87729.xword 0x00020020 | (6 << 18) !ma_ctl_Ld (36)
87730
87731.xword 0
87732.xword 0x0002013a | (6 << 18) !ma_ctl_St (36)
87733
87734.xword 0x80604020
87735.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (36)
87736
87737.xword 0x356a
87738.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (36)
87739
87740.xword 0x0000006000408020
87741.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
87742
87743.xword 0x6a35
87744.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
87745
87746.xword 0x78285050
87747.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
87748
87749.xword 0x80604020
87750.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
87751
87752.xword 0x00287850
87753.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (36)
87754
87755.xword 0x00287850
87756.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (36)
87757
87758.xword 0x78285050
87759.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (36)
87760
87761.xword 0x64500000003c0000
87762.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
87763
87764.xword 0x7766000000554422
87765.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
87766
87767.xword 0x706200000054462a
87768.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
87769
87770.xword 0x784d005800423721
87771.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
87772
87773.xword 0x804d006000504020
87774.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
87775
87776.xword 0x0000006000408020
87777.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
87778
87779.xword 0
87780.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (36)
87781
87782.xword 0
87783.xword 0x0002007e | (6 << 18) !ma_ctl_Ld (37)
87784
87785.xword 0
87786.xword 0x00020189 | (6 << 18) !ma_ctl_St (37)
87787
87788.xword 0x80604020
87789.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (37)
87790
87791.xword 0x356a
87792.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (37)
87793
87794.xword 0x0000006000408020
87795.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
87796
87797.xword 0x6a35
87798.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
87799
87800.xword 0x78285050
87801.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
87802
87803.xword 0x80604020
87804.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
87805
87806.xword 0x00287850
87807.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (37)
87808
87809.xword 0x00287850
87810.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (37)
87811
87812.xword 0x78285050
87813.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (37)
87814
87815.xword 0x64500000003c0000
87816.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
87817
87818.xword 0x7766000000554422
87819.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
87820
87821.xword 0x706200000054462a
87822.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
87823
87824.xword 0x784d005800423721
87825.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
87826
87827.xword 0x804d006000504020
87828.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
87829
87830.xword 0x0000006000408020
87831.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
87832
87833.xword 0
87834.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (37)
87835
87836.xword 0
87837.xword 0x00020094 | (6 << 18) !ma_ctl_Ld (38)
87838
87839.xword 0
87840.xword 0x0002013d | (6 << 18) !ma_ctl_St (38)
87841
87842.xword 0x80604020
87843.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (38)
87844
87845.xword 0x356a
87846.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (38)
87847
87848.xword 0x0000006000408020
87849.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
87850
87851.xword 0x6a35
87852.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
87853
87854.xword 0x78285050
87855.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
87856
87857.xword 0x80604020
87858.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
87859
87860.xword 0x00287850
87861.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (38)
87862
87863.xword 0x00287850
87864.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (38)
87865
87866.xword 0x78285050
87867.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (38)
87868
87869.xword 0x64500000003c0000
87870.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
87871
87872.xword 0x7766000000554422
87873.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
87874
87875.xword 0x706200000054462a
87876.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
87877
87878.xword 0x784d005800423721
87879.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
87880
87881.xword 0x804d006000504020
87882.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
87883
87884.xword 0x0000006000408020
87885.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
87886
87887.xword 0
87888.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (38)
87889
87890.xword 0
87891.xword 0x00020084 | (6 << 18) !ma_ctl_Ld (39)
87892
87893.xword 0
87894.xword 0x00020170 | (6 << 18) !ma_ctl_St (39)
87895
87896.xword 0x80604020
87897.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (39)
87898
87899.xword 0x356a
87900.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (39)
87901
87902.xword 0x0000006000408020
87903.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
87904
87905.xword 0x6a35
87906.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
87907
87908.xword 0x78285050
87909.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
87910
87911.xword 0x80604020
87912.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
87913
87914.xword 0x00287850
87915.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (39)
87916
87917.xword 0x00287850
87918.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (39)
87919
87920.xword 0x78285050
87921.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
87922
87923.xword 0x64500000003c0000
87924.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
87925
87926.xword 0x7766000000554422
87927.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
87928
87929.xword 0x706200000054462a
87930.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
87931
87932.xword 0x784d005800423721
87933.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
87934
87935.xword 0x804d006000504020
87936.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
87937
87938.xword 0x0000006000408020
87939.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
87940
87941.xword 0
87942.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (39)
87943
87944.xword 0
87945.xword 0x0002004e | (6 << 18) !ma_ctl_Ld (40)
87946
87947.xword 0
87948.xword 0x0002013d | (6 << 18) !ma_ctl_St (40)
87949
87950.xword 0x80604020
87951.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (40)
87952
87953.xword 0x356a
87954.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (40)
87955
87956.xword 0x0000006000408020
87957.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
87958
87959.xword 0x6a35
87960.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
87961
87962.xword 0x78285050
87963.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
87964
87965.xword 0x80604020
87966.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
87967
87968.xword 0x00287850
87969.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (40)
87970
87971.xword 0x00287850
87972.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (40)
87973
87974.xword 0x78285050
87975.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (40)
87976
87977.xword 0x64500000003c0000
87978.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
87979
87980.xword 0x7766000000554422
87981.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
87982
87983.xword 0x706200000054462a
87984.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
87985
87986.xword 0x784d005800423721
87987.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
87988
87989.xword 0x804d006000504020
87990.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
87991
87992.xword 0x0000006000408020
87993.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
87994
87995.xword 0
87996.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (40)
87997
87998.xword 0
87999.xword 0x00020027 | (6 << 18) !ma_ctl_Ld (41)
88000
88001.xword 0
88002.xword 0x00020164 | (6 << 18) !ma_ctl_St (41)
88003
88004.xword 0x80604020
88005.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (41)
88006
88007.xword 0x356a
88008.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (41)
88009
88010.xword 0x0000006000408020
88011.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
88012
88013.xword 0x6a35
88014.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
88015
88016.xword 0x78285050
88017.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
88018
88019.xword 0x80604020
88020.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
88021
88022.xword 0x00287850
88023.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (41)
88024
88025.xword 0x00287850
88026.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (41)
88027
88028.xword 0x78285050
88029.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (41)
88030
88031.xword 0x64500000003c0000
88032.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
88033
88034.xword 0x7766000000554422
88035.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
88036
88037.xword 0x706200000054462a
88038.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
88039
88040.xword 0x784d005800423721
88041.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
88042
88043.xword 0x804d006000504020
88044.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
88045
88046.xword 0x0000006000408020
88047.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
88048
88049.xword 0
88050.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (41)
88051
88052.xword 0
88053.xword 0x0002008c | (6 << 18) !ma_ctl_Ld (42)
88054
88055.xword 0
88056.xword 0x00020126 | (6 << 18) !ma_ctl_St (42)
88057
88058.xword 0x80604020
88059.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (42)
88060
88061.xword 0x356a
88062.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (42)
88063
88064.xword 0x0000006000408020
88065.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
88066
88067.xword 0x6a35
88068.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
88069
88070.xword 0x78285050
88071.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
88072
88073.xword 0x80604020
88074.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
88075
88076.xword 0x00287850
88077.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (42)
88078
88079.xword 0x00287850
88080.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (42)
88081
88082.xword 0x78285050
88083.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (42)
88084
88085.xword 0x64500000003c0000
88086.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
88087
88088.xword 0x7766000000554422
88089.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
88090
88091.xword 0x706200000054462a
88092.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
88093
88094.xword 0x784d005800423721
88095.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
88096
88097.xword 0x804d006000504020
88098.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
88099
88100.xword 0x0000006000408020
88101.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
88102
88103.xword 0
88104.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (42)
88105
88106.xword 0
88107.xword 0x0002008d | (6 << 18) !ma_ctl_Ld (43)
88108
88109.xword 0
88110.xword 0x00020159 | (6 << 18) !ma_ctl_St (43)
88111
88112.xword 0x80604020
88113.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (43)
88114
88115.xword 0x356a
88116.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (43)
88117
88118.xword 0x0000006000408020
88119.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
88120
88121.xword 0x6a35
88122.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
88123
88124.xword 0x78285050
88125.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
88126
88127.xword 0x80604020
88128.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
88129
88130.xword 0x00287850
88131.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (43)
88132
88133.xword 0x00287850
88134.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (43)
88135
88136.xword 0x78285050
88137.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (43)
88138
88139.xword 0x64500000003c0000
88140.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
88141
88142.xword 0x7766000000554422
88143.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
88144
88145.xword 0x706200000054462a
88146.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
88147
88148.xword 0x784d005800423721
88149.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
88150
88151.xword 0x804d006000504020
88152.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
88153
88154.xword 0x0000006000408020
88155.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
88156
88157.xword 0
88158.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (43)
88159
88160.xword 0
88161.xword 0x0002009d | (6 << 18) !ma_ctl_Ld (44)
88162
88163.xword 0
88164.xword 0x0002014d | (6 << 18) !ma_ctl_St (44)
88165
88166.xword 0x80604020
88167.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (44)
88168
88169.xword 0x356a
88170.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (44)
88171
88172.xword 0x0000006000408020
88173.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
88174
88175.xword 0x6a35
88176.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
88177
88178.xword 0x78285050
88179.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
88180
88181.xword 0x80604020
88182.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
88183
88184.xword 0x00287850
88185.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (44)
88186
88187.xword 0x00287850
88188.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (44)
88189
88190.xword 0x78285050
88191.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (44)
88192
88193.xword 0x64500000003c0000
88194.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
88195
88196.xword 0x7766000000554422
88197.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
88198
88199.xword 0x706200000054462a
88200.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
88201
88202.xword 0x784d005800423721
88203.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
88204
88205.xword 0x804d006000504020
88206.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
88207
88208.xword 0x0000006000408020
88209.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
88210
88211.xword 0
88212.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (44)
88213
88214.xword 0
88215.xword 0x0002006d | (6 << 18) !ma_ctl_Ld (45)
88216
88217.xword 0
88218.xword 0x00020125 | (6 << 18) !ma_ctl_St (45)
88219
88220.xword 0x80604020
88221.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (45)
88222
88223.xword 0x356a
88224.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (45)
88225
88226.xword 0x0000006000408020
88227.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
88228
88229.xword 0x6a35
88230.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
88231
88232.xword 0x78285050
88233.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
88234
88235.xword 0x80604020
88236.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
88237
88238.xword 0x00287850
88239.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (45)
88240
88241.xword 0x00287850
88242.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (45)
88243
88244.xword 0x78285050
88245.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (45)
88246
88247.xword 0x64500000003c0000
88248.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
88249
88250.xword 0x7766000000554422
88251.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
88252
88253.xword 0x706200000054462a
88254.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
88255
88256.xword 0x784d005800423721
88257.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
88258
88259.xword 0x804d006000504020
88260.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
88261
88262.xword 0x0000006000408020
88263.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
88264
88265.xword 0
88266.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (45)
88267
88268.xword 0
88269.xword 0x00020027 | (6 << 18) !ma_ctl_Ld (46)
88270
88271.xword 0
88272.xword 0x0002018d | (6 << 18) !ma_ctl_St (46)
88273
88274.xword 0x80604020
88275.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (46)
88276
88277.xword 0x356a
88278.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (46)
88279
88280.xword 0x0000006000408020
88281.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
88282
88283.xword 0x6a35
88284.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
88285
88286.xword 0x78285050
88287.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
88288
88289.xword 0x80604020
88290.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
88291
88292.xword 0x00287850
88293.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (46)
88294
88295.xword 0x00287850
88296.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (46)
88297
88298.xword 0x78285050
88299.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (46)
88300
88301.xword 0x64500000003c0000
88302.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
88303
88304.xword 0x7766000000554422
88305.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
88306
88307.xword 0x706200000054462a
88308.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
88309
88310.xword 0x784d005800423721
88311.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
88312
88313.xword 0x804d006000504020
88314.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
88315
88316.xword 0x0000006000408020
88317.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
88318
88319.xword 0
88320.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (46)
88321
88322.xword 0
88323.xword 0x00020042 | (6 << 18) !ma_ctl_Ld (47)
88324
88325.xword 0
88326.xword 0x0002018a | (6 << 18) !ma_ctl_St (47)
88327
88328.xword 0x80604020
88329.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (47)
88330
88331.xword 0x356a
88332.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (47)
88333
88334.xword 0x0000006000408020
88335.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
88336
88337.xword 0x6a35
88338.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
88339
88340.xword 0x78285050
88341.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
88342
88343.xword 0x80604020
88344.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
88345
88346.xword 0x00287850
88347.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (47)
88348
88349.xword 0x00287850
88350.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (47)
88351
88352.xword 0x78285050
88353.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (47)
88354
88355.xword 0x64500000003c0000
88356.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
88357
88358.xword 0x7766000000554422
88359.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
88360
88361.xword 0x706200000054462a
88362.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
88363
88364.xword 0x784d005800423721
88365.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
88366
88367.xword 0x804d006000504020
88368.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
88369
88370.xword 0x0000006000408020
88371.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
88372
88373.xword 0
88374.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (47)
88375
88376.xword 0
88377.xword 0x00020075 | (6 << 18) !ma_ctl_Ld (48)
88378
88379.xword 0
88380.xword 0x00020177 | (6 << 18) !ma_ctl_St (48)
88381
88382.xword 0x80604020
88383.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (48)
88384
88385.xword 0x356a
88386.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (48)
88387
88388.xword 0x0000006000408020
88389.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
88390
88391.xword 0x6a35
88392.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
88393
88394.xword 0x78285050
88395.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
88396
88397.xword 0x80604020
88398.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
88399
88400.xword 0x00287850
88401.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (48)
88402
88403.xword 0x00287850
88404.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (48)
88405
88406.xword 0x78285050
88407.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (48)
88408
88409.xword 0x64500000003c0000
88410.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
88411
88412.xword 0x7766000000554422
88413.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
88414
88415.xword 0x706200000054462a
88416.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
88417
88418.xword 0x784d005800423721
88419.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
88420
88421.xword 0x804d006000504020
88422.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
88423
88424.xword 0x0000006000408020
88425.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
88426
88427.xword 0
88428.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (48)
88429
88430.xword 0
88431.xword 0x00020082 | (6 << 18) !ma_ctl_Ld (49)
88432
88433.xword 0
88434.xword 0x0002011f | (6 << 18) !ma_ctl_St (49)
88435
88436.xword 0x80604020
88437.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (49)
88438
88439.xword 0x356a
88440.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (49)
88441
88442.xword 0x0000006000408020
88443.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
88444
88445.xword 0x6a35
88446.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
88447
88448.xword 0x78285050
88449.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
88450
88451.xword 0x80604020
88452.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
88453
88454.xword 0x00287850
88455.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (49)
88456
88457.xword 0x00287850
88458.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (49)
88459
88460.xword 0x78285050
88461.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (49)
88462
88463.xword 0x64500000003c0000
88464.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
88465
88466.xword 0x7766000000554422
88467.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
88468
88469.xword 0x706200000054462a
88470.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
88471
88472.xword 0x784d005800423721
88473.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
88474
88475.xword 0x804d006000504020
88476.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
88477
88478.xword 0x0000006000408020
88479.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
88480
88481.xword 0
88482.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (49)
88483
88484.xword 0
88485.xword 0x0002005d | (6 << 18) !ma_ctl_Ld (50)
88486
88487.xword 0
88488.xword 0x00020132 | (6 << 18) !ma_ctl_St (50)
88489
88490.xword 0x80604020
88491.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (50)
88492
88493.xword 0x356a
88494.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (50)
88495
88496.xword 0x0000006000408020
88497.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
88498
88499.xword 0x6a35
88500.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
88501
88502.xword 0x78285050
88503.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
88504
88505.xword 0x80604020
88506.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
88507
88508.xword 0x00287850
88509.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (50)
88510
88511.xword 0x00287850
88512.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (50)
88513
88514.xword 0x78285050
88515.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (50)
88516
88517.xword 0x64500000003c0000
88518.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
88519
88520.xword 0x7766000000554422
88521.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
88522
88523.xword 0x706200000054462a
88524.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
88525
88526.xword 0x784d005800423721
88527.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
88528
88529.xword 0x804d006000504020
88530.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
88531
88532.xword 0x0000006000408020
88533.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
88534
88535.xword 0
88536.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (50)
88537
88538.xword 0
88539.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (51)
88540
88541.xword 0
88542.xword 0x00020165 | (6 << 18) !ma_ctl_St (51)
88543
88544.xword 0x80604020
88545.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (51)
88546
88547.xword 0x356a
88548.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (51)
88549
88550.xword 0x0000006000408020
88551.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
88552
88553.xword 0x6a35
88554.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
88555
88556.xword 0x78285050
88557.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
88558
88559.xword 0x80604020
88560.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
88561
88562.xword 0x00287850
88563.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (51)
88564
88565.xword 0x00287850
88566.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (51)
88567
88568.xword 0x78285050
88569.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (51)
88570
88571.xword 0x64500000003c0000
88572.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
88573
88574.xword 0x7766000000554422
88575.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
88576
88577.xword 0x706200000054462a
88578.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
88579
88580.xword 0x784d005800423721
88581.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
88582
88583.xword 0x804d006000504020
88584.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
88585
88586.xword 0x0000006000408020
88587.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
88588
88589.xword 0
88590.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (51)
88591
88592.xword 0
88593.xword 0x00020032 | (6 << 18) !ma_ctl_Ld (52)
88594
88595.xword 0
88596.xword 0x00020147 | (6 << 18) !ma_ctl_St (52)
88597
88598.xword 0x80604020
88599.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (52)
88600
88601.xword 0x356a
88602.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (52)
88603
88604.xword 0x0000006000408020
88605.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
88606
88607.xword 0x6a35
88608.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
88609
88610.xword 0x78285050
88611.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
88612
88613.xword 0x80604020
88614.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
88615
88616.xword 0x00287850
88617.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (52)
88618
88619.xword 0x00287850
88620.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (52)
88621
88622.xword 0x78285050
88623.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (52)
88624
88625.xword 0x64500000003c0000
88626.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
88627
88628.xword 0x7766000000554422
88629.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
88630
88631.xword 0x706200000054462a
88632.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
88633
88634.xword 0x784d005800423721
88635.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
88636
88637.xword 0x804d006000504020
88638.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
88639
88640.xword 0x0000006000408020
88641.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
88642
88643.xword 0
88644.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (52)
88645
88646.xword 0
88647.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (53)
88648
88649.xword 0
88650.xword 0x00020125 | (6 << 18) !ma_ctl_St (53)
88651
88652.xword 0x80604020
88653.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (53)
88654
88655.xword 0x356a
88656.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (53)
88657
88658.xword 0x0000006000408020
88659.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
88660
88661.xword 0x6a35
88662.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
88663
88664.xword 0x78285050
88665.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
88666
88667.xword 0x80604020
88668.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
88669
88670.xword 0x00287850
88671.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (53)
88672
88673.xword 0x00287850
88674.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (53)
88675
88676.xword 0x78285050
88677.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (53)
88678
88679.xword 0x64500000003c0000
88680.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
88681
88682.xword 0x7766000000554422
88683.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
88684
88685.xword 0x706200000054462a
88686.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
88687
88688.xword 0x784d005800423721
88689.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
88690
88691.xword 0x804d006000504020
88692.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
88693
88694.xword 0x0000006000408020
88695.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
88696
88697.xword 0
88698.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (53)
88699
88700.xword 0
88701.xword 0x00020093 | (6 << 18) !ma_ctl_Ld (54)
88702
88703.xword 0
88704.xword 0x0002018c | (6 << 18) !ma_ctl_St (54)
88705
88706.xword 0x80604020
88707.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (54)
88708
88709.xword 0x356a
88710.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (54)
88711
88712.xword 0x0000006000408020
88713.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
88714
88715.xword 0x6a35
88716.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
88717
88718.xword 0x78285050
88719.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
88720
88721.xword 0x80604020
88722.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
88723
88724.xword 0x00287850
88725.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (54)
88726
88727.xword 0x00287850
88728.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (54)
88729
88730.xword 0x78285050
88731.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (54)
88732
88733.xword 0x64500000003c0000
88734.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
88735
88736.xword 0x7766000000554422
88737.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
88738
88739.xword 0x706200000054462a
88740.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
88741
88742.xword 0x784d005800423721
88743.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
88744
88745.xword 0x804d006000504020
88746.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
88747
88748.xword 0x0000006000408020
88749.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
88750
88751.xword 0
88752.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (54)
88753
88754.xword 0
88755.xword 0x00020030 | (6 << 18) !ma_ctl_Ld (55)
88756
88757.xword 0
88758.xword 0x00020151 | (6 << 18) !ma_ctl_St (55)
88759
88760.xword 0x80604020
88761.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (55)
88762
88763.xword 0x356a
88764.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (55)
88765
88766.xword 0x0000006000408020
88767.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
88768
88769.xword 0x6a35
88770.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
88771
88772.xword 0x78285050
88773.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
88774
88775.xword 0x80604020
88776.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
88777
88778.xword 0x00287850
88779.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (55)
88780
88781.xword 0x00287850
88782.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (55)
88783
88784.xword 0x78285050
88785.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (55)
88786
88787.xword 0x64500000003c0000
88788.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
88789
88790.xword 0x7766000000554422
88791.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
88792
88793.xword 0x706200000054462a
88794.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
88795
88796.xword 0x784d005800423721
88797.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
88798
88799.xword 0x804d006000504020
88800.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
88801
88802.xword 0x0000006000408020
88803.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
88804
88805.xword 0
88806.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (55)
88807
88808.xword 0
88809.xword 0x00020075 | (6 << 18) !ma_ctl_Ld (56)
88810
88811.xword 0
88812.xword 0x00020144 | (6 << 18) !ma_ctl_St (56)
88813
88814.xword 0x80604020
88815.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (56)
88816
88817.xword 0x356a
88818.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (56)
88819
88820.xword 0x0000006000408020
88821.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
88822
88823.xword 0x6a35
88824.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
88825
88826.xword 0x78285050
88827.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
88828
88829.xword 0x80604020
88830.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
88831
88832.xword 0x00287850
88833.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (56)
88834
88835.xword 0x00287850
88836.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (56)
88837
88838.xword 0x78285050
88839.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (56)
88840
88841.xword 0x64500000003c0000
88842.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
88843
88844.xword 0x7766000000554422
88845.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
88846
88847.xword 0x706200000054462a
88848.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
88849
88850.xword 0x784d005800423721
88851.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
88852
88853.xword 0x804d006000504020
88854.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
88855
88856.xword 0x0000006000408020
88857.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
88858
88859.xword 0
88860.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (56)
88861
88862.xword 0
88863.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (57)
88864
88865.xword 0
88866.xword 0x00020161 | (6 << 18) !ma_ctl_St (57)
88867
88868.xword 0x80604020
88869.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (57)
88870
88871.xword 0x356a
88872.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (57)
88873
88874.xword 0x0000006000408020
88875.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
88876
88877.xword 0x6a35
88878.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
88879
88880.xword 0x78285050
88881.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
88882
88883.xword 0x80604020
88884.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
88885
88886.xword 0x00287850
88887.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (57)
88888
88889.xword 0x00287850
88890.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (57)
88891
88892.xword 0x78285050
88893.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (57)
88894
88895.xword 0x64500000003c0000
88896.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
88897
88898.xword 0x7766000000554422
88899.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
88900
88901.xword 0x706200000054462a
88902.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
88903
88904.xword 0x784d005800423721
88905.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
88906
88907.xword 0x804d006000504020
88908.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
88909
88910.xword 0x0000006000408020
88911.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
88912
88913.xword 0
88914.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (57)
88915
88916.xword 0
88917.xword 0x00020056 | (6 << 18) !ma_ctl_Ld (58)
88918
88919.xword 0
88920.xword 0x00020147 | (6 << 18) !ma_ctl_St (58)
88921
88922.xword 0x80604020
88923.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (58)
88924
88925.xword 0x356a
88926.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (58)
88927
88928.xword 0x0000006000408020
88929.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
88930
88931.xword 0x6a35
88932.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
88933
88934.xword 0x78285050
88935.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
88936
88937.xword 0x80604020
88938.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
88939
88940.xword 0x00287850
88941.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (58)
88942
88943.xword 0x00287850
88944.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (58)
88945
88946.xword 0x78285050
88947.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (58)
88948
88949.xword 0x64500000003c0000
88950.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
88951
88952.xword 0x7766000000554422
88953.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
88954
88955.xword 0x706200000054462a
88956.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
88957
88958.xword 0x784d005800423721
88959.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
88960
88961.xword 0x804d006000504020
88962.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
88963
88964.xword 0x0000006000408020
88965.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
88966
88967.xword 0
88968.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (58)
88969
88970
88971.align 8
88972.global _t2_ma_results4
88973_t2_ma_results4:
88974.xword 0xDEADBEEFDEADBEEF
88975.xword 0xDEADBEEFDEADBEEF
88976.xword 0xDEADBEEFDEADBEEF
88977.xword 0xDEADBEEFDEADBEEF
88978.xword 0xDEADBEEFDEADBEEF
88979.xword 0xDEADBEEFDEADBEEF
88980.xword 0xDEADBEEFDEADBEEF
88981.xword 0xDEADBEEFDEADBEEF
88982.xword 0xDEADBEEFDEADBEEF
88983.xword 0xDEADBEEFDEADBEEF
88984.xword 0xDEADBEEFDEADBEEF
88985.xword 0xDEADBEEFDEADBEEF
88986.xword 0xDEADBEEFDEADBEEF
88987.xword 0xDEADBEEFDEADBEEF
88988.xword 0xDEADBEEFDEADBEEF
88989.xword 0xDEADBEEFDEADBEEF
88990.xword 0xDEADBEEFDEADBEEF
88991.xword 0xDEADBEEFDEADBEEF
88992.xword 0xDEADBEEFDEADBEEF
88993.xword 0xDEADBEEFDEADBEEF
88994.xword 0xDEADBEEFDEADBEEF
88995.xword 0xDEADBEEFDEADBEEF
88996.xword 0xDEADBEEFDEADBEEF
88997.xword 0xDEADBEEFDEADBEEF
88998.xword 0xDEADBEEFDEADBEEF
88999.xword 0xDEADBEEFDEADBEEF
89000.xword 0xDEADBEEFDEADBEEF
89001.xword 0xDEADBEEFDEADBEEF
89002.xword 0xDEADBEEFDEADBEEF
89003.xword 0xDEADBEEFDEADBEEF
89004.xword 0xDEADBEEFDEADBEEF
89005.xword 0xDEADBEEFDEADBEEF
89006.xword 0xDEADBEEFDEADBEEF
89007.xword 0xDEADBEEFDEADBEEF
89008.xword 0xDEADBEEFDEADBEEF
89009.xword 0xDEADBEEFDEADBEEF
89010.xword 0xDEADBEEFDEADBEEF
89011.xword 0xDEADBEEFDEADBEEF
89012.xword 0xDEADBEEFDEADBEEF
89013.xword 0xDEADBEEFDEADBEEF
89014.xword 0xDEADBEEFDEADBEEF
89015.xword 0xDEADBEEFDEADBEEF
89016.xword 0xDEADBEEFDEADBEEF
89017.xword 0xDEADBEEFDEADBEEF
89018.xword 0xDEADBEEFDEADBEEF
89019.xword 0xDEADBEEFDEADBEEF
89020.xword 0xDEADBEEFDEADBEEF
89021.xword 0xDEADBEEFDEADBEEF
89022.xword 0xDEADBEEFDEADBEEF
89023.xword 0xDEADBEEFDEADBEEF
89024.xword 0xDEADBEEFDEADBEEF
89025.xword 0xDEADBEEFDEADBEEF
89026.xword 0xDEADBEEFDEADBEEF
89027.xword 0xDEADBEEFDEADBEEF
89028.xword 0xDEADBEEFDEADBEEF
89029.xword 0xDEADBEEFDEADBEEF
89030.xword 0xDEADBEEFDEADBEEF
89031.xword 0xDEADBEEFDEADBEEF
89032.xword 0xDEADBEEFDEADBEEF
89033.xword 0xDEADBEEFDEADBEEF
89034.xword 0xDEADBEEFDEADBEEF
89035.xword 0xDEADBEEFDEADBEEF
89036.xword 0xDEADBEEFDEADBEEF
89037.xword 0xDEADBEEFDEADBEEF
89038.xword 0xDEADBEEFDEADBEEF
89039.xword 0xDEADBEEFDEADBEEF
89040.xword 0xDEADBEEFDEADBEEF
89041.xword 0xDEADBEEFDEADBEEF
89042.xword 0xDEADBEEFDEADBEEF
89043.xword 0xDEADBEEFDEADBEEF
89044.xword 0xDEADBEEFDEADBEEF
89045.xword 0xDEADBEEFDEADBEEF
89046.xword 0xDEADBEEFDEADBEEF
89047.xword 0xDEADBEEFDEADBEEF
89048.xword 0xDEADBEEFDEADBEEF
89049.xword 0xDEADBEEFDEADBEEF
89050.xword 0xDEADBEEFDEADBEEF
89051.xword 0xDEADBEEFDEADBEEF
89052.xword 0xDEADBEEFDEADBEEF
89053.xword 0xDEADBEEFDEADBEEF
89054.xword 0xDEADBEEFDEADBEEF
89055.xword 0xDEADBEEFDEADBEEF
89056.xword 0xDEADBEEFDEADBEEF
89057.xword 0xDEADBEEFDEADBEEF
89058.xword 0xDEADBEEFDEADBEEF
89059.xword 0xDEADBEEFDEADBEEF
89060.xword 0xDEADBEEFDEADBEEF
89061.xword 0xDEADBEEFDEADBEEF
89062.xword 0xDEADBEEFDEADBEEF
89063.xword 0xDEADBEEFDEADBEEF
89064.xword 0xDEADBEEFDEADBEEF
89065.xword 0xDEADBEEFDEADBEEF
89066.xword 0xDEADBEEFDEADBEEF
89067.xword 0xDEADBEEFDEADBEEF
89068.xword 0xDEADBEEFDEADBEEF
89069.xword 0xDEADBEEFDEADBEEF
89070.xword 0xDEADBEEFDEADBEEF
89071.xword 0xDEADBEEFDEADBEEF
89072.xword 0xDEADBEEFDEADBEEF
89073.xword 0xDEADBEEFDEADBEEF
89074.xword 0xDEADBEEFDEADBEEF
89075.xword 0xDEADBEEFDEADBEEF
89076.xword 0xDEADBEEFDEADBEEF
89077.xword 0xDEADBEEFDEADBEEF
89078.xword 0xDEADBEEFDEADBEEF
89079.xword 0xDEADBEEFDEADBEEF
89080.xword 0xDEADBEEFDEADBEEF
89081.xword 0xDEADBEEFDEADBEEF
89082.xword 0xDEADBEEFDEADBEEF
89083.xword 0xDEADBEEFDEADBEEF
89084.xword 0xDEADBEEFDEADBEEF
89085.xword 0xDEADBEEFDEADBEEF
89086.xword 0xDEADBEEFDEADBEEF
89087.xword 0xDEADBEEFDEADBEEF
89088.xword 0xDEADBEEFDEADBEEF
89089.xword 0xDEADBEEFDEADBEEF
89090.xword 0xDEADBEEFDEADBEEF
89091.xword 0xDEADBEEFDEADBEEF
89092.xword 0xDEADBEEFDEADBEEF
89093.xword 0xDEADBEEFDEADBEEF
89094.xword 0xDEADBEEFDEADBEEF
89095.xword 0xDEADBEEFDEADBEEF
89096.xword 0xDEADBEEFDEADBEEF
89097.xword 0xDEADBEEFDEADBEEF
89098.xword 0xDEADBEEFDEADBEEF
89099.xword 0xDEADBEEFDEADBEEF
89100.xword 0xDEADBEEFDEADBEEF
89101.xword 0xDEADBEEFDEADBEEF
89102.xword 0xDEADBEEFDEADBEEF
89103.xword 0xDEADBEEFDEADBEEF
89104.xword 0xDEADBEEFDEADBEEF
89105.xword 0xDEADBEEFDEADBEEF
89106.xword 0xDEADBEEFDEADBEEF
89107.xword 0xDEADBEEFDEADBEEF
89108.xword 0xDEADBEEFDEADBEEF
89109.xword 0xDEADBEEFDEADBEEF
89110.xword 0xDEADBEEFDEADBEEF
89111.xword 0xDEADBEEFDEADBEEF
89112.xword 0xDEADBEEFDEADBEEF
89113.xword 0xDEADBEEFDEADBEEF
89114.xword 0xDEADBEEFDEADBEEF
89115.xword 0xDEADBEEFDEADBEEF
89116.xword 0xDEADBEEFDEADBEEF
89117.xword 0xDEADBEEFDEADBEEF
89118.xword 0xDEADBEEFDEADBEEF
89119.xword 0xDEADBEEFDEADBEEF
89120.xword 0xDEADBEEFDEADBEEF
89121.xword 0xDEADBEEFDEADBEEF
89122.xword 0xDEADBEEFDEADBEEF
89123.xword 0xDEADBEEFDEADBEEF
89124.xword 0xDEADBEEFDEADBEEF
89125.xword 0xDEADBEEFDEADBEEF
89126.xword 0xDEADBEEFDEADBEEF
89127.xword 0xDEADBEEFDEADBEEF
89128.xword 0xDEADBEEFDEADBEEF
89129.xword 0xDEADBEEFDEADBEEF
89130.xword 0xDEADBEEFDEADBEEF
89131.xword 0xDEADBEEFDEADBEEF
89132.xword 0xDEADBEEFDEADBEEF
89133.xword 0xDEADBEEFDEADBEEF
89134.xword 0xDEADBEEFDEADBEEF
89135.xword 0xDEADBEEFDEADBEEF
89136.xword 0xDEADBEEFDEADBEEF
89137.xword 0xDEADBEEFDEADBEEF
89138SECTION ._t2_T_MAX_DATA5 DATA_VA=273678336
89139attr_data {
89140 Name = ._t2_T_MAX_DATA5
89141 hypervisor
89142}
89143
89144.data
89145_t2_user_data_start:
89146_t2_scratch_area:
89147
89148.align 8
89149.global _t2_ma_operands5
89150_t2_ma_operands5:
89151.xword 0x982bbd8809fb2a2c
89152.xword 0xe807fc46db777dfe
89153.xword 0xe6c054dd9bae71b8
89154.xword 0xf29b11acff9466b6
89155.xword 0xb06a8c476d0f7f47
89156.xword 0x43b25b46824452b8
89157.xword 0x32f9e739abbe194d
89158.xword 0x6617104b1d492787
89159.xword 0x045d79bdc8d1b2c9
89160.xword 0x016acbe416e918f5
89161.xword 0x597d2df2f74bcc50
89162.xword 0xf0257870f9254d53
89163.xword 0x182a95d90ab21fae
89164.xword 0xf5e578fa19985207
89165.xword 0x55be47beea0ca7d5
89166.xword 0x414c9b983845c98c
89167.xword 0x6f17f850ce895d1f
89168.xword 0x72acc35e5673e81b
89169.xword 0x397e173e2f193800
89170.xword 0x3e38f27a6cc7ceb8
89171.xword 0x8780ce8531461f19
89172.xword 0x5e7e93d765299043
89173.xword 0xdb9a4d1344110915
89174.xword 0x6d216ba87cb5abe5
89175.xword 0xb04db177a307226e
89176.xword 0x23b725f4c163277c
89177.xword 0x8b637614e55e5f0a
89178.xword 0x7ec67b61f903fa2a
89179.xword 0x919341e5700509e3
89180.xword 0x79e0d20c198b6ae0
89181.xword 0xc714091704ed46e9
89182.xword 0xf72b0dcd654cc01f
89183.xword 0x21279428969d12e3
89184.xword 0x245442944baf33cb
89185.xword 0xd708ee9be6c94d6a
89186.xword 0xbeeef1ac01ad7443
89187.xword 0xac1aafde5a204a1c
89188.xword 0x7de2124b8ea52bbe
89189.xword 0x4cbfbe580d779681
89190.xword 0xfcba3f9fb021f2df
89191.xword 0x4e89ad1de5263a9a
89192.xword 0x9398a9faad4537b4
89193.xword 0x69988ab89caf56ca
89194.xword 0xf4caaae2bf9721ef
89195.xword 0x3e30aa56ca3c6e5a
89196.xword 0x948b23593f7fe99d
89197.xword 0x51d8effb27853597
89198.xword 0x44f19fa4b0da40ef
89199.xword 0xecf343c890248b56
89200.xword 0xe5ed5bbd3747e926
89201.xword 0x88b175fdbfeeeb4e
89202.xword 0xc56c9d48e6795b8e
89203.xword 0xe119cc10eb7e7af1
89204.xword 0xd244ca797881a78d
89205.xword 0xa190862551eb713b
89206.xword 0x636c069031f61127
89207.xword 0x015242257afb1166
89208.xword 0xc01b5fcf1d4c4163
89209.xword 0x9b692c6de5af8097
89210.xword 0x974ae2230f98f134
89211.xword 0xc6a382517127f76b
89212.xword 0x389c1ae901ce5952
89213.xword 0x58887e52c9ec69fa
89214.xword 0x2512a1ff94874ce3
89215.xword 0x4ab74267b83891ed
89216.xword 0x838f17dde5fab792
89217.xword 0xf6256a489a563dfe
89218.xword 0x3972824e0782ba40
89219.xword 0xdfe8758ec8523640
89220.xword 0x8bd4d2e39abaaa00
89221.xword 0x47e7be75f38e6060
89222.xword 0x8fa8b7ae43af785a
89223.xword 0x2798c14f9aa68105
89224.xword 0x223b5be8a2360665
89225.xword 0x2c7a29b372cc0531
89226.xword 0xe74d317fb0952a34
89227.xword 0xdf2c8a1e6023881f
89228.xword 0xd5e7c9b61665157e
89229.xword 0x21104a848a637bd8
89230.xword 0x31a2f053d5edf644
89231.xword 0x5a66dedf6622473e
89232.xword 0x0ca0c5998d0ed91a
89233.xword 0x65d520455a8f69e8
89234.xword 0x2f179f0b7a744476
89235.xword 0x444bddaed196a03e
89236.xword 0x5f4cba892b68b023
89237.xword 0xfcc83e3f13272891
89238.xword 0xd630b94cd70f1a15
89239.xword 0x5e69bb94fb8aeb31
89240.xword 0x72e2682238a2133a
89241.xword 0xbb7b960a0a86a98f
89242.xword 0xb5a68ad5750bdc37
89243.xword 0x5e5f77c0db91bf9b
89244.xword 0x0d3129369347cc70
89245.xword 0x084dd2b8354a8d63
89246.xword 0x4a80d8b7ab465c02
89247.xword 0xff74f1a5dead7492
89248.xword 0x2763ea779a882227
89249.xword 0x9ff3ee4ee47f1ed5
89250.xword 0x7509581b18430c5e
89251.xword 0x1d1486b8e7843848
89252.xword 0x5fab29a2024b3efc
89253.xword 0x8164f2f0157c4134
89254.xword 0x4f971e573d551ca9
89255.xword 0x58ae36e84aa0bb81
89256.xword 0xe7508715813d1eef
89257.xword 0xf62ac200e7188a2c
89258.xword 0x1dce124e1684dcc4
89259.xword 0x7268a39f9f7ad4a6
89260.xword 0xb7ecfae108f4640d
89261.xword 0xd8db370778966a2d
89262.xword 0x48be360fcbb2dd35
89263.xword 0x2268b95e3493ce48
89264.xword 0x7fd2dc05a927f6e6
89265.xword 0xefa1f95e0ee30ad2
89266.xword 0x235d96d567f129e6
89267.xword 0xbb39377d0a292a02
89268.xword 0xd71a6df104ead0a5
89269.xword 0x4e07c6497cd9be44
89270.xword 0x405d3ca13b1fc20b
89271.xword 0x703579858be204c7
89272.xword 0xa127b032477b817b
89273.xword 0x8c28b6338ae53425
89274.xword 0x6034a51b9685d036
89275.xword 0xeea6bd28d5d4624b
89276.xword 0x198b367bd2a99659
89277.xword 0x0121ab78e6191583
89278.xword 0x94e1a462d920f1e4
89279.xword 0xd6cd17f471c72869
89280.xword 0x846ba85051029d2c
89281.xword 0x863976243df20865
89282.xword 0x89d0379454cacca7
89283.xword 0xd5f793d8e9d4742b
89284.xword 0xa2d5579823dc5bfc
89285.xword 0x4dd3ff23eda93697
89286.xword 0x5e19d94829a7293a
89287.xword 0xa4ca472d1c76d768
89288.xword 0x21baba4fec56ff1e
89289.xword 0xf6ed7f51568742ce
89290.xword 0x1d9615e5fa46682c
89291.xword 0xb94d8edc9ef656c4
89292.xword 0xc20d6b71893025b3
89293.xword 0xa039d1cc111d5b0e
89294.xword 0x6c6fb25a3d2ba994
89295.xword 0xc5b264c28e7d38c2
89296.xword 0xd7fd80415b192c93
89297.xword 0xbc7fb48e984c04bd
89298.xword 0x62c5231ac9800057
89299.xword 0x372914dd104205db
89300.xword 0xeb99742dfdfe07c7
89301.xword 0x93112c3fafae7f68
89302.xword 0x62c5ee6ba56f14cd
89303.xword 0xf583f6844f6cb9fd
89304.xword 0x18fdcaaa1f1a1f8d
89305.xword 0xb9bf52e1406c13e8
89306.xword 0x239e3e1ddd2823d2
89307.xword 0xd9335d9e286ed196
89308.xword 0xf52419435fb81685
89309.xword 0xda1a620eb67fb171
89310.xword 0xdef990a0ae0e2ce3
89311.xword 0x268f4a27f8253070
89312.xword 0x2e3f45bc6c19d560
89313.xword 0xcc13c5aab309bc67
89314.xword 0x7f21cadadd8dbc3a
89315
89316.align 8
89317.global _t2_ma_operations5
89318_t2_ma_operations5:
89319.xword 0
89320.xword 0x0002004a | (6 << 18) !ma_ctl_Ld (0)
89321
89322.xword 0
89323.xword 0x00020165 | (6 << 18) !ma_ctl_St (0)
89324
89325.xword 0x80604020
89326.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (0)
89327
89328.xword 0x356a
89329.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (0)
89330
89331.xword 0x0000006000408020
89332.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
89333
89334.xword 0x6a35
89335.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
89336
89337.xword 0x78285050
89338.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
89339
89340.xword 0x80604020
89341.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
89342
89343.xword 0x00287850
89344.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (0)
89345
89346.xword 0x00287850
89347.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (0)
89348
89349.xword 0x78285050
89350.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (0)
89351
89352.xword 0x64500000003c0000
89353.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
89354
89355.xword 0x7766000000554422
89356.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
89357
89358.xword 0x706200000054462a
89359.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
89360
89361.xword 0x784d005800423721
89362.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
89363
89364.xword 0x804d006000504020
89365.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
89366
89367.xword 0x0000006000408020
89368.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
89369
89370.xword 0
89371.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (0)
89372
89373.xword 0
89374.xword 0x00020052 | (6 << 18) !ma_ctl_Ld (1)
89375
89376.xword 0
89377.xword 0x0002016b | (6 << 18) !ma_ctl_St (1)
89378
89379.xword 0x80604020
89380.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (1)
89381
89382.xword 0x356a
89383.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (1)
89384
89385.xword 0x0000006000408020
89386.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
89387
89388.xword 0x6a35
89389.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
89390
89391.xword 0x78285050
89392.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
89393
89394.xword 0x80604020
89395.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
89396
89397.xword 0x00287850
89398.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (1)
89399
89400.xword 0x00287850
89401.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
89402
89403.xword 0x78285050
89404.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (1)
89405
89406.xword 0x64500000003c0000
89407.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
89408
89409.xword 0x7766000000554422
89410.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
89411
89412.xword 0x706200000054462a
89413.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
89414
89415.xword 0x784d005800423721
89416.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
89417
89418.xword 0x804d006000504020
89419.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
89420
89421.xword 0x0000006000408020
89422.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
89423
89424.xword 0
89425.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (1)
89426
89427.xword 0
89428.xword 0x0002008a | (6 << 18) !ma_ctl_Ld (2)
89429
89430.xword 0
89431.xword 0x00020179 | (6 << 18) !ma_ctl_St (2)
89432
89433.xword 0x80604020
89434.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (2)
89435
89436.xword 0x356a
89437.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (2)
89438
89439.xword 0x0000006000408020
89440.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
89441
89442.xword 0x6a35
89443.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
89444
89445.xword 0x78285050
89446.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
89447
89448.xword 0x80604020
89449.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
89450
89451.xword 0x00287850
89452.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (2)
89453
89454.xword 0x00287850
89455.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (2)
89456
89457.xword 0x78285050
89458.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (2)
89459
89460.xword 0x64500000003c0000
89461.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
89462
89463.xword 0x7766000000554422
89464.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
89465
89466.xword 0x706200000054462a
89467.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
89468
89469.xword 0x784d005800423721
89470.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
89471
89472.xword 0x804d006000504020
89473.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
89474
89475.xword 0x0000006000408020
89476.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
89477
89478.xword 0
89479.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (2)
89480
89481.xword 0
89482.xword 0x0002001e | (6 << 18) !ma_ctl_Ld (3)
89483
89484.xword 0
89485.xword 0x00020134 | (6 << 18) !ma_ctl_St (3)
89486
89487.xword 0x80604020
89488.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (3)
89489
89490.xword 0x356a
89491.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (3)
89492
89493.xword 0x0000006000408020
89494.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
89495
89496.xword 0x6a35
89497.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
89498
89499.xword 0x78285050
89500.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
89501
89502.xword 0x80604020
89503.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
89504
89505.xword 0x00287850
89506.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (3)
89507
89508.xword 0x00287850
89509.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (3)
89510
89511.xword 0x78285050
89512.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (3)
89513
89514.xword 0x64500000003c0000
89515.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
89516
89517.xword 0x7766000000554422
89518.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
89519
89520.xword 0x706200000054462a
89521.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
89522
89523.xword 0x784d005800423721
89524.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
89525
89526.xword 0x804d006000504020
89527.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
89528
89529.xword 0x0000006000408020
89530.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
89531
89532.xword 0
89533.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (3)
89534
89535.xword 0
89536.xword 0x0002005b | (6 << 18) !ma_ctl_Ld (4)
89537
89538.xword 0
89539.xword 0x00020125 | (6 << 18) !ma_ctl_St (4)
89540
89541.xword 0x80604020
89542.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (4)
89543
89544.xword 0x356a
89545.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (4)
89546
89547.xword 0x0000006000408020
89548.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
89549
89550.xword 0x6a35
89551.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
89552
89553.xword 0x78285050
89554.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
89555
89556.xword 0x80604020
89557.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
89558
89559.xword 0x00287850
89560.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (4)
89561
89562.xword 0x00287850
89563.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (4)
89564
89565.xword 0x78285050
89566.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (4)
89567
89568.xword 0x64500000003c0000
89569.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
89570
89571.xword 0x7766000000554422
89572.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
89573
89574.xword 0x706200000054462a
89575.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
89576
89577.xword 0x784d005800423721
89578.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
89579
89580.xword 0x804d006000504020
89581.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
89582
89583.xword 0x0000006000408020
89584.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
89585
89586.xword 0
89587.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (4)
89588
89589.xword 0
89590.xword 0x00020096 | (6 << 18) !ma_ctl_Ld (5)
89591
89592.xword 0
89593.xword 0x00020190 | (6 << 18) !ma_ctl_St (5)
89594
89595.xword 0x80604020
89596.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (5)
89597
89598.xword 0x356a
89599.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (5)
89600
89601.xword 0x0000006000408020
89602.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
89603
89604.xword 0x6a35
89605.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
89606
89607.xword 0x78285050
89608.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
89609
89610.xword 0x80604020
89611.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
89612
89613.xword 0x00287850
89614.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (5)
89615
89616.xword 0x00287850
89617.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (5)
89618
89619.xword 0x78285050
89620.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
89621
89622.xword 0x64500000003c0000
89623.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
89624
89625.xword 0x7766000000554422
89626.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
89627
89628.xword 0x706200000054462a
89629.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
89630
89631.xword 0x784d005800423721
89632.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
89633
89634.xword 0x804d006000504020
89635.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
89636
89637.xword 0x0000006000408020
89638.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
89639
89640.xword 0
89641.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (5)
89642
89643.xword 0
89644.xword 0x0002003f | (6 << 18) !ma_ctl_Ld (6)
89645
89646.xword 0
89647.xword 0x00020172 | (6 << 18) !ma_ctl_St (6)
89648
89649.xword 0x80604020
89650.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (6)
89651
89652.xword 0x356a
89653.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (6)
89654
89655.xword 0x0000006000408020
89656.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
89657
89658.xword 0x6a35
89659.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
89660
89661.xword 0x78285050
89662.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
89663
89664.xword 0x80604020
89665.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
89666
89667.xword 0x00287850
89668.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (6)
89669
89670.xword 0x00287850
89671.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (6)
89672
89673.xword 0x78285050
89674.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (6)
89675
89676.xword 0x64500000003c0000
89677.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
89678
89679.xword 0x7766000000554422
89680.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
89681
89682.xword 0x706200000054462a
89683.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
89684
89685.xword 0x784d005800423721
89686.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
89687
89688.xword 0x804d006000504020
89689.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
89690
89691.xword 0x0000006000408020
89692.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
89693
89694.xword 0
89695.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (6)
89696
89697.xword 0
89698.xword 0x00020050 | (6 << 18) !ma_ctl_Ld (7)
89699
89700.xword 0
89701.xword 0x00020174 | (6 << 18) !ma_ctl_St (7)
89702
89703.xword 0x80604020
89704.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (7)
89705
89706.xword 0x356a
89707.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (7)
89708
89709.xword 0x0000006000408020
89710.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
89711
89712.xword 0x6a35
89713.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
89714
89715.xword 0x78285050
89716.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
89717
89718.xword 0x80604020
89719.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
89720
89721.xword 0x00287850
89722.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (7)
89723
89724.xword 0x00287850
89725.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (7)
89726
89727.xword 0x78285050
89728.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (7)
89729
89730.xword 0x64500000003c0000
89731.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
89732
89733.xword 0x7766000000554422
89734.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
89735
89736.xword 0x706200000054462a
89737.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
89738
89739.xword 0x784d005800423721
89740.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
89741
89742.xword 0x804d006000504020
89743.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
89744
89745.xword 0x0000006000408020
89746.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
89747
89748.xword 0
89749.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (7)
89750
89751.xword 0
89752.xword 0x00020087 | (6 << 18) !ma_ctl_Ld (8)
89753
89754.xword 0
89755.xword 0x00020159 | (6 << 18) !ma_ctl_St (8)
89756
89757.xword 0x80604020
89758.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (8)
89759
89760.xword 0x356a
89761.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (8)
89762
89763.xword 0x0000006000408020
89764.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
89765
89766.xword 0x6a35
89767.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
89768
89769.xword 0x78285050
89770.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
89771
89772.xword 0x80604020
89773.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
89774
89775.xword 0x00287850
89776.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (8)
89777
89778.xword 0x00287850
89779.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
89780
89781.xword 0x78285050
89782.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (8)
89783
89784.xword 0x64500000003c0000
89785.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
89786
89787.xword 0x7766000000554422
89788.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
89789
89790.xword 0x706200000054462a
89791.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
89792
89793.xword 0x784d005800423721
89794.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
89795
89796.xword 0x804d006000504020
89797.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
89798
89799.xword 0x0000006000408020
89800.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
89801
89802.xword 0
89803.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (8)
89804
89805.xword 0
89806.xword 0x0002003e | (6 << 18) !ma_ctl_Ld (9)
89807
89808.xword 0
89809.xword 0x0002012e | (6 << 18) !ma_ctl_St (9)
89810
89811.xword 0x80604020
89812.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (9)
89813
89814.xword 0x356a
89815.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
89816
89817.xword 0x0000006000408020
89818.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
89819
89820.xword 0x6a35
89821.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
89822
89823.xword 0x78285050
89824.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
89825
89826.xword 0x80604020
89827.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
89828
89829.xword 0x00287850
89830.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (9)
89831
89832.xword 0x00287850
89833.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (9)
89834
89835.xword 0x78285050
89836.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (9)
89837
89838.xword 0x64500000003c0000
89839.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
89840
89841.xword 0x7766000000554422
89842.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
89843
89844.xword 0x706200000054462a
89845.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
89846
89847.xword 0x784d005800423721
89848.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
89849
89850.xword 0x804d006000504020
89851.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
89852
89853.xword 0x0000006000408020
89854.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
89855
89856.xword 0
89857.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (9)
89858
89859.xword 0
89860.xword 0x0002009f | (6 << 18) !ma_ctl_Ld (10)
89861
89862.xword 0
89863.xword 0x00020188 | (6 << 18) !ma_ctl_St (10)
89864
89865.xword 0x80604020
89866.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (10)
89867
89868.xword 0x356a
89869.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (10)
89870
89871.xword 0x0000006000408020
89872.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
89873
89874.xword 0x6a35
89875.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
89876
89877.xword 0x78285050
89878.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
89879
89880.xword 0x80604020
89881.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
89882
89883.xword 0x00287850
89884.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (10)
89885
89886.xword 0x00287850
89887.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (10)
89888
89889.xword 0x78285050
89890.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
89891
89892.xword 0x64500000003c0000
89893.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
89894
89895.xword 0x7766000000554422
89896.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
89897
89898.xword 0x706200000054462a
89899.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
89900
89901.xword 0x784d005800423721
89902.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
89903
89904.xword 0x804d006000504020
89905.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
89906
89907.xword 0x0000006000408020
89908.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
89909
89910.xword 0
89911.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (10)
89912
89913.xword 0
89914.xword 0x0002001e | (6 << 18) !ma_ctl_Ld (11)
89915
89916.xword 0
89917.xword 0x00020161 | (6 << 18) !ma_ctl_St (11)
89918
89919.xword 0x80604020
89920.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (11)
89921
89922.xword 0x356a
89923.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (11)
89924
89925.xword 0x0000006000408020
89926.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
89927
89928.xword 0x6a35
89929.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
89930
89931.xword 0x78285050
89932.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
89933
89934.xword 0x80604020
89935.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
89936
89937.xword 0x00287850
89938.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (11)
89939
89940.xword 0x00287850
89941.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (11)
89942
89943.xword 0x78285050
89944.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (11)
89945
89946.xword 0x64500000003c0000
89947.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
89948
89949.xword 0x7766000000554422
89950.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
89951
89952.xword 0x706200000054462a
89953.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
89954
89955.xword 0x784d005800423721
89956.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
89957
89958.xword 0x804d006000504020
89959.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
89960
89961.xword 0x0000006000408020
89962.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
89963
89964.xword 0
89965.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (11)
89966
89967.xword 0
89968.xword 0x00020052 | (6 << 18) !ma_ctl_Ld (12)
89969
89970.xword 0
89971.xword 0x00020163 | (6 << 18) !ma_ctl_St (12)
89972
89973.xword 0x80604020
89974.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (12)
89975
89976.xword 0x356a
89977.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (12)
89978
89979.xword 0x0000006000408020
89980.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
89981
89982.xword 0x6a35
89983.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
89984
89985.xword 0x78285050
89986.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
89987
89988.xword 0x80604020
89989.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
89990
89991.xword 0x00287850
89992.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (12)
89993
89994.xword 0x00287850
89995.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (12)
89996
89997.xword 0x78285050
89998.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (12)
89999
90000.xword 0x64500000003c0000
90001.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
90002
90003.xword 0x7766000000554422
90004.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
90005
90006.xword 0x706200000054462a
90007.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
90008
90009.xword 0x784d005800423721
90010.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
90011
90012.xword 0x804d006000504020
90013.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
90014
90015.xword 0x0000006000408020
90016.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
90017
90018.xword 0
90019.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (12)
90020
90021.xword 0
90022.xword 0x00020051 | (6 << 18) !ma_ctl_Ld (13)
90023
90024.xword 0
90025.xword 0x00020188 | (6 << 18) !ma_ctl_St (13)
90026
90027.xword 0x80604020
90028.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (13)
90029
90030.xword 0x356a
90031.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (13)
90032
90033.xword 0x0000006000408020
90034.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
90035
90036.xword 0x6a35
90037.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
90038
90039.xword 0x78285050
90040.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
90041
90042.xword 0x80604020
90043.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
90044
90045.xword 0x00287850
90046.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (13)
90047
90048.xword 0x00287850
90049.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (13)
90050
90051.xword 0x78285050
90052.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (13)
90053
90054.xword 0x64500000003c0000
90055.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
90056
90057.xword 0x7766000000554422
90058.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
90059
90060.xword 0x706200000054462a
90061.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
90062
90063.xword 0x784d005800423721
90064.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
90065
90066.xword 0x804d006000504020
90067.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
90068
90069.xword 0x0000006000408020
90070.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
90071
90072.xword 0
90073.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (13)
90074
90075.xword 0
90076.xword 0x00020065 | (6 << 18) !ma_ctl_Ld (14)
90077
90078.xword 0
90079.xword 0x0002013f | (6 << 18) !ma_ctl_St (14)
90080
90081.xword 0x80604020
90082.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (14)
90083
90084.xword 0x356a
90085.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (14)
90086
90087.xword 0x0000006000408020
90088.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
90089
90090.xword 0x6a35
90091.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
90092
90093.xword 0x78285050
90094.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
90095
90096.xword 0x80604020
90097.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
90098
90099.xword 0x00287850
90100.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (14)
90101
90102.xword 0x00287850
90103.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (14)
90104
90105.xword 0x78285050
90106.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (14)
90107
90108.xword 0x64500000003c0000
90109.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
90110
90111.xword 0x7766000000554422
90112.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
90113
90114.xword 0x706200000054462a
90115.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
90116
90117.xword 0x784d005800423721
90118.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
90119
90120.xword 0x804d006000504020
90121.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
90122
90123.xword 0x0000006000408020
90124.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
90125
90126.xword 0
90127.xword 0x0002110c | (6 << 18) !ma_ctl_StParity (14)
90128
90129.xword 0
90130.xword 0x0002004b | (6 << 18) !ma_ctl_Ld (15)
90131
90132.xword 0
90133.xword 0x00020120 | (6 << 18) !ma_ctl_St (15)
90134
90135.xword 0x80604020
90136.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (15)
90137
90138.xword 0x356a
90139.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (15)
90140
90141.xword 0x0000006000408020
90142.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
90143
90144.xword 0x6a35
90145.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
90146
90147.xword 0x78285050
90148.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
90149
90150.xword 0x80604020
90151.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
90152
90153.xword 0x00287850
90154.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (15)
90155
90156.xword 0x00287850
90157.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (15)
90158
90159.xword 0x78285050
90160.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (15)
90161
90162.xword 0x64500000003c0000
90163.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
90164
90165.xword 0x7766000000554422
90166.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
90167
90168.xword 0x706200000054462a
90169.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
90170
90171.xword 0x784d005800423721
90172.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
90173
90174.xword 0x804d006000504020
90175.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
90176
90177.xword 0x0000006000408020
90178.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
90179
90180.xword 0
90181.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (15)
90182
90183.xword 0
90184.xword 0x0002008e | (6 << 18) !ma_ctl_Ld (16)
90185
90186.xword 0
90187.xword 0x00020149 | (6 << 18) !ma_ctl_St (16)
90188
90189.xword 0x80604020
90190.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (16)
90191
90192.xword 0x356a
90193.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (16)
90194
90195.xword 0x0000006000408020
90196.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
90197
90198.xword 0x6a35
90199.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
90200
90201.xword 0x78285050
90202.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
90203
90204.xword 0x80604020
90205.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
90206
90207.xword 0x00287850
90208.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (16)
90209
90210.xword 0x00287850
90211.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (16)
90212
90213.xword 0x78285050
90214.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (16)
90215
90216.xword 0x64500000003c0000
90217.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
90218
90219.xword 0x7766000000554422
90220.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
90221
90222.xword 0x706200000054462a
90223.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
90224
90225.xword 0x784d005800423721
90226.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
90227
90228.xword 0x804d006000504020
90229.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
90230
90231.xword 0x0000006000408020
90232.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
90233
90234.xword 0
90235.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (16)
90236
90237.xword 0
90238.xword 0x00020098 | (6 << 18) !ma_ctl_Ld (17)
90239
90240.xword 0
90241.xword 0x0002018c | (6 << 18) !ma_ctl_St (17)
90242
90243.xword 0x80604020
90244.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (17)
90245
90246.xword 0x356a
90247.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (17)
90248
90249.xword 0x0000006000408020
90250.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
90251
90252.xword 0x6a35
90253.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
90254
90255.xword 0x78285050
90256.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
90257
90258.xword 0x80604020
90259.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
90260
90261.xword 0x00287850
90262.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (17)
90263
90264.xword 0x00287850
90265.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (17)
90266
90267.xword 0x78285050
90268.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (17)
90269
90270.xword 0x64500000003c0000
90271.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
90272
90273.xword 0x7766000000554422
90274.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
90275
90276.xword 0x706200000054462a
90277.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
90278
90279.xword 0x784d005800423721
90280.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
90281
90282.xword 0x804d006000504020
90283.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
90284
90285.xword 0x0000006000408020
90286.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
90287
90288.xword 0
90289.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (17)
90290
90291.xword 0
90292.xword 0x0002005d | (6 << 18) !ma_ctl_Ld (18)
90293
90294.xword 0
90295.xword 0x0002011f | (6 << 18) !ma_ctl_St (18)
90296
90297.xword 0x80604020
90298.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (18)
90299
90300.xword 0x356a
90301.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (18)
90302
90303.xword 0x0000006000408020
90304.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
90305
90306.xword 0x6a35
90307.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
90308
90309.xword 0x78285050
90310.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
90311
90312.xword 0x80604020
90313.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
90314
90315.xword 0x00287850
90316.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (18)
90317
90318.xword 0x00287850
90319.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (18)
90320
90321.xword 0x78285050
90322.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (18)
90323
90324.xword 0x64500000003c0000
90325.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
90326
90327.xword 0x7766000000554422
90328.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
90329
90330.xword 0x706200000054462a
90331.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
90332
90333.xword 0x784d005800423721
90334.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
90335
90336.xword 0x804d006000504020
90337.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
90338
90339.xword 0x0000006000408020
90340.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
90341
90342.xword 0
90343.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (18)
90344
90345.xword 0
90346.xword 0x0002004f | (6 << 18) !ma_ctl_Ld (19)
90347
90348.xword 0
90349.xword 0x00020150 | (6 << 18) !ma_ctl_St (19)
90350
90351.xword 0x80604020
90352.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (19)
90353
90354.xword 0x356a
90355.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (19)
90356
90357.xword 0x0000006000408020
90358.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
90359
90360.xword 0x6a35
90361.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
90362
90363.xword 0x78285050
90364.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
90365
90366.xword 0x80604020
90367.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
90368
90369.xword 0x00287850
90370.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (19)
90371
90372.xword 0x00287850
90373.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (19)
90374
90375.xword 0x78285050
90376.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (19)
90377
90378.xword 0x64500000003c0000
90379.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
90380
90381.xword 0x7766000000554422
90382.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
90383
90384.xword 0x706200000054462a
90385.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
90386
90387.xword 0x784d005800423721
90388.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
90389
90390.xword 0x804d006000504020
90391.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
90392
90393.xword 0x0000006000408020
90394.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
90395
90396.xword 0
90397.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (19)
90398
90399.xword 0
90400.xword 0x0002004f | (6 << 18) !ma_ctl_Ld (20)
90401
90402.xword 0
90403.xword 0x00020149 | (6 << 18) !ma_ctl_St (20)
90404
90405.xword 0x80604020
90406.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (20)
90407
90408.xword 0x356a
90409.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (20)
90410
90411.xword 0x0000006000408020
90412.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
90413
90414.xword 0x6a35
90415.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
90416
90417.xword 0x78285050
90418.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
90419
90420.xword 0x80604020
90421.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
90422
90423.xword 0x00287850
90424.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (20)
90425
90426.xword 0x00287850
90427.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (20)
90428
90429.xword 0x78285050
90430.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (20)
90431
90432.xword 0x64500000003c0000
90433.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
90434
90435.xword 0x7766000000554422
90436.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
90437
90438.xword 0x706200000054462a
90439.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
90440
90441.xword 0x784d005800423721
90442.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
90443
90444.xword 0x804d006000504020
90445.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
90446
90447.xword 0x0000006000408020
90448.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
90449
90450.xword 0
90451.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (20)
90452
90453.xword 0
90454.xword 0x00020057 | (6 << 18) !ma_ctl_Ld (21)
90455
90456.xword 0
90457.xword 0x00020135 | (6 << 18) !ma_ctl_St (21)
90458
90459.xword 0x80604020
90460.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (21)
90461
90462.xword 0x356a
90463.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (21)
90464
90465.xword 0x0000006000408020
90466.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
90467
90468.xword 0x6a35
90469.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
90470
90471.xword 0x78285050
90472.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
90473
90474.xword 0x80604020
90475.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
90476
90477.xword 0x00287850
90478.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (21)
90479
90480.xword 0x00287850
90481.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (21)
90482
90483.xword 0x78285050
90484.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (21)
90485
90486.xword 0x64500000003c0000
90487.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
90488
90489.xword 0x7766000000554422
90490.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
90491
90492.xword 0x706200000054462a
90493.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
90494
90495.xword 0x784d005800423721
90496.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
90497
90498.xword 0x804d006000504020
90499.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
90500
90501.xword 0x0000006000408020
90502.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
90503
90504.xword 0
90505.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (21)
90506
90507.xword 0
90508.xword 0x00020053 | (6 << 18) !ma_ctl_Ld (22)
90509
90510.xword 0
90511.xword 0x00020139 | (6 << 18) !ma_ctl_St (22)
90512
90513.xword 0x80604020
90514.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (22)
90515
90516.xword 0x356a
90517.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (22)
90518
90519.xword 0x0000006000408020
90520.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
90521
90522.xword 0x6a35
90523.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
90524
90525.xword 0x78285050
90526.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
90527
90528.xword 0x80604020
90529.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
90530
90531.xword 0x00287850
90532.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (22)
90533
90534.xword 0x00287850
90535.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (22)
90536
90537.xword 0x78285050
90538.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (22)
90539
90540.xword 0x64500000003c0000
90541.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
90542
90543.xword 0x7766000000554422
90544.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
90545
90546.xword 0x706200000054462a
90547.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
90548
90549.xword 0x784d005800423721
90550.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
90551
90552.xword 0x804d006000504020
90553.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
90554
90555.xword 0x0000006000408020
90556.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
90557
90558.xword 0
90559.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (22)
90560
90561.xword 0
90562.xword 0x00020042 | (6 << 18) !ma_ctl_Ld (23)
90563
90564.xword 0
90565.xword 0x00020132 | (6 << 18) !ma_ctl_St (23)
90566
90567.xword 0x80604020
90568.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (23)
90569
90570.xword 0x356a
90571.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (23)
90572
90573.xword 0x0000006000408020
90574.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
90575
90576.xword 0x6a35
90577.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
90578
90579.xword 0x78285050
90580.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
90581
90582.xword 0x80604020
90583.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
90584
90585.xword 0x00287850
90586.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (23)
90587
90588.xword 0x00287850
90589.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (23)
90590
90591.xword 0x78285050
90592.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (23)
90593
90594.xword 0x64500000003c0000
90595.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
90596
90597.xword 0x7766000000554422
90598.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
90599
90600.xword 0x706200000054462a
90601.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
90602
90603.xword 0x784d005800423721
90604.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
90605
90606.xword 0x804d006000504020
90607.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
90608
90609.xword 0x0000006000408020
90610.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
90611
90612.xword 0
90613.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (23)
90614
90615.xword 0
90616.xword 0x0002007d | (6 << 18) !ma_ctl_Ld (24)
90617
90618.xword 0
90619.xword 0x00020160 | (6 << 18) !ma_ctl_St (24)
90620
90621.xword 0x80604020
90622.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (24)
90623
90624.xword 0x356a
90625.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (24)
90626
90627.xword 0x0000006000408020
90628.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
90629
90630.xword 0x6a35
90631.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
90632
90633.xword 0x78285050
90634.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
90635
90636.xword 0x80604020
90637.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
90638
90639.xword 0x00287850
90640.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (24)
90641
90642.xword 0x00287850
90643.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (24)
90644
90645.xword 0x78285050
90646.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (24)
90647
90648.xword 0x64500000003c0000
90649.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
90650
90651.xword 0x7766000000554422
90652.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
90653
90654.xword 0x706200000054462a
90655.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
90656
90657.xword 0x784d005800423721
90658.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
90659
90660.xword 0x804d006000504020
90661.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
90662
90663.xword 0x0000006000408020
90664.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
90665
90666.xword 0
90667.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (24)
90668
90669.xword 0
90670.xword 0x0002008d | (6 << 18) !ma_ctl_Ld (25)
90671
90672.xword 0
90673.xword 0x00020144 | (6 << 18) !ma_ctl_St (25)
90674
90675.xword 0x80604020
90676.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (25)
90677
90678.xword 0x356a
90679.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (25)
90680
90681.xword 0x0000006000408020
90682.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
90683
90684.xword 0x6a35
90685.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
90686
90687.xword 0x78285050
90688.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
90689
90690.xword 0x80604020
90691.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
90692
90693.xword 0x00287850
90694.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (25)
90695
90696.xword 0x00287850
90697.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (25)
90698
90699.xword 0x78285050
90700.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (25)
90701
90702.xword 0x64500000003c0000
90703.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
90704
90705.xword 0x7766000000554422
90706.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
90707
90708.xword 0x706200000054462a
90709.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
90710
90711.xword 0x784d005800423721
90712.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
90713
90714.xword 0x804d006000504020
90715.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
90716
90717.xword 0x0000006000408020
90718.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
90719
90720.xword 0
90721.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (25)
90722
90723.xword 0
90724.xword 0x00020040 | (6 << 18) !ma_ctl_Ld (26)
90725
90726.xword 0
90727.xword 0x00020150 | (6 << 18) !ma_ctl_St (26)
90728
90729.xword 0x80604020
90730.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (26)
90731
90732.xword 0x356a
90733.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (26)
90734
90735.xword 0x0000006000408020
90736.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
90737
90738.xword 0x6a35
90739.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
90740
90741.xword 0x78285050
90742.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
90743
90744.xword 0x80604020
90745.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
90746
90747.xword 0x00287850
90748.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (26)
90749
90750.xword 0x00287850
90751.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (26)
90752
90753.xword 0x78285050
90754.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (26)
90755
90756.xword 0x64500000003c0000
90757.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
90758
90759.xword 0x7766000000554422
90760.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
90761
90762.xword 0x706200000054462a
90763.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
90764
90765.xword 0x784d005800423721
90766.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
90767
90768.xword 0x804d006000504020
90769.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
90770
90771.xword 0x0000006000408020
90772.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
90773
90774.xword 0
90775.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (26)
90776
90777.xword 0
90778.xword 0x0002006d | (6 << 18) !ma_ctl_Ld (27)
90779
90780.xword 0
90781.xword 0x0002013f | (6 << 18) !ma_ctl_St (27)
90782
90783.xword 0x80604020
90784.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (27)
90785
90786.xword 0x356a
90787.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (27)
90788
90789.xword 0x0000006000408020
90790.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
90791
90792.xword 0x6a35
90793.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
90794
90795.xword 0x78285050
90796.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
90797
90798.xword 0x80604020
90799.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
90800
90801.xword 0x00287850
90802.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (27)
90803
90804.xword 0x00287850
90805.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (27)
90806
90807.xword 0x78285050
90808.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (27)
90809
90810.xword 0x64500000003c0000
90811.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
90812
90813.xword 0x7766000000554422
90814.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
90815
90816.xword 0x706200000054462a
90817.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
90818
90819.xword 0x784d005800423721
90820.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
90821
90822.xword 0x804d006000504020
90823.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
90824
90825.xword 0x0000006000408020
90826.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
90827
90828.xword 0
90829.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (27)
90830
90831.xword 0
90832.xword 0x0002004c | (6 << 18) !ma_ctl_Ld (28)
90833
90834.xword 0
90835.xword 0x00020153 | (6 << 18) !ma_ctl_St (28)
90836
90837.xword 0x80604020
90838.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (28)
90839
90840.xword 0x356a
90841.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (28)
90842
90843.xword 0x0000006000408020
90844.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
90845
90846.xword 0x6a35
90847.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
90848
90849.xword 0x78285050
90850.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
90851
90852.xword 0x80604020
90853.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
90854
90855.xword 0x00287850
90856.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (28)
90857
90858.xword 0x00287850
90859.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (28)
90860
90861.xword 0x78285050
90862.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (28)
90863
90864.xword 0x64500000003c0000
90865.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
90866
90867.xword 0x7766000000554422
90868.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
90869
90870.xword 0x706200000054462a
90871.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
90872
90873.xword 0x784d005800423721
90874.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
90875
90876.xword 0x804d006000504020
90877.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
90878
90879.xword 0x0000006000408020
90880.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
90881
90882.xword 0
90883.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (28)
90884
90885.xword 0
90886.xword 0x0002002c | (6 << 18) !ma_ctl_Ld (29)
90887
90888.xword 0
90889.xword 0x0002012e | (6 << 18) !ma_ctl_St (29)
90890
90891.xword 0x80604020
90892.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (29)
90893
90894.xword 0x356a
90895.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (29)
90896
90897.xword 0x0000006000408020
90898.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
90899
90900.xword 0x6a35
90901.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
90902
90903.xword 0x78285050
90904.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
90905
90906.xword 0x80604020
90907.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
90908
90909.xword 0x00287850
90910.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (29)
90911
90912.xword 0x00287850
90913.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (29)
90914
90915.xword 0x78285050
90916.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (29)
90917
90918.xword 0x64500000003c0000
90919.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
90920
90921.xword 0x7766000000554422
90922.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
90923
90924.xword 0x706200000054462a
90925.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
90926
90927.xword 0x784d005800423721
90928.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
90929
90930.xword 0x804d006000504020
90931.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
90932
90933.xword 0x0000006000408020
90934.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
90935
90936.xword 0
90937.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (29)
90938
90939.xword 0
90940.xword 0x0002007d | (6 << 18) !ma_ctl_Ld (30)
90941
90942.xword 0
90943.xword 0x0002016a | (6 << 18) !ma_ctl_St (30)
90944
90945.xword 0x80604020
90946.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (30)
90947
90948.xword 0x356a
90949.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (30)
90950
90951.xword 0x0000006000408020
90952.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
90953
90954.xword 0x6a35
90955.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
90956
90957.xword 0x78285050
90958.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
90959
90960.xword 0x80604020
90961.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
90962
90963.xword 0x00287850
90964.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (30)
90965
90966.xword 0x00287850
90967.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (30)
90968
90969.xword 0x78285050
90970.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (30)
90971
90972.xword 0x64500000003c0000
90973.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
90974
90975.xword 0x7766000000554422
90976.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
90977
90978.xword 0x706200000054462a
90979.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
90980
90981.xword 0x784d005800423721
90982.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
90983
90984.xword 0x804d006000504020
90985.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
90986
90987.xword 0x0000006000408020
90988.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
90989
90990.xword 0
90991.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (30)
90992
90993.xword 0
90994.xword 0x00020076 | (6 << 18) !ma_ctl_Ld (31)
90995
90996.xword 0
90997.xword 0x00020152 | (6 << 18) !ma_ctl_St (31)
90998
90999.xword 0x80604020
91000.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (31)
91001
91002.xword 0x356a
91003.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (31)
91004
91005.xword 0x0000006000408020
91006.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
91007
91008.xword 0x6a35
91009.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
91010
91011.xword 0x78285050
91012.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
91013
91014.xword 0x80604020
91015.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
91016
91017.xword 0x00287850
91018.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (31)
91019
91020.xword 0x00287850
91021.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (31)
91022
91023.xword 0x78285050
91024.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (31)
91025
91026.xword 0x64500000003c0000
91027.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
91028
91029.xword 0x7766000000554422
91030.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
91031
91032.xword 0x706200000054462a
91033.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
91034
91035.xword 0x784d005800423721
91036.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
91037
91038.xword 0x804d006000504020
91039.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
91040
91041.xword 0x0000006000408020
91042.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
91043
91044.xword 0
91045.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (31)
91046
91047.xword 0
91048.xword 0x0002004f | (6 << 18) !ma_ctl_Ld (32)
91049
91050.xword 0
91051.xword 0x00020189 | (6 << 18) !ma_ctl_St (32)
91052
91053.xword 0x80604020
91054.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (32)
91055
91056.xword 0x356a
91057.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (32)
91058
91059.xword 0x0000006000408020
91060.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
91061
91062.xword 0x6a35
91063.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
91064
91065.xword 0x78285050
91066.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
91067
91068.xword 0x80604020
91069.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
91070
91071.xword 0x00287850
91072.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (32)
91073
91074.xword 0x00287850
91075.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (32)
91076
91077.xword 0x78285050
91078.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (32)
91079
91080.xword 0x64500000003c0000
91081.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
91082
91083.xword 0x7766000000554422
91084.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
91085
91086.xword 0x706200000054462a
91087.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
91088
91089.xword 0x784d005800423721
91090.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
91091
91092.xword 0x804d006000504020
91093.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
91094
91095.xword 0x0000006000408020
91096.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
91097
91098.xword 0
91099.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (32)
91100
91101.xword 0
91102.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (33)
91103
91104.xword 0
91105.xword 0x00020124 | (6 << 18) !ma_ctl_St (33)
91106
91107.xword 0x80604020
91108.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (33)
91109
91110.xword 0x356a
91111.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (33)
91112
91113.xword 0x0000006000408020
91114.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
91115
91116.xword 0x6a35
91117.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
91118
91119.xword 0x78285050
91120.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
91121
91122.xword 0x80604020
91123.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
91124
91125.xword 0x00287850
91126.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (33)
91127
91128.xword 0x00287850
91129.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (33)
91130
91131.xword 0x78285050
91132.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (33)
91133
91134.xword 0x64500000003c0000
91135.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
91136
91137.xword 0x7766000000554422
91138.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
91139
91140.xword 0x706200000054462a
91141.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
91142
91143.xword 0x784d005800423721
91144.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
91145
91146.xword 0x804d006000504020
91147.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
91148
91149.xword 0x0000006000408020
91150.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
91151
91152.xword 0
91153.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (33)
91154
91155.xword 0
91156.xword 0x00020051 | (6 << 18) !ma_ctl_Ld (34)
91157
91158.xword 0
91159.xword 0x00020189 | (6 << 18) !ma_ctl_St (34)
91160
91161.xword 0x80604020
91162.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (34)
91163
91164.xword 0x356a
91165.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (34)
91166
91167.xword 0x0000006000408020
91168.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
91169
91170.xword 0x6a35
91171.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
91172
91173.xword 0x78285050
91174.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
91175
91176.xword 0x80604020
91177.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
91178
91179.xword 0x00287850
91180.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (34)
91181
91182.xword 0x00287850
91183.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (34)
91184
91185.xword 0x78285050
91186.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (34)
91187
91188.xword 0x64500000003c0000
91189.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
91190
91191.xword 0x7766000000554422
91192.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
91193
91194.xword 0x706200000054462a
91195.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
91196
91197.xword 0x784d005800423721
91198.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
91199
91200.xword 0x804d006000504020
91201.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
91202
91203.xword 0x0000006000408020
91204.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
91205
91206.xword 0
91207.xword 0x00021101 | (6 << 18) !ma_ctl_StParity (34)
91208
91209.xword 0
91210.xword 0x00020022 | (6 << 18) !ma_ctl_Ld (35)
91211
91212.xword 0
91213.xword 0x00020159 | (6 << 18) !ma_ctl_St (35)
91214
91215.xword 0x80604020
91216.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (35)
91217
91218.xword 0x356a
91219.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (35)
91220
91221.xword 0x0000006000408020
91222.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
91223
91224.xword 0x6a35
91225.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
91226
91227.xword 0x78285050
91228.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
91229
91230.xword 0x80604020
91231.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
91232
91233.xword 0x00287850
91234.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (35)
91235
91236.xword 0x00287850
91237.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (35)
91238
91239.xword 0x78285050
91240.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (35)
91241
91242.xword 0x64500000003c0000
91243.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
91244
91245.xword 0x7766000000554422
91246.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
91247
91248.xword 0x706200000054462a
91249.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
91250
91251.xword 0x784d005800423721
91252.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
91253
91254.xword 0x804d006000504020
91255.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
91256
91257.xword 0x0000006000408020
91258.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
91259
91260.xword 0
91261.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (35)
91262
91263.xword 0
91264.xword 0x00020097 | (6 << 18) !ma_ctl_Ld (36)
91265
91266.xword 0
91267.xword 0x00020151 | (6 << 18) !ma_ctl_St (36)
91268
91269.xword 0x80604020
91270.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (36)
91271
91272.xword 0x356a
91273.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (36)
91274
91275.xword 0x0000006000408020
91276.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
91277
91278.xword 0x6a35
91279.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
91280
91281.xword 0x78285050
91282.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
91283
91284.xword 0x80604020
91285.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
91286
91287.xword 0x00287850
91288.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (36)
91289
91290.xword 0x00287850
91291.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (36)
91292
91293.xword 0x78285050
91294.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (36)
91295
91296.xword 0x64500000003c0000
91297.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
91298
91299.xword 0x7766000000554422
91300.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
91301
91302.xword 0x706200000054462a
91303.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
91304
91305.xword 0x784d005800423721
91306.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
91307
91308.xword 0x804d006000504020
91309.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
91310
91311.xword 0x0000006000408020
91312.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
91313
91314.xword 0
91315.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (36)
91316
91317.xword 0
91318.xword 0x0002005c | (6 << 18) !ma_ctl_Ld (37)
91319
91320.xword 0
91321.xword 0x00020191 | (6 << 18) !ma_ctl_St (37)
91322
91323.xword 0x80604020
91324.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (37)
91325
91326.xword 0x356a
91327.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (37)
91328
91329.xword 0x0000006000408020
91330.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
91331
91332.xword 0x6a35
91333.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
91334
91335.xword 0x78285050
91336.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
91337
91338.xword 0x80604020
91339.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
91340
91341.xword 0x00287850
91342.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (37)
91343
91344.xword 0x00287850
91345.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (37)
91346
91347.xword 0x78285050
91348.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (37)
91349
91350.xword 0x64500000003c0000
91351.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
91352
91353.xword 0x7766000000554422
91354.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
91355
91356.xword 0x706200000054462a
91357.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
91358
91359.xword 0x784d005800423721
91360.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
91361
91362.xword 0x804d006000504020
91363.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
91364
91365.xword 0x0000006000408020
91366.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
91367
91368.xword 0
91369.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (37)
91370
91371.xword 0
91372.xword 0x0002005e | (6 << 18) !ma_ctl_Ld (38)
91373
91374.xword 0
91375.xword 0x00020126 | (6 << 18) !ma_ctl_St (38)
91376
91377.xword 0x80604020
91378.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (38)
91379
91380.xword 0x356a
91381.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (38)
91382
91383.xword 0x0000006000408020
91384.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
91385
91386.xword 0x6a35
91387.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
91388
91389.xword 0x78285050
91390.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
91391
91392.xword 0x80604020
91393.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
91394
91395.xword 0x00287850
91396.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (38)
91397
91398.xword 0x00287850
91399.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (38)
91400
91401.xword 0x78285050
91402.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (38)
91403
91404.xword 0x64500000003c0000
91405.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
91406
91407.xword 0x7766000000554422
91408.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
91409
91410.xword 0x706200000054462a
91411.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
91412
91413.xword 0x784d005800423721
91414.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
91415
91416.xword 0x804d006000504020
91417.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
91418
91419.xword 0x0000006000408020
91420.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
91421
91422.xword 0
91423.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (38)
91424
91425.xword 0
91426.xword 0x0002005d | (6 << 18) !ma_ctl_Ld (39)
91427
91428.xword 0
91429.xword 0x0002018d | (6 << 18) !ma_ctl_St (39)
91430
91431.xword 0x80604020
91432.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (39)
91433
91434.xword 0x356a
91435.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (39)
91436
91437.xword 0x0000006000408020
91438.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
91439
91440.xword 0x6a35
91441.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
91442
91443.xword 0x78285050
91444.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
91445
91446.xword 0x80604020
91447.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
91448
91449.xword 0x00287850
91450.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (39)
91451
91452.xword 0x00287850
91453.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (39)
91454
91455.xword 0x78285050
91456.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
91457
91458.xword 0x64500000003c0000
91459.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
91460
91461.xword 0x7766000000554422
91462.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
91463
91464.xword 0x706200000054462a
91465.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
91466
91467.xword 0x784d005800423721
91468.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
91469
91470.xword 0x804d006000504020
91471.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
91472
91473.xword 0x0000006000408020
91474.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
91475
91476.xword 0
91477.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (39)
91478
91479.xword 0
91480.xword 0x00020098 | (6 << 18) !ma_ctl_Ld (40)
91481
91482.xword 0
91483.xword 0x0002017a | (6 << 18) !ma_ctl_St (40)
91484
91485.xword 0x80604020
91486.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (40)
91487
91488.xword 0x356a
91489.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (40)
91490
91491.xword 0x0000006000408020
91492.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
91493
91494.xword 0x6a35
91495.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
91496
91497.xword 0x78285050
91498.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
91499
91500.xword 0x80604020
91501.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
91502
91503.xword 0x00287850
91504.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (40)
91505
91506.xword 0x00287850
91507.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (40)
91508
91509.xword 0x78285050
91510.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (40)
91511
91512.xword 0x64500000003c0000
91513.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
91514
91515.xword 0x7766000000554422
91516.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
91517
91518.xword 0x706200000054462a
91519.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
91520
91521.xword 0x784d005800423721
91522.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
91523
91524.xword 0x804d006000504020
91525.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
91526
91527.xword 0x0000006000408020
91528.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
91529
91530.xword 0
91531.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (40)
91532
91533.xword 0
91534.xword 0x0002004f | (6 << 18) !ma_ctl_Ld (41)
91535
91536.xword 0
91537.xword 0x00020180 | (6 << 18) !ma_ctl_St (41)
91538
91539.xword 0x80604020
91540.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (41)
91541
91542.xword 0x356a
91543.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (41)
91544
91545.xword 0x0000006000408020
91546.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
91547
91548.xword 0x6a35
91549.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
91550
91551.xword 0x78285050
91552.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
91553
91554.xword 0x80604020
91555.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
91556
91557.xword 0x00287850
91558.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (41)
91559
91560.xword 0x00287850
91561.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (41)
91562
91563.xword 0x78285050
91564.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (41)
91565
91566.xword 0x64500000003c0000
91567.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
91568
91569.xword 0x7766000000554422
91570.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
91571
91572.xword 0x706200000054462a
91573.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
91574
91575.xword 0x784d005800423721
91576.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
91577
91578.xword 0x804d006000504020
91579.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
91580
91581.xword 0x0000006000408020
91582.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
91583
91584.xword 0
91585.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (41)
91586
91587.xword 0
91588.xword 0x0002006e | (6 << 18) !ma_ctl_Ld (42)
91589
91590.xword 0
91591.xword 0x00020159 | (6 << 18) !ma_ctl_St (42)
91592
91593.xword 0x80604020
91594.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (42)
91595
91596.xword 0x356a
91597.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (42)
91598
91599.xword 0x0000006000408020
91600.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
91601
91602.xword 0x6a35
91603.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
91604
91605.xword 0x78285050
91606.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
91607
91608.xword 0x80604020
91609.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
91610
91611.xword 0x00287850
91612.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (42)
91613
91614.xword 0x00287850
91615.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (42)
91616
91617.xword 0x78285050
91618.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (42)
91619
91620.xword 0x64500000003c0000
91621.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
91622
91623.xword 0x7766000000554422
91624.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
91625
91626.xword 0x706200000054462a
91627.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
91628
91629.xword 0x784d005800423721
91630.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
91631
91632.xword 0x804d006000504020
91633.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
91634
91635.xword 0x0000006000408020
91636.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
91637
91638.xword 0
91639.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (42)
91640
91641.xword 0
91642.xword 0x00020036 | (6 << 18) !ma_ctl_Ld (43)
91643
91644.xword 0
91645.xword 0x00020167 | (6 << 18) !ma_ctl_St (43)
91646
91647.xword 0x80604020
91648.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (43)
91649
91650.xword 0x356a
91651.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (43)
91652
91653.xword 0x0000006000408020
91654.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
91655
91656.xword 0x6a35
91657.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
91658
91659.xword 0x78285050
91660.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
91661
91662.xword 0x80604020
91663.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
91664
91665.xword 0x00287850
91666.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (43)
91667
91668.xword 0x00287850
91669.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (43)
91670
91671.xword 0x78285050
91672.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (43)
91673
91674.xword 0x64500000003c0000
91675.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
91676
91677.xword 0x7766000000554422
91678.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
91679
91680.xword 0x706200000054462a
91681.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
91682
91683.xword 0x784d005800423721
91684.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
91685
91686.xword 0x804d006000504020
91687.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
91688
91689.xword 0x0000006000408020
91690.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
91691
91692.xword 0
91693.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (43)
91694
91695.xword 0
91696.xword 0x00020084 | (6 << 18) !ma_ctl_Ld (44)
91697
91698.xword 0
91699.xword 0x0002013e | (6 << 18) !ma_ctl_St (44)
91700
91701.xword 0x80604020
91702.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (44)
91703
91704.xword 0x356a
91705.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (44)
91706
91707.xword 0x0000006000408020
91708.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
91709
91710.xword 0x6a35
91711.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
91712
91713.xword 0x78285050
91714.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
91715
91716.xword 0x80604020
91717.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
91718
91719.xword 0x00287850
91720.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (44)
91721
91722.xword 0x00287850
91723.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (44)
91724
91725.xword 0x78285050
91726.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (44)
91727
91728.xword 0x64500000003c0000
91729.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
91730
91731.xword 0x7766000000554422
91732.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
91733
91734.xword 0x706200000054462a
91735.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
91736
91737.xword 0x784d005800423721
91738.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
91739
91740.xword 0x804d006000504020
91741.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
91742
91743.xword 0x0000006000408020
91744.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
91745
91746.xword 0
91747.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (44)
91748
91749.xword 0
91750.xword 0x00020098 | (6 << 18) !ma_ctl_Ld (45)
91751
91752.xword 0
91753.xword 0x00020134 | (6 << 18) !ma_ctl_St (45)
91754
91755.xword 0x80604020
91756.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (45)
91757
91758.xword 0x356a
91759.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (45)
91760
91761.xword 0x0000006000408020
91762.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
91763
91764.xword 0x6a35
91765.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
91766
91767.xword 0x78285050
91768.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
91769
91770.xword 0x80604020
91771.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
91772
91773.xword 0x00287850
91774.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (45)
91775
91776.xword 0x00287850
91777.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (45)
91778
91779.xword 0x78285050
91780.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (45)
91781
91782.xword 0x64500000003c0000
91783.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
91784
91785.xword 0x7766000000554422
91786.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
91787
91788.xword 0x706200000054462a
91789.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
91790
91791.xword 0x784d005800423721
91792.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
91793
91794.xword 0x804d006000504020
91795.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
91796
91797.xword 0x0000006000408020
91798.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
91799
91800.xword 0
91801.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (45)
91802
91803.xword 0
91804.xword 0x00020043 | (6 << 18) !ma_ctl_Ld (46)
91805
91806.xword 0
91807.xword 0x0002018d | (6 << 18) !ma_ctl_St (46)
91808
91809.xword 0x80604020
91810.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (46)
91811
91812.xword 0x356a
91813.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (46)
91814
91815.xword 0x0000006000408020
91816.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
91817
91818.xword 0x6a35
91819.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
91820
91821.xword 0x78285050
91822.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
91823
91824.xword 0x80604020
91825.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
91826
91827.xword 0x00287850
91828.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (46)
91829
91830.xword 0x00287850
91831.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (46)
91832
91833.xword 0x78285050
91834.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (46)
91835
91836.xword 0x64500000003c0000
91837.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
91838
91839.xword 0x7766000000554422
91840.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
91841
91842.xword 0x706200000054462a
91843.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
91844
91845.xword 0x784d005800423721
91846.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
91847
91848.xword 0x804d006000504020
91849.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
91850
91851.xword 0x0000006000408020
91852.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
91853
91854.xword 0
91855.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (46)
91856
91857.xword 0
91858.xword 0x00020086 | (6 << 18) !ma_ctl_Ld (47)
91859
91860.xword 0
91861.xword 0x00020168 | (6 << 18) !ma_ctl_St (47)
91862
91863.xword 0x80604020
91864.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (47)
91865
91866.xword 0x356a
91867.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (47)
91868
91869.xword 0x0000006000408020
91870.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
91871
91872.xword 0x6a35
91873.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
91874
91875.xword 0x78285050
91876.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
91877
91878.xword 0x80604020
91879.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
91880
91881.xword 0x00287850
91882.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (47)
91883
91884.xword 0x00287850
91885.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (47)
91886
91887.xword 0x78285050
91888.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (47)
91889
91890.xword 0x64500000003c0000
91891.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
91892
91893.xword 0x7766000000554422
91894.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
91895
91896.xword 0x706200000054462a
91897.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
91898
91899.xword 0x784d005800423721
91900.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
91901
91902.xword 0x804d006000504020
91903.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
91904
91905.xword 0x0000006000408020
91906.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
91907
91908.xword 0
91909.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (47)
91910
91911.xword 0
91912.xword 0x00020058 | (6 << 18) !ma_ctl_Ld (48)
91913
91914.xword 0
91915.xword 0x00020129 | (6 << 18) !ma_ctl_St (48)
91916
91917.xword 0x80604020
91918.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (48)
91919
91920.xword 0x356a
91921.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (48)
91922
91923.xword 0x0000006000408020
91924.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
91925
91926.xword 0x6a35
91927.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
91928
91929.xword 0x78285050
91930.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
91931
91932.xword 0x80604020
91933.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
91934
91935.xword 0x00287850
91936.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (48)
91937
91938.xword 0x00287850
91939.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (48)
91940
91941.xword 0x78285050
91942.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (48)
91943
91944.xword 0x64500000003c0000
91945.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
91946
91947.xword 0x7766000000554422
91948.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
91949
91950.xword 0x706200000054462a
91951.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
91952
91953.xword 0x784d005800423721
91954.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
91955
91956.xword 0x804d006000504020
91957.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
91958
91959.xword 0x0000006000408020
91960.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
91961
91962.xword 0
91963.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (48)
91964
91965.xword 0
91966.xword 0x00020067 | (6 << 18) !ma_ctl_Ld (49)
91967
91968.xword 0
91969.xword 0x0002012b | (6 << 18) !ma_ctl_St (49)
91970
91971.xword 0x80604020
91972.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (49)
91973
91974.xword 0x356a
91975.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (49)
91976
91977.xword 0x0000006000408020
91978.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
91979
91980.xword 0x6a35
91981.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
91982
91983.xword 0x78285050
91984.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
91985
91986.xword 0x80604020
91987.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
91988
91989.xword 0x00287850
91990.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (49)
91991
91992.xword 0x00287850
91993.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (49)
91994
91995.xword 0x78285050
91996.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (49)
91997
91998.xword 0x64500000003c0000
91999.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
92000
92001.xword 0x7766000000554422
92002.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
92003
92004.xword 0x706200000054462a
92005.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
92006
92007.xword 0x784d005800423721
92008.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
92009
92010.xword 0x804d006000504020
92011.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
92012
92013.xword 0x0000006000408020
92014.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
92015
92016.xword 0
92017.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (49)
92018
92019.xword 0
92020.xword 0x00020094 | (6 << 18) !ma_ctl_Ld (50)
92021
92022.xword 0
92023.xword 0x00020147 | (6 << 18) !ma_ctl_St (50)
92024
92025.xword 0x80604020
92026.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (50)
92027
92028.xword 0x356a
92029.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (50)
92030
92031.xword 0x0000006000408020
92032.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
92033
92034.xword 0x6a35
92035.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
92036
92037.xword 0x78285050
92038.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
92039
92040.xword 0x80604020
92041.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
92042
92043.xword 0x00287850
92044.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (50)
92045
92046.xword 0x00287850
92047.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (50)
92048
92049.xword 0x78285050
92050.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (50)
92051
92052.xword 0x64500000003c0000
92053.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
92054
92055.xword 0x7766000000554422
92056.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
92057
92058.xword 0x706200000054462a
92059.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
92060
92061.xword 0x784d005800423721
92062.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
92063
92064.xword 0x804d006000504020
92065.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
92066
92067.xword 0x0000006000408020
92068.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
92069
92070.xword 0
92071.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (50)
92072
92073.xword 0
92074.xword 0x0002002c | (6 << 18) !ma_ctl_Ld (51)
92075
92076.xword 0
92077.xword 0x0002018d | (6 << 18) !ma_ctl_St (51)
92078
92079.xword 0x80604020
92080.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (51)
92081
92082.xword 0x356a
92083.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (51)
92084
92085.xword 0x0000006000408020
92086.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
92087
92088.xword 0x6a35
92089.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
92090
92091.xword 0x78285050
92092.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
92093
92094.xword 0x80604020
92095.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
92096
92097.xword 0x00287850
92098.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (51)
92099
92100.xword 0x00287850
92101.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (51)
92102
92103.xword 0x78285050
92104.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (51)
92105
92106.xword 0x64500000003c0000
92107.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
92108
92109.xword 0x7766000000554422
92110.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
92111
92112.xword 0x706200000054462a
92113.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
92114
92115.xword 0x784d005800423721
92116.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
92117
92118.xword 0x804d006000504020
92119.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
92120
92121.xword 0x0000006000408020
92122.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
92123
92124.xword 0
92125.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (51)
92126
92127.xword 0
92128.xword 0x00020069 | (6 << 18) !ma_ctl_Ld (52)
92129
92130.xword 0
92131.xword 0x0002012f | (6 << 18) !ma_ctl_St (52)
92132
92133.xword 0x80604020
92134.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (52)
92135
92136.xword 0x356a
92137.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (52)
92138
92139.xword 0x0000006000408020
92140.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
92141
92142.xword 0x6a35
92143.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
92144
92145.xword 0x78285050
92146.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
92147
92148.xword 0x80604020
92149.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
92150
92151.xword 0x00287850
92152.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (52)
92153
92154.xword 0x00287850
92155.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (52)
92156
92157.xword 0x78285050
92158.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (52)
92159
92160.xword 0x64500000003c0000
92161.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
92162
92163.xword 0x7766000000554422
92164.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
92165
92166.xword 0x706200000054462a
92167.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
92168
92169.xword 0x784d005800423721
92170.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
92171
92172.xword 0x804d006000504020
92173.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
92174
92175.xword 0x0000006000408020
92176.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
92177
92178.xword 0
92179.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (52)
92180
92181.xword 0
92182.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (53)
92183
92184.xword 0
92185.xword 0x00020168 | (6 << 18) !ma_ctl_St (53)
92186
92187.xword 0x80604020
92188.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (53)
92189
92190.xword 0x356a
92191.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (53)
92192
92193.xword 0x0000006000408020
92194.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
92195
92196.xword 0x6a35
92197.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
92198
92199.xword 0x78285050
92200.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
92201
92202.xword 0x80604020
92203.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
92204
92205.xword 0x00287850
92206.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (53)
92207
92208.xword 0x00287850
92209.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (53)
92210
92211.xword 0x78285050
92212.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (53)
92213
92214.xword 0x64500000003c0000
92215.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
92216
92217.xword 0x7766000000554422
92218.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
92219
92220.xword 0x706200000054462a
92221.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
92222
92223.xword 0x784d005800423721
92224.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
92225
92226.xword 0x804d006000504020
92227.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
92228
92229.xword 0x0000006000408020
92230.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
92231
92232.xword 0
92233.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (53)
92234
92235.xword 0
92236.xword 0x00020094 | (6 << 18) !ma_ctl_Ld (54)
92237
92238.xword 0
92239.xword 0x0002017b | (6 << 18) !ma_ctl_St (54)
92240
92241.xword 0x80604020
92242.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (54)
92243
92244.xword 0x356a
92245.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (54)
92246
92247.xword 0x0000006000408020
92248.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
92249
92250.xword 0x6a35
92251.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
92252
92253.xword 0x78285050
92254.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
92255
92256.xword 0x80604020
92257.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
92258
92259.xword 0x00287850
92260.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (54)
92261
92262.xword 0x00287850
92263.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (54)
92264
92265.xword 0x78285050
92266.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (54)
92267
92268.xword 0x64500000003c0000
92269.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
92270
92271.xword 0x7766000000554422
92272.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
92273
92274.xword 0x706200000054462a
92275.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
92276
92277.xword 0x784d005800423721
92278.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
92279
92280.xword 0x804d006000504020
92281.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
92282
92283.xword 0x0000006000408020
92284.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
92285
92286.xword 0
92287.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (54)
92288
92289.xword 0
92290.xword 0x0002006b | (6 << 18) !ma_ctl_Ld (55)
92291
92292.xword 0
92293.xword 0x00020191 | (6 << 18) !ma_ctl_St (55)
92294
92295.xword 0x80604020
92296.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (55)
92297
92298.xword 0x356a
92299.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (55)
92300
92301.xword 0x0000006000408020
92302.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
92303
92304.xword 0x6a35
92305.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
92306
92307.xword 0x78285050
92308.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
92309
92310.xword 0x80604020
92311.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
92312
92313.xword 0x00287850
92314.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (55)
92315
92316.xword 0x00287850
92317.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (55)
92318
92319.xword 0x78285050
92320.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (55)
92321
92322.xword 0x64500000003c0000
92323.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
92324
92325.xword 0x7766000000554422
92326.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
92327
92328.xword 0x706200000054462a
92329.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
92330
92331.xword 0x784d005800423721
92332.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
92333
92334.xword 0x804d006000504020
92335.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
92336
92337.xword 0x0000006000408020
92338.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
92339
92340.xword 0
92341.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (55)
92342
92343.xword 0
92344.xword 0x00020096 | (6 << 18) !ma_ctl_Ld (56)
92345
92346.xword 0
92347.xword 0x00020121 | (6 << 18) !ma_ctl_St (56)
92348
92349.xword 0x80604020
92350.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (56)
92351
92352.xword 0x356a
92353.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (56)
92354
92355.xword 0x0000006000408020
92356.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
92357
92358.xword 0x6a35
92359.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
92360
92361.xword 0x78285050
92362.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
92363
92364.xword 0x80604020
92365.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
92366
92367.xword 0x00287850
92368.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (56)
92369
92370.xword 0x00287850
92371.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (56)
92372
92373.xword 0x78285050
92374.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (56)
92375
92376.xword 0x64500000003c0000
92377.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
92378
92379.xword 0x7766000000554422
92380.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
92381
92382.xword 0x706200000054462a
92383.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
92384
92385.xword 0x784d005800423721
92386.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
92387
92388.xword 0x804d006000504020
92389.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
92390
92391.xword 0x0000006000408020
92392.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
92393
92394.xword 0
92395.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (56)
92396
92397.xword 0
92398.xword 0x0002007a | (6 << 18) !ma_ctl_Ld (57)
92399
92400.xword 0
92401.xword 0x00020190 | (6 << 18) !ma_ctl_St (57)
92402
92403.xword 0x80604020
92404.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (57)
92405
92406.xword 0x356a
92407.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (57)
92408
92409.xword 0x0000006000408020
92410.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
92411
92412.xword 0x6a35
92413.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
92414
92415.xword 0x78285050
92416.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
92417
92418.xword 0x80604020
92419.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
92420
92421.xword 0x00287850
92422.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (57)
92423
92424.xword 0x00287850
92425.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (57)
92426
92427.xword 0x78285050
92428.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (57)
92429
92430.xword 0x64500000003c0000
92431.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
92432
92433.xword 0x7766000000554422
92434.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
92435
92436.xword 0x706200000054462a
92437.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
92438
92439.xword 0x784d005800423721
92440.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
92441
92442.xword 0x804d006000504020
92443.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
92444
92445.xword 0x0000006000408020
92446.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
92447
92448.xword 0
92449.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (57)
92450
92451.xword 0
92452.xword 0x0002006c | (6 << 18) !ma_ctl_Ld (58)
92453
92454.xword 0
92455.xword 0x0002011e | (6 << 18) !ma_ctl_St (58)
92456
92457.xword 0x80604020
92458.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (58)
92459
92460.xword 0x356a
92461.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (58)
92462
92463.xword 0x0000006000408020
92464.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
92465
92466.xword 0x6a35
92467.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
92468
92469.xword 0x78285050
92470.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
92471
92472.xword 0x80604020
92473.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
92474
92475.xword 0x00287850
92476.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (58)
92477
92478.xword 0x00287850
92479.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (58)
92480
92481.xword 0x78285050
92482.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (58)
92483
92484.xword 0x64500000003c0000
92485.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
92486
92487.xword 0x7766000000554422
92488.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
92489
92490.xword 0x706200000054462a
92491.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
92492
92493.xword 0x784d005800423721
92494.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
92495
92496.xword 0x804d006000504020
92497.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
92498
92499.xword 0x0000006000408020
92500.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
92501
92502.xword 0
92503.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (58)
92504
92505
92506.align 8
92507.global _t2_ma_results5
92508_t2_ma_results5:
92509.xword 0xDEADBEEFDEADBEEF
92510.xword 0xDEADBEEFDEADBEEF
92511.xword 0xDEADBEEFDEADBEEF
92512.xword 0xDEADBEEFDEADBEEF
92513.xword 0xDEADBEEFDEADBEEF
92514.xword 0xDEADBEEFDEADBEEF
92515.xword 0xDEADBEEFDEADBEEF
92516.xword 0xDEADBEEFDEADBEEF
92517.xword 0xDEADBEEFDEADBEEF
92518.xword 0xDEADBEEFDEADBEEF
92519.xword 0xDEADBEEFDEADBEEF
92520.xword 0xDEADBEEFDEADBEEF
92521.xword 0xDEADBEEFDEADBEEF
92522.xword 0xDEADBEEFDEADBEEF
92523.xword 0xDEADBEEFDEADBEEF
92524.xword 0xDEADBEEFDEADBEEF
92525.xword 0xDEADBEEFDEADBEEF
92526.xword 0xDEADBEEFDEADBEEF
92527.xword 0xDEADBEEFDEADBEEF
92528.xword 0xDEADBEEFDEADBEEF
92529.xword 0xDEADBEEFDEADBEEF
92530.xword 0xDEADBEEFDEADBEEF
92531.xword 0xDEADBEEFDEADBEEF
92532.xword 0xDEADBEEFDEADBEEF
92533.xword 0xDEADBEEFDEADBEEF
92534.xword 0xDEADBEEFDEADBEEF
92535.xword 0xDEADBEEFDEADBEEF
92536.xword 0xDEADBEEFDEADBEEF
92537.xword 0xDEADBEEFDEADBEEF
92538.xword 0xDEADBEEFDEADBEEF
92539.xword 0xDEADBEEFDEADBEEF
92540.xword 0xDEADBEEFDEADBEEF
92541.xword 0xDEADBEEFDEADBEEF
92542.xword 0xDEADBEEFDEADBEEF
92543.xword 0xDEADBEEFDEADBEEF
92544.xword 0xDEADBEEFDEADBEEF
92545.xword 0xDEADBEEFDEADBEEF
92546.xword 0xDEADBEEFDEADBEEF
92547.xword 0xDEADBEEFDEADBEEF
92548.xword 0xDEADBEEFDEADBEEF
92549.xword 0xDEADBEEFDEADBEEF
92550.xword 0xDEADBEEFDEADBEEF
92551.xword 0xDEADBEEFDEADBEEF
92552.xword 0xDEADBEEFDEADBEEF
92553.xword 0xDEADBEEFDEADBEEF
92554.xword 0xDEADBEEFDEADBEEF
92555.xword 0xDEADBEEFDEADBEEF
92556.xword 0xDEADBEEFDEADBEEF
92557.xword 0xDEADBEEFDEADBEEF
92558.xword 0xDEADBEEFDEADBEEF
92559.xword 0xDEADBEEFDEADBEEF
92560.xword 0xDEADBEEFDEADBEEF
92561.xword 0xDEADBEEFDEADBEEF
92562.xword 0xDEADBEEFDEADBEEF
92563.xword 0xDEADBEEFDEADBEEF
92564.xword 0xDEADBEEFDEADBEEF
92565.xword 0xDEADBEEFDEADBEEF
92566.xword 0xDEADBEEFDEADBEEF
92567.xword 0xDEADBEEFDEADBEEF
92568.xword 0xDEADBEEFDEADBEEF
92569.xword 0xDEADBEEFDEADBEEF
92570.xword 0xDEADBEEFDEADBEEF
92571.xword 0xDEADBEEFDEADBEEF
92572.xword 0xDEADBEEFDEADBEEF
92573.xword 0xDEADBEEFDEADBEEF
92574.xword 0xDEADBEEFDEADBEEF
92575.xword 0xDEADBEEFDEADBEEF
92576.xword 0xDEADBEEFDEADBEEF
92577.xword 0xDEADBEEFDEADBEEF
92578.xword 0xDEADBEEFDEADBEEF
92579.xword 0xDEADBEEFDEADBEEF
92580.xword 0xDEADBEEFDEADBEEF
92581.xword 0xDEADBEEFDEADBEEF
92582.xword 0xDEADBEEFDEADBEEF
92583.xword 0xDEADBEEFDEADBEEF
92584.xword 0xDEADBEEFDEADBEEF
92585.xword 0xDEADBEEFDEADBEEF
92586.xword 0xDEADBEEFDEADBEEF
92587.xword 0xDEADBEEFDEADBEEF
92588.xword 0xDEADBEEFDEADBEEF
92589.xword 0xDEADBEEFDEADBEEF
92590.xword 0xDEADBEEFDEADBEEF
92591.xword 0xDEADBEEFDEADBEEF
92592.xword 0xDEADBEEFDEADBEEF
92593.xword 0xDEADBEEFDEADBEEF
92594.xword 0xDEADBEEFDEADBEEF
92595.xword 0xDEADBEEFDEADBEEF
92596.xword 0xDEADBEEFDEADBEEF
92597.xword 0xDEADBEEFDEADBEEF
92598.xword 0xDEADBEEFDEADBEEF
92599.xword 0xDEADBEEFDEADBEEF
92600.xword 0xDEADBEEFDEADBEEF
92601.xword 0xDEADBEEFDEADBEEF
92602.xword 0xDEADBEEFDEADBEEF
92603.xword 0xDEADBEEFDEADBEEF
92604.xword 0xDEADBEEFDEADBEEF
92605.xword 0xDEADBEEFDEADBEEF
92606.xword 0xDEADBEEFDEADBEEF
92607.xword 0xDEADBEEFDEADBEEF
92608.xword 0xDEADBEEFDEADBEEF
92609.xword 0xDEADBEEFDEADBEEF
92610.xword 0xDEADBEEFDEADBEEF
92611.xword 0xDEADBEEFDEADBEEF
92612.xword 0xDEADBEEFDEADBEEF
92613.xword 0xDEADBEEFDEADBEEF
92614.xword 0xDEADBEEFDEADBEEF
92615.xword 0xDEADBEEFDEADBEEF
92616.xword 0xDEADBEEFDEADBEEF
92617.xword 0xDEADBEEFDEADBEEF
92618.xword 0xDEADBEEFDEADBEEF
92619.xword 0xDEADBEEFDEADBEEF
92620.xword 0xDEADBEEFDEADBEEF
92621.xword 0xDEADBEEFDEADBEEF
92622.xword 0xDEADBEEFDEADBEEF
92623.xword 0xDEADBEEFDEADBEEF
92624.xword 0xDEADBEEFDEADBEEF
92625.xword 0xDEADBEEFDEADBEEF
92626.xword 0xDEADBEEFDEADBEEF
92627.xword 0xDEADBEEFDEADBEEF
92628.xword 0xDEADBEEFDEADBEEF
92629.xword 0xDEADBEEFDEADBEEF
92630.xword 0xDEADBEEFDEADBEEF
92631.xword 0xDEADBEEFDEADBEEF
92632.xword 0xDEADBEEFDEADBEEF
92633.xword 0xDEADBEEFDEADBEEF
92634.xword 0xDEADBEEFDEADBEEF
92635.xword 0xDEADBEEFDEADBEEF
92636.xword 0xDEADBEEFDEADBEEF
92637.xword 0xDEADBEEFDEADBEEF
92638.xword 0xDEADBEEFDEADBEEF
92639.xword 0xDEADBEEFDEADBEEF
92640.xword 0xDEADBEEFDEADBEEF
92641.xword 0xDEADBEEFDEADBEEF
92642.xword 0xDEADBEEFDEADBEEF
92643.xword 0xDEADBEEFDEADBEEF
92644.xword 0xDEADBEEFDEADBEEF
92645.xword 0xDEADBEEFDEADBEEF
92646.xword 0xDEADBEEFDEADBEEF
92647.xword 0xDEADBEEFDEADBEEF
92648.xword 0xDEADBEEFDEADBEEF
92649.xword 0xDEADBEEFDEADBEEF
92650.xword 0xDEADBEEFDEADBEEF
92651.xword 0xDEADBEEFDEADBEEF
92652.xword 0xDEADBEEFDEADBEEF
92653.xword 0xDEADBEEFDEADBEEF
92654.xword 0xDEADBEEFDEADBEEF
92655.xword 0xDEADBEEFDEADBEEF
92656.xword 0xDEADBEEFDEADBEEF
92657.xword 0xDEADBEEFDEADBEEF
92658.xword 0xDEADBEEFDEADBEEF
92659.xword 0xDEADBEEFDEADBEEF
92660.xword 0xDEADBEEFDEADBEEF
92661.xword 0xDEADBEEFDEADBEEF
92662.xword 0xDEADBEEFDEADBEEF
92663.xword 0xDEADBEEFDEADBEEF
92664.xword 0xDEADBEEFDEADBEEF
92665.xword 0xDEADBEEFDEADBEEF
92666.xword 0xDEADBEEFDEADBEEF
92667.xword 0xDEADBEEFDEADBEEF
92668.xword 0xDEADBEEFDEADBEEF
92669.xword 0xDEADBEEFDEADBEEF
92670.xword 0xDEADBEEFDEADBEEF
92671.xword 0xDEADBEEFDEADBEEF
92672.xword 0xDEADBEEFDEADBEEF
92673SECTION ._t2_T_MAX_DATA6 DATA_VA=274726912
92674attr_data {
92675 Name = ._t2_T_MAX_DATA6
92676 hypervisor
92677}
92678
92679.data
92680_t2_user_data_start:
92681_t2_scratch_area:
92682
92683.align 8
92684.global _t2_ma_operands6
92685_t2_ma_operands6:
92686.xword 0x7e25875bdb9024eb
92687.xword 0x211c9e8ca94cd6b0
92688.xword 0xd87e810e5a97d450
92689.xword 0x58242bbd62d16ca5
92690.xword 0xf95c59f090985827
92691.xword 0x0f36b01000dab63c
92692.xword 0xb5e33888cff411aa
92693.xword 0x984d817c0e0d7dea
92694.xword 0x2dd57fa8fdedd35c
92695.xword 0xd328c98c2e66c5c7
92696.xword 0x249afd24ae26f368
92697.xword 0x1fbe29093c651d67
92698.xword 0x96b6f360f6e99ff9
92699.xword 0x25769d5c928c32f0
92700.xword 0xbfdc59e35bb97c2c
92701.xword 0x08c2f96fdfe4e0e5
92702.xword 0x0d579982d2d397eb
92703.xword 0x428ff96db9282e66
92704.xword 0x892a50291ad853d3
92705.xword 0x06c1c5be5a23778e
92706.xword 0x3f5bee601a33cb8d
92707.xword 0x425cb3bc621c081c
92708.xword 0x3fcdb1bdc7e7c181
92709.xword 0x663781366730af7a
92710.xword 0x61d46c760fd10bad
92711.xword 0xc37cdfa57e2717fb
92712.xword 0xa0219782a4e963a4
92713.xword 0xee122f66cfb8fa62
92714.xword 0x301839fc2fa4b740
92715.xword 0xb0ca473ff2587a8b
92716.xword 0x19b6a6ed41e76197
92717.xword 0x389ceb80df50a180
92718.xword 0xf49a29a6dc51f837
92719.xword 0x2eab8825894e1c79
92720.xword 0xe5a46fac0bebb86c
92721.xword 0xd75f54ffc2540f8d
92722.xword 0xd43e2db56227d5a1
92723.xword 0xb92fadd10ecd5371
92724.xword 0xfae2d47d3016a7ce
92725.xword 0xaeee68923d5fbf31
92726.xword 0xf78d7520edd61282
92727.xword 0x6f5367af04b2669b
92728.xword 0x79d95b5fe93fbbd6
92729.xword 0xbaac8f2dafd4865d
92730.xword 0x9640d7b8affd2ab8
92731.xword 0x94d1a5618e6dc198
92732.xword 0xeb214f0834c66e7a
92733.xword 0xaab9bf8d6af3571b
92734.xword 0xb5ed9b852d929ef8
92735.xword 0x48a494c90a3ee5e0
92736.xword 0x59e25c6a9ba6941e
92737.xword 0x8449078e95203fca
92738.xword 0xaec2b2d573a5b887
92739.xword 0x6229078bd2c1a3ca
92740.xword 0x0c4deb0d3aaa6879
92741.xword 0x79d8a8d39ab9e4c0
92742.xword 0x9b78433649ee4d94
92743.xword 0x3e1fa67540f80023
92744.xword 0x2661fdaf5aed6636
92745.xword 0xf18b2ea8a66adf49
92746.xword 0x00a78fd4369d5165
92747.xword 0xb65881f9c0469732
92748.xword 0x8221fddb18e25d16
92749.xword 0x8cb77ff1253fcca4
92750.xword 0xa180ca2817072b8c
92751.xword 0x1aa7a886a67cf82a
92752.xword 0x573e445a199fec45
92753.xword 0xa93e78bf8e09bd57
92754.xword 0x3e6d7e563975cd69
92755.xword 0x420512e1fe931953
92756.xword 0x3418f3af385199e8
92757.xword 0x3e5dbd4f5343d369
92758.xword 0x3ed4f473644d706a
92759.xword 0x07ac38e49256820b
92760.xword 0x36b658e85fa0975e
92761.xword 0x76548aba62fd5406
92762.xword 0x566f0528e3db0f0e
92763.xword 0xe16c0e614610ca44
92764.xword 0x105223c3382ace2c
92765.xword 0xe0c6edbcd28b1ccf
92766.xword 0x15bebbc6783e0f6b
92767.xword 0xd7eee1722e2517b6
92768.xword 0x6b5d14d176e94166
92769.xword 0xe7efdd635ee60b5d
92770.xword 0x6ed6a4a98450ae5f
92771.xword 0x5a524e9328245bd3
92772.xword 0xb26278bc8c1b8f9a
92773.xword 0xfdff809b2a12e31c
92774.xword 0x78f207560f843d13
92775.xword 0x510f2bd645b4b13e
92776.xword 0x93f92e45c34693b6
92777.xword 0x31be98336aca785c
92778.xword 0xd8fcaee009874dfe
92779.xword 0x84f91da81010aba5
92780.xword 0x7d1130bb4ec1d180
92781.xword 0x48534e7f0acf102e
92782.xword 0x973608b9dd82d14e
92783.xword 0x76891eb376746453
92784.xword 0xc173d01d548780a0
92785.xword 0x9cb47dbce0bb5b00
92786.xword 0xa6bc4329344128e2
92787.xword 0x62320b259b579aa3
92788.xword 0xb38ba3fb276cbb15
92789.xword 0x19be903668809a67
92790.xword 0x12352c7d0c2ca87a
92791.xword 0xb067aa77ee61dfd0
92792.xword 0x7a954ed8a8b0d09e
92793.xword 0xd2a8c8a500a19b3d
92794.xword 0xfd610477db5cfd85
92795.xword 0xfe257860e2080719
92796.xword 0xaaa6d500966f65f0
92797.xword 0x328319515d6ee1e2
92798.xword 0xcaf267bef2b767ab
92799.xword 0x649e5bb7e9f82b9f
92800.xword 0xab5fdee839fdeb1d
92801.xword 0xc66af95ca1a989ce
92802.xword 0x63e6c10367262377
92803.xword 0xb027231971de87f5
92804.xword 0xf50806c8296f8fd2
92805.xword 0x3070f7d562c72ee8
92806.xword 0x65467de3667a8630
92807.xword 0x7ad15c8bc64cf320
92808.xword 0xd00d54bd4d20c665
92809.xword 0x44710702663d0495
92810.xword 0x127b222ae15e316c
92811.xword 0x4f9be964b2d3f2f0
92812.xword 0x57e489a101b9c9e7
92813.xword 0xad2bfbcc6155d905
92814.xword 0x6b9a033aac62a168
92815.xword 0xaa42401baf5ce5de
92816.xword 0x413454feda50e55f
92817.xword 0xa18d8e6eb40a6c47
92818.xword 0xeb893a356ba7ac5f
92819.xword 0x650aad7c506e5bbd
92820.xword 0xaaf362f68386866f
92821.xword 0x4975bfd67b424887
92822.xword 0xff928d694317a43d
92823.xword 0xc6ce3adf605b9cc7
92824.xword 0x61e73c1ab93d7260
92825.xword 0x190f62261ae42a4f
92826.xword 0x192aac6dd818a01c
92827.xword 0x9d94da8869222dd7
92828.xword 0x3bc4695b208e9f8d
92829.xword 0x4b4bfca7b87c51cf
92830.xword 0x65974be384f4b4de
92831.xword 0x253d1580aec6bd31
92832.xword 0x9e224ca056a02fa5
92833.xword 0xa2752899ddf6d69f
92834.xword 0x901ef177c8e9ac9d
92835.xword 0x42d73ae73c50ef91
92836.xword 0x5f8d3fce712c0496
92837.xword 0x340e3ca2206e5035
92838.xword 0xa27117c71290c50d
92839.xword 0x8613d507c60e6648
92840.xword 0xb57c057e517348b9
92841.xword 0x3e8814a02a14f012
92842.xword 0x6f06d1ae4129dc0e
92843.xword 0xe17d684d5d406e6d
92844.xword 0x83ae7e58e2389e6f
92845.xword 0xec2448cd85f202a5
92846.xword 0x17607375a672893b
92847.xword 0xbd90173613f8540b
92848.xword 0xf8d26ef6f67b7809
92849.xword 0x7ecc378b2f46c69e
92850
92851.align 8
92852.global _t2_ma_operations6
92853_t2_ma_operations6:
92854.xword 0
92855.xword 0x00020020 | (6 << 18) !ma_ctl_Ld (0)
92856
92857.xword 0
92858.xword 0x0002014d | (6 << 18) !ma_ctl_St (0)
92859
92860.xword 0x80604020
92861.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (0)
92862
92863.xword 0x356a
92864.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (0)
92865
92866.xword 0x0000006000408020
92867.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
92868
92869.xword 0x6a35
92870.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
92871
92872.xword 0x78285050
92873.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
92874
92875.xword 0x80604020
92876.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
92877
92878.xword 0x00287850
92879.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (0)
92880
92881.xword 0x00287850
92882.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (0)
92883
92884.xword 0x78285050
92885.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (0)
92886
92887.xword 0x64500000003c0000
92888.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
92889
92890.xword 0x7766000000554422
92891.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
92892
92893.xword 0x706200000054462a
92894.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
92895
92896.xword 0x784d005800423721
92897.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
92898
92899.xword 0x804d006000504020
92900.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
92901
92902.xword 0x0000006000408020
92903.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
92904
92905.xword 0
92906.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (0)
92907
92908.xword 0
92909.xword 0x00020029 | (6 << 18) !ma_ctl_Ld (1)
92910
92911.xword 0
92912.xword 0x0002015f | (6 << 18) !ma_ctl_St (1)
92913
92914.xword 0x80604020
92915.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (1)
92916
92917.xword 0x356a
92918.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (1)
92919
92920.xword 0x0000006000408020
92921.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
92922
92923.xword 0x6a35
92924.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
92925
92926.xword 0x78285050
92927.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
92928
92929.xword 0x80604020
92930.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
92931
92932.xword 0x00287850
92933.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
92934
92935.xword 0x00287850
92936.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
92937
92938.xword 0x78285050
92939.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (1)
92940
92941.xword 0x64500000003c0000
92942.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
92943
92944.xword 0x7766000000554422
92945.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
92946
92947.xword 0x706200000054462a
92948.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
92949
92950.xword 0x784d005800423721
92951.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
92952
92953.xword 0x804d006000504020
92954.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
92955
92956.xword 0x0000006000408020
92957.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
92958
92959.xword 0
92960.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (1)
92961
92962.xword 0
92963.xword 0x00020081 | (6 << 18) !ma_ctl_Ld (2)
92964
92965.xword 0
92966.xword 0x0002013b | (6 << 18) !ma_ctl_St (2)
92967
92968.xword 0x80604020
92969.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (2)
92970
92971.xword 0x356a
92972.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (2)
92973
92974.xword 0x0000006000408020
92975.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
92976
92977.xword 0x6a35
92978.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
92979
92980.xword 0x78285050
92981.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
92982
92983.xword 0x80604020
92984.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
92985
92986.xword 0x00287850
92987.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (2)
92988
92989.xword 0x00287850
92990.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (2)
92991
92992.xword 0x78285050
92993.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (2)
92994
92995.xword 0x64500000003c0000
92996.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
92997
92998.xword 0x7766000000554422
92999.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
93000
93001.xword 0x706200000054462a
93002.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
93003
93004.xword 0x784d005800423721
93005.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
93006
93007.xword 0x804d006000504020
93008.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
93009
93010.xword 0x0000006000408020
93011.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
93012
93013.xword 0
93014.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (2)
93015
93016.xword 0
93017.xword 0x0002003f | (6 << 18) !ma_ctl_Ld (3)
93018
93019.xword 0
93020.xword 0x0002018e | (6 << 18) !ma_ctl_St (3)
93021
93022.xword 0x80604020
93023.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (3)
93024
93025.xword 0x356a
93026.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (3)
93027
93028.xword 0x0000006000408020
93029.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
93030
93031.xword 0x6a35
93032.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
93033
93034.xword 0x78285050
93035.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
93036
93037.xword 0x80604020
93038.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
93039
93040.xword 0x00287850
93041.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (3)
93042
93043.xword 0x00287850
93044.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (3)
93045
93046.xword 0x78285050
93047.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (3)
93048
93049.xword 0x64500000003c0000
93050.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
93051
93052.xword 0x7766000000554422
93053.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
93054
93055.xword 0x706200000054462a
93056.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
93057
93058.xword 0x784d005800423721
93059.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
93060
93061.xword 0x804d006000504020
93062.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
93063
93064.xword 0x0000006000408020
93065.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
93066
93067.xword 0
93068.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (3)
93069
93070.xword 0
93071.xword 0x00020041 | (6 << 18) !ma_ctl_Ld (4)
93072
93073.xword 0
93074.xword 0x0002015f | (6 << 18) !ma_ctl_St (4)
93075
93076.xword 0x80604020
93077.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (4)
93078
93079.xword 0x356a
93080.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (4)
93081
93082.xword 0x0000006000408020
93083.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
93084
93085.xword 0x6a35
93086.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
93087
93088.xword 0x78285050
93089.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
93090
93091.xword 0x80604020
93092.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
93093
93094.xword 0x00287850
93095.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (4)
93096
93097.xword 0x00287850
93098.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (4)
93099
93100.xword 0x78285050
93101.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (4)
93102
93103.xword 0x64500000003c0000
93104.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
93105
93106.xword 0x7766000000554422
93107.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
93108
93109.xword 0x706200000054462a
93110.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
93111
93112.xword 0x784d005800423721
93113.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
93114
93115.xword 0x804d006000504020
93116.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
93117
93118.xword 0x0000006000408020
93119.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
93120
93121.xword 0
93122.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (4)
93123
93124.xword 0
93125.xword 0x00020083 | (6 << 18) !ma_ctl_Ld (5)
93126
93127.xword 0
93128.xword 0x0002013a | (6 << 18) !ma_ctl_St (5)
93129
93130.xword 0x80604020
93131.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (5)
93132
93133.xword 0x356a
93134.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (5)
93135
93136.xword 0x0000006000408020
93137.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
93138
93139.xword 0x6a35
93140.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
93141
93142.xword 0x78285050
93143.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
93144
93145.xword 0x80604020
93146.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
93147
93148.xword 0x00287850
93149.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (5)
93150
93151.xword 0x00287850
93152.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (5)
93153
93154.xword 0x78285050
93155.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (5)
93156
93157.xword 0x64500000003c0000
93158.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
93159
93160.xword 0x7766000000554422
93161.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
93162
93163.xword 0x706200000054462a
93164.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
93165
93166.xword 0x784d005800423721
93167.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
93168
93169.xword 0x804d006000504020
93170.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
93171
93172.xword 0x0000006000408020
93173.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
93174
93175.xword 0
93176.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (5)
93177
93178.xword 0
93179.xword 0x00020021 | (6 << 18) !ma_ctl_Ld (6)
93180
93181.xword 0
93182.xword 0x00020132 | (6 << 18) !ma_ctl_St (6)
93183
93184.xword 0x80604020
93185.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (6)
93186
93187.xword 0x356a
93188.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (6)
93189
93190.xword 0x0000006000408020
93191.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
93192
93193.xword 0x6a35
93194.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
93195
93196.xword 0x78285050
93197.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
93198
93199.xword 0x80604020
93200.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
93201
93202.xword 0x00287850
93203.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (6)
93204
93205.xword 0x00287850
93206.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (6)
93207
93208.xword 0x78285050
93209.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (6)
93210
93211.xword 0x64500000003c0000
93212.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
93213
93214.xword 0x7766000000554422
93215.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
93216
93217.xword 0x706200000054462a
93218.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
93219
93220.xword 0x784d005800423721
93221.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
93222
93223.xword 0x804d006000504020
93224.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
93225
93226.xword 0x0000006000408020
93227.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
93228
93229.xword 0
93230.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (6)
93231
93232.xword 0
93233.xword 0x00020025 | (6 << 18) !ma_ctl_Ld (7)
93234
93235.xword 0
93236.xword 0x00020177 | (6 << 18) !ma_ctl_St (7)
93237
93238.xword 0x80604020
93239.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (7)
93240
93241.xword 0x356a
93242.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (7)
93243
93244.xword 0x0000006000408020
93245.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
93246
93247.xword 0x6a35
93248.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
93249
93250.xword 0x78285050
93251.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
93252
93253.xword 0x80604020
93254.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
93255
93256.xword 0x00287850
93257.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (7)
93258
93259.xword 0x00287850
93260.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (7)
93261
93262.xword 0x78285050
93263.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (7)
93264
93265.xword 0x64500000003c0000
93266.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
93267
93268.xword 0x7766000000554422
93269.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
93270
93271.xword 0x706200000054462a
93272.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
93273
93274.xword 0x784d005800423721
93275.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
93276
93277.xword 0x804d006000504020
93278.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
93279
93280.xword 0x0000006000408020
93281.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
93282
93283.xword 0
93284.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (7)
93285
93286.xword 0
93287.xword 0x00020042 | (6 << 18) !ma_ctl_Ld (8)
93288
93289.xword 0
93290.xword 0x0002014b | (6 << 18) !ma_ctl_St (8)
93291
93292.xword 0x80604020
93293.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (8)
93294
93295.xword 0x356a
93296.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (8)
93297
93298.xword 0x0000006000408020
93299.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
93300
93301.xword 0x6a35
93302.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
93303
93304.xword 0x78285050
93305.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
93306
93307.xword 0x80604020
93308.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
93309
93310.xword 0x00287850
93311.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (8)
93312
93313.xword 0x00287850
93314.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
93315
93316.xword 0x78285050
93317.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (8)
93318
93319.xword 0x64500000003c0000
93320.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
93321
93322.xword 0x7766000000554422
93323.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
93324
93325.xword 0x706200000054462a
93326.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
93327
93328.xword 0x784d005800423721
93329.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
93330
93331.xword 0x804d006000504020
93332.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
93333
93334.xword 0x0000006000408020
93335.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
93336
93337.xword 0
93338.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (8)
93339
93340.xword 0
93341.xword 0x0002005c | (6 << 18) !ma_ctl_Ld (9)
93342
93343.xword 0
93344.xword 0x00020167 | (6 << 18) !ma_ctl_St (9)
93345
93346.xword 0x80604020
93347.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (9)
93348
93349.xword 0x356a
93350.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (9)
93351
93352.xword 0x0000006000408020
93353.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
93354
93355.xword 0x6a35
93356.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
93357
93358.xword 0x78285050
93359.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
93360
93361.xword 0x80604020
93362.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
93363
93364.xword 0x00287850
93365.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (9)
93366
93367.xword 0x00287850
93368.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (9)
93369
93370.xword 0x78285050
93371.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (9)
93372
93373.xword 0x64500000003c0000
93374.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
93375
93376.xword 0x7766000000554422
93377.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
93378
93379.xword 0x706200000054462a
93380.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
93381
93382.xword 0x784d005800423721
93383.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
93384
93385.xword 0x804d006000504020
93386.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
93387
93388.xword 0x0000006000408020
93389.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
93390
93391.xword 0
93392.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (9)
93393
93394.xword 0
93395.xword 0x00020061 | (6 << 18) !ma_ctl_Ld (10)
93396
93397.xword 0
93398.xword 0x00020156 | (6 << 18) !ma_ctl_St (10)
93399
93400.xword 0x80604020
93401.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (10)
93402
93403.xword 0x356a
93404.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (10)
93405
93406.xword 0x0000006000408020
93407.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
93408
93409.xword 0x6a35
93410.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
93411
93412.xword 0x78285050
93413.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
93414
93415.xword 0x80604020
93416.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
93417
93418.xword 0x00287850
93419.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (10)
93420
93421.xword 0x00287850
93422.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (10)
93423
93424.xword 0x78285050
93425.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
93426
93427.xword 0x64500000003c0000
93428.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
93429
93430.xword 0x7766000000554422
93431.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
93432
93433.xword 0x706200000054462a
93434.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
93435
93436.xword 0x784d005800423721
93437.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
93438
93439.xword 0x804d006000504020
93440.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
93441
93442.xword 0x0000006000408020
93443.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
93444
93445.xword 0
93446.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (10)
93447
93448.xword 0
93449.xword 0x00020066 | (6 << 18) !ma_ctl_Ld (11)
93450
93451.xword 0
93452.xword 0x00020168 | (6 << 18) !ma_ctl_St (11)
93453
93454.xword 0x80604020
93455.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (11)
93456
93457.xword 0x356a
93458.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (11)
93459
93460.xword 0x0000006000408020
93461.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
93462
93463.xword 0x6a35
93464.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
93465
93466.xword 0x78285050
93467.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
93468
93469.xword 0x80604020
93470.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
93471
93472.xword 0x00287850
93473.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (11)
93474
93475.xword 0x00287850
93476.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (11)
93477
93478.xword 0x78285050
93479.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (11)
93480
93481.xword 0x64500000003c0000
93482.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
93483
93484.xword 0x7766000000554422
93485.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
93486
93487.xword 0x706200000054462a
93488.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
93489
93490.xword 0x784d005800423721
93491.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
93492
93493.xword 0x804d006000504020
93494.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
93495
93496.xword 0x0000006000408020
93497.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
93498
93499.xword 0
93500.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (11)
93501
93502.xword 0
93503.xword 0x0002006f | (6 << 18) !ma_ctl_Ld (12)
93504
93505.xword 0
93506.xword 0x00020183 | (6 << 18) !ma_ctl_St (12)
93507
93508.xword 0x80604020
93509.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (12)
93510
93511.xword 0x356a
93512.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (12)
93513
93514.xword 0x0000006000408020
93515.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
93516
93517.xword 0x6a35
93518.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
93519
93520.xword 0x78285050
93521.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
93522
93523.xword 0x80604020
93524.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
93525
93526.xword 0x00287850
93527.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (12)
93528
93529.xword 0x00287850
93530.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (12)
93531
93532.xword 0x78285050
93533.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (12)
93534
93535.xword 0x64500000003c0000
93536.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
93537
93538.xword 0x7766000000554422
93539.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
93540
93541.xword 0x706200000054462a
93542.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
93543
93544.xword 0x784d005800423721
93545.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
93546
93547.xword 0x804d006000504020
93548.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
93549
93550.xword 0x0000006000408020
93551.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
93552
93553.xword 0
93554.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (12)
93555
93556.xword 0
93557.xword 0x0002005b | (6 << 18) !ma_ctl_Ld (13)
93558
93559.xword 0
93560.xword 0x00020144 | (6 << 18) !ma_ctl_St (13)
93561
93562.xword 0x80604020
93563.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (13)
93564
93565.xword 0x356a
93566.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (13)
93567
93568.xword 0x0000006000408020
93569.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
93570
93571.xword 0x6a35
93572.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
93573
93574.xword 0x78285050
93575.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
93576
93577.xword 0x80604020
93578.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
93579
93580.xword 0x00287850
93581.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (13)
93582
93583.xword 0x00287850
93584.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (13)
93585
93586.xword 0x78285050
93587.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (13)
93588
93589.xword 0x64500000003c0000
93590.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
93591
93592.xword 0x7766000000554422
93593.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
93594
93595.xword 0x706200000054462a
93596.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
93597
93598.xword 0x784d005800423721
93599.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
93600
93601.xword 0x804d006000504020
93602.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
93603
93604.xword 0x0000006000408020
93605.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
93606
93607.xword 0
93608.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (13)
93609
93610.xword 0
93611.xword 0x00020087 | (6 << 18) !ma_ctl_Ld (14)
93612
93613.xword 0
93614.xword 0x00020190 | (6 << 18) !ma_ctl_St (14)
93615
93616.xword 0x80604020
93617.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (14)
93618
93619.xword 0x356a
93620.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (14)
93621
93622.xword 0x0000006000408020
93623.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
93624
93625.xword 0x6a35
93626.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
93627
93628.xword 0x78285050
93629.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
93630
93631.xword 0x80604020
93632.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
93633
93634.xword 0x00287850
93635.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (14)
93636
93637.xword 0x00287850
93638.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (14)
93639
93640.xword 0x78285050
93641.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (14)
93642
93643.xword 0x64500000003c0000
93644.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
93645
93646.xword 0x7766000000554422
93647.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
93648
93649.xword 0x706200000054462a
93650.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
93651
93652.xword 0x784d005800423721
93653.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
93654
93655.xword 0x804d006000504020
93656.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
93657
93658.xword 0x0000006000408020
93659.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
93660
93661.xword 0
93662.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (14)
93663
93664.xword 0
93665.xword 0x00020048 | (6 << 18) !ma_ctl_Ld (15)
93666
93667.xword 0
93668.xword 0x00020129 | (6 << 18) !ma_ctl_St (15)
93669
93670.xword 0x80604020
93671.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (15)
93672
93673.xword 0x356a
93674.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (15)
93675
93676.xword 0x0000006000408020
93677.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
93678
93679.xword 0x6a35
93680.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
93681
93682.xword 0x78285050
93683.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
93684
93685.xword 0x80604020
93686.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
93687
93688.xword 0x00287850
93689.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (15)
93690
93691.xword 0x00287850
93692.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (15)
93693
93694.xword 0x78285050
93695.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (15)
93696
93697.xword 0x64500000003c0000
93698.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
93699
93700.xword 0x7766000000554422
93701.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
93702
93703.xword 0x706200000054462a
93704.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
93705
93706.xword 0x784d005800423721
93707.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
93708
93709.xword 0x804d006000504020
93710.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
93711
93712.xword 0x0000006000408020
93713.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
93714
93715.xword 0
93716.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (15)
93717
93718.xword 0
93719.xword 0x0002009e | (6 << 18) !ma_ctl_Ld (16)
93720
93721.xword 0
93722.xword 0x00020160 | (6 << 18) !ma_ctl_St (16)
93723
93724.xword 0x80604020
93725.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (16)
93726
93727.xword 0x356a
93728.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (16)
93729
93730.xword 0x0000006000408020
93731.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
93732
93733.xword 0x6a35
93734.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
93735
93736.xword 0x78285050
93737.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
93738
93739.xword 0x80604020
93740.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
93741
93742.xword 0x00287850
93743.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (16)
93744
93745.xword 0x00287850
93746.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (16)
93747
93748.xword 0x78285050
93749.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (16)
93750
93751.xword 0x64500000003c0000
93752.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
93753
93754.xword 0x7766000000554422
93755.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
93756
93757.xword 0x706200000054462a
93758.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
93759
93760.xword 0x784d005800423721
93761.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
93762
93763.xword 0x804d006000504020
93764.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
93765
93766.xword 0x0000006000408020
93767.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
93768
93769.xword 0
93770.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (16)
93771
93772.xword 0
93773.xword 0x00020039 | (6 << 18) !ma_ctl_Ld (17)
93774
93775.xword 0
93776.xword 0x0002014b | (6 << 18) !ma_ctl_St (17)
93777
93778.xword 0x80604020
93779.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (17)
93780
93781.xword 0x356a
93782.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (17)
93783
93784.xword 0x0000006000408020
93785.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
93786
93787.xword 0x6a35
93788.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
93789
93790.xword 0x78285050
93791.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
93792
93793.xword 0x80604020
93794.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
93795
93796.xword 0x00287850
93797.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (17)
93798
93799.xword 0x00287850
93800.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (17)
93801
93802.xword 0x78285050
93803.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (17)
93804
93805.xword 0x64500000003c0000
93806.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
93807
93808.xword 0x7766000000554422
93809.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
93810
93811.xword 0x706200000054462a
93812.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
93813
93814.xword 0x784d005800423721
93815.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
93816
93817.xword 0x804d006000504020
93818.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
93819
93820.xword 0x0000006000408020
93821.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
93822
93823.xword 0
93824.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (17)
93825
93826.xword 0
93827.xword 0x00020080 | (6 << 18) !ma_ctl_Ld (18)
93828
93829.xword 0
93830.xword 0x00020129 | (6 << 18) !ma_ctl_St (18)
93831
93832.xword 0x80604020
93833.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (18)
93834
93835.xword 0x356a
93836.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (18)
93837
93838.xword 0x0000006000408020
93839.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
93840
93841.xword 0x6a35
93842.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
93843
93844.xword 0x78285050
93845.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
93846
93847.xword 0x80604020
93848.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
93849
93850.xword 0x00287850
93851.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (18)
93852
93853.xword 0x00287850
93854.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (18)
93855
93856.xword 0x78285050
93857.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (18)
93858
93859.xword 0x64500000003c0000
93860.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
93861
93862.xword 0x7766000000554422
93863.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
93864
93865.xword 0x706200000054462a
93866.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
93867
93868.xword 0x784d005800423721
93869.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
93870
93871.xword 0x804d006000504020
93872.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
93873
93874.xword 0x0000006000408020
93875.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
93876
93877.xword 0
93878.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (18)
93879
93880.xword 0
93881.xword 0x00020031 | (6 << 18) !ma_ctl_Ld (19)
93882
93883.xword 0
93884.xword 0x0002012a | (6 << 18) !ma_ctl_St (19)
93885
93886.xword 0x80604020
93887.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (19)
93888
93889.xword 0x356a
93890.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (19)
93891
93892.xword 0x0000006000408020
93893.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
93894
93895.xword 0x6a35
93896.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
93897
93898.xword 0x78285050
93899.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
93900
93901.xword 0x80604020
93902.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
93903
93904.xword 0x00287850
93905.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (19)
93906
93907.xword 0x00287850
93908.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (19)
93909
93910.xword 0x78285050
93911.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
93912
93913.xword 0x64500000003c0000
93914.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
93915
93916.xword 0x7766000000554422
93917.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
93918
93919.xword 0x706200000054462a
93920.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
93921
93922.xword 0x784d005800423721
93923.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
93924
93925.xword 0x804d006000504020
93926.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
93927
93928.xword 0x0000006000408020
93929.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
93930
93931.xword 0
93932.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (19)
93933
93934.xword 0
93935.xword 0x0002003a | (6 << 18) !ma_ctl_Ld (20)
93936
93937.xword 0
93938.xword 0x00020171 | (6 << 18) !ma_ctl_St (20)
93939
93940.xword 0x80604020
93941.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (20)
93942
93943.xword 0x356a
93944.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (20)
93945
93946.xword 0x0000006000408020
93947.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
93948
93949.xword 0x6a35
93950.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
93951
93952.xword 0x78285050
93953.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
93954
93955.xword 0x80604020
93956.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
93957
93958.xword 0x00287850
93959.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (20)
93960
93961.xword 0x00287850
93962.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (20)
93963
93964.xword 0x78285050
93965.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (20)
93966
93967.xword 0x64500000003c0000
93968.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
93969
93970.xword 0x7766000000554422
93971.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
93972
93973.xword 0x706200000054462a
93974.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
93975
93976.xword 0x784d005800423721
93977.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
93978
93979.xword 0x804d006000504020
93980.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
93981
93982.xword 0x0000006000408020
93983.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
93984
93985.xword 0
93986.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (20)
93987
93988.xword 0
93989.xword 0x0002007f | (6 << 18) !ma_ctl_Ld (21)
93990
93991.xword 0
93992.xword 0x00020140 | (6 << 18) !ma_ctl_St (21)
93993
93994.xword 0x80604020
93995.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (21)
93996
93997.xword 0x356a
93998.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (21)
93999
94000.xword 0x0000006000408020
94001.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
94002
94003.xword 0x6a35
94004.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
94005
94006.xword 0x78285050
94007.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
94008
94009.xword 0x80604020
94010.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
94011
94012.xword 0x00287850
94013.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (21)
94014
94015.xword 0x00287850
94016.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (21)
94017
94018.xword 0x78285050
94019.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (21)
94020
94021.xword 0x64500000003c0000
94022.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
94023
94024.xword 0x7766000000554422
94025.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
94026
94027.xword 0x706200000054462a
94028.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
94029
94030.xword 0x784d005800423721
94031.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
94032
94033.xword 0x804d006000504020
94034.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
94035
94036.xword 0x0000006000408020
94037.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
94038
94039.xword 0
94040.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (21)
94041
94042.xword 0
94043.xword 0x0002009d | (6 << 18) !ma_ctl_Ld (22)
94044
94045.xword 0
94046.xword 0x00020121 | (6 << 18) !ma_ctl_St (22)
94047
94048.xword 0x80604020
94049.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (22)
94050
94051.xword 0x356a
94052.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (22)
94053
94054.xword 0x0000006000408020
94055.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
94056
94057.xword 0x6a35
94058.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
94059
94060.xword 0x78285050
94061.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
94062
94063.xword 0x80604020
94064.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
94065
94066.xword 0x00287850
94067.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (22)
94068
94069.xword 0x00287850
94070.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (22)
94071
94072.xword 0x78285050
94073.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (22)
94074
94075.xword 0x64500000003c0000
94076.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
94077
94078.xword 0x7766000000554422
94079.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
94080
94081.xword 0x706200000054462a
94082.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
94083
94084.xword 0x784d005800423721
94085.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
94086
94087.xword 0x804d006000504020
94088.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
94089
94090.xword 0x0000006000408020
94091.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
94092
94093.xword 0
94094.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (22)
94095
94096.xword 0
94097.xword 0x0002006d | (6 << 18) !ma_ctl_Ld (23)
94098
94099.xword 0
94100.xword 0x00020164 | (6 << 18) !ma_ctl_St (23)
94101
94102.xword 0x80604020
94103.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (23)
94104
94105.xword 0x356a
94106.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (23)
94107
94108.xword 0x0000006000408020
94109.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
94110
94111.xword 0x6a35
94112.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
94113
94114.xword 0x78285050
94115.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
94116
94117.xword 0x80604020
94118.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
94119
94120.xword 0x00287850
94121.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (23)
94122
94123.xword 0x00287850
94124.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (23)
94125
94126.xword 0x78285050
94127.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (23)
94128
94129.xword 0x64500000003c0000
94130.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
94131
94132.xword 0x7766000000554422
94133.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
94134
94135.xword 0x706200000054462a
94136.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
94137
94138.xword 0x784d005800423721
94139.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
94140
94141.xword 0x804d006000504020
94142.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
94143
94144.xword 0x0000006000408020
94145.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
94146
94147.xword 0
94148.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (23)
94149
94150.xword 0
94151.xword 0x00020044 | (6 << 18) !ma_ctl_Ld (24)
94152
94153.xword 0
94154.xword 0x00020153 | (6 << 18) !ma_ctl_St (24)
94155
94156.xword 0x80604020
94157.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (24)
94158
94159.xword 0x356a
94160.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (24)
94161
94162.xword 0x0000006000408020
94163.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
94164
94165.xword 0x6a35
94166.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
94167
94168.xword 0x78285050
94169.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
94170
94171.xword 0x80604020
94172.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
94173
94174.xword 0x00287850
94175.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (24)
94176
94177.xword 0x00287850
94178.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (24)
94179
94180.xword 0x78285050
94181.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (24)
94182
94183.xword 0x64500000003c0000
94184.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
94185
94186.xword 0x7766000000554422
94187.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
94188
94189.xword 0x706200000054462a
94190.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
94191
94192.xword 0x784d005800423721
94193.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
94194
94195.xword 0x804d006000504020
94196.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
94197
94198.xword 0x0000006000408020
94199.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
94200
94201.xword 0
94202.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (24)
94203
94204.xword 0
94205.xword 0x0002007b | (6 << 18) !ma_ctl_Ld (25)
94206
94207.xword 0
94208.xword 0x00020185 | (6 << 18) !ma_ctl_St (25)
94209
94210.xword 0x80604020
94211.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (25)
94212
94213.xword 0x356a
94214.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (25)
94215
94216.xword 0x0000006000408020
94217.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
94218
94219.xword 0x6a35
94220.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
94221
94222.xword 0x78285050
94223.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
94224
94225.xword 0x80604020
94226.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
94227
94228.xword 0x00287850
94229.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (25)
94230
94231.xword 0x00287850
94232.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (25)
94233
94234.xword 0x78285050
94235.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (25)
94236
94237.xword 0x64500000003c0000
94238.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
94239
94240.xword 0x7766000000554422
94241.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
94242
94243.xword 0x706200000054462a
94244.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
94245
94246.xword 0x784d005800423721
94247.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
94248
94249.xword 0x804d006000504020
94250.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
94251
94252.xword 0x0000006000408020
94253.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
94254
94255.xword 0
94256.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (25)
94257
94258.xword 0
94259.xword 0x00020087 | (6 << 18) !ma_ctl_Ld (26)
94260
94261.xword 0
94262.xword 0x0002013f | (6 << 18) !ma_ctl_St (26)
94263
94264.xword 0x80604020
94265.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (26)
94266
94267.xword 0x356a
94268.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (26)
94269
94270.xword 0x0000006000408020
94271.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
94272
94273.xword 0x6a35
94274.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
94275
94276.xword 0x78285050
94277.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
94278
94279.xword 0x80604020
94280.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
94281
94282.xword 0x00287850
94283.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (26)
94284
94285.xword 0x00287850
94286.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (26)
94287
94288.xword 0x78285050
94289.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (26)
94290
94291.xword 0x64500000003c0000
94292.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
94293
94294.xword 0x7766000000554422
94295.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
94296
94297.xword 0x706200000054462a
94298.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
94299
94300.xword 0x784d005800423721
94301.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
94302
94303.xword 0x804d006000504020
94304.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
94305
94306.xword 0x0000006000408020
94307.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
94308
94309.xword 0
94310.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (26)
94311
94312.xword 0
94313.xword 0x00020022 | (6 << 18) !ma_ctl_Ld (27)
94314
94315.xword 0
94316.xword 0x00020146 | (6 << 18) !ma_ctl_St (27)
94317
94318.xword 0x80604020
94319.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (27)
94320
94321.xword 0x356a
94322.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (27)
94323
94324.xword 0x0000006000408020
94325.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
94326
94327.xword 0x6a35
94328.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
94329
94330.xword 0x78285050
94331.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
94332
94333.xword 0x80604020
94334.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
94335
94336.xword 0x00287850
94337.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (27)
94338
94339.xword 0x00287850
94340.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (27)
94341
94342.xword 0x78285050
94343.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (27)
94344
94345.xword 0x64500000003c0000
94346.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
94347
94348.xword 0x7766000000554422
94349.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
94350
94351.xword 0x706200000054462a
94352.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
94353
94354.xword 0x784d005800423721
94355.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
94356
94357.xword 0x804d006000504020
94358.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
94359
94360.xword 0x0000006000408020
94361.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
94362
94363.xword 0
94364.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (27)
94365
94366.xword 0
94367.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (28)
94368
94369.xword 0
94370.xword 0x00020154 | (6 << 18) !ma_ctl_St (28)
94371
94372.xword 0x80604020
94373.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (28)
94374
94375.xword 0x356a
94376.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (28)
94377
94378.xword 0x0000006000408020
94379.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
94380
94381.xword 0x6a35
94382.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
94383
94384.xword 0x78285050
94385.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
94386
94387.xword 0x80604020
94388.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
94389
94390.xword 0x00287850
94391.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (28)
94392
94393.xword 0x00287850
94394.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (28)
94395
94396.xword 0x78285050
94397.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (28)
94398
94399.xword 0x64500000003c0000
94400.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
94401
94402.xword 0x7766000000554422
94403.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
94404
94405.xword 0x706200000054462a
94406.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
94407
94408.xword 0x784d005800423721
94409.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
94410
94411.xword 0x804d006000504020
94412.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
94413
94414.xword 0x0000006000408020
94415.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
94416
94417.xword 0
94418.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (28)
94419
94420.xword 0
94421.xword 0x0002005d | (6 << 18) !ma_ctl_Ld (29)
94422
94423.xword 0
94424.xword 0x0002011e | (6 << 18) !ma_ctl_St (29)
94425
94426.xword 0x80604020
94427.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (29)
94428
94429.xword 0x356a
94430.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (29)
94431
94432.xword 0x0000006000408020
94433.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
94434
94435.xword 0x6a35
94436.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
94437
94438.xword 0x78285050
94439.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
94440
94441.xword 0x80604020
94442.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
94443
94444.xword 0x00287850
94445.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (29)
94446
94447.xword 0x00287850
94448.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (29)
94449
94450.xword 0x78285050
94451.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (29)
94452
94453.xword 0x64500000003c0000
94454.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
94455
94456.xword 0x7766000000554422
94457.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
94458
94459.xword 0x706200000054462a
94460.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
94461
94462.xword 0x784d005800423721
94463.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
94464
94465.xword 0x804d006000504020
94466.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
94467
94468.xword 0x0000006000408020
94469.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
94470
94471.xword 0
94472.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (29)
94473
94474.xword 0
94475.xword 0x00020090 | (6 << 18) !ma_ctl_Ld (30)
94476
94477.xword 0
94478.xword 0x0002017f | (6 << 18) !ma_ctl_St (30)
94479
94480.xword 0x80604020
94481.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (30)
94482
94483.xword 0x356a
94484.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (30)
94485
94486.xword 0x0000006000408020
94487.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
94488
94489.xword 0x6a35
94490.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
94491
94492.xword 0x78285050
94493.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
94494
94495.xword 0x80604020
94496.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
94497
94498.xword 0x00287850
94499.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (30)
94500
94501.xword 0x00287850
94502.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (30)
94503
94504.xword 0x78285050
94505.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (30)
94506
94507.xword 0x64500000003c0000
94508.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
94509
94510.xword 0x7766000000554422
94511.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
94512
94513.xword 0x706200000054462a
94514.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
94515
94516.xword 0x784d005800423721
94517.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
94518
94519.xword 0x804d006000504020
94520.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
94521
94522.xword 0x0000006000408020
94523.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
94524
94525.xword 0
94526.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (30)
94527
94528.xword 0
94529.xword 0x00020084 | (6 << 18) !ma_ctl_Ld (31)
94530
94531.xword 0
94532.xword 0x00020131 | (6 << 18) !ma_ctl_St (31)
94533
94534.xword 0x80604020
94535.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (31)
94536
94537.xword 0x356a
94538.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (31)
94539
94540.xword 0x0000006000408020
94541.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
94542
94543.xword 0x6a35
94544.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
94545
94546.xword 0x78285050
94547.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
94548
94549.xword 0x80604020
94550.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
94551
94552.xword 0x00287850
94553.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (31)
94554
94555.xword 0x00287850
94556.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (31)
94557
94558.xword 0x78285050
94559.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (31)
94560
94561.xword 0x64500000003c0000
94562.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
94563
94564.xword 0x7766000000554422
94565.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
94566
94567.xword 0x706200000054462a
94568.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
94569
94570.xword 0x784d005800423721
94571.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
94572
94573.xword 0x804d006000504020
94574.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
94575
94576.xword 0x0000006000408020
94577.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
94578
94579.xword 0
94580.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (31)
94581
94582.xword 0
94583.xword 0x0002008a | (6 << 18) !ma_ctl_Ld (32)
94584
94585.xword 0
94586.xword 0x0002016d | (6 << 18) !ma_ctl_St (32)
94587
94588.xword 0x80604020
94589.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (32)
94590
94591.xword 0x356a
94592.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (32)
94593
94594.xword 0x0000006000408020
94595.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
94596
94597.xword 0x6a35
94598.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
94599
94600.xword 0x78285050
94601.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
94602
94603.xword 0x80604020
94604.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
94605
94606.xword 0x00287850
94607.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (32)
94608
94609.xword 0x00287850
94610.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (32)
94611
94612.xword 0x78285050
94613.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (32)
94614
94615.xword 0x64500000003c0000
94616.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
94617
94618.xword 0x7766000000554422
94619.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
94620
94621.xword 0x706200000054462a
94622.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
94623
94624.xword 0x784d005800423721
94625.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
94626
94627.xword 0x804d006000504020
94628.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
94629
94630.xword 0x0000006000408020
94631.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
94632
94633.xword 0
94634.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (32)
94635
94636.xword 0
94637.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (33)
94638
94639.xword 0
94640.xword 0x0002014c | (6 << 18) !ma_ctl_St (33)
94641
94642.xword 0x80604020
94643.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (33)
94644
94645.xword 0x356a
94646.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (33)
94647
94648.xword 0x0000006000408020
94649.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
94650
94651.xword 0x6a35
94652.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
94653
94654.xword 0x78285050
94655.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
94656
94657.xword 0x80604020
94658.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
94659
94660.xword 0x00287850
94661.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (33)
94662
94663.xword 0x00287850
94664.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (33)
94665
94666.xword 0x78285050
94667.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (33)
94668
94669.xword 0x64500000003c0000
94670.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
94671
94672.xword 0x7766000000554422
94673.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
94674
94675.xword 0x706200000054462a
94676.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
94677
94678.xword 0x784d005800423721
94679.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
94680
94681.xword 0x804d006000504020
94682.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
94683
94684.xword 0x0000006000408020
94685.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
94686
94687.xword 0
94688.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (33)
94689
94690.xword 0
94691.xword 0x00020069 | (6 << 18) !ma_ctl_Ld (34)
94692
94693.xword 0
94694.xword 0x0002016c | (6 << 18) !ma_ctl_St (34)
94695
94696.xword 0x80604020
94697.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (34)
94698
94699.xword 0x356a
94700.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (34)
94701
94702.xword 0x0000006000408020
94703.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
94704
94705.xword 0x6a35
94706.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
94707
94708.xword 0x78285050
94709.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
94710
94711.xword 0x80604020
94712.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
94713
94714.xword 0x00287850
94715.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (34)
94716
94717.xword 0x00287850
94718.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (34)
94719
94720.xword 0x78285050
94721.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (34)
94722
94723.xword 0x64500000003c0000
94724.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
94725
94726.xword 0x7766000000554422
94727.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
94728
94729.xword 0x706200000054462a
94730.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
94731
94732.xword 0x784d005800423721
94733.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
94734
94735.xword 0x804d006000504020
94736.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
94737
94738.xword 0x0000006000408020
94739.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
94740
94741.xword 0
94742.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (34)
94743
94744.xword 0
94745.xword 0x0002006f | (6 << 18) !ma_ctl_Ld (35)
94746
94747.xword 0
94748.xword 0x0002011f | (6 << 18) !ma_ctl_St (35)
94749
94750.xword 0x80604020
94751.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (35)
94752
94753.xword 0x356a
94754.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (35)
94755
94756.xword 0x0000006000408020
94757.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
94758
94759.xword 0x6a35
94760.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
94761
94762.xword 0x78285050
94763.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
94764
94765.xword 0x80604020
94766.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
94767
94768.xword 0x00287850
94769.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (35)
94770
94771.xword 0x00287850
94772.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (35)
94773
94774.xword 0x78285050
94775.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (35)
94776
94777.xword 0x64500000003c0000
94778.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
94779
94780.xword 0x7766000000554422
94781.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
94782
94783.xword 0x706200000054462a
94784.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
94785
94786.xword 0x784d005800423721
94787.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
94788
94789.xword 0x804d006000504020
94790.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
94791
94792.xword 0x0000006000408020
94793.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
94794
94795.xword 0
94796.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (35)
94797
94798.xword 0
94799.xword 0x00020094 | (6 << 18) !ma_ctl_Ld (36)
94800
94801.xword 0
94802.xword 0x00020135 | (6 << 18) !ma_ctl_St (36)
94803
94804.xword 0x80604020
94805.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (36)
94806
94807.xword 0x356a
94808.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (36)
94809
94810.xword 0x0000006000408020
94811.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
94812
94813.xword 0x6a35
94814.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
94815
94816.xword 0x78285050
94817.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
94818
94819.xword 0x80604020
94820.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
94821
94822.xword 0x00287850
94823.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (36)
94824
94825.xword 0x00287850
94826.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (36)
94827
94828.xword 0x78285050
94829.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (36)
94830
94831.xword 0x64500000003c0000
94832.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
94833
94834.xword 0x7766000000554422
94835.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
94836
94837.xword 0x706200000054462a
94838.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
94839
94840.xword 0x784d005800423721
94841.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
94842
94843.xword 0x804d006000504020
94844.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
94845
94846.xword 0x0000006000408020
94847.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
94848
94849.xword 0
94850.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (36)
94851
94852.xword 0
94853.xword 0x0002008b | (6 << 18) !ma_ctl_Ld (37)
94854
94855.xword 0
94856.xword 0x00020188 | (6 << 18) !ma_ctl_St (37)
94857
94858.xword 0x80604020
94859.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (37)
94860
94861.xword 0x356a
94862.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (37)
94863
94864.xword 0x0000006000408020
94865.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
94866
94867.xword 0x6a35
94868.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
94869
94870.xword 0x78285050
94871.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
94872
94873.xword 0x80604020
94874.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
94875
94876.xword 0x00287850
94877.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (37)
94878
94879.xword 0x00287850
94880.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (37)
94881
94882.xword 0x78285050
94883.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (37)
94884
94885.xword 0x64500000003c0000
94886.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
94887
94888.xword 0x7766000000554422
94889.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
94890
94891.xword 0x706200000054462a
94892.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
94893
94894.xword 0x784d005800423721
94895.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
94896
94897.xword 0x804d006000504020
94898.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
94899
94900.xword 0x0000006000408020
94901.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
94902
94903.xword 0
94904.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (37)
94905
94906.xword 0
94907.xword 0x0002004d | (6 << 18) !ma_ctl_Ld (38)
94908
94909.xword 0
94910.xword 0x0002018b | (6 << 18) !ma_ctl_St (38)
94911
94912.xword 0x80604020
94913.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (38)
94914
94915.xword 0x356a
94916.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (38)
94917
94918.xword 0x0000006000408020
94919.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
94920
94921.xword 0x6a35
94922.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
94923
94924.xword 0x78285050
94925.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
94926
94927.xword 0x80604020
94928.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
94929
94930.xword 0x00287850
94931.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (38)
94932
94933.xword 0x00287850
94934.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (38)
94935
94936.xword 0x78285050
94937.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (38)
94938
94939.xword 0x64500000003c0000
94940.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
94941
94942.xword 0x7766000000554422
94943.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
94944
94945.xword 0x706200000054462a
94946.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
94947
94948.xword 0x784d005800423721
94949.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
94950
94951.xword 0x804d006000504020
94952.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
94953
94954.xword 0x0000006000408020
94955.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
94956
94957.xword 0
94958.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (38)
94959
94960.xword 0
94961.xword 0x00020025 | (6 << 18) !ma_ctl_Ld (39)
94962
94963.xword 0
94964.xword 0x00020149 | (6 << 18) !ma_ctl_St (39)
94965
94966.xword 0x80604020
94967.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (39)
94968
94969.xword 0x356a
94970.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (39)
94971
94972.xword 0x0000006000408020
94973.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
94974
94975.xword 0x6a35
94976.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
94977
94978.xword 0x78285050
94979.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
94980
94981.xword 0x80604020
94982.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
94983
94984.xword 0x00287850
94985.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (39)
94986
94987.xword 0x00287850
94988.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (39)
94989
94990.xword 0x78285050
94991.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
94992
94993.xword 0x64500000003c0000
94994.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
94995
94996.xword 0x7766000000554422
94997.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
94998
94999.xword 0x706200000054462a
95000.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
95001
95002.xword 0x784d005800423721
95003.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
95004
95005.xword 0x804d006000504020
95006.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
95007
95008.xword 0x0000006000408020
95009.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
95010
95011.xword 0
95012.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (39)
95013
95014.xword 0
95015.xword 0x00020045 | (6 << 18) !ma_ctl_Ld (40)
95016
95017.xword 0
95018.xword 0x0002018e | (6 << 18) !ma_ctl_St (40)
95019
95020.xword 0x80604020
95021.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (40)
95022
95023.xword 0x356a
95024.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (40)
95025
95026.xword 0x0000006000408020
95027.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
95028
95029.xword 0x6a35
95030.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
95031
95032.xword 0x78285050
95033.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
95034
95035.xword 0x80604020
95036.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
95037
95038.xword 0x00287850
95039.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (40)
95040
95041.xword 0x00287850
95042.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (40)
95043
95044.xword 0x78285050
95045.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (40)
95046
95047.xword 0x64500000003c0000
95048.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
95049
95050.xword 0x7766000000554422
95051.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
95052
95053.xword 0x706200000054462a
95054.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
95055
95056.xword 0x784d005800423721
95057.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
95058
95059.xword 0x804d006000504020
95060.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
95061
95062.xword 0x0000006000408020
95063.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
95064
95065.xword 0
95066.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (40)
95067
95068.xword 0
95069.xword 0x00020056 | (6 << 18) !ma_ctl_Ld (41)
95070
95071.xword 0
95072.xword 0x0002017d | (6 << 18) !ma_ctl_St (41)
95073
95074.xword 0x80604020
95075.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (41)
95076
95077.xword 0x356a
95078.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (41)
95079
95080.xword 0x0000006000408020
95081.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
95082
95083.xword 0x6a35
95084.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
95085
95086.xword 0x78285050
95087.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
95088
95089.xword 0x80604020
95090.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
95091
95092.xword 0x00287850
95093.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (41)
95094
95095.xword 0x00287850
95096.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (41)
95097
95098.xword 0x78285050
95099.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (41)
95100
95101.xword 0x64500000003c0000
95102.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
95103
95104.xword 0x7766000000554422
95105.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
95106
95107.xword 0x706200000054462a
95108.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
95109
95110.xword 0x784d005800423721
95111.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
95112
95113.xword 0x804d006000504020
95114.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
95115
95116.xword 0x0000006000408020
95117.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
95118
95119.xword 0
95120.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (41)
95121
95122.xword 0
95123.xword 0x00020063 | (6 << 18) !ma_ctl_Ld (42)
95124
95125.xword 0
95126.xword 0x00020173 | (6 << 18) !ma_ctl_St (42)
95127
95128.xword 0x80604020
95129.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (42)
95130
95131.xword 0x356a
95132.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (42)
95133
95134.xword 0x0000006000408020
95135.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
95136
95137.xword 0x6a35
95138.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
95139
95140.xword 0x78285050
95141.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
95142
95143.xword 0x80604020
95144.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
95145
95146.xword 0x00287850
95147.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (42)
95148
95149.xword 0x00287850
95150.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (42)
95151
95152.xword 0x78285050
95153.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (42)
95154
95155.xword 0x64500000003c0000
95156.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
95157
95158.xword 0x7766000000554422
95159.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
95160
95161.xword 0x706200000054462a
95162.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
95163
95164.xword 0x784d005800423721
95165.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
95166
95167.xword 0x804d006000504020
95168.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
95169
95170.xword 0x0000006000408020
95171.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
95172
95173.xword 0
95174.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (42)
95175
95176.xword 0
95177.xword 0x00020038 | (6 << 18) !ma_ctl_Ld (43)
95178
95179.xword 0
95180.xword 0x0002014e | (6 << 18) !ma_ctl_St (43)
95181
95182.xword 0x80604020
95183.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (43)
95184
95185.xword 0x356a
95186.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (43)
95187
95188.xword 0x0000006000408020
95189.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
95190
95191.xword 0x6a35
95192.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
95193
95194.xword 0x78285050
95195.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
95196
95197.xword 0x80604020
95198.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
95199
95200.xword 0x00287850
95201.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (43)
95202
95203.xword 0x00287850
95204.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (43)
95205
95206.xword 0x78285050
95207.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (43)
95208
95209.xword 0x64500000003c0000
95210.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
95211
95212.xword 0x7766000000554422
95213.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
95214
95215.xword 0x706200000054462a
95216.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
95217
95218.xword 0x784d005800423721
95219.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
95220
95221.xword 0x804d006000504020
95222.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
95223
95224.xword 0x0000006000408020
95225.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
95226
95227.xword 0
95228.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (43)
95229
95230.xword 0
95231.xword 0x0002002b | (6 << 18) !ma_ctl_Ld (44)
95232
95233.xword 0
95234.xword 0x00020167 | (6 << 18) !ma_ctl_St (44)
95235
95236.xword 0x80604020
95237.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (44)
95238
95239.xword 0x356a
95240.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (44)
95241
95242.xword 0x0000006000408020
95243.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
95244
95245.xword 0x6a35
95246.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
95247
95248.xword 0x78285050
95249.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
95250
95251.xword 0x80604020
95252.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
95253
95254.xword 0x00287850
95255.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (44)
95256
95257.xword 0x00287850
95258.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (44)
95259
95260.xword 0x78285050
95261.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (44)
95262
95263.xword 0x64500000003c0000
95264.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
95265
95266.xword 0x7766000000554422
95267.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
95268
95269.xword 0x706200000054462a
95270.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
95271
95272.xword 0x784d005800423721
95273.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
95274
95275.xword 0x804d006000504020
95276.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
95277
95278.xword 0x0000006000408020
95279.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
95280
95281.xword 0
95282.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (44)
95283
95284.xword 0
95285.xword 0x0002005a | (6 << 18) !ma_ctl_Ld (45)
95286
95287.xword 0
95288.xword 0x00020155 | (6 << 18) !ma_ctl_St (45)
95289
95290.xword 0x80604020
95291.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (45)
95292
95293.xword 0x356a
95294.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (45)
95295
95296.xword 0x0000006000408020
95297.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
95298
95299.xword 0x6a35
95300.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
95301
95302.xword 0x78285050
95303.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
95304
95305.xword 0x80604020
95306.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
95307
95308.xword 0x00287850
95309.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (45)
95310
95311.xword 0x00287850
95312.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (45)
95313
95314.xword 0x78285050
95315.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (45)
95316
95317.xword 0x64500000003c0000
95318.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
95319
95320.xword 0x7766000000554422
95321.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
95322
95323.xword 0x706200000054462a
95324.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
95325
95326.xword 0x784d005800423721
95327.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
95328
95329.xword 0x804d006000504020
95330.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
95331
95332.xword 0x0000006000408020
95333.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
95334
95335.xword 0
95336.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (45)
95337
95338.xword 0
95339.xword 0x0002002e | (6 << 18) !ma_ctl_Ld (46)
95340
95341.xword 0
95342.xword 0x00020154 | (6 << 18) !ma_ctl_St (46)
95343
95344.xword 0x80604020
95345.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (46)
95346
95347.xword 0x356a
95348.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (46)
95349
95350.xword 0x0000006000408020
95351.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
95352
95353.xword 0x6a35
95354.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
95355
95356.xword 0x78285050
95357.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
95358
95359.xword 0x80604020
95360.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
95361
95362.xword 0x00287850
95363.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (46)
95364
95365.xword 0x00287850
95366.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (46)
95367
95368.xword 0x78285050
95369.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (46)
95370
95371.xword 0x64500000003c0000
95372.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
95373
95374.xword 0x7766000000554422
95375.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
95376
95377.xword 0x706200000054462a
95378.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
95379
95380.xword 0x784d005800423721
95381.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
95382
95383.xword 0x804d006000504020
95384.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
95385
95386.xword 0x0000006000408020
95387.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
95388
95389.xword 0
95390.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (46)
95391
95392.xword 0
95393.xword 0x00020087 | (6 << 18) !ma_ctl_Ld (47)
95394
95395.xword 0
95396.xword 0x00020121 | (6 << 18) !ma_ctl_St (47)
95397
95398.xword 0x80604020
95399.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (47)
95400
95401.xword 0x356a
95402.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (47)
95403
95404.xword 0x0000006000408020
95405.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
95406
95407.xword 0x6a35
95408.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
95409
95410.xword 0x78285050
95411.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
95412
95413.xword 0x80604020
95414.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
95415
95416.xword 0x00287850
95417.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (47)
95418
95419.xword 0x00287850
95420.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (47)
95421
95422.xword 0x78285050
95423.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (47)
95424
95425.xword 0x64500000003c0000
95426.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
95427
95428.xword 0x7766000000554422
95429.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
95430
95431.xword 0x706200000054462a
95432.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
95433
95434.xword 0x784d005800423721
95435.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
95436
95437.xword 0x804d006000504020
95438.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
95439
95440.xword 0x0000006000408020
95441.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
95442
95443.xword 0
95444.xword 0x00021103 | (6 << 18) !ma_ctl_StParity (47)
95445
95446.xword 0
95447.xword 0x00020084 | (6 << 18) !ma_ctl_Ld (48)
95448
95449.xword 0
95450.xword 0x0002013d | (6 << 18) !ma_ctl_St (48)
95451
95452.xword 0x80604020
95453.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (48)
95454
95455.xword 0x356a
95456.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (48)
95457
95458.xword 0x0000006000408020
95459.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
95460
95461.xword 0x6a35
95462.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
95463
95464.xword 0x78285050
95465.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
95466
95467.xword 0x80604020
95468.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
95469
95470.xword 0x00287850
95471.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (48)
95472
95473.xword 0x00287850
95474.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (48)
95475
95476.xword 0x78285050
95477.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (48)
95478
95479.xword 0x64500000003c0000
95480.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
95481
95482.xword 0x7766000000554422
95483.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
95484
95485.xword 0x706200000054462a
95486.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
95487
95488.xword 0x784d005800423721
95489.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
95490
95491.xword 0x804d006000504020
95492.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
95493
95494.xword 0x0000006000408020
95495.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
95496
95497.xword 0
95498.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (48)
95499
95500.xword 0
95501.xword 0x0002008c | (6 << 18) !ma_ctl_Ld (49)
95502
95503.xword 0
95504.xword 0x00020128 | (6 << 18) !ma_ctl_St (49)
95505
95506.xword 0x80604020
95507.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (49)
95508
95509.xword 0x356a
95510.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (49)
95511
95512.xword 0x0000006000408020
95513.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
95514
95515.xword 0x6a35
95516.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
95517
95518.xword 0x78285050
95519.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
95520
95521.xword 0x80604020
95522.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
95523
95524.xword 0x00287850
95525.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (49)
95526
95527.xword 0x00287850
95528.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (49)
95529
95530.xword 0x78285050
95531.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (49)
95532
95533.xword 0x64500000003c0000
95534.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
95535
95536.xword 0x7766000000554422
95537.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
95538
95539.xword 0x706200000054462a
95540.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
95541
95542.xword 0x784d005800423721
95543.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
95544
95545.xword 0x804d006000504020
95546.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
95547
95548.xword 0x0000006000408020
95549.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
95550
95551.xword 0
95552.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (49)
95553
95554.xword 0
95555.xword 0x00020053 | (6 << 18) !ma_ctl_Ld (50)
95556
95557.xword 0
95558.xword 0x00020164 | (6 << 18) !ma_ctl_St (50)
95559
95560.xword 0x80604020
95561.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (50)
95562
95563.xword 0x356a
95564.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (50)
95565
95566.xword 0x0000006000408020
95567.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
95568
95569.xword 0x6a35
95570.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
95571
95572.xword 0x78285050
95573.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
95574
95575.xword 0x80604020
95576.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
95577
95578.xword 0x00287850
95579.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (50)
95580
95581.xword 0x00287850
95582.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (50)
95583
95584.xword 0x78285050
95585.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (50)
95586
95587.xword 0x64500000003c0000
95588.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
95589
95590.xword 0x7766000000554422
95591.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
95592
95593.xword 0x706200000054462a
95594.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
95595
95596.xword 0x784d005800423721
95597.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
95598
95599.xword 0x804d006000504020
95600.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
95601
95602.xword 0x0000006000408020
95603.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
95604
95605.xword 0
95606.xword 0x0002111d | (6 << 18) !ma_ctl_StParity (50)
95607
95608.xword 0
95609.xword 0x0002006f | (6 << 18) !ma_ctl_Ld (51)
95610
95611.xword 0
95612.xword 0x00020145 | (6 << 18) !ma_ctl_St (51)
95613
95614.xword 0x80604020
95615.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (51)
95616
95617.xword 0x356a
95618.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (51)
95619
95620.xword 0x0000006000408020
95621.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
95622
95623.xword 0x6a35
95624.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
95625
95626.xword 0x78285050
95627.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
95628
95629.xword 0x80604020
95630.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
95631
95632.xword 0x00287850
95633.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (51)
95634
95635.xword 0x00287850
95636.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (51)
95637
95638.xword 0x78285050
95639.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (51)
95640
95641.xword 0x64500000003c0000
95642.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
95643
95644.xword 0x7766000000554422
95645.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
95646
95647.xword 0x706200000054462a
95648.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
95649
95650.xword 0x784d005800423721
95651.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
95652
95653.xword 0x804d006000504020
95654.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
95655
95656.xword 0x0000006000408020
95657.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
95658
95659.xword 0
95660.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (51)
95661
95662.xword 0
95663.xword 0x0002007f | (6 << 18) !ma_ctl_Ld (52)
95664
95665.xword 0
95666.xword 0x00020179 | (6 << 18) !ma_ctl_St (52)
95667
95668.xword 0x80604020
95669.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (52)
95670
95671.xword 0x356a
95672.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (52)
95673
95674.xword 0x0000006000408020
95675.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
95676
95677.xword 0x6a35
95678.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
95679
95680.xword 0x78285050
95681.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
95682
95683.xword 0x80604020
95684.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
95685
95686.xword 0x00287850
95687.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (52)
95688
95689.xword 0x00287850
95690.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (52)
95691
95692.xword 0x78285050
95693.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (52)
95694
95695.xword 0x64500000003c0000
95696.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
95697
95698.xword 0x7766000000554422
95699.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
95700
95701.xword 0x706200000054462a
95702.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
95703
95704.xword 0x784d005800423721
95705.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
95706
95707.xword 0x804d006000504020
95708.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
95709
95710.xword 0x0000006000408020
95711.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
95712
95713.xword 0
95714.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (52)
95715
95716.xword 0
95717.xword 0x00020027 | (6 << 18) !ma_ctl_Ld (53)
95718
95719.xword 0
95720.xword 0x0002013b | (6 << 18) !ma_ctl_St (53)
95721
95722.xword 0x80604020
95723.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (53)
95724
95725.xword 0x356a
95726.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (53)
95727
95728.xword 0x0000006000408020
95729.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
95730
95731.xword 0x6a35
95732.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
95733
95734.xword 0x78285050
95735.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
95736
95737.xword 0x80604020
95738.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
95739
95740.xword 0x00287850
95741.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (53)
95742
95743.xword 0x00287850
95744.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (53)
95745
95746.xword 0x78285050
95747.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (53)
95748
95749.xword 0x64500000003c0000
95750.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
95751
95752.xword 0x7766000000554422
95753.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
95754
95755.xword 0x706200000054462a
95756.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
95757
95758.xword 0x784d005800423721
95759.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
95760
95761.xword 0x804d006000504020
95762.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
95763
95764.xword 0x0000006000408020
95765.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
95766
95767.xword 0
95768.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (53)
95769
95770.xword 0
95771.xword 0x00020077 | (6 << 18) !ma_ctl_Ld (54)
95772
95773.xword 0
95774.xword 0x00020138 | (6 << 18) !ma_ctl_St (54)
95775
95776.xword 0x80604020
95777.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (54)
95778
95779.xword 0x356a
95780.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (54)
95781
95782.xword 0x0000006000408020
95783.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
95784
95785.xword 0x6a35
95786.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
95787
95788.xword 0x78285050
95789.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
95790
95791.xword 0x80604020
95792.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
95793
95794.xword 0x00287850
95795.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (54)
95796
95797.xword 0x00287850
95798.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (54)
95799
95800.xword 0x78285050
95801.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (54)
95802
95803.xword 0x64500000003c0000
95804.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
95805
95806.xword 0x7766000000554422
95807.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
95808
95809.xword 0x706200000054462a
95810.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
95811
95812.xword 0x784d005800423721
95813.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
95814
95815.xword 0x804d006000504020
95816.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
95817
95818.xword 0x0000006000408020
95819.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
95820
95821.xword 0
95822.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (54)
95823
95824.xword 0
95825.xword 0x0002006a | (6 << 18) !ma_ctl_Ld (55)
95826
95827.xword 0
95828.xword 0x0002016a | (6 << 18) !ma_ctl_St (55)
95829
95830.xword 0x80604020
95831.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (55)
95832
95833.xword 0x356a
95834.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (55)
95835
95836.xword 0x0000006000408020
95837.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
95838
95839.xword 0x6a35
95840.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
95841
95842.xword 0x78285050
95843.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
95844
95845.xword 0x80604020
95846.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
95847
95848.xword 0x00287850
95849.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (55)
95850
95851.xword 0x00287850
95852.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (55)
95853
95854.xword 0x78285050
95855.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (55)
95856
95857.xword 0x64500000003c0000
95858.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
95859
95860.xword 0x7766000000554422
95861.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
95862
95863.xword 0x706200000054462a
95864.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
95865
95866.xword 0x784d005800423721
95867.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
95868
95869.xword 0x804d006000504020
95870.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
95871
95872.xword 0x0000006000408020
95873.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
95874
95875.xword 0
95876.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (55)
95877
95878.xword 0
95879.xword 0x00020057 | (6 << 18) !ma_ctl_Ld (56)
95880
95881.xword 0
95882.xword 0x00020132 | (6 << 18) !ma_ctl_St (56)
95883
95884.xword 0x80604020
95885.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (56)
95886
95887.xword 0x356a
95888.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (56)
95889
95890.xword 0x0000006000408020
95891.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
95892
95893.xword 0x6a35
95894.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
95895
95896.xword 0x78285050
95897.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
95898
95899.xword 0x80604020
95900.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
95901
95902.xword 0x00287850
95903.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (56)
95904
95905.xword 0x00287850
95906.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (56)
95907
95908.xword 0x78285050
95909.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (56)
95910
95911.xword 0x64500000003c0000
95912.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
95913
95914.xword 0x7766000000554422
95915.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
95916
95917.xword 0x706200000054462a
95918.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
95919
95920.xword 0x784d005800423721
95921.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
95922
95923.xword 0x804d006000504020
95924.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
95925
95926.xword 0x0000006000408020
95927.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
95928
95929.xword 0
95930.xword 0x0002110f | (6 << 18) !ma_ctl_StParity (56)
95931
95932.xword 0
95933.xword 0x00020060 | (6 << 18) !ma_ctl_Ld (57)
95934
95935.xword 0
95936.xword 0x0002015b | (6 << 18) !ma_ctl_St (57)
95937
95938.xword 0x80604020
95939.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (57)
95940
95941.xword 0x356a
95942.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (57)
95943
95944.xword 0x0000006000408020
95945.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
95946
95947.xword 0x6a35
95948.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
95949
95950.xword 0x78285050
95951.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
95952
95953.xword 0x80604020
95954.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
95955
95956.xword 0x00287850
95957.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (57)
95958
95959.xword 0x00287850
95960.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (57)
95961
95962.xword 0x78285050
95963.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (57)
95964
95965.xword 0x64500000003c0000
95966.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
95967
95968.xword 0x7766000000554422
95969.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
95970
95971.xword 0x706200000054462a
95972.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
95973
95974.xword 0x784d005800423721
95975.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
95976
95977.xword 0x804d006000504020
95978.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
95979
95980.xword 0x0000006000408020
95981.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
95982
95983.xword 0
95984.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (57)
95985
95986.xword 0
95987.xword 0x0002009f | (6 << 18) !ma_ctl_Ld (58)
95988
95989.xword 0
95990.xword 0x0002012b | (6 << 18) !ma_ctl_St (58)
95991
95992.xword 0x80604020
95993.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (58)
95994
95995.xword 0x356a
95996.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (58)
95997
95998.xword 0x0000006000408020
95999.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
96000
96001.xword 0x6a35
96002.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
96003
96004.xword 0x78285050
96005.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
96006
96007.xword 0x80604020
96008.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
96009
96010.xword 0x00287850
96011.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (58)
96012
96013.xword 0x00287850
96014.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (58)
96015
96016.xword 0x78285050
96017.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (58)
96018
96019.xword 0x64500000003c0000
96020.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
96021
96022.xword 0x7766000000554422
96023.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
96024
96025.xword 0x706200000054462a
96026.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
96027
96028.xword 0x784d005800423721
96029.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
96030
96031.xword 0x804d006000504020
96032.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
96033
96034.xword 0x0000006000408020
96035.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
96036
96037.xword 0
96038.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (58)
96039
96040
96041.align 8
96042.global _t2_ma_results6
96043_t2_ma_results6:
96044.xword 0xDEADBEEFDEADBEEF
96045.xword 0xDEADBEEFDEADBEEF
96046.xword 0xDEADBEEFDEADBEEF
96047.xword 0xDEADBEEFDEADBEEF
96048.xword 0xDEADBEEFDEADBEEF
96049.xword 0xDEADBEEFDEADBEEF
96050.xword 0xDEADBEEFDEADBEEF
96051.xword 0xDEADBEEFDEADBEEF
96052.xword 0xDEADBEEFDEADBEEF
96053.xword 0xDEADBEEFDEADBEEF
96054.xword 0xDEADBEEFDEADBEEF
96055.xword 0xDEADBEEFDEADBEEF
96056.xword 0xDEADBEEFDEADBEEF
96057.xword 0xDEADBEEFDEADBEEF
96058.xword 0xDEADBEEFDEADBEEF
96059.xword 0xDEADBEEFDEADBEEF
96060.xword 0xDEADBEEFDEADBEEF
96061.xword 0xDEADBEEFDEADBEEF
96062.xword 0xDEADBEEFDEADBEEF
96063.xword 0xDEADBEEFDEADBEEF
96064.xword 0xDEADBEEFDEADBEEF
96065.xword 0xDEADBEEFDEADBEEF
96066.xword 0xDEADBEEFDEADBEEF
96067.xword 0xDEADBEEFDEADBEEF
96068.xword 0xDEADBEEFDEADBEEF
96069.xword 0xDEADBEEFDEADBEEF
96070.xword 0xDEADBEEFDEADBEEF
96071.xword 0xDEADBEEFDEADBEEF
96072.xword 0xDEADBEEFDEADBEEF
96073.xword 0xDEADBEEFDEADBEEF
96074.xword 0xDEADBEEFDEADBEEF
96075.xword 0xDEADBEEFDEADBEEF
96076.xword 0xDEADBEEFDEADBEEF
96077.xword 0xDEADBEEFDEADBEEF
96078.xword 0xDEADBEEFDEADBEEF
96079.xword 0xDEADBEEFDEADBEEF
96080.xword 0xDEADBEEFDEADBEEF
96081.xword 0xDEADBEEFDEADBEEF
96082.xword 0xDEADBEEFDEADBEEF
96083.xword 0xDEADBEEFDEADBEEF
96084.xword 0xDEADBEEFDEADBEEF
96085.xword 0xDEADBEEFDEADBEEF
96086.xword 0xDEADBEEFDEADBEEF
96087.xword 0xDEADBEEFDEADBEEF
96088.xword 0xDEADBEEFDEADBEEF
96089.xword 0xDEADBEEFDEADBEEF
96090.xword 0xDEADBEEFDEADBEEF
96091.xword 0xDEADBEEFDEADBEEF
96092.xword 0xDEADBEEFDEADBEEF
96093.xword 0xDEADBEEFDEADBEEF
96094.xword 0xDEADBEEFDEADBEEF
96095.xword 0xDEADBEEFDEADBEEF
96096.xword 0xDEADBEEFDEADBEEF
96097.xword 0xDEADBEEFDEADBEEF
96098.xword 0xDEADBEEFDEADBEEF
96099.xword 0xDEADBEEFDEADBEEF
96100.xword 0xDEADBEEFDEADBEEF
96101.xword 0xDEADBEEFDEADBEEF
96102.xword 0xDEADBEEFDEADBEEF
96103.xword 0xDEADBEEFDEADBEEF
96104.xword 0xDEADBEEFDEADBEEF
96105.xword 0xDEADBEEFDEADBEEF
96106.xword 0xDEADBEEFDEADBEEF
96107.xword 0xDEADBEEFDEADBEEF
96108.xword 0xDEADBEEFDEADBEEF
96109.xword 0xDEADBEEFDEADBEEF
96110.xword 0xDEADBEEFDEADBEEF
96111.xword 0xDEADBEEFDEADBEEF
96112.xword 0xDEADBEEFDEADBEEF
96113.xword 0xDEADBEEFDEADBEEF
96114.xword 0xDEADBEEFDEADBEEF
96115.xword 0xDEADBEEFDEADBEEF
96116.xword 0xDEADBEEFDEADBEEF
96117.xword 0xDEADBEEFDEADBEEF
96118.xword 0xDEADBEEFDEADBEEF
96119.xword 0xDEADBEEFDEADBEEF
96120.xword 0xDEADBEEFDEADBEEF
96121.xword 0xDEADBEEFDEADBEEF
96122.xword 0xDEADBEEFDEADBEEF
96123.xword 0xDEADBEEFDEADBEEF
96124.xword 0xDEADBEEFDEADBEEF
96125.xword 0xDEADBEEFDEADBEEF
96126.xword 0xDEADBEEFDEADBEEF
96127.xword 0xDEADBEEFDEADBEEF
96128.xword 0xDEADBEEFDEADBEEF
96129.xword 0xDEADBEEFDEADBEEF
96130.xword 0xDEADBEEFDEADBEEF
96131.xword 0xDEADBEEFDEADBEEF
96132.xword 0xDEADBEEFDEADBEEF
96133.xword 0xDEADBEEFDEADBEEF
96134.xword 0xDEADBEEFDEADBEEF
96135.xword 0xDEADBEEFDEADBEEF
96136.xword 0xDEADBEEFDEADBEEF
96137.xword 0xDEADBEEFDEADBEEF
96138.xword 0xDEADBEEFDEADBEEF
96139.xword 0xDEADBEEFDEADBEEF
96140.xword 0xDEADBEEFDEADBEEF
96141.xword 0xDEADBEEFDEADBEEF
96142.xword 0xDEADBEEFDEADBEEF
96143.xword 0xDEADBEEFDEADBEEF
96144.xword 0xDEADBEEFDEADBEEF
96145.xword 0xDEADBEEFDEADBEEF
96146.xword 0xDEADBEEFDEADBEEF
96147.xword 0xDEADBEEFDEADBEEF
96148.xword 0xDEADBEEFDEADBEEF
96149.xword 0xDEADBEEFDEADBEEF
96150.xword 0xDEADBEEFDEADBEEF
96151.xword 0xDEADBEEFDEADBEEF
96152.xword 0xDEADBEEFDEADBEEF
96153.xword 0xDEADBEEFDEADBEEF
96154.xword 0xDEADBEEFDEADBEEF
96155.xword 0xDEADBEEFDEADBEEF
96156.xword 0xDEADBEEFDEADBEEF
96157.xword 0xDEADBEEFDEADBEEF
96158.xword 0xDEADBEEFDEADBEEF
96159.xword 0xDEADBEEFDEADBEEF
96160.xword 0xDEADBEEFDEADBEEF
96161.xword 0xDEADBEEFDEADBEEF
96162.xword 0xDEADBEEFDEADBEEF
96163.xword 0xDEADBEEFDEADBEEF
96164.xword 0xDEADBEEFDEADBEEF
96165.xword 0xDEADBEEFDEADBEEF
96166.xword 0xDEADBEEFDEADBEEF
96167.xword 0xDEADBEEFDEADBEEF
96168.xword 0xDEADBEEFDEADBEEF
96169.xword 0xDEADBEEFDEADBEEF
96170.xword 0xDEADBEEFDEADBEEF
96171.xword 0xDEADBEEFDEADBEEF
96172.xword 0xDEADBEEFDEADBEEF
96173.xword 0xDEADBEEFDEADBEEF
96174.xword 0xDEADBEEFDEADBEEF
96175.xword 0xDEADBEEFDEADBEEF
96176.xword 0xDEADBEEFDEADBEEF
96177.xword 0xDEADBEEFDEADBEEF
96178.xword 0xDEADBEEFDEADBEEF
96179.xword 0xDEADBEEFDEADBEEF
96180.xword 0xDEADBEEFDEADBEEF
96181.xword 0xDEADBEEFDEADBEEF
96182.xword 0xDEADBEEFDEADBEEF
96183.xword 0xDEADBEEFDEADBEEF
96184.xword 0xDEADBEEFDEADBEEF
96185.xword 0xDEADBEEFDEADBEEF
96186.xword 0xDEADBEEFDEADBEEF
96187.xword 0xDEADBEEFDEADBEEF
96188.xword 0xDEADBEEFDEADBEEF
96189.xword 0xDEADBEEFDEADBEEF
96190.xword 0xDEADBEEFDEADBEEF
96191.xword 0xDEADBEEFDEADBEEF
96192.xword 0xDEADBEEFDEADBEEF
96193.xword 0xDEADBEEFDEADBEEF
96194.xword 0xDEADBEEFDEADBEEF
96195.xword 0xDEADBEEFDEADBEEF
96196.xword 0xDEADBEEFDEADBEEF
96197.xword 0xDEADBEEFDEADBEEF
96198.xword 0xDEADBEEFDEADBEEF
96199.xword 0xDEADBEEFDEADBEEF
96200.xword 0xDEADBEEFDEADBEEF
96201.xword 0xDEADBEEFDEADBEEF
96202.xword 0xDEADBEEFDEADBEEF
96203.xword 0xDEADBEEFDEADBEEF
96204.xword 0xDEADBEEFDEADBEEF
96205.xword 0xDEADBEEFDEADBEEF
96206.xword 0xDEADBEEFDEADBEEF
96207.xword 0xDEADBEEFDEADBEEF
96208SECTION ._t2_T_MAX_DATA7 DATA_VA=275775488
96209attr_data {
96210 Name = ._t2_T_MAX_DATA7
96211 hypervisor
96212}
96213
96214.data
96215_t2_user_data_start:
96216_t2_scratch_area:
96217
96218.align 8
96219.global _t2_ma_operands7
96220_t2_ma_operands7:
96221.xword 0xf4cc9ae8628c985b
96222.xword 0x6da49035f4ec5437
96223.xword 0xa5937d4dfa76c9cf
96224.xword 0x1c60d9d26b70b549
96225.xword 0x2785d701e1f8c34a
96226.xword 0xe50e6fcf526011f0
96227.xword 0x214f619b86a0391e
96228.xword 0x125f1c87b5ae981b
96229.xword 0xdb48f4cbca8229ca
96230.xword 0x43dae275c68846de
96231.xword 0x737f59953ff99d8b
96232.xword 0x9b85bf90681fd58c
96233.xword 0xdf521003dfc3bf48
96234.xword 0x7ebf2d91757d0d0a
96235.xword 0xe0b6e35ddbf76342
96236.xword 0x8f545f23789bb6fd
96237.xword 0xe498bdbf9ba138ad
96238.xword 0xdbbdb398b8f755f3
96239.xword 0xd48129980842c783
96240.xword 0xa99755cd29486faf
96241.xword 0x319b72d61b9ed9f0
96242.xword 0x89bd836cb973dfcd
96243.xword 0xe94fc73e9e3c101d
96244.xword 0x5049c25fbfbb9d34
96245.xword 0xd4c89630fc66ccd9
96246.xword 0x41acc0b7e78904e6
96247.xword 0xae08c39d417c7f0f
96248.xword 0x408aa268d6e1c331
96249.xword 0x10e8f139900f4fae
96250.xword 0xe384b356dd90f7d8
96251.xword 0x14e81da5886294e9
96252.xword 0x37f1678b684fde23
96253.xword 0x726b05124b332aba
96254.xword 0x1606c9e35729ad8d
96255.xword 0x1def19681d52237b
96256.xword 0x1d9de7ac7f7ad99f
96257.xword 0xa2116eae12defd3d
96258.xword 0x2945c8d3726a45bf
96259.xword 0xaa0aff09d1802161
96260.xword 0xb0f2ca45a627935d
96261.xword 0xeb23370e25a9a027
96262.xword 0xa4e90085c692b41c
96263.xword 0x1486b261ae8fe8f7
96264.xword 0x1dbea61307016a67
96265.xword 0x772303f67299bb02
96266.xword 0x85f195876cb939fa
96267.xword 0xdeed4f54f64aa163
96268.xword 0x60686d80e8c3dce2
96269.xword 0x10a589930349f2bb
96270.xword 0x8ebfce6ff92d3d7e
96271.xword 0x822569de3a091b91
96272.xword 0x22ad0dc4af856169
96273.xword 0x6ba24d2bdf3c37a9
96274.xword 0x0e696d8be271dc3b
96275.xword 0x113389d2034fde64
96276.xword 0x9396b2317784ae53
96277.xword 0x1965d88c58a96aa0
96278.xword 0x44d632a233f002fd
96279.xword 0x0b2cb0f5481c771e
96280.xword 0xdfb3f7f784269871
96281.xword 0x7d1abb91a2d5567c
96282.xword 0xe23677f401e7707b
96283.xword 0xcc8e79b45e0ae8d4
96284.xword 0xf1682bf19299181d
96285.xword 0x31a10bf5f94c26fe
96286.xword 0xa6f0029222aafd42
96287.xword 0xe07aa219b2801958
96288.xword 0x7673e4ab7db1ba79
96289.xword 0xfd1fe018abf69cac
96290.xword 0x4be09dd2874bb004
96291.xword 0xdd29805ea044a936
96292.xword 0xe2dabac250d39d97
96293.xword 0xa88a111c8a8fcac7
96294.xword 0x4997a378c10af80e
96295.xword 0x96504176a7eed4cd
96296.xword 0x2121dda2049f6642
96297.xword 0x3ac347d52916cb86
96298.xword 0xed904672fd87fd3f
96299.xword 0x3cff833faeb97cb8
96300.xword 0x87c695330cb6859c
96301.xword 0x49515acb0731513b
96302.xword 0x55ef4af9c2c8c40e
96303.xword 0xfd94c6420f964f84
96304.xword 0xb99756e4f9f8c679
96305.xword 0x611ee0766d35c0c3
96306.xword 0xfae8bbf632dfa96f
96307.xword 0x94dd17376bc200bd
96308.xword 0x7c7f0131f9775c60
96309.xword 0x9ac8fc586e7091da
96310.xword 0x2df5e70ed01b6517
96311.xword 0xc8e45dec349d3a9d
96312.xword 0xfa485786980d4b8f
96313.xword 0x3f30b3efc3257329
96314.xword 0x58d0ac3728aafd8c
96315.xword 0x5b1537ce467115d4
96316.xword 0x31764980d9bd7e67
96317.xword 0xa3fad006f5057b45
96318.xword 0x8cfb9d0b87872919
96319.xword 0x5c355efeaecae9ab
96320.xword 0x2524036ab111d3a5
96321.xword 0xe041c8de09611ac3
96322.xword 0x23763552b3a31263
96323.xword 0x026fa20d3cce5fca
96324.xword 0x7e70ea80d4895091
96325.xword 0xf30e0f37e67d339f
96326.xword 0x10e2e7fc95a361e4
96327.xword 0xfb0759562b65e727
96328.xword 0x719e8ff0986973fc
96329.xword 0x891176daa414a190
96330.xword 0x16381865bb63ad99
96331.xword 0x0cc4b3fa3eb9a746
96332.xword 0xe440e4293e80b08f
96333.xword 0x80f21c44e3cdd8a0
96334.xword 0xbb69eab006944591
96335.xword 0xd5a2a9aab142196d
96336.xword 0x63684063be9c536a
96337.xword 0x28937b88f8851014
96338.xword 0x27054c0e2a49c8e9
96339.xword 0x7eab89c58e393ec6
96340.xword 0xf84cded3fe1c0f19
96341.xword 0xb92d2a53d9d19353
96342.xword 0xf86b1bf8fbeac1eb
96343.xword 0x9c6ad0f0bd2b5263
96344.xword 0xa7aeb22bf16e7a5d
96345.xword 0x859f8608b8e21eff
96346.xword 0x1da7f5cad36f56a4
96347.xword 0x8b5c674410d5d812
96348.xword 0x86989692e06d0d3d
96349.xword 0x32c2a61edef44cc0
96350.xword 0x28b8552ee29fb176
96351.xword 0x9d508d2358098c6b
96352.xword 0x648acbcd0f67398f
96353.xword 0x161def3a8de9a5ab
96354.xword 0xa1c0f87c1687caee
96355.xword 0x3b584ce8e1fcf3f3
96356.xword 0x1832745aa18f5df8
96357.xword 0x3b683f17501b3ee8
96358.xword 0xd529b24162c6aa98
96359.xword 0xfec68e20a19f90f9
96360.xword 0x7e5f102e0c572c49
96361.xword 0x984bd69ff49bbf23
96362.xword 0x7b7410a05d60d36a
96363.xword 0xcc5a9bce31327927
96364.xword 0xcc01c0836753f8da
96365.xword 0x77731a8aac8a9438
96366.xword 0x7f5d20d6363e00b4
96367.xword 0xe9e47da3e8cecd36
96368.xword 0xd303cb1e147c0bfe
96369.xword 0x12fed70041ebc166
96370.xword 0x86e37554c8750a99
96371.xword 0x24f3dd86ba1e1036
96372.xword 0x2906939e43b77ff8
96373.xword 0x4da71671d9a263e5
96374.xword 0x668a07cea31ed0eb
96375.xword 0xacd4aea567731cda
96376.xword 0x0360215da6589af9
96377.xword 0x9739e95634e8ae02
96378.xword 0x7acf1e0a2e7f6f48
96379.xword 0x4c59de9ae507c93e
96380.xword 0x29e7d2dca2596fdd
96381.xword 0x7afef4aba6d33dad
96382.xword 0xbbb1abbb46f9cced
96383.xword 0x8b968e93e308def5
96384.xword 0x6fab621752f3cd50
96385
96386.align 8
96387.global _t2_ma_operations7
96388_t2_ma_operations7:
96389.xword 0
96390.xword 0x00020090 | (6 << 18) !ma_ctl_Ld (0)
96391
96392.xword 0
96393.xword 0x0002013b | (6 << 18) !ma_ctl_St (0)
96394
96395.xword 0x80604020
96396.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (0)
96397
96398.xword 0x356a
96399.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (0)
96400
96401.xword 0x0000006000408020
96402.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (0)
96403
96404.xword 0x6a35
96405.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (0)
96406
96407.xword 0x78285050
96408.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (0)
96409
96410.xword 0x80604020
96411.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (0)
96412
96413.xword 0x00287850
96414.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (0)
96415
96416.xword 0x00287850
96417.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (0)
96418
96419.xword 0x78285050
96420.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (0)
96421
96422.xword 0x64500000003c0000
96423.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
96424
96425.xword 0x7766000000554422
96426.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (0)
96427
96428.xword 0x706200000054462a
96429.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (0)
96430
96431.xword 0x784d005800423721
96432.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (0)
96433
96434.xword 0x804d006000504020
96435.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (0)
96436
96437.xword 0x0000006000408020
96438.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (0)
96439
96440.xword 0
96441.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (0)
96442
96443.xword 0
96444.xword 0x0002009e | (6 << 18) !ma_ctl_Ld (1)
96445
96446.xword 0
96447.xword 0x0002014c | (6 << 18) !ma_ctl_St (1)
96448
96449.xword 0x80604020
96450.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (1)
96451
96452.xword 0x356a
96453.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (1)
96454
96455.xword 0x0000006000408020
96456.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (1)
96457
96458.xword 0x6a35
96459.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (1)
96460
96461.xword 0x78285050
96462.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (1)
96463
96464.xword 0x80604020
96465.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (1)
96466
96467.xword 0x00287850
96468.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (1)
96469
96470.xword 0x00287850
96471.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (1)
96472
96473.xword 0x78285050
96474.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (1)
96475
96476.xword 0x64500000003c0000
96477.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
96478
96479.xword 0x7766000000554422
96480.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (1)
96481
96482.xword 0x706200000054462a
96483.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (1)
96484
96485.xword 0x784d005800423721
96486.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (1)
96487
96488.xword 0x804d006000504020
96489.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (1)
96490
96491.xword 0x0000006000408020
96492.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (1)
96493
96494.xword 0
96495.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (1)
96496
96497.xword 0
96498.xword 0x00020072 | (6 << 18) !ma_ctl_Ld (2)
96499
96500.xword 0
96501.xword 0x00020164 | (6 << 18) !ma_ctl_St (2)
96502
96503.xword 0x80604020
96504.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (2)
96505
96506.xword 0x356a
96507.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (2)
96508
96509.xword 0x0000006000408020
96510.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (2)
96511
96512.xword 0x6a35
96513.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (2)
96514
96515.xword 0x78285050
96516.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (2)
96517
96518.xword 0x80604020
96519.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (2)
96520
96521.xword 0x00287850
96522.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (2)
96523
96524.xword 0x00287850
96525.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (2)
96526
96527.xword 0x78285050
96528.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (2)
96529
96530.xword 0x64500000003c0000
96531.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
96532
96533.xword 0x7766000000554422
96534.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (2)
96535
96536.xword 0x706200000054462a
96537.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (2)
96538
96539.xword 0x784d005800423721
96540.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (2)
96541
96542.xword 0x804d006000504020
96543.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (2)
96544
96545.xword 0x0000006000408020
96546.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (2)
96547
96548.xword 0
96549.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (2)
96550
96551.xword 0
96552.xword 0x00020083 | (6 << 18) !ma_ctl_Ld (3)
96553
96554.xword 0
96555.xword 0x0002018f | (6 << 18) !ma_ctl_St (3)
96556
96557.xword 0x80604020
96558.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (3)
96559
96560.xword 0x356a
96561.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (3)
96562
96563.xword 0x0000006000408020
96564.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (3)
96565
96566.xword 0x6a35
96567.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (3)
96568
96569.xword 0x78285050
96570.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (3)
96571
96572.xword 0x80604020
96573.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (3)
96574
96575.xword 0x00287850
96576.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (3)
96577
96578.xword 0x00287850
96579.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (3)
96580
96581.xword 0x78285050
96582.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (3)
96583
96584.xword 0x64500000003c0000
96585.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
96586
96587.xword 0x7766000000554422
96588.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (3)
96589
96590.xword 0x706200000054462a
96591.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (3)
96592
96593.xword 0x784d005800423721
96594.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (3)
96595
96596.xword 0x804d006000504020
96597.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (3)
96598
96599.xword 0x0000006000408020
96600.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (3)
96601
96602.xword 0
96603.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (3)
96604
96605.xword 0
96606.xword 0x00020058 | (6 << 18) !ma_ctl_Ld (4)
96607
96608.xword 0
96609.xword 0x00020159 | (6 << 18) !ma_ctl_St (4)
96610
96611.xword 0x80604020
96612.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (4)
96613
96614.xword 0x356a
96615.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (4)
96616
96617.xword 0x0000006000408020
96618.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (4)
96619
96620.xword 0x6a35
96621.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (4)
96622
96623.xword 0x78285050
96624.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (4)
96625
96626.xword 0x80604020
96627.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (4)
96628
96629.xword 0x00287850
96630.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (4)
96631
96632.xword 0x00287850
96633.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (4)
96634
96635.xword 0x78285050
96636.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (4)
96637
96638.xword 0x64500000003c0000
96639.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
96640
96641.xword 0x7766000000554422
96642.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (4)
96643
96644.xword 0x706200000054462a
96645.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (4)
96646
96647.xword 0x784d005800423721
96648.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (4)
96649
96650.xword 0x804d006000504020
96651.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (4)
96652
96653.xword 0x0000006000408020
96654.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (4)
96655
96656.xword 0
96657.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (4)
96658
96659.xword 0
96660.xword 0x0002008d | (6 << 18) !ma_ctl_Ld (5)
96661
96662.xword 0
96663.xword 0x0002017a | (6 << 18) !ma_ctl_St (5)
96664
96665.xword 0x80604020
96666.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (5)
96667
96668.xword 0x356a
96669.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (5)
96670
96671.xword 0x0000006000408020
96672.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (5)
96673
96674.xword 0x6a35
96675.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (5)
96676
96677.xword 0x78285050
96678.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (5)
96679
96680.xword 0x80604020
96681.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (5)
96682
96683.xword 0x00287850
96684.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (5)
96685
96686.xword 0x00287850
96687.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (5)
96688
96689.xword 0x78285050
96690.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (5)
96691
96692.xword 0x64500000003c0000
96693.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
96694
96695.xword 0x7766000000554422
96696.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (5)
96697
96698.xword 0x706200000054462a
96699.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (5)
96700
96701.xword 0x784d005800423721
96702.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (5)
96703
96704.xword 0x804d006000504020
96705.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (5)
96706
96707.xword 0x0000006000408020
96708.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (5)
96709
96710.xword 0
96711.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (5)
96712
96713.xword 0
96714.xword 0x00020093 | (6 << 18) !ma_ctl_Ld (6)
96715
96716.xword 0
96717.xword 0x00020131 | (6 << 18) !ma_ctl_St (6)
96718
96719.xword 0x80604020
96720.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (6)
96721
96722.xword 0x356a
96723.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (6)
96724
96725.xword 0x0000006000408020
96726.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (6)
96727
96728.xword 0x6a35
96729.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (6)
96730
96731.xword 0x78285050
96732.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (6)
96733
96734.xword 0x80604020
96735.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (6)
96736
96737.xword 0x00287850
96738.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (6)
96739
96740.xword 0x00287850
96741.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (6)
96742
96743.xword 0x78285050
96744.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (6)
96745
96746.xword 0x64500000003c0000
96747.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
96748
96749.xword 0x7766000000554422
96750.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (6)
96751
96752.xword 0x706200000054462a
96753.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (6)
96754
96755.xword 0x784d005800423721
96756.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (6)
96757
96758.xword 0x804d006000504020
96759.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (6)
96760
96761.xword 0x0000006000408020
96762.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (6)
96763
96764.xword 0
96765.xword 0x00021119 | (6 << 18) !ma_ctl_StParity (6)
96766
96767.xword 0
96768.xword 0x0002008b | (6 << 18) !ma_ctl_Ld (7)
96769
96770.xword 0
96771.xword 0x00020142 | (6 << 18) !ma_ctl_St (7)
96772
96773.xword 0x80604020
96774.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (7)
96775
96776.xword 0x356a
96777.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (7)
96778
96779.xword 0x0000006000408020
96780.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (7)
96781
96782.xword 0x6a35
96783.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (7)
96784
96785.xword 0x78285050
96786.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (7)
96787
96788.xword 0x80604020
96789.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (7)
96790
96791.xword 0x00287850
96792.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (7)
96793
96794.xword 0x00287850
96795.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (7)
96796
96797.xword 0x78285050
96798.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (7)
96799
96800.xword 0x64500000003c0000
96801.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
96802
96803.xword 0x7766000000554422
96804.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (7)
96805
96806.xword 0x706200000054462a
96807.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (7)
96808
96809.xword 0x784d005800423721
96810.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (7)
96811
96812.xword 0x804d006000504020
96813.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (7)
96814
96815.xword 0x0000006000408020
96816.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (7)
96817
96818.xword 0
96819.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (7)
96820
96821.xword 0
96822.xword 0x00020026 | (6 << 18) !ma_ctl_Ld (8)
96823
96824.xword 0
96825.xword 0x00020141 | (6 << 18) !ma_ctl_St (8)
96826
96827.xword 0x80604020
96828.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (8)
96829
96830.xword 0x356a
96831.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (8)
96832
96833.xword 0x0000006000408020
96834.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (8)
96835
96836.xword 0x6a35
96837.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (8)
96838
96839.xword 0x78285050
96840.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (8)
96841
96842.xword 0x80604020
96843.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (8)
96844
96845.xword 0x00287850
96846.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (8)
96847
96848.xword 0x00287850
96849.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (8)
96850
96851.xword 0x78285050
96852.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (8)
96853
96854.xword 0x64500000003c0000
96855.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
96856
96857.xword 0x7766000000554422
96858.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (8)
96859
96860.xword 0x706200000054462a
96861.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (8)
96862
96863.xword 0x784d005800423721
96864.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (8)
96865
96866.xword 0x804d006000504020
96867.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (8)
96868
96869.xword 0x0000006000408020
96870.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (8)
96871
96872.xword 0
96873.xword 0x00021105 | (6 << 18) !ma_ctl_StParity (8)
96874
96875.xword 0
96876.xword 0x00020025 | (6 << 18) !ma_ctl_Ld (9)
96877
96878.xword 0
96879.xword 0x0002013f | (6 << 18) !ma_ctl_St (9)
96880
96881.xword 0x80604020
96882.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (9)
96883
96884.xword 0x356a
96885.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (9)
96886
96887.xword 0x0000006000408020
96888.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (9)
96889
96890.xword 0x6a35
96891.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (9)
96892
96893.xword 0x78285050
96894.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (9)
96895
96896.xword 0x80604020
96897.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (9)
96898
96899.xword 0x00287850
96900.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (9)
96901
96902.xword 0x00287850
96903.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (9)
96904
96905.xword 0x78285050
96906.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (9)
96907
96908.xword 0x64500000003c0000
96909.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
96910
96911.xword 0x7766000000554422
96912.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (9)
96913
96914.xword 0x706200000054462a
96915.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (9)
96916
96917.xword 0x784d005800423721
96918.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (9)
96919
96920.xword 0x804d006000504020
96921.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (9)
96922
96923.xword 0x0000006000408020
96924.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (9)
96925
96926.xword 0
96927.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (9)
96928
96929.xword 0
96930.xword 0x0002002a | (6 << 18) !ma_ctl_Ld (10)
96931
96932.xword 0
96933.xword 0x00020170 | (6 << 18) !ma_ctl_St (10)
96934
96935.xword 0x80604020
96936.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (10)
96937
96938.xword 0x356a
96939.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (10)
96940
96941.xword 0x0000006000408020
96942.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (10)
96943
96944.xword 0x6a35
96945.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (10)
96946
96947.xword 0x78285050
96948.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (10)
96949
96950.xword 0x80604020
96951.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (10)
96952
96953.xword 0x00287850
96954.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (10)
96955
96956.xword 0x00287850
96957.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (10)
96958
96959.xword 0x78285050
96960.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (10)
96961
96962.xword 0x64500000003c0000
96963.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
96964
96965.xword 0x7766000000554422
96966.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (10)
96967
96968.xword 0x706200000054462a
96969.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (10)
96970
96971.xword 0x784d005800423721
96972.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (10)
96973
96974.xword 0x804d006000504020
96975.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (10)
96976
96977.xword 0x0000006000408020
96978.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (10)
96979
96980.xword 0
96981.xword 0x0002110a | (6 << 18) !ma_ctl_StParity (10)
96982
96983.xword 0
96984.xword 0x0002003c | (6 << 18) !ma_ctl_Ld (11)
96985
96986.xword 0
96987.xword 0x0002018d | (6 << 18) !ma_ctl_St (11)
96988
96989.xword 0x80604020
96990.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (11)
96991
96992.xword 0x356a
96993.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (11)
96994
96995.xword 0x0000006000408020
96996.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (11)
96997
96998.xword 0x6a35
96999.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (11)
97000
97001.xword 0x78285050
97002.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (11)
97003
97004.xword 0x80604020
97005.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (11)
97006
97007.xword 0x00287850
97008.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (11)
97009
97010.xword 0x00287850
97011.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (11)
97012
97013.xword 0x78285050
97014.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (11)
97015
97016.xword 0x64500000003c0000
97017.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
97018
97019.xword 0x7766000000554422
97020.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (11)
97021
97022.xword 0x706200000054462a
97023.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (11)
97024
97025.xword 0x784d005800423721
97026.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (11)
97027
97028.xword 0x804d006000504020
97029.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (11)
97030
97031.xword 0x0000006000408020
97032.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (11)
97033
97034.xword 0
97035.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (11)
97036
97037.xword 0
97038.xword 0x00020090 | (6 << 18) !ma_ctl_Ld (12)
97039
97040.xword 0
97041.xword 0x00020190 | (6 << 18) !ma_ctl_St (12)
97042
97043.xword 0x80604020
97044.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (12)
97045
97046.xword 0x356a
97047.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (12)
97048
97049.xword 0x0000006000408020
97050.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (12)
97051
97052.xword 0x6a35
97053.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (12)
97054
97055.xword 0x78285050
97056.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (12)
97057
97058.xword 0x80604020
97059.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (12)
97060
97061.xword 0x00287850
97062.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (12)
97063
97064.xword 0x00287850
97065.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (12)
97066
97067.xword 0x78285050
97068.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (12)
97069
97070.xword 0x64500000003c0000
97071.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
97072
97073.xword 0x7766000000554422
97074.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (12)
97075
97076.xword 0x706200000054462a
97077.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (12)
97078
97079.xword 0x784d005800423721
97080.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (12)
97081
97082.xword 0x804d006000504020
97083.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (12)
97084
97085.xword 0x0000006000408020
97086.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (12)
97087
97088.xword 0
97089.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (12)
97090
97091.xword 0
97092.xword 0x00020079 | (6 << 18) !ma_ctl_Ld (13)
97093
97094.xword 0
97095.xword 0x0002012d | (6 << 18) !ma_ctl_St (13)
97096
97097.xword 0x80604020
97098.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (13)
97099
97100.xword 0x356a
97101.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (13)
97102
97103.xword 0x0000006000408020
97104.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (13)
97105
97106.xword 0x6a35
97107.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (13)
97108
97109.xword 0x78285050
97110.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (13)
97111
97112.xword 0x80604020
97113.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (13)
97114
97115.xword 0x00287850
97116.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (13)
97117
97118.xword 0x00287850
97119.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (13)
97120
97121.xword 0x78285050
97122.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (13)
97123
97124.xword 0x64500000003c0000
97125.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
97126
97127.xword 0x7766000000554422
97128.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (13)
97129
97130.xword 0x706200000054462a
97131.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (13)
97132
97133.xword 0x784d005800423721
97134.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (13)
97135
97136.xword 0x804d006000504020
97137.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (13)
97138
97139.xword 0x0000006000408020
97140.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (13)
97141
97142.xword 0
97143.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (13)
97144
97145.xword 0
97146.xword 0x0002006b | (6 << 18) !ma_ctl_Ld (14)
97147
97148.xword 0
97149.xword 0x00020130 | (6 << 18) !ma_ctl_St (14)
97150
97151.xword 0x80604020
97152.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (14)
97153
97154.xword 0x356a
97155.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (14)
97156
97157.xword 0x0000006000408020
97158.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (14)
97159
97160.xword 0x6a35
97161.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (14)
97162
97163.xword 0x78285050
97164.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (14)
97165
97166.xword 0x80604020
97167.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (14)
97168
97169.xword 0x00287850
97170.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (14)
97171
97172.xword 0x00287850
97173.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (14)
97174
97175.xword 0x78285050
97176.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (14)
97177
97178.xword 0x64500000003c0000
97179.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
97180
97181.xword 0x7766000000554422
97182.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (14)
97183
97184.xword 0x706200000054462a
97185.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (14)
97186
97187.xword 0x784d005800423721
97188.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (14)
97189
97190.xword 0x804d006000504020
97191.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (14)
97192
97193.xword 0x0000006000408020
97194.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (14)
97195
97196.xword 0
97197.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (14)
97198
97199.xword 0
97200.xword 0x0002009c | (6 << 18) !ma_ctl_Ld (15)
97201
97202.xword 0
97203.xword 0x00020187 | (6 << 18) !ma_ctl_St (15)
97204
97205.xword 0x80604020
97206.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (15)
97207
97208.xword 0x356a
97209.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (15)
97210
97211.xword 0x0000006000408020
97212.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (15)
97213
97214.xword 0x6a35
97215.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (15)
97216
97217.xword 0x78285050
97218.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (15)
97219
97220.xword 0x80604020
97221.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (15)
97222
97223.xword 0x00287850
97224.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (15)
97225
97226.xword 0x00287850
97227.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (15)
97228
97229.xword 0x78285050
97230.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (15)
97231
97232.xword 0x64500000003c0000
97233.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
97234
97235.xword 0x7766000000554422
97236.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (15)
97237
97238.xword 0x706200000054462a
97239.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (15)
97240
97241.xword 0x784d005800423721
97242.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (15)
97243
97244.xword 0x804d006000504020
97245.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (15)
97246
97247.xword 0x0000006000408020
97248.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (15)
97249
97250.xword 0
97251.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (15)
97252
97253.xword 0
97254.xword 0x00020029 | (6 << 18) !ma_ctl_Ld (16)
97255
97256.xword 0
97257.xword 0x0002011f | (6 << 18) !ma_ctl_St (16)
97258
97259.xword 0x80604020
97260.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (16)
97261
97262.xword 0x356a
97263.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (16)
97264
97265.xword 0x0000006000408020
97266.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (16)
97267
97268.xword 0x6a35
97269.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (16)
97270
97271.xword 0x78285050
97272.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (16)
97273
97274.xword 0x80604020
97275.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (16)
97276
97277.xword 0x00287850
97278.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (16)
97279
97280.xword 0x00287850
97281.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (16)
97282
97283.xword 0x78285050
97284.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (16)
97285
97286.xword 0x64500000003c0000
97287.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
97288
97289.xword 0x7766000000554422
97290.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (16)
97291
97292.xword 0x706200000054462a
97293.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (16)
97294
97295.xword 0x784d005800423721
97296.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (16)
97297
97298.xword 0x804d006000504020
97299.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (16)
97300
97301.xword 0x0000006000408020
97302.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (16)
97303
97304.xword 0
97305.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (16)
97306
97307.xword 0
97308.xword 0x0002009c | (6 << 18) !ma_ctl_Ld (17)
97309
97310.xword 0
97311.xword 0x0002015f | (6 << 18) !ma_ctl_St (17)
97312
97313.xword 0x80604020
97314.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (17)
97315
97316.xword 0x356a
97317.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (17)
97318
97319.xword 0x0000006000408020
97320.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (17)
97321
97322.xword 0x6a35
97323.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (17)
97324
97325.xword 0x78285050
97326.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (17)
97327
97328.xword 0x80604020
97329.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (17)
97330
97331.xword 0x00287850
97332.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (17)
97333
97334.xword 0x00287850
97335.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (17)
97336
97337.xword 0x78285050
97338.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (17)
97339
97340.xword 0x64500000003c0000
97341.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
97342
97343.xword 0x7766000000554422
97344.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (17)
97345
97346.xword 0x706200000054462a
97347.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (17)
97348
97349.xword 0x784d005800423721
97350.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (17)
97351
97352.xword 0x804d006000504020
97353.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (17)
97354
97355.xword 0x0000006000408020
97356.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (17)
97357
97358.xword 0
97359.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (17)
97360
97361.xword 0
97362.xword 0x00020097 | (6 << 18) !ma_ctl_Ld (18)
97363
97364.xword 0
97365.xword 0x0002014a | (6 << 18) !ma_ctl_St (18)
97366
97367.xword 0x80604020
97368.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (18)
97369
97370.xword 0x356a
97371.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (18)
97372
97373.xword 0x0000006000408020
97374.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (18)
97375
97376.xword 0x6a35
97377.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (18)
97378
97379.xword 0x78285050
97380.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (18)
97381
97382.xword 0x80604020
97383.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (18)
97384
97385.xword 0x00287850
97386.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (18)
97387
97388.xword 0x00287850
97389.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (18)
97390
97391.xword 0x78285050
97392.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (18)
97393
97394.xword 0x64500000003c0000
97395.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
97396
97397.xword 0x7766000000554422
97398.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (18)
97399
97400.xword 0x706200000054462a
97401.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (18)
97402
97403.xword 0x784d005800423721
97404.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (18)
97405
97406.xword 0x804d006000504020
97407.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (18)
97408
97409.xword 0x0000006000408020
97410.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (18)
97411
97412.xword 0
97413.xword 0x00021117 | (6 << 18) !ma_ctl_StParity (18)
97414
97415.xword 0
97416.xword 0x00020054 | (6 << 18) !ma_ctl_Ld (19)
97417
97418.xword 0
97419.xword 0x00020160 | (6 << 18) !ma_ctl_St (19)
97420
97421.xword 0x80604020
97422.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (19)
97423
97424.xword 0x356a
97425.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (19)
97426
97427.xword 0x0000006000408020
97428.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (19)
97429
97430.xword 0x6a35
97431.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (19)
97432
97433.xword 0x78285050
97434.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (19)
97435
97436.xword 0x80604020
97437.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (19)
97438
97439.xword 0x00287850
97440.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (19)
97441
97442.xword 0x00287850
97443.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (19)
97444
97445.xword 0x78285050
97446.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (19)
97447
97448.xword 0x64500000003c0000
97449.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
97450
97451.xword 0x7766000000554422
97452.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (19)
97453
97454.xword 0x706200000054462a
97455.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (19)
97456
97457.xword 0x784d005800423721
97458.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (19)
97459
97460.xword 0x804d006000504020
97461.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (19)
97462
97463.xword 0x0000006000408020
97464.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (19)
97465
97466.xword 0
97467.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (19)
97468
97469.xword 0
97470.xword 0x00020088 | (6 << 18) !ma_ctl_Ld (20)
97471
97472.xword 0
97473.xword 0x0002011e | (6 << 18) !ma_ctl_St (20)
97474
97475.xword 0x80604020
97476.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (20)
97477
97478.xword 0x356a
97479.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (20)
97480
97481.xword 0x0000006000408020
97482.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (20)
97483
97484.xword 0x6a35
97485.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (20)
97486
97487.xword 0x78285050
97488.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (20)
97489
97490.xword 0x80604020
97491.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (20)
97492
97493.xword 0x00287850
97494.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (20)
97495
97496.xword 0x00287850
97497.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (20)
97498
97499.xword 0x78285050
97500.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (20)
97501
97502.xword 0x64500000003c0000
97503.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
97504
97505.xword 0x7766000000554422
97506.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (20)
97507
97508.xword 0x706200000054462a
97509.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (20)
97510
97511.xword 0x784d005800423721
97512.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (20)
97513
97514.xword 0x804d006000504020
97515.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (20)
97516
97517.xword 0x0000006000408020
97518.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (20)
97519
97520.xword 0
97521.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (20)
97522
97523.xword 0
97524.xword 0x0002009d | (6 << 18) !ma_ctl_Ld (21)
97525
97526.xword 0
97527.xword 0x0002018a | (6 << 18) !ma_ctl_St (21)
97528
97529.xword 0x80604020
97530.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (21)
97531
97532.xword 0x356a
97533.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (21)
97534
97535.xword 0x0000006000408020
97536.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (21)
97537
97538.xword 0x6a35
97539.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (21)
97540
97541.xword 0x78285050
97542.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (21)
97543
97544.xword 0x80604020
97545.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (21)
97546
97547.xword 0x00287850
97548.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (21)
97549
97550.xword 0x00287850
97551.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (21)
97552
97553.xword 0x78285050
97554.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (21)
97555
97556.xword 0x64500000003c0000
97557.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
97558
97559.xword 0x7766000000554422
97560.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (21)
97561
97562.xword 0x706200000054462a
97563.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (21)
97564
97565.xword 0x784d005800423721
97566.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (21)
97567
97568.xword 0x804d006000504020
97569.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (21)
97570
97571.xword 0x0000006000408020
97572.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (21)
97573
97574.xword 0
97575.xword 0x00021110 | (6 << 18) !ma_ctl_StParity (21)
97576
97577.xword 0
97578.xword 0x00020095 | (6 << 18) !ma_ctl_Ld (22)
97579
97580.xword 0
97581.xword 0x00020155 | (6 << 18) !ma_ctl_St (22)
97582
97583.xword 0x80604020
97584.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (22)
97585
97586.xword 0x356a
97587.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (22)
97588
97589.xword 0x0000006000408020
97590.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (22)
97591
97592.xword 0x6a35
97593.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (22)
97594
97595.xword 0x78285050
97596.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (22)
97597
97598.xword 0x80604020
97599.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (22)
97600
97601.xword 0x00287850
97602.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (22)
97603
97604.xword 0x00287850
97605.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (22)
97606
97607.xword 0x78285050
97608.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (22)
97609
97610.xword 0x64500000003c0000
97611.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
97612
97613.xword 0x7766000000554422
97614.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (22)
97615
97616.xword 0x706200000054462a
97617.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (22)
97618
97619.xword 0x784d005800423721
97620.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (22)
97621
97622.xword 0x804d006000504020
97623.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (22)
97624
97625.xword 0x0000006000408020
97626.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (22)
97627
97628.xword 0
97629.xword 0x0002110d | (6 << 18) !ma_ctl_StParity (22)
97630
97631.xword 0
97632.xword 0x00020049 | (6 << 18) !ma_ctl_Ld (23)
97633
97634.xword 0
97635.xword 0x00020189 | (6 << 18) !ma_ctl_St (23)
97636
97637.xword 0x80604020
97638.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (23)
97639
97640.xword 0x356a
97641.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (23)
97642
97643.xword 0x0000006000408020
97644.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (23)
97645
97646.xword 0x6a35
97647.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (23)
97648
97649.xword 0x78285050
97650.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (23)
97651
97652.xword 0x80604020
97653.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (23)
97654
97655.xword 0x00287850
97656.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (23)
97657
97658.xword 0x00287850
97659.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (23)
97660
97661.xword 0x78285050
97662.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (23)
97663
97664.xword 0x64500000003c0000
97665.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
97666
97667.xword 0x7766000000554422
97668.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (23)
97669
97670.xword 0x706200000054462a
97671.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (23)
97672
97673.xword 0x784d005800423721
97674.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (23)
97675
97676.xword 0x804d006000504020
97677.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (23)
97678
97679.xword 0x0000006000408020
97680.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (23)
97681
97682.xword 0
97683.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (23)
97684
97685.xword 0
97686.xword 0x0002006f | (6 << 18) !ma_ctl_Ld (24)
97687
97688.xword 0
97689.xword 0x00020163 | (6 << 18) !ma_ctl_St (24)
97690
97691.xword 0x80604020
97692.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (24)
97693
97694.xword 0x356a
97695.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (24)
97696
97697.xword 0x0000006000408020
97698.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (24)
97699
97700.xword 0x6a35
97701.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (24)
97702
97703.xword 0x78285050
97704.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (24)
97705
97706.xword 0x80604020
97707.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (24)
97708
97709.xword 0x00287850
97710.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (24)
97711
97712.xword 0x00287850
97713.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (24)
97714
97715.xword 0x78285050
97716.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (24)
97717
97718.xword 0x64500000003c0000
97719.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
97720
97721.xword 0x7766000000554422
97722.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (24)
97723
97724.xword 0x706200000054462a
97725.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (24)
97726
97727.xword 0x784d005800423721
97728.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (24)
97729
97730.xword 0x804d006000504020
97731.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (24)
97732
97733.xword 0x0000006000408020
97734.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (24)
97735
97736.xword 0
97737.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (24)
97738
97739.xword 0
97740.xword 0x0002005c | (6 << 18) !ma_ctl_Ld (25)
97741
97742.xword 0
97743.xword 0x0002013b | (6 << 18) !ma_ctl_St (25)
97744
97745.xword 0x80604020
97746.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (25)
97747
97748.xword 0x356a
97749.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (25)
97750
97751.xword 0x0000006000408020
97752.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (25)
97753
97754.xword 0x6a35
97755.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (25)
97756
97757.xword 0x78285050
97758.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (25)
97759
97760.xword 0x80604020
97761.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (25)
97762
97763.xword 0x00287850
97764.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (25)
97765
97766.xword 0x00287850
97767.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (25)
97768
97769.xword 0x78285050
97770.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (25)
97771
97772.xword 0x64500000003c0000
97773.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
97774
97775.xword 0x7766000000554422
97776.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (25)
97777
97778.xword 0x706200000054462a
97779.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (25)
97780
97781.xword 0x784d005800423721
97782.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (25)
97783
97784.xword 0x804d006000504020
97785.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (25)
97786
97787.xword 0x0000006000408020
97788.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (25)
97789
97790.xword 0
97791.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (25)
97792
97793.xword 0
97794.xword 0x00020098 | (6 << 18) !ma_ctl_Ld (26)
97795
97796.xword 0
97797.xword 0x0002017f | (6 << 18) !ma_ctl_St (26)
97798
97799.xword 0x80604020
97800.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (26)
97801
97802.xword 0x356a
97803.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (26)
97804
97805.xword 0x0000006000408020
97806.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (26)
97807
97808.xword 0x6a35
97809.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (26)
97810
97811.xword 0x78285050
97812.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (26)
97813
97814.xword 0x80604020
97815.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (26)
97816
97817.xword 0x00287850
97818.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (26)
97819
97820.xword 0x00287850
97821.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (26)
97822
97823.xword 0x78285050
97824.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (26)
97825
97826.xword 0x64500000003c0000
97827.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
97828
97829.xword 0x7766000000554422
97830.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (26)
97831
97832.xword 0x706200000054462a
97833.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (26)
97834
97835.xword 0x784d005800423721
97836.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (26)
97837
97838.xword 0x804d006000504020
97839.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (26)
97840
97841.xword 0x0000006000408020
97842.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (26)
97843
97844.xword 0
97845.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (26)
97846
97847.xword 0
97848.xword 0x00020060 | (6 << 18) !ma_ctl_Ld (27)
97849
97850.xword 0
97851.xword 0x0002016f | (6 << 18) !ma_ctl_St (27)
97852
97853.xword 0x80604020
97854.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (27)
97855
97856.xword 0x356a
97857.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (27)
97858
97859.xword 0x0000006000408020
97860.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (27)
97861
97862.xword 0x6a35
97863.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (27)
97864
97865.xword 0x78285050
97866.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (27)
97867
97868.xword 0x80604020
97869.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (27)
97870
97871.xword 0x00287850
97872.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (27)
97873
97874.xword 0x00287850
97875.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (27)
97876
97877.xword 0x78285050
97878.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (27)
97879
97880.xword 0x64500000003c0000
97881.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
97882
97883.xword 0x7766000000554422
97884.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (27)
97885
97886.xword 0x706200000054462a
97887.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (27)
97888
97889.xword 0x784d005800423721
97890.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (27)
97891
97892.xword 0x804d006000504020
97893.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (27)
97894
97895.xword 0x0000006000408020
97896.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (27)
97897
97898.xword 0
97899.xword 0x00021114 | (6 << 18) !ma_ctl_StParity (27)
97900
97901.xword 0
97902.xword 0x00020086 | (6 << 18) !ma_ctl_Ld (28)
97903
97904.xword 0
97905.xword 0x0002012b | (6 << 18) !ma_ctl_St (28)
97906
97907.xword 0x80604020
97908.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (28)
97909
97910.xword 0x356a
97911.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (28)
97912
97913.xword 0x0000006000408020
97914.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (28)
97915
97916.xword 0x6a35
97917.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (28)
97918
97919.xword 0x78285050
97920.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (28)
97921
97922.xword 0x80604020
97923.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (28)
97924
97925.xword 0x00287850
97926.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (28)
97927
97928.xword 0x00287850
97929.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (28)
97930
97931.xword 0x78285050
97932.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (28)
97933
97934.xword 0x64500000003c0000
97935.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
97936
97937.xword 0x7766000000554422
97938.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (28)
97939
97940.xword 0x706200000054462a
97941.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (28)
97942
97943.xword 0x784d005800423721
97944.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (28)
97945
97946.xword 0x804d006000504020
97947.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (28)
97948
97949.xword 0x0000006000408020
97950.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (28)
97951
97952.xword 0
97953.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (28)
97954
97955.xword 0
97956.xword 0x0002005e | (6 << 18) !ma_ctl_Ld (29)
97957
97958.xword 0
97959.xword 0x0002013b | (6 << 18) !ma_ctl_St (29)
97960
97961.xword 0x80604020
97962.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (29)
97963
97964.xword 0x356a
97965.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (29)
97966
97967.xword 0x0000006000408020
97968.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (29)
97969
97970.xword 0x6a35
97971.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (29)
97972
97973.xword 0x78285050
97974.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (29)
97975
97976.xword 0x80604020
97977.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (29)
97978
97979.xword 0x00287850
97980.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (29)
97981
97982.xword 0x00287850
97983.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (29)
97984
97985.xword 0x78285050
97986.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (29)
97987
97988.xword 0x64500000003c0000
97989.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
97990
97991.xword 0x7766000000554422
97992.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (29)
97993
97994.xword 0x706200000054462a
97995.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (29)
97996
97997.xword 0x784d005800423721
97998.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (29)
97999
98000.xword 0x804d006000504020
98001.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (29)
98002
98003.xword 0x0000006000408020
98004.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (29)
98005
98006.xword 0
98007.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (29)
98008
98009.xword 0
98010.xword 0x0002002e | (6 << 18) !ma_ctl_Ld (30)
98011
98012.xword 0
98013.xword 0x00020166 | (6 << 18) !ma_ctl_St (30)
98014
98015.xword 0x80604020
98016.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (30)
98017
98018.xword 0x356a
98019.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (30)
98020
98021.xword 0x0000006000408020
98022.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (30)
98023
98024.xword 0x6a35
98025.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (30)
98026
98027.xword 0x78285050
98028.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (30)
98029
98030.xword 0x80604020
98031.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (30)
98032
98033.xword 0x00287850
98034.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (30)
98035
98036.xword 0x00287850
98037.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (30)
98038
98039.xword 0x78285050
98040.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (30)
98041
98042.xword 0x64500000003c0000
98043.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
98044
98045.xword 0x7766000000554422
98046.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (30)
98047
98048.xword 0x706200000054462a
98049.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (30)
98050
98051.xword 0x784d005800423721
98052.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (30)
98053
98054.xword 0x804d006000504020
98055.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (30)
98056
98057.xword 0x0000006000408020
98058.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (30)
98059
98060.xword 0
98061.xword 0x0002110e | (6 << 18) !ma_ctl_StParity (30)
98062
98063.xword 0
98064.xword 0x00020077 | (6 << 18) !ma_ctl_Ld (31)
98065
98066.xword 0
98067.xword 0x0002017e | (6 << 18) !ma_ctl_St (31)
98068
98069.xword 0x80604020
98070.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (31)
98071
98072.xword 0x356a
98073.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (31)
98074
98075.xword 0x0000006000408020
98076.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (31)
98077
98078.xword 0x6a35
98079.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (31)
98080
98081.xword 0x78285050
98082.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (31)
98083
98084.xword 0x80604020
98085.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (31)
98086
98087.xword 0x00287850
98088.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (31)
98089
98090.xword 0x00287850
98091.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (31)
98092
98093.xword 0x78285050
98094.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (31)
98095
98096.xword 0x64500000003c0000
98097.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
98098
98099.xword 0x7766000000554422
98100.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (31)
98101
98102.xword 0x706200000054462a
98103.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (31)
98104
98105.xword 0x784d005800423721
98106.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (31)
98107
98108.xword 0x804d006000504020
98109.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (31)
98110
98111.xword 0x0000006000408020
98112.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (31)
98113
98114.xword 0
98115.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (31)
98116
98117.xword 0
98118.xword 0x00020031 | (6 << 18) !ma_ctl_Ld (32)
98119
98120.xword 0
98121.xword 0x00020138 | (6 << 18) !ma_ctl_St (32)
98122
98123.xword 0x80604020
98124.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (32)
98125
98126.xword 0x356a
98127.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (32)
98128
98129.xword 0x0000006000408020
98130.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (32)
98131
98132.xword 0x6a35
98133.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (32)
98134
98135.xword 0x78285050
98136.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (32)
98137
98138.xword 0x80604020
98139.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (32)
98140
98141.xword 0x00287850
98142.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (32)
98143
98144.xword 0x00287850
98145.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (32)
98146
98147.xword 0x78285050
98148.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (32)
98149
98150.xword 0x64500000003c0000
98151.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
98152
98153.xword 0x7766000000554422
98154.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (32)
98155
98156.xword 0x706200000054462a
98157.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (32)
98158
98159.xword 0x784d005800423721
98160.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (32)
98161
98162.xword 0x804d006000504020
98163.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (32)
98164
98165.xword 0x0000006000408020
98166.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (32)
98167
98168.xword 0
98169.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (32)
98170
98171.xword 0
98172.xword 0x00020053 | (6 << 18) !ma_ctl_Ld (33)
98173
98174.xword 0
98175.xword 0x00020170 | (6 << 18) !ma_ctl_St (33)
98176
98177.xword 0x80604020
98178.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (33)
98179
98180.xword 0x356a
98181.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (33)
98182
98183.xword 0x0000006000408020
98184.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (33)
98185
98186.xword 0x6a35
98187.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (33)
98188
98189.xword 0x78285050
98190.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (33)
98191
98192.xword 0x80604020
98193.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (33)
98194
98195.xword 0x00287850
98196.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (33)
98197
98198.xword 0x00287850
98199.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (33)
98200
98201.xword 0x78285050
98202.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (33)
98203
98204.xword 0x64500000003c0000
98205.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
98206
98207.xword 0x7766000000554422
98208.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (33)
98209
98210.xword 0x706200000054462a
98211.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (33)
98212
98213.xword 0x784d005800423721
98214.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (33)
98215
98216.xword 0x804d006000504020
98217.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (33)
98218
98219.xword 0x0000006000408020
98220.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (33)
98221
98222.xword 0
98223.xword 0x00021111 | (6 << 18) !ma_ctl_StParity (33)
98224
98225.xword 0
98226.xword 0x00020077 | (6 << 18) !ma_ctl_Ld (34)
98227
98228.xword 0
98229.xword 0x00020142 | (6 << 18) !ma_ctl_St (34)
98230
98231.xword 0x80604020
98232.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (34)
98233
98234.xword 0x356a
98235.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (34)
98236
98237.xword 0x0000006000408020
98238.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (34)
98239
98240.xword 0x6a35
98241.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (34)
98242
98243.xword 0x78285050
98244.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (34)
98245
98246.xword 0x80604020
98247.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (34)
98248
98249.xword 0x00287850
98250.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (34)
98251
98252.xword 0x00287850
98253.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (34)
98254
98255.xword 0x78285050
98256.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (34)
98257
98258.xword 0x64500000003c0000
98259.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
98260
98261.xword 0x7766000000554422
98262.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (34)
98263
98264.xword 0x706200000054462a
98265.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (34)
98266
98267.xword 0x784d005800423721
98268.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (34)
98269
98270.xword 0x804d006000504020
98271.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (34)
98272
98273.xword 0x0000006000408020
98274.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (34)
98275
98276.xword 0
98277.xword 0x00021102 | (6 << 18) !ma_ctl_StParity (34)
98278
98279.xword 0
98280.xword 0x0002003b | (6 << 18) !ma_ctl_Ld (35)
98281
98282.xword 0
98283.xword 0x00020132 | (6 << 18) !ma_ctl_St (35)
98284
98285.xword 0x80604020
98286.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (35)
98287
98288.xword 0x356a
98289.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (35)
98290
98291.xword 0x0000006000408020
98292.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (35)
98293
98294.xword 0x6a35
98295.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (35)
98296
98297.xword 0x78285050
98298.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (35)
98299
98300.xword 0x80604020
98301.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (35)
98302
98303.xword 0x00287850
98304.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (35)
98305
98306.xword 0x00287850
98307.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (35)
98308
98309.xword 0x78285050
98310.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (35)
98311
98312.xword 0x64500000003c0000
98313.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
98314
98315.xword 0x7766000000554422
98316.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (35)
98317
98318.xword 0x706200000054462a
98319.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (35)
98320
98321.xword 0x784d005800423721
98322.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (35)
98323
98324.xword 0x804d006000504020
98325.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (35)
98326
98327.xword 0x0000006000408020
98328.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (35)
98329
98330.xword 0
98331.xword 0x0002111e | (6 << 18) !ma_ctl_StParity (35)
98332
98333.xword 0
98334.xword 0x00020037 | (6 << 18) !ma_ctl_Ld (36)
98335
98336.xword 0
98337.xword 0x00020169 | (6 << 18) !ma_ctl_St (36)
98338
98339.xword 0x80604020
98340.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (36)
98341
98342.xword 0x356a
98343.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (36)
98344
98345.xword 0x0000006000408020
98346.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (36)
98347
98348.xword 0x6a35
98349.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (36)
98350
98351.xword 0x78285050
98352.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (36)
98353
98354.xword 0x80604020
98355.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (36)
98356
98357.xword 0x00287850
98358.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (36)
98359
98360.xword 0x00287850
98361.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (36)
98362
98363.xword 0x78285050
98364.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (36)
98365
98366.xword 0x64500000003c0000
98367.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
98368
98369.xword 0x7766000000554422
98370.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (36)
98371
98372.xword 0x706200000054462a
98373.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (36)
98374
98375.xword 0x784d005800423721
98376.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (36)
98377
98378.xword 0x804d006000504020
98379.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (36)
98380
98381.xword 0x0000006000408020
98382.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (36)
98383
98384.xword 0
98385.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (36)
98386
98387.xword 0
98388.xword 0x00020061 | (6 << 18) !ma_ctl_Ld (37)
98389
98390.xword 0
98391.xword 0x00020180 | (6 << 18) !ma_ctl_St (37)
98392
98393.xword 0x80604020
98394.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (37)
98395
98396.xword 0x356a
98397.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (37)
98398
98399.xword 0x0000006000408020
98400.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (37)
98401
98402.xword 0x6a35
98403.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (37)
98404
98405.xword 0x78285050
98406.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (37)
98407
98408.xword 0x80604020
98409.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (37)
98410
98411.xword 0x00287850
98412.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (37)
98413
98414.xword 0x00287850
98415.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (37)
98416
98417.xword 0x78285050
98418.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (37)
98419
98420.xword 0x64500000003c0000
98421.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
98422
98423.xword 0x7766000000554422
98424.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (37)
98425
98426.xword 0x706200000054462a
98427.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (37)
98428
98429.xword 0x784d005800423721
98430.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (37)
98431
98432.xword 0x804d006000504020
98433.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (37)
98434
98435.xword 0x0000006000408020
98436.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (37)
98437
98438.xword 0
98439.xword 0x00021109 | (6 << 18) !ma_ctl_StParity (37)
98440
98441.xword 0
98442.xword 0x00020044 | (6 << 18) !ma_ctl_Ld (38)
98443
98444.xword 0
98445.xword 0x0002014a | (6 << 18) !ma_ctl_St (38)
98446
98447.xword 0x80604020
98448.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (38)
98449
98450.xword 0x356a
98451.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (38)
98452
98453.xword 0x0000006000408020
98454.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (38)
98455
98456.xword 0x6a35
98457.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (38)
98458
98459.xword 0x78285050
98460.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (38)
98461
98462.xword 0x80604020
98463.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (38)
98464
98465.xword 0x00287850
98466.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (38)
98467
98468.xword 0x00287850
98469.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (38)
98470
98471.xword 0x78285050
98472.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (38)
98473
98474.xword 0x64500000003c0000
98475.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
98476
98477.xword 0x7766000000554422
98478.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (38)
98479
98480.xword 0x706200000054462a
98481.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (38)
98482
98483.xword 0x784d005800423721
98484.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (38)
98485
98486.xword 0x804d006000504020
98487.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (38)
98488
98489.xword 0x0000006000408020
98490.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (38)
98491
98492.xword 0
98493.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (38)
98494
98495.xword 0
98496.xword 0x0002003f | (6 << 18) !ma_ctl_Ld (39)
98497
98498.xword 0
98499.xword 0x00020175 | (6 << 18) !ma_ctl_St (39)
98500
98501.xword 0x80604020
98502.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (39)
98503
98504.xword 0x356a
98505.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (39)
98506
98507.xword 0x0000006000408020
98508.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (39)
98509
98510.xword 0x6a35
98511.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (39)
98512
98513.xword 0x78285050
98514.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (39)
98515
98516.xword 0x80604020
98517.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (39)
98518
98519.xword 0x00287850
98520.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (39)
98521
98522.xword 0x00287850
98523.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (39)
98524
98525.xword 0x78285050
98526.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (39)
98527
98528.xword 0x64500000003c0000
98529.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
98530
98531.xword 0x7766000000554422
98532.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (39)
98533
98534.xword 0x706200000054462a
98535.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (39)
98536
98537.xword 0x784d005800423721
98538.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (39)
98539
98540.xword 0x804d006000504020
98541.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (39)
98542
98543.xword 0x0000006000408020
98544.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (39)
98545
98546.xword 0
98547.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (39)
98548
98549.xword 0
98550.xword 0x00020047 | (6 << 18) !ma_ctl_Ld (40)
98551
98552.xword 0
98553.xword 0x0002017a | (6 << 18) !ma_ctl_St (40)
98554
98555.xword 0x80604020
98556.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (40)
98557
98558.xword 0x356a
98559.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (40)
98560
98561.xword 0x0000006000408020
98562.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (40)
98563
98564.xword 0x6a35
98565.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (40)
98566
98567.xword 0x78285050
98568.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (40)
98569
98570.xword 0x80604020
98571.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (40)
98572
98573.xword 0x00287850
98574.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (40)
98575
98576.xword 0x00287850
98577.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (40)
98578
98579.xword 0x78285050
98580.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (40)
98581
98582.xword 0x64500000003c0000
98583.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
98584
98585.xword 0x7766000000554422
98586.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (40)
98587
98588.xword 0x706200000054462a
98589.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (40)
98590
98591.xword 0x784d005800423721
98592.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (40)
98593
98594.xword 0x804d006000504020
98595.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (40)
98596
98597.xword 0x0000006000408020
98598.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (40)
98599
98600.xword 0
98601.xword 0x0002111c | (6 << 18) !ma_ctl_StParity (40)
98602
98603.xword 0
98604.xword 0x00020032 | (6 << 18) !ma_ctl_Ld (41)
98605
98606.xword 0
98607.xword 0x00020174 | (6 << 18) !ma_ctl_St (41)
98608
98609.xword 0x80604020
98610.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (41)
98611
98612.xword 0x356a
98613.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (41)
98614
98615.xword 0x0000006000408020
98616.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (41)
98617
98618.xword 0x6a35
98619.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (41)
98620
98621.xword 0x78285050
98622.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (41)
98623
98624.xword 0x80604020
98625.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (41)
98626
98627.xword 0x00287850
98628.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (41)
98629
98630.xword 0x00287850
98631.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (41)
98632
98633.xword 0x78285050
98634.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (41)
98635
98636.xword 0x64500000003c0000
98637.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
98638
98639.xword 0x7766000000554422
98640.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (41)
98641
98642.xword 0x706200000054462a
98643.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (41)
98644
98645.xword 0x784d005800423721
98646.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (41)
98647
98648.xword 0x804d006000504020
98649.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (41)
98650
98651.xword 0x0000006000408020
98652.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (41)
98653
98654.xword 0
98655.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (41)
98656
98657.xword 0
98658.xword 0x00020038 | (6 << 18) !ma_ctl_Ld (42)
98659
98660.xword 0
98661.xword 0x00020131 | (6 << 18) !ma_ctl_St (42)
98662
98663.xword 0x80604020
98664.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (42)
98665
98666.xword 0x356a
98667.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (42)
98668
98669.xword 0x0000006000408020
98670.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (42)
98671
98672.xword 0x6a35
98673.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (42)
98674
98675.xword 0x78285050
98676.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (42)
98677
98678.xword 0x80604020
98679.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (42)
98680
98681.xword 0x00287850
98682.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (42)
98683
98684.xword 0x00287850
98685.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (42)
98686
98687.xword 0x78285050
98688.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (42)
98689
98690.xword 0x64500000003c0000
98691.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
98692
98693.xword 0x7766000000554422
98694.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (42)
98695
98696.xword 0x706200000054462a
98697.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (42)
98698
98699.xword 0x784d005800423721
98700.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (42)
98701
98702.xword 0x804d006000504020
98703.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (42)
98704
98705.xword 0x0000006000408020
98706.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (42)
98707
98708.xword 0
98709.xword 0x0002110b | (6 << 18) !ma_ctl_StParity (42)
98710
98711.xword 0
98712.xword 0x00020064 | (6 << 18) !ma_ctl_Ld (43)
98713
98714.xword 0
98715.xword 0x00020165 | (6 << 18) !ma_ctl_St (43)
98716
98717.xword 0x80604020
98718.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (43)
98719
98720.xword 0x356a
98721.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (43)
98722
98723.xword 0x0000006000408020
98724.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (43)
98725
98726.xword 0x6a35
98727.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (43)
98728
98729.xword 0x78285050
98730.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (43)
98731
98732.xword 0x80604020
98733.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (43)
98734
98735.xword 0x00287850
98736.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (43)
98737
98738.xword 0x00287850
98739.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (43)
98740
98741.xword 0x78285050
98742.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (43)
98743
98744.xword 0x64500000003c0000
98745.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
98746
98747.xword 0x7766000000554422
98748.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (43)
98749
98750.xword 0x706200000054462a
98751.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (43)
98752
98753.xword 0x784d005800423721
98754.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (43)
98755
98756.xword 0x804d006000504020
98757.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (43)
98758
98759.xword 0x0000006000408020
98760.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (43)
98761
98762.xword 0
98763.xword 0x00021100 | (6 << 18) !ma_ctl_StParity (43)
98764
98765.xword 0
98766.xword 0x00020038 | (6 << 18) !ma_ctl_Ld (44)
98767
98768.xword 0
98769.xword 0x0002015b | (6 << 18) !ma_ctl_St (44)
98770
98771.xword 0x80604020
98772.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (44)
98773
98774.xword 0x356a
98775.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (44)
98776
98777.xword 0x0000006000408020
98778.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (44)
98779
98780.xword 0x6a35
98781.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (44)
98782
98783.xword 0x78285050
98784.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (44)
98785
98786.xword 0x80604020
98787.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (44)
98788
98789.xword 0x00287850
98790.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (44)
98791
98792.xword 0x00287850
98793.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (44)
98794
98795.xword 0x78285050
98796.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (44)
98797
98798.xword 0x64500000003c0000
98799.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
98800
98801.xword 0x7766000000554422
98802.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (44)
98803
98804.xword 0x706200000054462a
98805.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (44)
98806
98807.xword 0x784d005800423721
98808.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (44)
98809
98810.xword 0x804d006000504020
98811.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (44)
98812
98813.xword 0x0000006000408020
98814.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (44)
98815
98816.xword 0
98817.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (44)
98818
98819.xword 0
98820.xword 0x0002005e | (6 << 18) !ma_ctl_Ld (45)
98821
98822.xword 0
98823.xword 0x00020168 | (6 << 18) !ma_ctl_St (45)
98824
98825.xword 0x80604020
98826.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (45)
98827
98828.xword 0x356a
98829.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (45)
98830
98831.xword 0x0000006000408020
98832.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (45)
98833
98834.xword 0x6a35
98835.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (45)
98836
98837.xword 0x78285050
98838.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (45)
98839
98840.xword 0x80604020
98841.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (45)
98842
98843.xword 0x00287850
98844.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (45)
98845
98846.xword 0x00287850
98847.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (45)
98848
98849.xword 0x78285050
98850.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (45)
98851
98852.xword 0x64500000003c0000
98853.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
98854
98855.xword 0x7766000000554422
98856.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (45)
98857
98858.xword 0x706200000054462a
98859.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (45)
98860
98861.xword 0x784d005800423721
98862.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (45)
98863
98864.xword 0x804d006000504020
98865.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (45)
98866
98867.xword 0x0000006000408020
98868.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (45)
98869
98870.xword 0
98871.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (45)
98872
98873.xword 0
98874.xword 0x00020067 | (6 << 18) !ma_ctl_Ld (46)
98875
98876.xword 0
98877.xword 0x0002014d | (6 << 18) !ma_ctl_St (46)
98878
98879.xword 0x80604020
98880.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (46)
98881
98882.xword 0x356a
98883.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (46)
98884
98885.xword 0x0000006000408020
98886.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (46)
98887
98888.xword 0x6a35
98889.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (46)
98890
98891.xword 0x78285050
98892.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (46)
98893
98894.xword 0x80604020
98895.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (46)
98896
98897.xword 0x00287850
98898.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (46)
98899
98900.xword 0x00287850
98901.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (46)
98902
98903.xword 0x78285050
98904.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (46)
98905
98906.xword 0x64500000003c0000
98907.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
98908
98909.xword 0x7766000000554422
98910.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (46)
98911
98912.xword 0x706200000054462a
98913.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (46)
98914
98915.xword 0x784d005800423721
98916.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (46)
98917
98918.xword 0x804d006000504020
98919.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (46)
98920
98921.xword 0x0000006000408020
98922.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (46)
98923
98924.xword 0
98925.xword 0x00021113 | (6 << 18) !ma_ctl_StParity (46)
98926
98927.xword 0
98928.xword 0x00020085 | (6 << 18) !ma_ctl_Ld (47)
98929
98930.xword 0
98931.xword 0x00020158 | (6 << 18) !ma_ctl_St (47)
98932
98933.xword 0x80604020
98934.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (47)
98935
98936.xword 0x356a
98937.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (47)
98938
98939.xword 0x0000006000408020
98940.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (47)
98941
98942.xword 0x6a35
98943.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (47)
98944
98945.xword 0x78285050
98946.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (47)
98947
98948.xword 0x80604020
98949.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (47)
98950
98951.xword 0x00287850
98952.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (47)
98953
98954.xword 0x00287850
98955.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (47)
98956
98957.xword 0x78285050
98958.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (47)
98959
98960.xword 0x64500000003c0000
98961.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
98962
98963.xword 0x7766000000554422
98964.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (47)
98965
98966.xword 0x706200000054462a
98967.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (47)
98968
98969.xword 0x784d005800423721
98970.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (47)
98971
98972.xword 0x804d006000504020
98973.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (47)
98974
98975.xword 0x0000006000408020
98976.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (47)
98977
98978.xword 0
98979.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (47)
98980
98981.xword 0
98982.xword 0x00020092 | (6 << 18) !ma_ctl_Ld (48)
98983
98984.xword 0
98985.xword 0x0002011f | (6 << 18) !ma_ctl_St (48)
98986
98987.xword 0x80604020
98988.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (48)
98989
98990.xword 0x356a
98991.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (48)
98992
98993.xword 0x0000006000408020
98994.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (48)
98995
98996.xword 0x6a35
98997.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (48)
98998
98999.xword 0x78285050
99000.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (48)
99001
99002.xword 0x80604020
99003.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (48)
99004
99005.xword 0x00287850
99006.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (48)
99007
99008.xword 0x00287850
99009.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (48)
99010
99011.xword 0x78285050
99012.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (48)
99013
99014.xword 0x64500000003c0000
99015.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
99016
99017.xword 0x7766000000554422
99018.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (48)
99019
99020.xword 0x706200000054462a
99021.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (48)
99022
99023.xword 0x784d005800423721
99024.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (48)
99025
99026.xword 0x804d006000504020
99027.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (48)
99028
99029.xword 0x0000006000408020
99030.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (48)
99031
99032.xword 0
99033.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (48)
99034
99035.xword 0
99036.xword 0x00020085 | (6 << 18) !ma_ctl_Ld (49)
99037
99038.xword 0
99039.xword 0x0002017b | (6 << 18) !ma_ctl_St (49)
99040
99041.xword 0x80604020
99042.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (49)
99043
99044.xword 0x356a
99045.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (49)
99046
99047.xword 0x0000006000408020
99048.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (49)
99049
99050.xword 0x6a35
99051.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (49)
99052
99053.xword 0x78285050
99054.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (49)
99055
99056.xword 0x80604020
99057.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (49)
99058
99059.xword 0x00287850
99060.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (49)
99061
99062.xword 0x00287850
99063.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (49)
99064
99065.xword 0x78285050
99066.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (49)
99067
99068.xword 0x64500000003c0000
99069.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
99070
99071.xword 0x7766000000554422
99072.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (49)
99073
99074.xword 0x706200000054462a
99075.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (49)
99076
99077.xword 0x784d005800423721
99078.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (49)
99079
99080.xword 0x804d006000504020
99081.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (49)
99082
99083.xword 0x0000006000408020
99084.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (49)
99085
99086.xword 0
99087.xword 0x00021112 | (6 << 18) !ma_ctl_StParity (49)
99088
99089.xword 0
99090.xword 0x0002008d | (6 << 18) !ma_ctl_Ld (50)
99091
99092.xword 0
99093.xword 0x00020156 | (6 << 18) !ma_ctl_St (50)
99094
99095.xword 0x80604020
99096.xword 0x00020203 | (6 << 18) !ma_ctl_ModMul (50)
99097
99098.xword 0x356a
99099.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (50)
99100
99101.xword 0x0000006000408020
99102.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (50)
99103
99104.xword 0x6a35
99105.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (50)
99106
99107.xword 0x78285050
99108.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (50)
99109
99110.xword 0x80604020
99111.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (50)
99112
99113.xword 0x00287850
99114.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (50)
99115
99116.xword 0x00287850
99117.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (50)
99118
99119.xword 0x78285050
99120.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (50)
99121
99122.xword 0x64500000003c0000
99123.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
99124
99125.xword 0x7766000000554422
99126.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (50)
99127
99128.xword 0x706200000054462a
99129.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (50)
99130
99131.xword 0x784d005800423721
99132.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (50)
99133
99134.xword 0x804d006000504020
99135.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (50)
99136
99137.xword 0x0000006000408020
99138.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (50)
99139
99140.xword 0
99141.xword 0x00021115 | (6 << 18) !ma_ctl_StParity (50)
99142
99143.xword 0
99144.xword 0x0002009d | (6 << 18) !ma_ctl_Ld (51)
99145
99146.xword 0
99147.xword 0x0002013e | (6 << 18) !ma_ctl_St (51)
99148
99149.xword 0x80604020
99150.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (51)
99151
99152.xword 0x356a
99153.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (51)
99154
99155.xword 0x0000006000408020
99156.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (51)
99157
99158.xword 0x6a35
99159.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (51)
99160
99161.xword 0x78285050
99162.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (51)
99163
99164.xword 0x80604020
99165.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (51)
99166
99167.xword 0x00287850
99168.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (51)
99169
99170.xword 0x00287850
99171.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (51)
99172
99173.xword 0x78285050
99174.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (51)
99175
99176.xword 0x64500000003c0000
99177.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
99178
99179.xword 0x7766000000554422
99180.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (51)
99181
99182.xword 0x706200000054462a
99183.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (51)
99184
99185.xword 0x784d005800423721
99186.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (51)
99187
99188.xword 0x804d006000504020
99189.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (51)
99190
99191.xword 0x0000006000408020
99192.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (51)
99193
99194.xword 0
99195.xword 0x00021104 | (6 << 18) !ma_ctl_StParity (51)
99196
99197.xword 0
99198.xword 0x00020037 | (6 << 18) !ma_ctl_Ld (52)
99199
99200.xword 0
99201.xword 0x0002013d | (6 << 18) !ma_ctl_St (52)
99202
99203.xword 0x80604020
99204.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (52)
99205
99206.xword 0x356a
99207.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (52)
99208
99209.xword 0x0000006000408020
99210.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (52)
99211
99212.xword 0x6a35
99213.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (52)
99214
99215.xword 0x78285050
99216.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (52)
99217
99218.xword 0x80604020
99219.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (52)
99220
99221.xword 0x00287850
99222.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (52)
99223
99224.xword 0x00287850
99225.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (52)
99226
99227.xword 0x78285050
99228.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (52)
99229
99230.xword 0x64500000003c0000
99231.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
99232
99233.xword 0x7766000000554422
99234.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (52)
99235
99236.xword 0x706200000054462a
99237.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (52)
99238
99239.xword 0x784d005800423721
99240.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (52)
99241
99242.xword 0x804d006000504020
99243.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (52)
99244
99245.xword 0x0000006000408020
99246.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (52)
99247
99248.xword 0
99249.xword 0x00021116 | (6 << 18) !ma_ctl_StParity (52)
99250
99251.xword 0
99252.xword 0x0002007c | (6 << 18) !ma_ctl_Ld (53)
99253
99254.xword 0
99255.xword 0x00020125 | (6 << 18) !ma_ctl_St (53)
99256
99257.xword 0x80604020
99258.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (53)
99259
99260.xword 0x356a
99261.xword 0x00020301 | (6 << 18) !ma_ctl_ModRed (53)
99262
99263.xword 0x0000006000408020
99264.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (53)
99265
99266.xword 0x6a35
99267.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (53)
99268
99269.xword 0x78285050
99270.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (53)
99271
99272.xword 0x80604020
99273.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (53)
99274
99275.xword 0x00287850
99276.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (53)
99277
99278.xword 0x00287850
99279.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (53)
99280
99281.xword 0x78285050
99282.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (53)
99283
99284.xword 0x64500000003c0000
99285.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
99286
99287.xword 0x7766000000554422
99288.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (53)
99289
99290.xword 0x706200000054462a
99291.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (53)
99292
99293.xword 0x784d005800423721
99294.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (53)
99295
99296.xword 0x804d006000504020
99297.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (53)
99298
99299.xword 0x0000006000408020
99300.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (53)
99301
99302.xword 0
99303.xword 0x00021106 | (6 << 18) !ma_ctl_StParity (53)
99304
99305.xword 0
99306.xword 0x00020059 | (6 << 18) !ma_ctl_Ld (54)
99307
99308.xword 0
99309.xword 0x00020171 | (6 << 18) !ma_ctl_St (54)
99310
99311.xword 0x80604020
99312.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (54)
99313
99314.xword 0x356a
99315.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (54)
99316
99317.xword 0x0000006000408020
99318.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (54)
99319
99320.xword 0x6a35
99321.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (54)
99322
99323.xword 0x78285050
99324.xword 0x00020602 | (6 << 18) !ma_ctl_gf2m_ModSq (54)
99325
99326.xword 0x80604020
99327.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (54)
99328
99329.xword 0x00287850
99330.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (54)
99331
99332.xword 0x00287850
99333.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (54)
99334
99335.xword 0x78285050
99336.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (54)
99337
99338.xword 0x64500000003c0000
99339.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
99340
99341.xword 0x7766000000554422
99342.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (54)
99343
99344.xword 0x706200000054462a
99345.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (54)
99346
99347.xword 0x784d005800423721
99348.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (54)
99349
99350.xword 0x804d006000504020
99351.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (54)
99352
99353.xword 0x0000006000408020
99354.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (54)
99355
99356.xword 0
99357.xword 0x0002111a | (6 << 18) !ma_ctl_StParity (54)
99358
99359.xword 0
99360.xword 0x0002008d | (6 << 18) !ma_ctl_Ld (55)
99361
99362.xword 0
99363.xword 0x0002015f | (6 << 18) !ma_ctl_St (55)
99364
99365.xword 0x80604020
99366.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (55)
99367
99368.xword 0x356a
99369.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (55)
99370
99371.xword 0x0000006000408020
99372.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (55)
99373
99374.xword 0x6a35
99375.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (55)
99376
99377.xword 0x78285050
99378.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (55)
99379
99380.xword 0x80604020
99381.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (55)
99382
99383.xword 0x00287850
99384.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (55)
99385
99386.xword 0x00287850
99387.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (55)
99388
99389.xword 0x78285050
99390.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (55)
99391
99392.xword 0x64500000003c0000
99393.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
99394
99395.xword 0x7766000000554422
99396.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (55)
99397
99398.xword 0x706200000054462a
99399.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (55)
99400
99401.xword 0x784d005800423721
99402.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (55)
99403
99404.xword 0x804d006000504020
99405.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (55)
99406
99407.xword 0x0000006000408020
99408.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (55)
99409
99410.xword 0
99411.xword 0x00021107 | (6 << 18) !ma_ctl_StParity (55)
99412
99413.xword 0
99414.xword 0x0002008c | (6 << 18) !ma_ctl_Ld (56)
99415
99416.xword 0
99417.xword 0x0002015d | (6 << 18) !ma_ctl_St (56)
99418
99419.xword 0x80604020
99420.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (56)
99421
99422.xword 0x356a
99423.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (56)
99424
99425.xword 0x0000006000408020
99426.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (56)
99427
99428.xword 0x6a35
99429.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (56)
99430
99431.xword 0x78285050
99432.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (56)
99433
99434.xword 0x80604020
99435.xword 0x00020701 | (6 << 18) !ma_ctl_gf2m_ModMul (56)
99436
99437.xword 0x00287850
99438.xword 0x00020802 | (6 << 18) !ma_ctl_ModSub (56)
99439
99440.xword 0x00287850
99441.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (56)
99442
99443.xword 0x78285050
99444.xword 0x00020a02 | (6 << 18) !ma_ctl_ModSq (56)
99445
99446.xword 0x64500000003c0000
99447.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
99448
99449.xword 0x7766000000554422
99450.xword 0x00020c01 | (6 << 18) !ma_ctl_gfp_ptDbl (56)
99451
99452.xword 0x706200000054462a
99453.xword 0x00020d02 | (6 << 18) !ma_ctl_gfp_ptAdd (56)
99454
99455.xword 0x784d005800423721
99456.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (56)
99457
99458.xword 0x804d006000504020
99459.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (56)
99460
99461.xword 0x0000006000408020
99462.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (56)
99463
99464.xword 0
99465.xword 0x00021108 | (6 << 18) !ma_ctl_StParity (56)
99466
99467.xword 0
99468.xword 0x0002008e | (6 << 18) !ma_ctl_Ld (57)
99469
99470.xword 0
99471.xword 0x00020167 | (6 << 18) !ma_ctl_St (57)
99472
99473.xword 0x80604020
99474.xword 0x00020202 | (6 << 18) !ma_ctl_ModMul (57)
99475
99476.xword 0x356a
99477.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (57)
99478
99479.xword 0x0000006000408020
99480.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (57)
99481
99482.xword 0x6a35
99483.xword 0x00020502 | (6 << 18) !ma_ctl_gf2m_ModAdd (57)
99484
99485.xword 0x78285050
99486.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (57)
99487
99488.xword 0x80604020
99489.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (57)
99490
99491.xword 0x00287850
99492.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (57)
99493
99494.xword 0x00287850
99495.xword 0x00020901 | (6 << 18) !ma_ctl_ModAdd (57)
99496
99497.xword 0x78285050
99498.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (57)
99499
99500.xword 0x64500000003c0000
99501.xword 0x00020b02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
99502
99503.xword 0x7766000000554422
99504.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (57)
99505
99506.xword 0x706200000054462a
99507.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (57)
99508
99509.xword 0x784d005800423721
99510.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (57)
99511
99512.xword 0x804d006000504020
99513.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (57)
99514
99515.xword 0x0000006000408020
99516.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (57)
99517
99518.xword 0
99519.xword 0x00021118 | (6 << 18) !ma_ctl_StParity (57)
99520
99521.xword 0
99522.xword 0x0002005e | (6 << 18) !ma_ctl_Ld (58)
99523
99524.xword 0
99525.xword 0x00020185 | (6 << 18) !ma_ctl_St (58)
99526
99527.xword 0x80604020
99528.xword 0x00020201 | (6 << 18) !ma_ctl_ModMul (58)
99529
99530.xword 0x356a
99531.xword 0x00020302 | (6 << 18) !ma_ctl_ModRed (58)
99532
99533.xword 0x0000006000408020
99534.xword 0x00020401 | (6 << 18) !ma_ctl_ModExp (58)
99535
99536.xword 0x6a35
99537.xword 0x00020501 | (6 << 18) !ma_ctl_gf2m_ModAdd (58)
99538
99539.xword 0x78285050
99540.xword 0x00020601 | (6 << 18) !ma_ctl_gf2m_ModSq (58)
99541
99542.xword 0x80604020
99543.xword 0x00020702 | (6 << 18) !ma_ctl_gf2m_ModMul (58)
99544
99545.xword 0x00287850
99546.xword 0x00020801 | (6 << 18) !ma_ctl_ModSub (58)
99547
99548.xword 0x00287850
99549.xword 0x00020902 | (6 << 18) !ma_ctl_ModAdd (58)
99550
99551.xword 0x78285050
99552.xword 0x00020a01 | (6 << 18) !ma_ctl_ModSq (58)
99553
99554.xword 0x64500000003c0000
99555.xword 0x00020b01 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
99556
99557.xword 0x7766000000554422
99558.xword 0x00020c02 | (6 << 18) !ma_ctl_gfp_ptDbl (58)
99559
99560.xword 0x706200000054462a
99561.xword 0x00020d01 | (6 << 18) !ma_ctl_gfp_ptAdd (58)
99562
99563.xword 0x784d005800423721
99564.xword 0x00020e01 | (6 << 18) !ma_ctl_gfp_ptMul (58)
99565
99566.xword 0x804d006000504020
99567.xword 0x00020f01 | (6 << 18) !ma_ctl_gf2m_ptMul (58)
99568
99569.xword 0x0000006000408020
99570.xword 0x00021001 | (6 << 18) !ma_ctl_gf2m_ModExp (58)
99571
99572.xword 0
99573.xword 0x0002111b | (6 << 18) !ma_ctl_StParity (58)
99574
99575
99576.align 8
99577.global _t2_ma_results7
99578_t2_ma_results7:
99579.xword 0xDEADBEEFDEADBEEF
99580.xword 0xDEADBEEFDEADBEEF
99581.xword 0xDEADBEEFDEADBEEF
99582.xword 0xDEADBEEFDEADBEEF
99583.xword 0xDEADBEEFDEADBEEF
99584.xword 0xDEADBEEFDEADBEEF
99585.xword 0xDEADBEEFDEADBEEF
99586.xword 0xDEADBEEFDEADBEEF
99587.xword 0xDEADBEEFDEADBEEF
99588.xword 0xDEADBEEFDEADBEEF
99589.xword 0xDEADBEEFDEADBEEF
99590.xword 0xDEADBEEFDEADBEEF
99591.xword 0xDEADBEEFDEADBEEF
99592.xword 0xDEADBEEFDEADBEEF
99593.xword 0xDEADBEEFDEADBEEF
99594.xword 0xDEADBEEFDEADBEEF
99595.xword 0xDEADBEEFDEADBEEF
99596.xword 0xDEADBEEFDEADBEEF
99597.xword 0xDEADBEEFDEADBEEF
99598.xword 0xDEADBEEFDEADBEEF
99599.xword 0xDEADBEEFDEADBEEF
99600.xword 0xDEADBEEFDEADBEEF
99601.xword 0xDEADBEEFDEADBEEF
99602.xword 0xDEADBEEFDEADBEEF
99603.xword 0xDEADBEEFDEADBEEF
99604.xword 0xDEADBEEFDEADBEEF
99605.xword 0xDEADBEEFDEADBEEF
99606.xword 0xDEADBEEFDEADBEEF
99607.xword 0xDEADBEEFDEADBEEF
99608.xword 0xDEADBEEFDEADBEEF
99609.xword 0xDEADBEEFDEADBEEF
99610.xword 0xDEADBEEFDEADBEEF
99611.xword 0xDEADBEEFDEADBEEF
99612.xword 0xDEADBEEFDEADBEEF
99613.xword 0xDEADBEEFDEADBEEF
99614.xword 0xDEADBEEFDEADBEEF
99615.xword 0xDEADBEEFDEADBEEF
99616.xword 0xDEADBEEFDEADBEEF
99617.xword 0xDEADBEEFDEADBEEF
99618.xword 0xDEADBEEFDEADBEEF
99619.xword 0xDEADBEEFDEADBEEF
99620.xword 0xDEADBEEFDEADBEEF
99621.xword 0xDEADBEEFDEADBEEF
99622.xword 0xDEADBEEFDEADBEEF
99623.xword 0xDEADBEEFDEADBEEF
99624.xword 0xDEADBEEFDEADBEEF
99625.xword 0xDEADBEEFDEADBEEF
99626.xword 0xDEADBEEFDEADBEEF
99627.xword 0xDEADBEEFDEADBEEF
99628.xword 0xDEADBEEFDEADBEEF
99629.xword 0xDEADBEEFDEADBEEF
99630.xword 0xDEADBEEFDEADBEEF
99631.xword 0xDEADBEEFDEADBEEF
99632.xword 0xDEADBEEFDEADBEEF
99633.xword 0xDEADBEEFDEADBEEF
99634.xword 0xDEADBEEFDEADBEEF
99635.xword 0xDEADBEEFDEADBEEF
99636.xword 0xDEADBEEFDEADBEEF
99637.xword 0xDEADBEEFDEADBEEF
99638.xword 0xDEADBEEFDEADBEEF
99639.xword 0xDEADBEEFDEADBEEF
99640.xword 0xDEADBEEFDEADBEEF
99641.xword 0xDEADBEEFDEADBEEF
99642.xword 0xDEADBEEFDEADBEEF
99643.xword 0xDEADBEEFDEADBEEF
99644.xword 0xDEADBEEFDEADBEEF
99645.xword 0xDEADBEEFDEADBEEF
99646.xword 0xDEADBEEFDEADBEEF
99647.xword 0xDEADBEEFDEADBEEF
99648.xword 0xDEADBEEFDEADBEEF
99649.xword 0xDEADBEEFDEADBEEF
99650.xword 0xDEADBEEFDEADBEEF
99651.xword 0xDEADBEEFDEADBEEF
99652.xword 0xDEADBEEFDEADBEEF
99653.xword 0xDEADBEEFDEADBEEF
99654.xword 0xDEADBEEFDEADBEEF
99655.xword 0xDEADBEEFDEADBEEF
99656.xword 0xDEADBEEFDEADBEEF
99657.xword 0xDEADBEEFDEADBEEF
99658.xword 0xDEADBEEFDEADBEEF
99659.xword 0xDEADBEEFDEADBEEF
99660.xword 0xDEADBEEFDEADBEEF
99661.xword 0xDEADBEEFDEADBEEF
99662.xword 0xDEADBEEFDEADBEEF
99663.xword 0xDEADBEEFDEADBEEF
99664.xword 0xDEADBEEFDEADBEEF
99665.xword 0xDEADBEEFDEADBEEF
99666.xword 0xDEADBEEFDEADBEEF
99667.xword 0xDEADBEEFDEADBEEF
99668.xword 0xDEADBEEFDEADBEEF
99669.xword 0xDEADBEEFDEADBEEF
99670.xword 0xDEADBEEFDEADBEEF
99671.xword 0xDEADBEEFDEADBEEF
99672.xword 0xDEADBEEFDEADBEEF
99673.xword 0xDEADBEEFDEADBEEF
99674.xword 0xDEADBEEFDEADBEEF
99675.xword 0xDEADBEEFDEADBEEF
99676.xword 0xDEADBEEFDEADBEEF
99677.xword 0xDEADBEEFDEADBEEF
99678.xword 0xDEADBEEFDEADBEEF
99679.xword 0xDEADBEEFDEADBEEF
99680.xword 0xDEADBEEFDEADBEEF
99681.xword 0xDEADBEEFDEADBEEF
99682.xword 0xDEADBEEFDEADBEEF
99683.xword 0xDEADBEEFDEADBEEF
99684.xword 0xDEADBEEFDEADBEEF
99685.xword 0xDEADBEEFDEADBEEF
99686.xword 0xDEADBEEFDEADBEEF
99687.xword 0xDEADBEEFDEADBEEF
99688.xword 0xDEADBEEFDEADBEEF
99689.xword 0xDEADBEEFDEADBEEF
99690.xword 0xDEADBEEFDEADBEEF
99691.xword 0xDEADBEEFDEADBEEF
99692.xword 0xDEADBEEFDEADBEEF
99693.xword 0xDEADBEEFDEADBEEF
99694.xword 0xDEADBEEFDEADBEEF
99695.xword 0xDEADBEEFDEADBEEF
99696.xword 0xDEADBEEFDEADBEEF
99697.xword 0xDEADBEEFDEADBEEF
99698.xword 0xDEADBEEFDEADBEEF
99699.xword 0xDEADBEEFDEADBEEF
99700.xword 0xDEADBEEFDEADBEEF
99701.xword 0xDEADBEEFDEADBEEF
99702.xword 0xDEADBEEFDEADBEEF
99703.xword 0xDEADBEEFDEADBEEF
99704.xword 0xDEADBEEFDEADBEEF
99705.xword 0xDEADBEEFDEADBEEF
99706.xword 0xDEADBEEFDEADBEEF
99707.xword 0xDEADBEEFDEADBEEF
99708.xword 0xDEADBEEFDEADBEEF
99709.xword 0xDEADBEEFDEADBEEF
99710.xword 0xDEADBEEFDEADBEEF
99711.xword 0xDEADBEEFDEADBEEF
99712.xword 0xDEADBEEFDEADBEEF
99713.xword 0xDEADBEEFDEADBEEF
99714.xword 0xDEADBEEFDEADBEEF
99715.xword 0xDEADBEEFDEADBEEF
99716.xword 0xDEADBEEFDEADBEEF
99717.xword 0xDEADBEEFDEADBEEF
99718.xword 0xDEADBEEFDEADBEEF
99719.xword 0xDEADBEEFDEADBEEF
99720.xword 0xDEADBEEFDEADBEEF
99721.xword 0xDEADBEEFDEADBEEF
99722.xword 0xDEADBEEFDEADBEEF
99723.xword 0xDEADBEEFDEADBEEF
99724.xword 0xDEADBEEFDEADBEEF
99725.xword 0xDEADBEEFDEADBEEF
99726.xword 0xDEADBEEFDEADBEEF
99727.xword 0xDEADBEEFDEADBEEF
99728.xword 0xDEADBEEFDEADBEEF
99729.xword 0xDEADBEEFDEADBEEF
99730.xword 0xDEADBEEFDEADBEEF
99731.xword 0xDEADBEEFDEADBEEF
99732.xword 0xDEADBEEFDEADBEEF
99733.xword 0xDEADBEEFDEADBEEF
99734.xword 0xDEADBEEFDEADBEEF
99735.xword 0xDEADBEEFDEADBEEF
99736.xword 0xDEADBEEFDEADBEEF
99737.xword 0xDEADBEEFDEADBEEF
99738.xword 0xDEADBEEFDEADBEEF
99739.xword 0xDEADBEEFDEADBEEF
99740.xword 0xDEADBEEFDEADBEEF
99741.xword 0xDEADBEEFDEADBEEF
99742.xword 0xDEADBEEFDEADBEEF
99743
99744
99745
99746SECTION .MyHTRAPS_0 TEXT_VA = 0x0000000000280000, DATA_VA = 0x00000000002c0000
99747attr_text {
99748 Name = .MyHTRAPS_0,
99749 RA = 0x0000000000280000,
99750 PA = ra2pa(0x0000000000280000,0),
99751 part_0_ctx_zero_tsb_config_3,
99752 part_0_ctx_nonzero_tsb_config_3,
99753 TTE_G = 1,
99754 TTE_Context = 0,
99755 TTE_V = 1,
99756 TTE_Size = PART0_Z_PAGE_SIZE_3,
99757 TTE_NFO = 0,
99758 TTE_IE = 0,
99759 TTE_Soft2 = 0,
99760 TTE_Diag = 0,
99761 TTE_Soft = 0,
99762 TTE_L = 0,
99763 TTE_CP = 0,
99764 TTE_CV = 0,
99765 TTE_E = 0,
99766 TTE_P = 1,
99767 TTE_W = 0,
99768 TTE_X = 0
99769}
99770
99771
99772attr_data {
99773 Name = .MyHTRAPS_0,
99774 RA = 0x00000000002c0000,
99775 PA = ra2pa(0x00000000002c0000,0),
99776 part_0_ctx_zero_tsb_config_3,
99777 part_0_ctx_nonzero_tsb_config_3,
99778 TTE_G = 1,
99779 TTE_Context = 0,
99780 TTE_V = 1,
99781 TTE_Size = PART0_Z_PAGE_SIZE_3,
99782 TTE_NFO = 0,
99783 TTE_IE = 0,
99784 TTE_Soft2 = 0,
99785 TTE_Diag = 0,
99786 TTE_Soft = 0,
99787 TTE_L = 0,
99788 TTE_CP = 0,
99789 TTE_CV = 1,
99790 TTE_E = 0,
99791 TTE_P = 1,
99792 TTE_W = 0
99793}
99794
99795.text
99796#include "htraps.s"
99797#include "tlu_htraps_ext.s"
99798
99799
99800
99801SECTION .MyHTRAPS_1 TEXT_VA = 0x00000000002a0000, DATA_VA = 0x00000000002e0000
99802attr_text {
99803 Name = .MyHTRAPS_1,
99804 RA = 0x00000000002a0000,
99805 PA = ra2pa(0x00000000002a0000,0),
99806 part_0_ctx_zero_tsb_config_3,
99807 part_0_ctx_nonzero_tsb_config_3,
99808 TTE_G = 1,
99809 TTE_Context = 0,
99810 TTE_V = 1,
99811 TTE_Size = PART0_Z_PAGE_SIZE_3,
99812 TTE_NFO = 0,
99813 TTE_IE = 0,
99814 TTE_Soft2 = 0,
99815 TTE_Diag = 0,
99816 TTE_Soft = 0,
99817 TTE_L = 0,
99818 TTE_CP = 0,
99819 TTE_CV = 0,
99820 TTE_E = 1,
99821 TTE_P = 1,
99822 TTE_W = 0,
99823 TTE_X = 0
99824}
99825
99826
99827attr_data {
99828 Name = .MyHTRAPS_1,
99829 RA = 0x00000000002e0000,
99830 PA = ra2pa(0x00000000002e0000,0),
99831 part_0_ctx_zero_tsb_config_3,
99832 part_0_ctx_nonzero_tsb_config_3,
99833 TTE_G = 1,
99834 TTE_Context = 0,
99835 TTE_V = 1,
99836 TTE_Size = PART0_Z_PAGE_SIZE_3,
99837 TTE_NFO = 0,
99838 TTE_IE = 0,
99839 TTE_Soft2 = 0,
99840 TTE_Diag = 0,
99841 TTE_Soft = 0,
99842 TTE_L = 0,
99843 TTE_CP = 0,
99844 TTE_CV = 0,
99845 TTE_E = 0,
99846 TTE_P = 1,
99847 TTE_W = 0
99848}
99849
99850.text
99851#include "htraps.s"
99852#include "tlu_htraps_ext.s"
99853
99854
99855
99856SECTION .MyHTRAPS_2 TEXT_VA = 0x0000000200280000, DATA_VA = 0x00000002002c0000
99857attr_text {
99858 Name = .MyHTRAPS_2,
99859 RA = 0x0000000200280000,
99860 PA = ra2pa(0x0000000200280000,0),
99861 part_0_ctx_zero_tsb_config_3,
99862 part_0_ctx_nonzero_tsb_config_3,
99863 TTE_G = 1,
99864 TTE_Context = 0,
99865 TTE_V = 1,
99866 TTE_Size = PART0_Z_PAGE_SIZE_3,
99867 TTE_NFO = 0,
99868 TTE_IE = 0,
99869 TTE_Soft2 = 0,
99870 TTE_Diag = 0,
99871 TTE_Soft = 0,
99872 TTE_L = 0,
99873 TTE_CP = 1,
99874 TTE_CV = 1,
99875 TTE_E = 1,
99876 TTE_P = 1,
99877 TTE_W = 0,
99878 TTE_X = 0
99879}
99880
99881
99882attr_data {
99883 Name = .MyHTRAPS_2,
99884 RA = 0x00000002002c0000,
99885 PA = ra2pa(0x00000002002c0000,0),
99886 part_0_ctx_zero_tsb_config_3,
99887 part_0_ctx_nonzero_tsb_config_3,
99888 TTE_G = 1,
99889 TTE_Context = 0,
99890 TTE_V = 1,
99891 TTE_Size = PART0_Z_PAGE_SIZE_3,
99892 TTE_NFO = 0,
99893 TTE_IE = 0,
99894 TTE_Soft2 = 0,
99895 TTE_Diag = 0,
99896 TTE_Soft = 0,
99897 TTE_L = 0,
99898 TTE_CP = 0,
99899 TTE_CV = 1,
99900 TTE_E = 0,
99901 TTE_P = 1,
99902 TTE_W = 0
99903}
99904
99905.text
99906#include "htraps.s"
99907#include "tlu_htraps_ext.s"
99908
99909
99910
99911SECTION .MyHTRAPS_3 TEXT_VA = 0x00000002002a0000, DATA_VA = 0x00000002002e0000
99912attr_text {
99913 Name = .MyHTRAPS_3,
99914 RA = 0x00000002002a0000,
99915 PA = ra2pa(0x00000002002a0000,0),
99916 part_0_ctx_zero_tsb_config_3,
99917 part_0_ctx_nonzero_tsb_config_3,
99918 TTE_G = 1,
99919 TTE_Context = 0,
99920 TTE_V = 1,
99921 TTE_Size = PART0_Z_PAGE_SIZE_3,
99922 TTE_NFO = 0,
99923 TTE_IE = 0,
99924 TTE_Soft2 = 0,
99925 TTE_Diag = 0,
99926 TTE_Soft = 0,
99927 TTE_L = 0,
99928 TTE_CP = 1,
99929 TTE_CV = 1,
99930 TTE_E = 1,
99931 TTE_P = 1,
99932 TTE_W = 0,
99933 TTE_X = 0
99934}
99935
99936
99937attr_data {
99938 Name = .MyHTRAPS_3,
99939 RA = 0x00000002002e0000,
99940 PA = ra2pa(0x00000002002e0000,0),
99941 part_0_ctx_zero_tsb_config_3,
99942 part_0_ctx_nonzero_tsb_config_3,
99943 TTE_G = 1,
99944 TTE_Context = 0,
99945 TTE_V = 1,
99946 TTE_Size = PART0_Z_PAGE_SIZE_3,
99947 TTE_NFO = 0,
99948 TTE_IE = 0,
99949 TTE_Soft2 = 0,
99950 TTE_Diag = 0,
99951 TTE_Soft = 0,
99952 TTE_L = 0,
99953 TTE_CP = 0,
99954 TTE_CV = 0,
99955 TTE_E = 0,
99956 TTE_P = 1,
99957 TTE_W = 0
99958}
99959
99960.text
99961#include "htraps.s"
99962#include "tlu_htraps_ext.s"
99963
99964
99965
99966
99967
99968SECTION .MyTRAPS_0 TEXT_VA = 0x0000000000380000, DATA_VA = 0x00000000003c0000
99969attr_text {
99970 Name = .MyTRAPS_0,
99971 RA = 0x0000000000380000,
99972 PA = ra2pa(0x0000000000380000,0),
99973 part_0_ctx_zero_tsb_config_3,
99974 part_0_ctx_nonzero_tsb_config_3,
99975 TTE_G = 1,
99976 TTE_Context = 0,
99977 TTE_V = 1,
99978 TTE_Size = PART0_Z_PAGE_SIZE_3,
99979 TTE_NFO = 0,
99980 TTE_IE = 0,
99981 TTE_Soft2 = 0,
99982 TTE_Diag = 0,
99983 TTE_Soft = 0,
99984 TTE_L = 0,
99985 TTE_CP = 1,
99986 TTE_CV = 0,
99987 TTE_E = 1,
99988 TTE_P = 0,
99989 TTE_W = 0,
99990 TTE_X = 0
99991}
99992
99993
99994attr_data {
99995 Name = .MyTRAPS_0,
99996 RA = 0x00000000003c0000,
99997 PA = ra2pa(0x00000000003c0000,0),
99998 part_0_ctx_zero_tsb_config_3,
99999 part_0_ctx_nonzero_tsb_config_3,
100000 TTE_G = 1,
100001 TTE_Context = 0,
100002 TTE_V = 1,
100003 TTE_Size = PART0_Z_PAGE_SIZE_3,
100004 TTE_NFO = 0,
100005 TTE_IE = 0,
100006 TTE_Soft2 = 0,
100007 TTE_Diag = 0,
100008 TTE_Soft = 0,
100009 TTE_L = 0,
100010 TTE_CP = 0,
100011 TTE_CV = 0,
100012 TTE_E = 0,
100013 TTE_P = 1,
100014 TTE_W = 1
100015}
100016
100017#include "traps.s"
100018
100019
100020
100021SECTION .MyTRAPS_1 TEXT_VA = 0x00000000003a0000, DATA_VA = 0x00000000003e0000
100022attr_text {
100023 Name = .MyTRAPS_1,
100024 RA = 0x00000000003a0000,
100025 PA = ra2pa(0x00000000003a0000,0),
100026 part_0_ctx_zero_tsb_config_3,
100027 part_0_ctx_nonzero_tsb_config_3,
100028 TTE_G = 1,
100029 TTE_Context = 0,
100030 TTE_V = 1,
100031 TTE_Size = PART0_Z_PAGE_SIZE_3,
100032 TTE_NFO = 1,
100033 TTE_IE = 1,
100034 TTE_Soft2 = 0,
100035 TTE_Diag = 0,
100036 TTE_Soft = 0,
100037 TTE_L = 0,
100038 TTE_CP = 1,
100039 TTE_CV = 0,
100040 TTE_E = 0,
100041 TTE_P = 0,
100042 TTE_W = 1,
100043 TTE_X = 1
100044}
100045
100046
100047attr_data {
100048 Name = .MyTRAPS_1,
100049 RA = 0x00000000003e0000,
100050 PA = ra2pa(0x00000000003e0000,0),
100051 part_0_ctx_zero_tsb_config_3,
100052 part_0_ctx_nonzero_tsb_config_3,
100053 TTE_G = 1,
100054 TTE_Context = 0,
100055 TTE_V = 1,
100056 TTE_Size = PART0_Z_PAGE_SIZE_3,
100057 TTE_NFO = 0,
100058 TTE_IE = 1,
100059 TTE_Soft2 = 0,
100060 TTE_Diag = 0,
100061 TTE_Soft = 0,
100062 TTE_L = 0,
100063 TTE_CP = 0,
100064 TTE_CV = 0,
100065 TTE_E = 0,
100066 TTE_P = 1,
100067 TTE_W = 1
100068}
100069
100070#include "traps.s"
100071
100072
100073
100074SECTION .MyTRAPS_2 TEXT_VA = 0x0000000400380000, DATA_VA = 0x00000004003c0000
100075attr_text {
100076 Name = .MyTRAPS_2,
100077 RA = 0x0000000400380000,
100078 PA = ra2pa(0x0000000400380000,0),
100079 part_0_ctx_zero_tsb_config_3,
100080 part_0_ctx_nonzero_tsb_config_3,
100081 TTE_G = 1,
100082 TTE_Context = 0,
100083 TTE_V = 1,
100084 TTE_Size = PART0_Z_PAGE_SIZE_3,
100085 TTE_NFO = 1,
100086 TTE_IE = 1,
100087 TTE_Soft2 = 0,
100088 TTE_Diag = 0,
100089 TTE_Soft = 0,
100090 TTE_L = 0,
100091 TTE_CP = 1,
100092 TTE_CV = 1,
100093 TTE_E = 1,
100094 TTE_P = 1,
100095 TTE_W = 1,
100096 TTE_X = 1
100097}
100098
100099
100100attr_data {
100101 Name = .MyTRAPS_2,
100102 RA = 0x00000004003c0000,
100103 PA = ra2pa(0x00000004003c0000,0),
100104 part_0_ctx_zero_tsb_config_3,
100105 part_0_ctx_nonzero_tsb_config_3,
100106 TTE_G = 1,
100107 TTE_Context = 0,
100108 TTE_V = 1,
100109 TTE_Size = PART0_Z_PAGE_SIZE_3,
100110 TTE_NFO = 0,
100111 TTE_IE = 0,
100112 TTE_Soft2 = 0,
100113 TTE_Diag = 0,
100114 TTE_Soft = 0,
100115 TTE_L = 0,
100116 TTE_CP = 0,
100117 TTE_CV = 0,
100118 TTE_E = 0,
100119 TTE_P = 1,
100120 TTE_W = 0
100121}
100122
100123#include "traps.s"
100124
100125
100126
100127SECTION .MyTRAPS_3 TEXT_VA = 0x00000004003a0000, DATA_VA = 0x00000004003e0000
100128attr_text {
100129 Name = .MyTRAPS_3,
100130 RA = 0x00000004003a0000,
100131 PA = ra2pa(0x00000004003a0000,0),
100132 part_0_ctx_zero_tsb_config_3,
100133 part_0_ctx_nonzero_tsb_config_3,
100134 TTE_G = 1,
100135 TTE_Context = 0,
100136 TTE_V = 1,
100137 TTE_Size = PART0_Z_PAGE_SIZE_3,
100138 TTE_NFO = 1,
100139 TTE_IE = 1,
100140 TTE_Soft2 = 0,
100141 TTE_Diag = 0,
100142 TTE_Soft = 0,
100143 TTE_L = 0,
100144 TTE_CP = 1,
100145 TTE_CV = 1,
100146 TTE_E = 1,
100147 TTE_P = 1,
100148 TTE_W = 1,
100149 TTE_X = 1
100150}
100151
100152
100153attr_data {
100154 Name = .MyTRAPS_3,
100155 RA = 0x00000004003e0000,
100156 PA = ra2pa(0x00000004003e0000,0),
100157 part_0_ctx_zero_tsb_config_3,
100158 part_0_ctx_nonzero_tsb_config_3,
100159 TTE_G = 1,
100160 TTE_Context = 0,
100161 TTE_V = 1,
100162 TTE_Size = PART0_Z_PAGE_SIZE_3,
100163 TTE_NFO = 1,
100164 TTE_IE = 0,
100165 TTE_Soft2 = 0,
100166 TTE_Diag = 0,
100167 TTE_Soft = 0,
100168 TTE_L = 0,
100169 TTE_CP = 0,
100170 TTE_CV = 1,
100171 TTE_E = 0,
100172 TTE_P = 1,
100173 TTE_W = 0
100174}
100175
100176#include "traps.s"
100177
100178
100179
100180
100181
100182SECTION .MyDATA_0 TEXT_VA = 0x00000000e0140000, DATA_VA = 0x0000000060140000
100183attr_text {
100184 Name = .MyDATA_0,
100185 RA = 0x0000000170100000,
100186 PA = ra2pa(0x0000000170100000,0),
100187 part_0_ctx_zero_tsb_config_0,
100188 part_0_ctx_nonzero_tsb_config_0,
100189 TTE_G = 1,
100190 TTE_Context = PCONTEXT,
100191 TTE_V = 1,
100192 TTE_Size = 0,
100193 TTE_NFO = 0,
100194 TTE_IE = 1,
100195 TTE_Soft2 = 0,
100196 TTE_Diag = 0,
100197 TTE_Soft = 0,
100198 TTE_L = 0,
100199 TTE_CP = 0,
100200 TTE_CV = 1,
100201 TTE_E = 1,
100202 TTE_P = 1,
100203 TTE_W = 0
100204}
100205
100206
100207attr_data {
100208 Name = .MyDATA_0,
100209 RA = 0x0000000170100000,
100210 PA = ra2pa(0x0000000170100000,0),
100211 part_0_ctx_zero_tsb_config_1,
100212 part_0_ctx_nonzero_tsb_config_1,
100213 TTE_G = 1,
100214 TTE_Context = PCONTEXT,
100215 TTE_V = 1,
100216 TTE_Size = 5,
100217 TTE_NFO = 1,
100218 TTE_IE = 1,
100219 TTE_Soft2 = 0,
100220 TTE_Diag = 0,
100221 TTE_Soft = 0,
100222 TTE_L = 0,
100223 TTE_CP = 1,
100224 TTE_CV = 0,
100225 TTE_E = 1,
100226 TTE_P = 0,
100227 TTE_W = 1
100228}
100229
100230
100231attr_data {
100232 Name = .MyDATA_0,
100233 RA = 0x0000000170100000,
100234 PA = ra2pa(0x0000000170100000,0),
100235 part_0_ctx_nonzero_tsb_config_2,
100236 TTE_G = 1,
100237 TTE_Context = SCONTEXT,
100238 TTE_V = 1,
100239 TTE_Size = 3,
100240 TTE_NFO = 0,
100241 TTE_IE = 1,
100242 TTE_Soft2 = 0,
100243 TTE_Diag = 0,
100244 TTE_Soft = 0,
100245 TTE_L = 0,
100246 TTE_CP = 0,
100247 TTE_CV = 0,
100248 TTE_E = 0,
100249 TTE_P = 1,
100250 TTE_W = 0,
100251 tsbonly
100252}
100253
100254
100255attr_data {
100256 Name = .MyDATA_0,
100257 hypervisor
100258}
100259
100260
100261attr_text {
100262 Name = .MyDATA_0,
100263 hypervisor
100264}
100265
100266.data
100267 .xword 0x9257547e114d298c
100268 .xword 0x8cd289df99829c69
100269 .xword 0x7e3add771af3c599
100270 .xword 0x3efb363d65105f7e
100271 .xword 0x3892019611193a8d
100272 .xword 0xef5196c280ac32e5
100273 .xword 0x2d35b23ddad898cf
100274 .xword 0xc7d3593c430c1cf8
100275 .xword 0xe967db5cdd7a2b5b
100276 .xword 0xeba8699b0df9adde
100277 .xword 0xe5cee82c9e9cfac2
100278 .xword 0xa79e7087fe474e37
100279 .xword 0x533975619e2c52e1
100280 .xword 0xa27b3342331247b2
100281 .xword 0xcba96737d78f2825
100282 .xword 0x13899a7d5aa35873
100283 .xword 0x6747627bea84d63b
100284 .xword 0xc265af6a7ac65ae4
100285 .xword 0x27327d91fb7442d7
100286 .xword 0x05c056dc2c4db160
100287 .xword 0xac86aaec02d65bf6
100288 .xword 0x153df6d3b6256d98
100289 .xword 0xdc3e5ee241e04358
100290 .xword 0x7c70e684f61fbf4f
100291 .xword 0xa64a52b080acb928
100292 .xword 0x8d00d943598bb60f
100293 .xword 0x48c0440918bfeef0
100294 .xword 0xef8e9cdf83553c69
100295 .xword 0x6a2cddadc481910c
100296 .xword 0x77506b89617d3cd2
100297 .xword 0xcc83722dd438fa6c
100298 .xword 0x974d92b220187321
100299
100300
100301
100302SECTION .MyDATA_1 TEXT_VA = 0x00000000e0340000, DATA_VA = 0x0000000060340000
100303attr_text {
100304 Name = .MyDATA_1,
100305 RA = 0x0000000170300000,
100306 PA = ra2pa(0x0000000170300000,0),
100307 part_0_ctx_zero_tsb_config_0,
100308 part_0_ctx_nonzero_tsb_config_0,
100309 TTE_G = 1,
100310 TTE_Context = PCONTEXT,
100311 TTE_V = 1,
100312 TTE_Size = 3,
100313 TTE_NFO = 1,
100314 TTE_IE = 1,
100315 TTE_Soft2 = 0,
100316 TTE_Diag = 0,
100317 TTE_Soft = 0,
100318 TTE_L = 0,
100319 TTE_CP = 1,
100320 TTE_CV = 1,
100321 TTE_E = 0,
100322 TTE_P = 1,
100323 TTE_W = 0
100324}
100325
100326
100327attr_data {
100328 Name = .MyDATA_1,
100329 RA = 0x0000000170300000,
100330 PA = ra2pa(0x0000000170300000,0),
100331 part_0_ctx_zero_tsb_config_1,
100332 part_0_ctx_nonzero_tsb_config_1,
100333 TTE_G = 1,
100334 TTE_Context = PCONTEXT,
100335 TTE_V = 1,
100336 TTE_Size = 5,
100337 TTE_NFO = 0,
100338 TTE_IE = 1,
100339 TTE_Soft2 = 0,
100340 TTE_Diag = 0,
100341 TTE_Soft = 0,
100342 TTE_L = 0,
100343 TTE_CP = 1,
100344 TTE_CV = 0,
100345 TTE_E = 1,
100346 TTE_P = 1,
100347 TTE_W = 0
100348}
100349
100350
100351attr_data {
100352 Name = .MyDATA_1,
100353 RA = 0x0000000170300000,
100354 PA = ra2pa(0x0000000170300000,0),
100355 part_0_ctx_nonzero_tsb_config_2,
100356 TTE_G = 1,
100357 TTE_Context = SCONTEXT,
100358 TTE_V = 1,
100359 TTE_Size = 0,
100360 TTE_NFO = 0,
100361 TTE_IE = 1,
100362 TTE_Soft2 = 0,
100363 TTE_Diag = 0,
100364 TTE_Soft = 0,
100365 TTE_L = 0,
100366 TTE_CP = 1,
100367 TTE_CV = 1,
100368 TTE_E = 1,
100369 TTE_P = 0,
100370 TTE_W = 1,
100371 tsbonly
100372}
100373
100374
100375attr_data {
100376 Name = .MyDATA_1,
100377 hypervisor
100378}
100379
100380
100381attr_text {
100382 Name = .MyDATA_1,
100383 hypervisor
100384}
100385
100386.data
100387 .xword 0xa39e4364871b44db
100388 .xword 0xfde5f6c8c067eefb
100389 .xword 0x912cb3f7bf819675
100390 .xword 0x4212c9797599d039
100391 .xword 0x5ccbc708d7e18caf
100392 .xword 0xb9b2ecf8557b37b1
100393 .xword 0xb0025c32ab2b2cd5
100394 .xword 0xbd933ecdfd095f6b
100395 .xword 0x04052817f50088af
100396 .xword 0xe818aabd378abd82
100397 .xword 0x50e0d4fe7a912e77
100398 .xword 0x981c8573b9e37479
100399 .xword 0x29e08e80eaafb0ba
100400 .xword 0x4444c81da1c136b6
100401 .xword 0xfc5b244f066926af
100402 .xword 0xf269da254f2fe584
100403 .xword 0xa6c05d90f7933014
100404 .xword 0x5ec7ac0c3867e1f3
100405 .xword 0x6565b3c82c8eafe8
100406 .xword 0x82c2c162b88e36d1
100407 .xword 0xf6bcd1994eda1e08
100408 .xword 0x25aae7c120ca48aa
100409 .xword 0x5694d2bf6bb57151
100410 .xword 0xcd6970ae49c729be
100411 .xword 0x0ef192c11b7a1b29
100412 .xword 0x0550a3e61ff7ed6a
100413 .xword 0xeee3b59041d67add
100414 .xword 0x61f6685a9ebaf944
100415 .xword 0x5ad15a09320a4560
100416 .xword 0xc4415d44061ea661
100417 .xword 0xc96239044b6b18e8
100418 .xword 0xde03414a2c87d21c
100419
100420
100421
100422SECTION .MyDATA_2 TEXT_VA = 0x00000000e0540000, DATA_VA = 0x0000000060540000
100423attr_text {
100424 Name = .MyDATA_2,
100425 RA = 0x0000000170500000,
100426 PA = ra2pa(0x0000000170500000,0),
100427 part_0_ctx_zero_tsb_config_0,
100428 part_0_ctx_nonzero_tsb_config_0,
100429 TTE_G = 1,
100430 TTE_Context = PCONTEXT,
100431 TTE_V = 1,
100432 TTE_Size = 3,
100433 TTE_NFO = 0,
100434 TTE_IE = 0,
100435 TTE_Soft2 = 0,
100436 TTE_Diag = 0,
100437 TTE_Soft = 0,
100438 TTE_L = 0,
100439 TTE_CP = 0,
100440 TTE_CV = 1,
100441 TTE_E = 0,
100442 TTE_P = 0,
100443 TTE_W = 1
100444}
100445
100446
100447attr_data {
100448 Name = .MyDATA_2,
100449 RA = 0x0000000170500000,
100450 PA = ra2pa(0x0000000170500000,0),
100451 part_0_ctx_zero_tsb_config_1,
100452 part_0_ctx_nonzero_tsb_config_1,
100453 TTE_G = 1,
100454 TTE_Context = PCONTEXT,
100455 TTE_V = 1,
100456 TTE_Size = 1,
100457 TTE_NFO = 0,
100458 TTE_IE = 1,
100459 TTE_Soft2 = 0,
100460 TTE_Diag = 0,
100461 TTE_Soft = 0,
100462 TTE_L = 0,
100463 TTE_CP = 1,
100464 TTE_CV = 0,
100465 TTE_E = 0,
100466 TTE_P = 1,
100467 TTE_W = 0
100468}
100469
100470
100471attr_data {
100472 Name = .MyDATA_2,
100473 RA = 0x0000000170500000,
100474 PA = ra2pa(0x0000000170500000,0),
100475 part_0_ctx_nonzero_tsb_config_2,
100476 TTE_G = 1,
100477 TTE_Context = SCONTEXT,
100478 TTE_V = 1,
100479 TTE_Size = 1,
100480 TTE_NFO = 1,
100481 TTE_IE = 1,
100482 TTE_Soft2 = 0,
100483 TTE_Diag = 0,
100484 TTE_Soft = 0,
100485 TTE_L = 0,
100486 TTE_CP = 0,
100487 TTE_CV = 0,
100488 TTE_E = 0,
100489 TTE_P = 0,
100490 TTE_W = 1,
100491 tsbonly
100492}
100493
100494
100495attr_data {
100496 Name = .MyDATA_2,
100497 hypervisor
100498}
100499
100500
100501attr_text {
100502 Name = .MyDATA_2,
100503 hypervisor
100504}
100505
100506.data
100507 .xword 0x4e403aef2d12ac24
100508 .xword 0x63700fe9a5b47749
100509 .xword 0x42682a2cdd2a3659
100510 .xword 0xe319c4d172d4f0ef
100511 .xword 0xc96d53832afca236
100512 .xword 0x3d02000bc11df01a
100513 .xword 0x0756f83a55ecb3d5
100514 .xword 0x11fdd29c5e63adb6
100515 .xword 0x98b8408f1da15a86
100516 .xword 0x73a8655255e2f52b
100517 .xword 0xbd514ef3f6ab8ac8
100518 .xword 0x26532bc754354ec7
100519 .xword 0x14a0b5a30506a5f5
100520 .xword 0xe5b9caed20b9d57f
100521 .xword 0x844502a21b47877e
100522 .xword 0xbf7644553ebd125b
100523 .xword 0xd9fa7b0449a41c1e
100524 .xword 0x041959b53ddf9023
100525 .xword 0xbe75fde9d2c3c1f2
100526 .xword 0x8921742e05813e88
100527 .xword 0xef119abf5ff72f0b
100528 .xword 0xefc9dae98a9e21d1
100529 .xword 0x4e4b86b4e29a0fdb
100530 .xword 0xcf898000ff68f06a
100531 .xword 0x79a43b6c812cb77d
100532 .xword 0xf00fc545eb02a721
100533 .xword 0x337a5f9256aaa12d
100534 .xword 0x1b8ed127bd11cb1f
100535 .xword 0x6cc6021cd65f7990
100536 .xword 0xbe44ffe0c2e8c128
100537 .xword 0x553ffb27b57a3183
100538 .xword 0xe9efccac2b3c8d56
100539
100540
100541
100542SECTION .MyDATA_3 TEXT_VA = 0x00000000e0740000, DATA_VA = 0x0000000060740000
100543attr_text {
100544 Name = .MyDATA_3,
100545 RA = 0x0000000170700000,
100546 PA = ra2pa(0x0000000170700000,0),
100547 part_0_ctx_zero_tsb_config_0,
100548 part_0_ctx_nonzero_tsb_config_0,
100549 TTE_G = 1,
100550 TTE_Context = PCONTEXT,
100551 TTE_V = 1,
100552 TTE_Size = 5,
100553 TTE_NFO = 0,
100554 TTE_IE = 1,
100555 TTE_Soft2 = 0,
100556 TTE_Diag = 0,
100557 TTE_Soft = 0,
100558 TTE_L = 0,
100559 TTE_CP = 1,
100560 TTE_CV = 0,
100561 TTE_E = 0,
100562 TTE_P = 0,
100563 TTE_W = 0
100564}
100565
100566
100567attr_data {
100568 Name = .MyDATA_3,
100569 RA = 0x0000000170700000,
100570 PA = ra2pa(0x0000000170700000,0),
100571 part_0_ctx_zero_tsb_config_1,
100572 part_0_ctx_nonzero_tsb_config_1,
100573 TTE_G = 1,
100574 TTE_Context = PCONTEXT,
100575 TTE_V = 1,
100576 TTE_Size = 1,
100577 TTE_NFO = 0,
100578 TTE_IE = 1,
100579 TTE_Soft2 = 0,
100580 TTE_Diag = 0,
100581 TTE_Soft = 0,
100582 TTE_L = 0,
100583 TTE_CP = 1,
100584 TTE_CV = 1,
100585 TTE_E = 0,
100586 TTE_P = 1,
100587 TTE_W = 1
100588}
100589
100590
100591attr_data {
100592 Name = .MyDATA_3,
100593 RA = 0x0000000170700000,
100594 PA = ra2pa(0x0000000170700000,0),
100595 part_0_ctx_nonzero_tsb_config_2,
100596 TTE_G = 1,
100597 TTE_Context = SCONTEXT,
100598 TTE_V = 1,
100599 TTE_Size = 0,
100600 TTE_NFO = 0,
100601 TTE_IE = 1,
100602 TTE_Soft2 = 0,
100603 TTE_Diag = 0,
100604 TTE_Soft = 0,
100605 TTE_L = 0,
100606 TTE_CP = 0,
100607 TTE_CV = 0,
100608 TTE_E = 1,
100609 TTE_P = 1,
100610 TTE_W = 0,
100611 tsbonly
100612}
100613
100614
100615attr_data {
100616 Name = .MyDATA_3,
100617 hypervisor
100618}
100619
100620
100621attr_text {
100622 Name = .MyDATA_3,
100623 hypervisor
100624}
100625
100626.data
100627 .xword 0xd22a58d8a365ab2f
100628 .xword 0x8625c4d59180ed79
100629 .xword 0x837a0290f8c40d11
100630 .xword 0xf5d5011e929b8b19
100631 .xword 0x8448dca93a2d79a2
100632 .xword 0xe4b8291a19432b05
100633 .xword 0x52c34f121cd30655
100634 .xword 0xe1231208ffc6b22e
100635 .xword 0xb0bfe29ff8915545
100636 .xword 0x55f0f5ba1b27ed3d
100637 .xword 0x2df08e6bc71cc03a
100638 .xword 0xd2d7b91904d929a0
100639 .xword 0x3841c5412e129f99
100640 .xword 0x993af9ab771dd13d
100641 .xword 0x8fb4f4b93520b2a5
100642 .xword 0xe1d217640e1b9c31
100643 .xword 0xec139b79d14c0687
100644 .xword 0xea5fa2749efc6888
100645 .xword 0x0ff99fe9e71d7aa5
100646 .xword 0x7e9ffee5499d5aae
100647 .xword 0x2f50548ae260e9b7
100648 .xword 0x6c441f6cf29b0a5b
100649 .xword 0xeb6c8aba82650413
100650 .xword 0x15c94c885baa27b6
100651 .xword 0x141aead97a4692f1
100652 .xword 0x4ea9b3fad8f17998
100653 .xword 0x4993262d9085ca19
100654 .xword 0x68e50289e935b76a
100655 .xword 0xd35c0eae57ca5998
100656 .xword 0x85c5311c543bedd8
100657 .xword 0xf74e2916a6e95d4d
100658 .xword 0x51c4d5c104faab80
100659
100660
100661
100662
100663
100664SECTION .MyTEXT_0 TEXT_VA = 0x00000000e0200000
100665attr_text {
100666 Name = .MyTEXT_0,
100667 RA = 0x00000000e0200000,
100668 PA = ra2pa(0x00000000e0200000,0),
100669 part_0_ctx_zero_tsb_config_1,
100670 part_0_ctx_nonzero_tsb_config_1,
100671 TTE_G = 1,
100672 TTE_Context = PCONTEXT,
100673 TTE_V = 1,
100674 TTE_Size = 0,
100675 TTE_NFO = 0,
100676 TTE_IE = 1,
100677 TTE_Soft2 = 0,
100678 TTE_Diag = 0,
100679 TTE_Soft = 0,
100680 TTE_L = 0,
100681 TTE_CP = 0,
100682 TTE_CV = 1,
100683 TTE_EP = 1,
100684 TTE_E = 0,
100685 TTE_P = 0,
100686 TTE_W = 0
100687}
100688
100689.text
100690nuff_said_0:
100691 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
100692 .word 0xc09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r0
100693 mov HIGHVA_HIGHNUM, %r11
100694 sllx %r11, 32, %r11
100695 or %r27, %r11, %r27
100696 jmpl %r27+8, %r0
100697 jmpl %r27+8, %r0
100698 jmpl %r27+8, %r0
100699 jmpl %r27+8, %r0
100700 .word 0xe09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r16
100701 .word 0x81b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r0
100702
100703
100704
100705SECTION .MyTEXT_1 TEXT_VA = 0x00000000e0a00000
100706attr_text {
100707 Name = .MyTEXT_1,
100708 RA = 0x00000000e0a00000,
100709 PA = ra2pa(0x00000000e0a00000,0),
100710 part_0_ctx_zero_tsb_config_1,
100711 part_0_ctx_nonzero_tsb_config_1,
100712 TTE_G = 1,
100713 TTE_Context = PCONTEXT,
100714 TTE_V = 1,
100715 TTE_Size = 0,
100716 TTE_NFO = 0,
100717 TTE_IE = 0,
100718 TTE_Soft2 = 0,
100719 TTE_Diag = 0,
100720 TTE_Soft = 0,
100721 TTE_L = 0,
100722 TTE_CP = 0,
100723 TTE_CV = 0,
100724 TTE_EP = 0,
100725 TTE_E = 0,
100726 TTE_P = 1,
100727 TTE_W = 0
100728}
100729
100730.text
100731nuff_said_1:
100732 .word 0xe1bfdb40 ! 1: STDFA_R stda %f16, [%r0, %r31]
100733 .word 0xa1a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f16
100734 mov HIGHVA_HIGHNUM, %r11
100735 sllx %r11, 32, %r11
100736 or %r27, %r11, %r27
100737 jmpl %r27+8, %r0
100738 jmpl %r27+8, %r0
100739 jmpl %r27+8, %r0
100740 jmpl %r27+8, %r0
100741 .word 0x81b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r0
100742 .word 0x81a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f0
100743
100744
100745
100746SECTION .MyTEXT_2 TEXT_VA = 0x00000000e1200000
100747attr_text {
100748 Name = .MyTEXT_2,
100749 RA = 0x00000000e1200000,
100750 PA = ra2pa(0x00000000e1200000,0),
100751 part_0_ctx_zero_tsb_config_1,
100752 part_0_ctx_nonzero_tsb_config_1,
100753 TTE_G = 1,
100754 TTE_Context = PCONTEXT,
100755 TTE_V = 1,
100756 TTE_Size = 0,
100757 TTE_NFO = 0,
100758 TTE_IE = 0,
100759 TTE_Soft2 = 0,
100760 TTE_Diag = 0,
100761 TTE_Soft = 0,
100762 TTE_L = 0,
100763 TTE_CP = 0,
100764 TTE_CV = 0,
100765 TTE_EP = 1,
100766 TTE_E = 1,
100767 TTE_P = 0,
100768 TTE_W = 0
100769}
100770
100771.text
100772nuff_said_2:
100773 .word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
100774 .word 0xe1bfdc40 ! 1: STDFA_R stda %f16, [%r0, %r31]
100775 mov HIGHVA_HIGHNUM, %r11
100776 sllx %r11, 32, %r11
100777 or %r27, %r11, %r27
100778 jmpl %r27+8, %r0
100779 jmpl %r27+8, %r0
100780 jmpl %r27+8, %r0
100781 jmpl %r27+8, %r0
100782 .word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
100783 .word 0x81b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r0
100784
100785
100786
100787SECTION .MyTEXT_3 TEXT_VA = 0x00000000e1a00000
100788attr_text {
100789 Name = .MyTEXT_3,
100790 RA = 0x00000000e1a00000,
100791 PA = ra2pa(0x00000000e1a00000,0),
100792 part_0_ctx_zero_tsb_config_1,
100793 part_0_ctx_nonzero_tsb_config_1,
100794 TTE_G = 1,
100795 TTE_Context = PCONTEXT,
100796 TTE_V = 1,
100797 TTE_Size = 3,
100798 TTE_NFO = 0,
100799 TTE_IE = 1,
100800 TTE_Soft2 = 0,
100801 TTE_Diag = 0,
100802 TTE_Soft = 0,
100803 TTE_L = 0,
100804 TTE_CP = 0,
100805 TTE_CV = 1,
100806 TTE_EP = 1,
100807 TTE_E = 0,
100808 TTE_P = 0,
100809 TTE_W = 0
100810}
100811
100812.text
100813nuff_said_3:
100814 .word 0x81b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r0
100815 .word 0xa1a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f16
100816 mov HIGHVA_HIGHNUM, %r11
100817 sllx %r11, 32, %r11
100818 or %r27, %r11, %r27
100819 jmpl %r27+8, %r0
100820 jmpl %r27+8, %r0
100821 jmpl %r27+8, %r0
100822 jmpl %r27+8, %r0
100823 .word 0xa1a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f16
100824 .word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
100825
100826
100827
100828
100829
100830SECTION .VaHOLE_0 TEXT_VA = 0x00007fffffffe000
100831attr_text {
100832 Name = .VaHOLE_0,
100833 RA = 0x00000000ffffe000,
100834 PA = ra2pa(0x00000000ffffe000,0),
100835 part_0_ctx_zero_tsb_config_1,
100836 part_0_ctx_nonzero_tsb_config_1,
100837 TTE_G = 1,
100838 TTE_Context = PCONTEXT,
100839 TTE_V = 1,
100840 TTE_Size = 0,
100841 TTE_NFO = 0,
100842 TTE_IE = 1,
100843 TTE_Soft2 = 0,
100844 TTE_Diag = 0,
100845 TTE_Soft = 0,
100846 TTE_L = 0,
100847 TTE_CP = 1,
100848 TTE_CV = 0,
100849 TTE_E = 1,
100850 TTE_P = 0,
100851 TTE_W = 1,
100852 TTE_X = 1
100853}
100854
100855.text
100856.global vahole_target0
100857.text
100858.global vahole_target1
100859.text
100860.global vahole_target2
100861.text
100862.global vahole_target3
100863 nop
100864.align 4096
100865 nop
100866.align 2048
100867 nop
100868.align 1024
100869 nop
100870.align 512
100871 nop
100872.align 256
100873 nop
100874.align 128
100875 nop
100876.align 64
100877 nop
100878 nop
100879.align 16
100880 nop;nop;nop
100881vahole_target0: nop;nop
100882vahole_target1: nop
100883vahole_target2: nop;nop;nop
100884vahole_target3: nop;nop;nop
100885
100886
100887
100888
100889
100890SECTION .VaHOLEL_0 TEXT_VA = 0x00000000ffffe000
100891attr_text {
100892 Name = .VaHOLEL_0,
100893 RA = 0x00000000ffffe000,
100894 PA = ra2pa(0x00000000ffffe000,0),
100895 part_0_ctx_zero_tsb_config_0,
100896 part_0_ctx_nonzero_tsb_config_0,
100897 TTE_G = 1,
100898 TTE_Context = PCONTEXT,
100899 TTE_V = 1,
100900 TTE_Size = 1,
100901 TTE_NFO = 0,
100902 TTE_IE = 0,
100903 TTE_Soft2 = 0,
100904 TTE_Diag = 0,
100905 TTE_Soft = 0,
100906 TTE_L = 0,
100907 TTE_CP = 1,
100908 TTE_CV = 1,
100909 TTE_E = 0,
100910 TTE_P = 0,
100911 TTE_W = 1,
100912 TTE_X = 1,
100913 tsbonly
100914}
100915
100916.text
100917 nop
100918
100919
100920
100921
100922
100923SECTION .ZERO_0 TEXT_VA = 0x0000000000000000
100924attr_text {
100925 Name = .ZERO_0,
100926 RA = 0x0000000000000000,
100927 PA = ra2pa(0x0000000000000000,0),
100928 part_0_ctx_zero_tsb_config_1,
100929 part_0_ctx_nonzero_tsb_config_1,
100930 TTE_G = 1,
100931 TTE_Context = 0x44,
100932 TTE_V = 1,
100933 TTE_Size = 3,
100934 TTE_NFO = 0,
100935 TTE_IE = 1,
100936 TTE_Soft2 = 0,
100937 TTE_Diag = 0,
100938 TTE_Soft = 0,
100939 TTE_L = 0,
100940 TTE_CP = 1,
100941 TTE_CV = 0,
100942 TTE_E = 0,
100943 TTE_P = 0,
100944 TTE_W = 1,
100945 TTE_X = 1
100946}
100947
100948
100949.text
100950 nop
100951 mov HIGHVA_HIGHNUM, %r11
100952 sllx %r11, 32, %r11
100953 or %r27, %r11, %r27
100954 jmpl %r27+8, %r0
100955 nop
100956 jmpl %r27+8, %r0
100957 nop
100958
100959Power_On_Reset:
100960 setx HRedmode_Reset_Handler, %g1, %g2
100961 jmp %g2
100962 nop
100963.align 32
100964
100965Watchdog_Reset:
100966 setx wdog_red_ext, %g1, %g2
100967 jmp %g2
100968 nop
100969.align 32
100970
100971External_Reset:
100972 My_External_Reset
100973
100974.align 32
100975
100976Software_Initiated_Reset:
100977 setx Software_Reset_Handler, %g1, %g2
100978 jmp %g2
100979 nop
100980
100981.align 32
100982
100983.global ZRED_Mode_Other_Reset
100984ZRED_Mode_Other_Reset:
100985 ! IF TL=6, shift stack by one ..
100986 rdpr %tl, %l1
100987 cmp %l1, 6
100988 be start_tsa_shift
100989 nop
100990
100991continue_red_other:
100992 mov 0x1f, %l1
100993 stxa %l1, [%g0] ASI_LSU_CTL_REG
100994
100995 rdpr %tt, %l1
100996
100997 rdhpr %htstate, %l2
100998 and %l2, 0x4, %l2 ! If previously in hpriv mode, go to hpriv
100999 brnz,a %l2, red_goto_handler
101000 rdhpr %htba, %l2
101001 srlx %l1, 7, %l2 ! Send priv sw traps to priv mode ..
101002 cmp %l2, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
101003 be,a red_goto_handler
101004 rdpr %tba, %l2
101005 rdhpr %htba, %l2
101006red_goto_handler:
101007
101008 sllx %l1, 5, %l1
101009 add %l1, %l2, %l2
101010 rdhpr %htstate, %l1
101011 andn %l1, 0x20, %l1
101012 wrhpr %g0, %l1, %htstate
101013 rdhpr %hpstate, %l1
101014 jmp %l2
101015 wrhpr %l1, 0x20, %hpstate
101016 nop
101017
101018wdog_red_ext:
101019 ! Shift stack down by 1 ...
101020 rdpr %tl, %l1
101021 cmp %l1, 6
101022 bl wdog_end
101023start_tsa_shift:
101024 mov 0x2, %l2
101025
101026tsa_shift:
101027 wrpr %l2, %tl
101028 rdpr %tt, %l3
101029 rdpr %tpc, %l4
101030 rdpr %tnpc, %l5
101031 rdpr %tstate, %l6
101032 rdhpr %htstate, %l7
101033 dec %l2
101034 wrpr %l2, %tl
101035 wrpr %l3, %tt
101036 wrpr %l4, %tpc
101037 wrpr %l5, %tnpc
101038 wrpr %l6, %tstate
101039 wrhpr %l7, %htstate
101040 add %l2, 2, %l2
101041 cmp %l2, %l1
101042 ble tsa_shift
101043 nop
101044tsa_shift_done:
101045 dec %l1
101046 wrpr %l1, %tl
101047
101048wdog_end:
101049 ! If TT != 2, then goto trap handler
101050 rdpr %tt, %l1
101051
101052 cmp %l1, 0x2
101053 bne continue_red_other
101054 nop
101055 ! else done
101056 mov 0x1f, %l1
101057 stxa %l1, [%g0] ASI_LSU_CTL_REG
101058 done
101059
101060
101061
101062
101063
101064SECTION .VaHOLE_PA_0 TEXT_VA = 0x000000ffffffe000
101065attr_text {
101066 Name = .VAHOLE_PA_0,
101067 hypervisor
101068}
101069
101070 nop
101071.align 4096
101072 nop
101073.align 2048
101074 nop
101075.align 1024
101076 nop
101077.align 512
101078 nop
101079.align 256
101080 nop
101081.align 128
101082 nop
101083.align 64
101084 nop
101085 nop
101086.align 16
101087 nop;nop;nop
101088 nop
101089 nop
101090 jmpl %r27+8, %r0
101091 nop
101092 nop
101093 nop
101094 jmpl %r27+8, %r0
101095 nop
101096
101097
101098
101099
101100
101101SECTION .MASKEDHOLE_0 TEXT_VA = 0x0000000100000000
101102attr_text {
101103 Name = .MASKEDHOLE_0,
101104 RA = 0x0000000000000000,
101105 PA = ra2pa(0x0000000000000000,0),
101106 part_0_ctx_zero_tsb_config_3,
101107 part_0_ctx_nonzero_tsb_config_3,
101108 TTE_G = 1,
101109 TTE_Context = 0x44,
101110 TTE_V = 1,
101111 TTE_Size = 1,
101112 TTE_NFO = 0,
101113 TTE_IE = 1,
101114 TTE_Soft2 = 0,
101115 TTE_Diag = 0,
101116 TTE_Soft = 0,
101117 TTE_L = 0,
101118 TTE_CP = 1,
101119 TTE_CV = 0,
101120 TTE_E = 0,
101121 TTE_P = 0,
101122 TTE_W = 0,
101123 TTE_X = 1,
101124 tsbonly
101125}
101126
101127
101128attr_text {
101129 Name = .MASKEDHOLE_0,
101130 hypervisor
101131}
101132
101133 mov HIGHVA_HIGHNUM, %r11
101134 sllx %r11, 32, %r11
101135 or %r27, %r11, %r27
101136 return %r27+8
101137 nop
101138
101139
101140
101141
101142
101143SECTION .MyFRZ_0 TEXT_VA = 0x000000003cb00000
101144attr_text {
101145 Name = .MyFRZ_0,
101146 RA = 0x000000003cb00000,
101147 PA = ra2pa(0x000000003cb00000,0),
101148 part_0_ctx_zero_tsb_config_1,
101149 part_0_ctx_nonzero_tsb_config_1,
101150 TTE_G = 1,
101151 TTE_Context = PCONTEXT,
101152 TTE_V = 1,
101153 TTE_Size = 0,
101154 TTE_NFO = 1,
101155 TTE_IE = 1,
101156 TTE_Soft2 = 0,
101157 TTE_Diag = 0,
101158 TTE_Soft = 0,
101159 TTE_L = 0,
101160 TTE_CP = 1,
101161 TTE_CV = 0,
101162 TTE_EP = 1,
101163 TTE_E = 0,
101164 TTE_P = 1,
101165 TTE_W = 1
101166}
101167
101168
101169.text
101170.global last_in_frz_1_0
101171
101172 nop
101173.align 4096
101174 nop
101175.align 2048
101176 nop
101177.align 1024
101178 nop
101179.align 512
101180 nop
101181.align 256
101182 nop
101183.align 128
101184 nop
101185.align 64
101186 nop
101187.align 16
101188 nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
101189last_in_frz_1_0:
101190 .word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
101191
101192
101193
101194SECTION .MyFRZ_1 TEXT_VA = 0x000000003cb40000
101195attr_text {
101196 Name = .MyFRZ_1,
101197 RA = 0x000000003cb40000,
101198 PA = ra2pa(0x000000003cb40000,0),
101199 part_0_ctx_zero_tsb_config_1,
101200 part_0_ctx_nonzero_tsb_config_1,
101201 TTE_G = 1,
101202 TTE_Context = PCONTEXT,
101203 TTE_V = 1,
101204 TTE_Size = 0,
101205 TTE_NFO = 1,
101206 TTE_IE = 1,
101207 TTE_Soft2 = 0,
101208 TTE_Diag = 0,
101209 TTE_Soft = 0,
101210 TTE_L = 0,
101211 TTE_CP = 0,
101212 TTE_CV = 0,
101213 TTE_EP = 1,
101214 TTE_E = 0,
101215 TTE_P = 0,
101216 TTE_W = 0
101217}
101218
101219
101220.text
101221.global last_in_frz_1_1
101222
101223 nop
101224.align 4096
101225 nop
101226.align 2048
101227 nop
101228.align 1024
101229 nop
101230.align 512
101231 nop
101232.align 256
101233 nop
101234.align 128
101235 nop
101236.align 64
101237 nop
101238.align 16
101239 nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
101240last_in_frz_1_1:
101241 .word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
101242
101243
101244
101245SECTION .MyFRZ_2 TEXT_VA = 0x000000003cb80000
101246attr_text {
101247 Name = .MyFRZ_2,
101248 RA = 0x000000003cb80000,
101249 PA = ra2pa(0x000000003cb80000,0),
101250 part_0_ctx_zero_tsb_config_1,
101251 part_0_ctx_nonzero_tsb_config_1,
101252 TTE_G = 1,
101253 TTE_Context = PCONTEXT,
101254 TTE_V = 1,
101255 TTE_Size = 0,
101256 TTE_NFO = 1,
101257 TTE_IE = 1,
101258 TTE_Soft2 = 0,
101259 TTE_Diag = 0,
101260 TTE_Soft = 0,
101261 TTE_L = 0,
101262 TTE_CP = 1,
101263 TTE_CV = 1,
101264 TTE_EP = 0,
101265 TTE_E = 1,
101266 TTE_P = 0,
101267 TTE_W = 1
101268}
101269
101270
101271.text
101272.global last_in_frz_1_2
101273
101274 nop
101275.align 4096
101276 nop
101277.align 2048
101278 nop
101279.align 1024
101280 nop
101281.align 512
101282 nop
101283.align 256
101284 nop
101285.align 128
101286 nop
101287.align 64
101288 nop
101289.align 16
101290 nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
101291last_in_frz_1_2:
101292 .word 0xe03fe060 ! 1: STD_I std %r16, [%r31 + 0x0060]
101293
101294
101295
101296SECTION .MyFRZ_3 TEXT_VA = 0x000000003cbc0000
101297attr_text {
101298 Name = .MyFRZ_3,
101299 RA = 0x000000003cbc0000,
101300 PA = ra2pa(0x000000003cbc0000,0),
101301 part_0_ctx_zero_tsb_config_1,
101302 part_0_ctx_nonzero_tsb_config_1,
101303 TTE_G = 1,
101304 TTE_Context = PCONTEXT,
101305 TTE_V = 1,
101306 TTE_Size = 0,
101307 TTE_NFO = 0,
101308 TTE_IE = 0,
101309 TTE_Soft2 = 0,
101310 TTE_Diag = 0,
101311 TTE_Soft = 0,
101312 TTE_L = 0,
101313 TTE_CP = 0,
101314 TTE_CV = 1,
101315 TTE_EP = 1,
101316 TTE_E = 0,
101317 TTE_P = 1,
101318 TTE_W = 1
101319}
101320
101321
101322.text
101323.global last_in_frz_1_3
101324
101325 nop
101326.align 4096
101327 nop
101328.align 2048
101329 nop
101330.align 1024
101331 nop
101332.align 512
101333 nop
101334.align 256
101335 nop
101336.align 128
101337 nop
101338.align 64
101339 nop
101340.align 16
101341 nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
101342last_in_frz_1_3:
101343 .word 0x81b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d0
101344
101345
101346
101347
101348
101349SECTION .MyFRZn_0 TEXT_VA = 0x000000003cb02000
101350attr_text {
101351 Name = .MyFRZn_0,
101352 RA = 0x000000003cb02000,
101353 PA = ra2pa(0x000000003cb02000,0),
101354 part_0_ctx_zero_tsb_config_2,
101355 part_0_ctx_nonzero_tsb_config_2,
101356 TTE_G = 1,
101357 TTE_Context = PCONTEXT,
101358 TTE_V = 1,
101359 TTE_Size = 0,
101360 TTE_NFO = 0,
101361 TTE_IE = 1,
101362 TTE_Soft2 = 0,
101363 TTE_Diag = 0,
101364 TTE_Soft = 0,
101365 TTE_L = 0,
101366 TTE_CP = 1,
101367 TTE_CV = 0,
101368 TTE_EP = 1,
101369 TTE_E = 0,
101370 TTE_P = 0,
101371 TTE_W = 1
101372}
101373
101374 nop
101375 nop
101376 return %r27+8
101377 .word 0xc11fe040 ! 1: LDDF_I ldd [%r31, 0x0040], %f0
101378
101379
101380
101381SECTION .MyFRZn_1 TEXT_VA = 0x000000003cb42000
101382attr_text {
101383 Name = .MyFRZn_1,
101384 RA = 0x000000003cb42000,
101385 PA = ra2pa(0x000000003cb42000,0),
101386 part_0_ctx_zero_tsb_config_2,
101387 part_0_ctx_nonzero_tsb_config_2,
101388 TTE_G = 1,
101389 TTE_Context = PCONTEXT,
101390 TTE_V = 1,
101391 TTE_Size = 0,
101392 TTE_NFO = 0,
101393 TTE_IE = 1,
101394 TTE_Soft2 = 0,
101395 TTE_Diag = 0,
101396 TTE_Soft = 0,
101397 TTE_L = 0,
101398 TTE_CP = 1,
101399 TTE_CV = 1,
101400 TTE_EP = 1,
101401 TTE_E = 1,
101402 TTE_P = 0,
101403 TTE_W = 0
101404}
101405
101406 nop
101407 nop
101408 return %r27+8
101409 .word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
101410
101411
101412
101413SECTION .MyFRZn_2 TEXT_VA = 0x000000003cb82000
101414attr_text {
101415 Name = .MyFRZn_2,
101416 RA = 0x000000003cb82000,
101417 PA = ra2pa(0x000000003cb82000,0),
101418 part_0_ctx_zero_tsb_config_2,
101419 part_0_ctx_nonzero_tsb_config_2,
101420 TTE_G = 1,
101421 TTE_Context = PCONTEXT,
101422 TTE_V = 1,
101423 TTE_Size = 0,
101424 TTE_NFO = 0,
101425 TTE_IE = 0,
101426 TTE_Soft2 = 0,
101427 TTE_Diag = 0,
101428 TTE_Soft = 0,
101429 TTE_L = 0,
101430 TTE_CP = 0,
101431 TTE_CV = 0,
101432 TTE_EP = 1,
101433 TTE_E = 0,
101434 TTE_P = 0,
101435 TTE_W = 1
101436}
101437
101438 nop
101439 nop
101440 return %r27+8
101441 .word 0xe0dfc3e0 ! 1: LDXA_R ldxa [%r31, %r0] 0x1f, %r16
101442
101443
101444
101445SECTION .MyFRZn_3 TEXT_VA = 0x000000003cbc2000
101446attr_text {
101447 Name = .MyFRZn_3,
101448 RA = 0x000000003cbc2000,
101449 PA = ra2pa(0x000000003cbc2000,0),
101450 part_0_ctx_zero_tsb_config_2,
101451 part_0_ctx_nonzero_tsb_config_2,
101452 TTE_G = 1,
101453 TTE_Context = PCONTEXT,
101454 TTE_V = 1,
101455 TTE_Size = 0,
101456 TTE_NFO = 0,
101457 TTE_IE = 1,
101458 TTE_Soft2 = 0,
101459 TTE_Diag = 0,
101460 TTE_Soft = 0,
101461 TTE_L = 0,
101462 TTE_CP = 1,
101463 TTE_CV = 0,
101464 TTE_EP = 1,
101465 TTE_E = 0,
101466 TTE_P = 0,
101467 TTE_W = 0
101468}
101469
101470 nop
101471 nop
101472 return %r27+8
101473 .word 0xe0dfdb40 ! 1: LDXA_R ldxa [%r31, %r0] 0xda, %r16
101474
101475
101476
101477#if 0
101478#endif